repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
mutoso-mirrors/doxygen
examples/mux.vhdl
22
857
------------------------------------------------------- --! @file --! @brief 2:1 Mux using with-select ------------------------------------------------------- --! Use standard library library ieee; --! Use logic elements use ieee.std_logic_1164.all; --! Mux entity brief description --! Detailed description of this --! mux design element. entity mux_using_with is port ( din_0 : in std_logic; --! Mux first input din_1 : in std_logic; --! Mux Second input sel : in std_logic; --! Select input mux_out : out std_logic --! Mux output ); end entity; --! @brief Architure definition of the MUX --! @details More details about this mux element. architecture behavior of mux_using_with is begin with (sel) select mux_out <= din_0 when '0', din_1 when others; end architecture;
gpl-2.0
vvk/sysrek
hdmi_example/ipcore_dir/LUT/simulation/LUT_tb_stim_gen.vhd
6
10507
-------------------------------------------------------------------------------- -- -- DIST MEM GEN Core - Stimulus Generator For ROM Configuration -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: LUT_tb_stim_gen.vhd -- -- Description: -- Stimulus Generation For ROM -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.LUT_TB_PKG.ALL; ENTITY REGISTER_LOGIC_ROM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_ROM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_ROM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST /= '0' ) THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.LUT_TB_PKG.ALL; ENTITY LUT_TB_STIM_GEN IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; A : OUT STD_LOGIC_VECTOR(8-1 downto 0) := (OTHERS => '0'); DATA_IN : IN STD_LOGIC_VECTOR (7 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END LUT_TB_STIM_GEN; ARCHITECTURE BEHAVIORAL OF LUT_TB_STIM_GEN IS FUNCTION std_logic_vector_len( hex_str : STD_LOGIC_VECTOR; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR(return_width DOWNTO 0) := (OTHERS => '0'); VARIABLE tmp_z : STD_LOGIC_VECTOR(return_width-(hex_str'LENGTH) DOWNTO 0) := (OTHERS => '0'); BEGIN tmp := tmp_z & hex_str; RETURN tmp(return_width-1 DOWNTO 0); END std_logic_vector_len; CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL CHECK_DATA : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0):= std_logic_vector_len("0",8); BEGIN SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE type mem_type is array (255 downto 0) of std_logic_vector(7 downto 0); FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF(input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; function char_to_std_logic ( char : in character) return std_logic is variable data : std_logic; begin if char = '0' then data := '0'; elsif char = '1' then data := '1'; elsif char = 'X' then data := 'X'; else assert false report "character which is not '0', '1' or 'X'." severity warning; data := 'U'; end if; return data; end char_to_std_logic; impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; C_LOAD_INIT_FILE : INTEGER ; C_INIT_FILE_NAME : STRING ; DEFAULT_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0); width : INTEGER; depth : INTEGER) RETURN mem_type IS VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); VARIABLE bitline : LINE; variable bitsgood : boolean := true; variable bitchar : character; VARIABLE i : INTEGER; VARIABLE j : INTEGER; BEGIN --Display output message indicating that the behavioral model is being --initialized ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Distributed Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN FOR i IN 0 TO depth-1 LOOP init_return(i) := DEFAULT_DATA; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, bitline); -- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO width-1 LOOP read(bitline,bitchar,bitsgood); init_return(i)(width-1-j) := char_to_std_logic(bitchar); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** constant c_init : mem_type := init_memory(1, 1, "LUT.mif", DEFAULT_DATA, 8, 256); constant rom : mem_type := c_init; BEGIN EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); CHECKER_RD_AGEN_INST:ENTITY work.LUT_TB_AGEN GENERIC MAP( C_MAX_DEPTH =>256 ) PORT MAP( CLK => CLK, RST => RST, EN => CHECK_DATA(3), LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => check_read_addr ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA(3) ='1') THEN IF(EXPECTED_DATA = DATA_IN) THEN STATUS<='0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; -- Simulatable ROM --Synthesizable ROM SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(CHECK_DATA(3)='1') THEN IF(DATA_IN=DEFAULT_DATA) THEN STATUS <= '0'; ELSE STATUS <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE; READ_ADDR_INT(7 DOWNTO 0) <= READ_ADDR(7 DOWNTO 0); A <= READ_ADDR_INT ; CHECK_DATA(0) <= DO_READ; RD_AGEN_INST:ENTITY work.LUT_TB_AGEN GENERIC MAP( C_MAX_DEPTH => 256 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); RD_PROCESS: PROCESS (CLK) BEGIN IF (RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_READ <= '0'; ELSE DO_READ <= '1'; END IF; END IF; END PROCESS; BEGIN_EN_REG: FOR I IN 0 TO 3 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_ROM PORT MAP( Q => CHECK_DATA(1), CLK => CLK, RST => RST, D => CHECK_DATA(0) ); END GENERATE DFF_RIGHT; DFF_CE_OTHERS: IF ((I>0) AND (I<3)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_ROM PORT MAP( Q => CHECK_DATA(I+1), CLK => CLK, RST => RST, D => CHECK_DATA(I) ); END GENERATE DFF_CE_OTHERS; END GENERATE BEGIN_EN_REG; END ARCHITECTURE;
gpl-2.0
vira-lytvyn/labsAndOthersNiceThings
HardwareAndSoftwareOfNeuralNetworks/Lab_10/lab10_3/lpm_ram_dq0.vhd
1
7357
-- megafunction wizard: %LPM_RAM_DQ% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: lpm_ram_dq0.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY lpm_ram_dq0 IS PORT ( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0); data : IN STD_LOGIC_VECTOR (9 DOWNTO 0); inclock : IN STD_LOGIC := '1'; outclock : IN STD_LOGIC ; wren : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END lpm_ram_dq0; ARCHITECTURE SYN OF lpm_ram_dq0 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; power_up_uninitialized : STRING; read_during_write_mode_port_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( wren_a : IN STD_LOGIC ; clock0 : IN STD_LOGIC ; clock1 : IN STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); data_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(9 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "lab10_3.mif", intended_device_family => "Cyclone III", lpm_type => "altsyncram", numwords_a => 64, operation_mode => "SINGLE_PORT", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK1", power_up_uninitialized => "FALSE", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", widthad_a => 6, width_a => 10, width_byteena_a => 1 ) PORT MAP ( wren_a => wren, clock0 => inclock, clock1 => outclock, address_a => address, data_a => data, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrData NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "lab10_3.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "64" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegData NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "0" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" -- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "6" -- Retrieval info: PRIVATE: WidthData NUMERIC "10" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "lab10_3.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "64" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK1" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "6" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 6 0 INPUT NODEFVAL address[5..0] -- Retrieval info: USED_PORT: data 0 0 10 0 INPUT NODEFVAL data[9..0] -- Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT VCC inclock -- Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT NODEFVAL outclock -- Retrieval info: USED_PORT: q 0 0 10 0 OUTPUT NODEFVAL q[9..0] -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL wren -- Retrieval info: CONNECT: @address_a 0 0 6 0 address 0 0 6 0 -- Retrieval info: CONNECT: q 0 0 10 0 @q_a 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 inclock 0 0 0 0 -- Retrieval info: CONNECT: @clock1 0 0 0 0 outclock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 10 0 data 0 0 10 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_waveforms.html TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_ram_dq0_wave*.jpg FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_bram4096x64/example_design/k7_bram4096x64_exdes.vhd
1
5437
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: k7_bram4096x64_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY k7_bram4096x64_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKB : IN STD_LOGIC ); END k7_bram4096x64_exdes; ARCHITECTURE xilinx OF k7_bram4096x64_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT k7_bram4096x64 IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B WEB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(63 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : k7_bram4096x64 PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf, --Port B WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-2.0
vira-lytvyn/labsAndOthersNiceThings
HardwareAndSoftwareOfNeuralNetworks/Lab_12/Lab_12_3/nxor.vhd
1
572
Library IEEE; use IEEE.std_logic_1164.all; entity nxor is port( A: in std_logic_vector (2 downto 0); Q: out std_logic_vector (0 downto 0)); end entity nxor; architecture Behave of nxor is begin process (A) begin case A is when "000" => Q <= "0"; when "001" => Q <= "1"; when "010" => Q <= "1"; when "011" => Q <= "0"; when "100" => Q <= "1"; when "101" => Q <= "0"; when "110" => Q <= "0"; when "111" => Q <= "1"; when others => Q <= "0"; end case; end process; end Behave;
gpl-2.0
vira-lytvyn/labsAndOthersNiceThings
HardwareAndSoftwareOfNeuralNetworks/Lab_13/Lab_13_2_1/substractor.vhd
1
515
Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_signed.all; entity substractor is generic (k : integer := 4); port( carryin : in std_logic ; A, B : in std_logic_vector (k-1 downto 0); S : out std_logic_vector (k-1 downto 0); carryout : out std_logic); end entity substractor; architecture Behave of substractor is signal Sum : std_logic_vector (k downto 0); begin Sum <= ( '0' & A) - ( '0' & B) - carryin ; S <= Sum (k-1 downto 0); carryout <= Sum(k) ; end Behave;
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_eb_fifo_counted_resized/simulation/k7_eb_fifo_counted_resized_tb.vhd
1
6218
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: k7_eb_fifo_counted_resized_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.k7_eb_fifo_counted_resized_pkg.ALL; ENTITY k7_eb_fifo_counted_resized_tb IS END ENTITY; ARCHITECTURE k7_eb_fifo_counted_resized_arch OF k7_eb_fifo_counted_resized_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 200 ns; CONSTANT rd_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 400 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 200 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from k7_eb_fifo_counted_resized_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of k7_eb_fifo_counted_resized_synth k7_eb_fifo_counted_resized_synth_inst:k7_eb_fifo_counted_resized_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 64 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/OpenSource/rx_Transact.vhd
1
52461
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library work; use work.abb64Package.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity rx_Transact is port ( -- Common ports trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic; -- Transaction receive interface trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rdst_rdy_n : OUT std_logic; trn_rnp_ok_n : OUT std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); -- trn_rfc_ph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_pd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_nph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_npd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_cplh_av : IN std_logic_vector(7 downto 0); -- trn_rfc_cpld_av : IN std_logic_vector(11 downto 0); -- PIO MRd Channel pioCplD_Req : OUT std_logic; pioCplD_RE : IN std_logic; pioCplD_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); pio_FC_stop : IN std_logic; -- downstream MRd Channel dsMRd_Req : OUT std_logic; dsMRd_RE : IN std_logic; dsMRd_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- upstream MWr/MRd Channel usTlp_Req : OUT std_logic; usTlp_RE : IN std_logic; usTlp_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); us_FC_stop : IN std_logic; us_Last_sof : IN std_logic; us_Last_eof : IN std_logic; -- Irpt Channel Irpt_Req : OUT std_logic; Irpt_RE : IN std_logic; Irpt_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); IrptStatesOut : OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed : OUT std_logic; -- Interrupt Interface cfg_interrupt_n : OUT std_logic; cfg_interrupt_rdy_n : IN std_logic; cfg_interrupt_mmenable : IN std_logic_VECTOR(2 downto 0); cfg_interrupt_msienable : IN std_logic; cfg_interrupt_di : OUT std_logic_VECTOR(7 downto 0); cfg_interrupt_do : IN std_logic_VECTOR(7 downto 0); cfg_interrupt_assert_n : OUT std_logic; -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add : OUT std_logic; ds_DMA_Bytes : OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- -------------------------- -- Registers DMA_ds_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); dsDMA_BDA_eq_Null : IN std_logic; DMA_ds_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Done : OUT std_logic; DMA_ds_Busy : OUT std_logic; DMA_ds_Tout : OUT std_logic; -- Calculation in advance, for better timing dsHA_is_64b : IN std_logic; dsBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing dsLeng_Hi19b_True : IN std_logic; dsLeng_Lo7b_True : IN std_logic; -- dsDMA_Start : IN std_logic; dsDMA_Stop : IN std_logic; dsDMA_Start2 : IN std_logic; dsDMA_Stop2 : IN std_logic; dsDMA_Channel_Rst : IN std_logic; dsDMA_Cmd_Ack : OUT std_logic; -- DMA_us_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); usDMA_BDA_eq_Null : IN std_logic; us_MWr_Param_Vec : IN std_logic_vector(6-1 downto 0); DMA_us_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Done : OUT std_logic; DMA_us_Busy : OUT std_logic; DMA_us_Tout : OUT std_logic; -- Calculation in advance, for better timing usHA_is_64b : IN std_logic; usBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing usLeng_Hi19b_True : IN std_logic; usLeng_Lo7b_True : IN std_logic; -- usDMA_Start : IN std_logic; usDMA_Stop : IN std_logic; usDMA_Start2 : IN std_logic; usDMA_Stop2 : IN std_logic; usDMA_Channel_Rst : IN std_logic; usDMA_Cmd_Ack : OUT std_logic; MRd_Channel_Rst : IN std_logic; -- to Interrupt module Sys_IRQ : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Event Buffer write port eb_FIFO_we : OUT std_logic; eb_FIFO_wsof : OUT std_logic; eb_FIFO_weof : OUT std_logic; eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_data_count : IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); eb_FIFO_Empty : IN std_logic; eb_FIFO_Reading : IN std_logic; pio_reading_status : OUT std_logic; Link_Buf_full : IN std_logic; -- Registers Write Port Regs_WrEn0 : OUT std_logic; Regs_WrMask0 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr0 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin0 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); Regs_WrEn1 : OUT std_logic; Regs_WrMask1 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr1 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin1 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR write port DDR_wr_sof_A : OUT std_logic; DDR_wr_eof_A : OUT std_logic; DDR_wr_v_A : OUT std_logic; DDR_wr_FA_A : OUT std_logic; DDR_wr_Shift_A : OUT std_logic; DDR_wr_Mask_A : OUT std_logic_vector(2-1 downto 0); DDR_wr_din_A : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_sof_B : OUT std_logic; DDR_wr_eof_B : OUT std_logic; DDR_wr_v_B : OUT std_logic; DDR_wr_FA_B : OUT std_logic; DDR_wr_Shift_B : OUT std_logic; DDR_wr_Mask_B : OUT std_logic_vector(2-1 downto 0); DDR_wr_din_B : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : IN std_logic; -- Data generator table write tab_we : OUT std_logic_vector(2-1 downto 0); tab_wa : OUT std_logic_vector(12-1 downto 0); tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Interrupt generator signals IG_Reset : IN std_logic; IG_Host_Clear : IN std_logic; IG_Latency : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Assert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Deassert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Asserting : OUT std_logic; DAQ_irq : IN std_logic; CTL_irq : IN std_logic; DLM_irq : IN std_logic; DAQTOUT_irq : IN std_logic; CTLTOUT_irq : IN std_logic; DLMTOUT_irq : IN std_logic; -- Additional cfg_dcommand : IN std_logic_vector(C_CFG_COMMAND_DWIDTH-1 downto 0); localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0) ); end entity rx_Transact; architecture Behavioral of rx_Transact is signal eb_FIFO_we_i : std_logic; signal eb_FIFO_wsof_i : std_logic; signal eb_FIFO_weof_i : std_logic; signal eb_FIFO_din_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); ------------------------------------------------------------------ -- Rx input delay -- some calculation in advance, to achieve better timing -- COMPONENT RxIn_Delay PORT ( -- Common ports trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic; -- Transaction receive interface trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); trn_rdst_rdy_n : OUT std_logic; Pool_wrBuf_full : IN std_logic; Link_Buf_full : IN std_logic; -- Delayed trn_rsof_n_dly : OUT std_logic; trn_reof_n_dly : OUT std_logic; trn_rd_dly : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n_dly : OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n_dly : OUT std_logic; trn_rsrc_rdy_n_dly : OUT std_logic; trn_rdst_rdy_n_dly : OUT std_logic; trn_rsrc_dsc_n_dly : OUT std_logic; trn_rbar_hit_n_dly : OUT std_logic_vector(C_BAR_NUMBER-1 downto 0); -- TLP resolution IORd_Type : OUT std_logic; IOWr_Type : OUT std_logic; MRd_Type : OUT std_logic_vector(3 downto 0); MWr_Type : OUT std_logic_vector(1 downto 0); CplD_Type : OUT std_logic_vector(3 downto 0); -- From Cpl/D channel usDMA_dex_Tag : IN std_logic_vector(C_TAG_WIDTH-1 downto 0); dsDMA_dex_Tag : IN std_logic_vector(C_TAG_WIDTH-1 downto 0); -- To Memory request process modules Tlp_straddles_4KB : OUT std_logic; -- To Cpl/D channel Tlp_has_4KB : OUT std_logic; Tlp_has_1DW : OUT std_logic; CplD_is_the_Last : OUT std_logic; CplD_on_Pool : OUT std_logic; CplD_on_EB : OUT std_logic; Req_ID_Match : OUT std_logic; usDex_Tag_Matched : OUT std_logic; dsDex_Tag_Matched : OUT std_logic; CplD_Tag : OUT std_logic_vector(C_TAG_WIDTH-1 downto 0); -- Additional cfg_dcommand : IN std_logic_vector(C_CFG_COMMAND_DWIDTH-1 downto 0); localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0) ); END COMPONENT; -- One clock delayed signal trn_rsof_n_dly : std_logic; signal trn_reof_n_dly : std_logic; signal trn_rd_dly : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal trn_rrem_n_dly : std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); signal trn_rerrfwd_n_dly : std_logic; signal trn_rsrc_rdy_n_dly : std_logic; signal trn_rdst_rdy_n_dly : std_logic; signal trn_rsrc_dsc_n_dly : std_logic; signal trn_rbar_hit_n_dly : std_logic_vector(C_BAR_NUMBER-1 downto 0); -- TLP types signal IORd_Type : std_logic; signal IOWr_Type : std_logic; signal MRd_Type : std_logic_vector(3 downto 0); signal MWr_Type : std_logic_vector(1 downto 0); signal CplD_Type : std_logic_vector(3 downto 0); signal Tlp_straddles_4KB : std_logic; -- To Cpl/D channel signal Tlp_has_4KB : std_logic; signal Tlp_has_1DW : std_logic; signal CplD_is_the_Last : std_logic; signal CplD_on_Pool : std_logic; signal CplD_on_EB : std_logic; signal Req_ID_Match : std_logic; signal usDex_Tag_Matched : std_logic; signal dsDex_Tag_Matched : std_logic; signal CplD_Tag : std_logic_vector(C_TAG_WIDTH-1 downto 0); ------------------------------------------------------------------ -- MRd TLP processing -- contains channel buffer for PIO Completions -- COMPONENT rx_MRd_Transact PORT( trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); -- trn_rdst_rdy_n : OUT std_logic; trn_rnp_ok_n : OUT std_logic; ----------------- trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); IORd_Type : IN std_logic; MRd_Type : IN std_logic_vector(3 downto 0); Tlp_straddles_4KB : IN std_logic; pioCplD_RE : IN std_logic; pioCplD_Req : OUT std_logic; pioCplD_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); FIFO_Empty : IN std_logic; FIFO_Reading : IN std_logic; pio_FC_stop : IN std_logic; pio_reading_status : OUT std_logic; Channel_Rst : IN std_logic; trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic ); END COMPONENT; ------------------------------------------------------------------ -- MWr TLP processing -- COMPONENT rx_MWr_Transact PORT( -- trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rdst_rdy_n : IN std_logic; -- !! trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); IOWr_Type : IN std_logic; MWr_Type : IN std_logic_vector(1 downto 0); Tlp_straddles_4KB : IN std_logic; Tlp_has_4KB : IN std_logic; -- Event Buffer write port eb_FIFO_we : OUT std_logic; eb_FIFO_wsof : OUT std_logic; eb_FIFO_weof : OUT std_logic; eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Registers Write Port Regs_WrEn : OUT std_logic; Regs_WrMask : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR write port DDR_wr_sof : OUT std_logic; DDR_wr_eof : OUT std_logic; DDR_wr_v : OUT std_logic; DDR_wr_FA : OUT std_logic; DDR_wr_Shift : OUT std_logic; DDR_wr_Mask : OUT std_logic_vector(2-1 downto 0); DDR_wr_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : IN std_logic; -- Data generator table write tab_we : OUT std_logic_vector(2-1 downto 0); tab_wa : OUT std_logic_vector(12-1 downto 0); tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic ); END COMPONENT; signal eb_FIFO_we_MWr : std_logic; signal eb_FIFO_wsof_MWr : std_logic; signal eb_FIFO_weof_MWr : std_logic; signal eb_FIFO_din_MWr : std_logic_vector(C_DBUS_WIDTH-1 downto 0); ------------------------------------------------------------------ -- Cpl/D TLP processing -- COMPONENT rx_CplD_Transact PORT( trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rdst_rdy_n : IN std_logic; trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); CplD_Type : IN std_logic_vector(3 downto 0); Req_ID_Match : IN std_logic; usDex_Tag_Matched : IN std_logic; dsDex_Tag_Matched : IN std_logic; Tlp_has_4KB : IN std_logic; Tlp_has_1DW : IN std_logic; CplD_is_the_Last : IN std_logic; CplD_on_Pool : IN std_logic; CplD_on_EB : IN std_logic; CplD_Tag : IN std_logic_vector(C_TAG_WIDTH-1 downto 0); FC_pop : OUT std_logic; -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add : OUT std_logic; ds_DMA_Bytes : OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- for descriptor of the downstream DMA dsDMA_Dex_Tag : OUT std_logic_vector(C_TAG_WIDTH-1 downto 0); -- Downstream Handshake Signals with ds Channel for Busy/Done Tag_Map_Clear : OUT std_logic_vector(C_TAG_MAP_WIDTH-1 downto 0); -- Downstream tRAM port A write request tRAM_weB : IN std_logic; tRAM_addrB : IN std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); tRAM_dinB : IN std_logic_vector(C_TAGRAM_DWIDTH-1 downto 0); -- for descriptor of the upstream DMA usDMA_dex_Tag : OUT std_logic_vector(C_TAG_WIDTH-1 downto 0); -- Event Buffer write port eb_FIFO_we : OUT std_logic; eb_FIFO_wsof : OUT std_logic; eb_FIFO_weof : OUT std_logic; eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Registers Write Port Regs_WrEn : OUT std_logic; Regs_WrMask : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR write port DDR_wr_sof : OUT std_logic; DDR_wr_eof : OUT std_logic; DDR_wr_v : OUT std_logic; DDR_wr_FA : OUT std_logic; DDR_wr_Shift : OUT std_logic; DDR_wr_Mask : OUT std_logic_vector(2-1 downto 0); DDR_wr_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : IN std_logic; -- Common signals trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic ); END COMPONENT; signal eb_FIFO_we_CplD : std_logic; signal eb_FIFO_wsof_CplD : std_logic; signal eb_FIFO_weof_CplD : std_logic; signal eb_FIFO_din_CplD : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal usDMA_dex_Tag : std_logic_vector(C_TAG_WIDTH-1 downto 0); signal dsDMA_dex_Tag : std_logic_vector(C_TAG_WIDTH-1 downto 0); signal Tag_Map_Clear : std_logic_vector(C_TAG_MAP_WIDTH-1 downto 0); signal FC_pop : std_logic; ------------------------------------------------------------------ -- Interrupts generation -- COMPONENT Interrupts PORT( Sys_IRQ : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Interrupt generator signals IG_Reset : IN std_logic; IG_Host_Clear : IN std_logic; IG_Latency : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Assert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Deassert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Asserting : OUT std_logic; -- cfg interface cfg_interrupt_n : OUT std_logic; cfg_interrupt_rdy_n : IN std_logic; cfg_interrupt_mmenable : IN std_logic_vector(2 downto 0); cfg_interrupt_msienable : IN std_logic; cfg_interrupt_di : OUT std_logic_vector(7 downto 0); cfg_interrupt_do : IN std_logic_vector(7 downto 0); cfg_interrupt_assert_n : OUT std_logic; -- Irpt Channel Irpt_Req : OUT std_logic; Irpt_RE : IN std_logic; Irpt_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); IrptStatesOut : OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed : OUT std_logic; DAQ_irq : IN std_logic; CTL_irq : IN std_logic; DLM_irq : IN std_logic; DAQTOUT_irq : IN std_logic; CTLTOUT_irq : IN std_logic; DLMTOUT_irq : IN std_logic; trn_clk : IN std_logic; trn_reset_n : IN std_logic ); END COMPONENT; ------------------------------------------------------------------ -- Upstream DMA Channel -- contains channel buffer for upstream DMA -- COMPONENT usDMA_Transact PORT( -- command buffer usTlp_Req : OUT std_logic; usTlp_RE : IN std_logic; usTlp_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); FIFO_Data_Count : IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); FIFO_Reading : IN std_logic; -- Upstream DMA Control Signals from MWr Channel usDMA_Start : IN std_logic; usDMA_Stop : IN std_logic; usDMA_Channel_Rst : IN std_logic; us_FC_stop : IN std_logic; us_Last_sof : IN std_logic; us_Last_eof : IN std_logic; --- Upstream registers from CplD channel DMA_us_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); usDMA_BDA_eq_Null : IN std_logic; us_MWr_Param_Vec : IN std_logic_vector(6-1 downto 0); -- Calculation in advance, for better timing usHA_is_64b : IN std_logic; usBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing usLeng_Hi19b_True : IN std_logic; usLeng_Lo7b_True : IN std_logic; --- Upstream commands from CplD channel usDMA_Start2 : IN std_logic; usDMA_Stop2 : IN std_logic; -- DMA Acknowledge to the start command DMA_Cmd_Ack : OUT std_logic; --- Tag for descriptor usDMA_dex_Tag : IN std_logic_vector(C_TAG_WIDTH-1 downto 0); -- To Interrupt module DMA_Done : OUT std_logic; DMA_TimeOut : OUT std_logic; DMA_Busy : OUT std_logic; -- To Tx channel DMA_us_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional cfg_dcommand : IN std_logic_vector(C_CFG_COMMAND_DWIDTH-1 downto 0); -- common trn_clk : IN std_logic ); END COMPONENT; ------------------------------------------------------------------ -- Downstream DMA Channel -- contains channel buffer for downstream DMA -- COMPONENT dsDMA_Transact PORT( -- command buffer MRd_dsp_RE : IN std_logic; MRd_dsp_Req : OUT std_logic; MRd_dsp_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Downstream tRAM port A write request, to CplD channel tRAM_weB : OUT std_logic; tRAM_addrB : OUT std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); tRAM_dinB : OUT std_logic_vector(C_TAGRAM_DWIDTH-1 downto 0); -- Downstream Registers from MWr Channel DMA_ds_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); dsDMA_BDA_eq_Null : IN std_logic; -- Calculation in advance, for better timing dsHA_is_64b : IN std_logic; dsBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing dsLeng_Hi19b_True : IN std_logic; dsLeng_Lo7b_True : IN std_logic; -- Downstream Control Signals from MWr Channel dsDMA_Start : IN std_logic; dsDMA_Stop : IN std_logic; -- DMA Acknowledge to the start command DMA_Cmd_Ack : OUT std_logic; dsDMA_Channel_Rst : IN std_logic; -- Downstream Control Signals from CplD Channel, out of consecutive dex dsDMA_Start2 : IN std_logic; dsDMA_Stop2 : IN std_logic; -- Downstream Handshake Signals with CplD Channel for Busy/Done Tag_Map_Clear : IN std_logic_vector(C_TAG_MAP_WIDTH-1 downto 0); FC_pop : IN std_logic; -- Tag for descriptor dsDMA_dex_Tag : IN std_logic_vector(C_TAG_WIDTH-1 downto 0); -- To Interrupt module DMA_Done : OUT std_logic; DMA_TimeOut : OUT std_logic; DMA_Busy : OUT std_logic; -- To Cpl/D channel DMA_ds_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional cfg_dcommand : IN std_logic_vector(C_CFG_COMMAND_DWIDTH-1 downto 0); -- common trn_clk : IN std_logic ); END COMPONENT; -- tag RAM port A write request signal tRAM_weB : std_logic; signal tRAM_addrB : std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); signal tRAM_dinB : std_logic_vector(C_TAGRAM_DWIDTH-1 downto 0); begin eb_FIFO_we <= eb_FIFO_we_i ; eb_FIFO_wsof <= eb_FIFO_wsof_i ; eb_FIFO_weof <= eb_FIFO_weof_i ; eb_FIFO_din <= eb_FIFO_din_i ; eb_FIFO_we_i <= eb_FIFO_we_MWr or eb_FIFO_we_CplD; eb_FIFO_wsof_i <= eb_FIFO_wsof_CplD when eb_FIFO_we_CplD='1' else eb_FIFO_wsof_MWr; eb_FIFO_weof_i <= eb_FIFO_weof_CplD when eb_FIFO_we_CplD='1' else eb_FIFO_weof_MWr; eb_FIFO_din_i <= eb_FIFO_din_CplD when eb_FIFO_we_CplD='1' else eb_FIFO_din_MWr; -- ------------------------------------------------ -- Delay of Rx inputs -- ------------------------------------------------ Rx_Input_Delays: RxIn_Delay PORT MAP( -- Common ports trn_clk => trn_clk , -- IN std_logic; trn_reset_n => trn_reset_n , -- IN std_logic; trn_lnk_up_n => trn_lnk_up_n , -- IN std_logic; -- Transaction receive interface trn_rsof_n => trn_rsof_n , -- IN std_logic; trn_reof_n => trn_reof_n , -- IN std_logic; trn_rd => trn_rd , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n => trn_rrem_n , -- IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n => trn_rerrfwd_n , -- IN std_logic; trn_rsrc_rdy_n => trn_rsrc_rdy_n , -- IN std_logic; trn_rsrc_dsc_n => trn_rsrc_dsc_n , -- IN std_logic; trn_rbar_hit_n => trn_rbar_hit_n , -- IN std_logic_vector(C_BAR_NUMBER-1 downto 0); trn_rdst_rdy_n => trn_rdst_rdy_n , -- OUT std_logic; Pool_wrBuf_full => DDR_wr_full , -- IN std_logic; Link_Buf_full => Link_Buf_full , -- IN std_logic; -- Delayed trn_rsof_n_dly => trn_rsof_n_dly , -- OUT std_logic; trn_reof_n_dly => trn_reof_n_dly , -- OUT std_logic; trn_rd_dly => trn_rd_dly , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n_dly => trn_rrem_n_dly , -- OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n_dly => trn_rerrfwd_n_dly , -- OUT std_logic; trn_rsrc_rdy_n_dly => trn_rsrc_rdy_n_dly, -- OUT std_logic; trn_rdst_rdy_n_dly => trn_rdst_rdy_n_dly, -- OUT std_logic; trn_rsrc_dsc_n_dly => trn_rsrc_dsc_n_dly, -- OUT std_logic; trn_rbar_hit_n_dly => trn_rbar_hit_n_dly, -- OUT std_logic_vector(C_BAR_NUMBER-1 downto 0); -- TLP resolution IORd_Type => IORd_Type , -- OUT std_logic; IOWr_Type => IOWr_Type , -- OUT std_logic; MRd_Type => MRd_Type , -- OUT std_logic_vector(3 downto 0); MWr_Type => MWr_Type , -- OUT std_logic_vector(1 downto 0); CplD_Type => CplD_Type , -- OUT std_logic_vector(3 downto 0); -- From Cpl/D channel usDMA_dex_Tag => usDMA_dex_Tag , -- IN std_logic_vector(7 downto 0); dsDMA_dex_Tag => dsDMA_dex_Tag , -- IN std_logic_vector(7 downto 0); -- To Memory request process modules Tlp_straddles_4KB => Tlp_straddles_4KB , -- OUT std_logic; -- To Cpl/D channel Tlp_has_4KB => Tlp_has_4KB , -- OUT std_logic; Tlp_has_1DW => Tlp_has_1DW , -- OUT std_logic; CplD_is_the_Last => CplD_is_the_Last , -- OUT std_logic; CplD_on_Pool => CplD_on_Pool , -- OUT std_logic; CplD_on_EB => CplD_on_EB , -- OUT std_logic; Req_ID_Match => Req_ID_Match , -- OUT std_logic; usDex_Tag_Matched => usDex_Tag_Matched , -- OUT std_logic; dsDex_Tag_Matched => dsDex_Tag_Matched , -- OUT std_logic; CplD_Tag => CplD_Tag , -- OUT std_logic_vector(7 downto 0); -- Additional cfg_dcommand => cfg_dcommand , -- IN std_logic_vector(16-1 downto 0) localID => localID -- IN std_logic_vector(15 downto 0) ); -- ------------------------------------------------ -- Processing MRd Requests -- ------------------------------------------------ MRd_Channel: rx_MRd_Transact PORT MAP( -- trn_rsof_n => trn_rsof_n_dly, -- IN std_logic; trn_reof_n => trn_reof_n_dly, -- IN std_logic; trn_rd => trn_rd_dly, -- IN std_logic_vector(31 downto 0); trn_rrem_n => trn_rrem_n_dly, -- IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n => trn_rerrfwd_n_dly, -- IN std_logic; trn_rsrc_rdy_n => trn_rsrc_rdy_n_dly, -- IN std_logic; trn_rsrc_dsc_n => trn_rsrc_dsc_n_dly, -- IN std_logic; trn_rbar_hit_n => trn_rbar_hit_n_dly, -- IN std_logic_vector(6 downto 0); -- trn_rdst_rdy_n => open, -- trn_rdst_rdy_n_MRd, -- OUT std_logic; trn_rnp_ok_n => trn_rnp_ok_n, -- OUT std_logic; IORd_Type => IORd_Type , -- IN std_logic; MRd_Type => MRd_Type , -- IN std_logic_vector(3 downto 0); Tlp_straddles_4KB => Tlp_straddles_4KB , -- IN std_logic; pioCplD_RE => pioCplD_RE, -- IN std_logic; pioCplD_Req => pioCplD_Req, -- OUT std_logic; pioCplD_Qout => pioCplD_Qout, -- OUT std_logic_vector(127 downto 0); pio_FC_stop => pio_FC_stop, -- IN std_logic; FIFO_Empty => eb_FIFO_Empty, -- IN std_logic; FIFO_Reading => eb_FIFO_Reading, -- IN std_logic; pio_reading_status => pio_reading_status, -- OUT std_logic; Channel_Rst => MRd_Channel_Rst, -- IN std_logic; trn_clk => trn_clk, -- IN std_logic; trn_reset_n => trn_reset_n, -- IN std_logic; trn_lnk_up_n => trn_lnk_up_n -- IN std_logic; ); -- ------------------------------------------------ -- Processing MWr Requests -- ------------------------------------------------ MWr_Channel: rx_MWr_Transact PORT MAP( -- trn_rsof_n => trn_rsof_n_dly, -- IN std_logic; trn_reof_n => trn_reof_n_dly, -- IN std_logic; trn_rd => trn_rd_dly, -- IN std_logic_vector(31 downto 0); trn_rrem_n => trn_rrem_n_dly, -- IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n => trn_rerrfwd_n_dly , -- IN std_logic; trn_rsrc_rdy_n => trn_rsrc_rdy_n_dly, -- IN std_logic; trn_rdst_rdy_n => trn_rdst_rdy_n_dly, -- IN std_logic; trn_rsrc_dsc_n => trn_rsrc_dsc_n_dly, -- IN std_logic; trn_rbar_hit_n => trn_rbar_hit_n_dly, -- IN std_logic_vector(6 downto 0); IOWr_Type => IOWr_Type , -- OUT std_logic; MWr_Type => MWr_Type , -- IN std_logic_vector(1 downto 0); Tlp_straddles_4KB => Tlp_straddles_4KB , -- IN std_logic; Tlp_has_4KB => Tlp_has_4KB , -- IN std_logic; -- Event Buffer write port eb_FIFO_we => eb_FIFO_we_MWr , -- OUT std_logic; eb_FIFO_wsof => eb_FIFO_wsof_MWr , -- OUT std_logic; eb_FIFO_weof => eb_FIFO_weof_MWr , -- OUT std_logic; eb_FIFO_din => eb_FIFO_din_MWr , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- To registers module Regs_WrEn => Regs_WrEn0 , -- OUT std_logic; Regs_WrMask => Regs_WrMask0 , -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr => Regs_WrAddr0 , -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin => Regs_WrDin0 , -- OUT std_logic_vector(32-1 downto 0); -- DDR write port DDR_wr_sof => DDR_wr_sof_A , -- OUT std_logic; DDR_wr_eof => DDR_wr_eof_A , -- OUT std_logic; DDR_wr_v => DDR_wr_v_A , -- OUT std_logic; DDR_wr_FA => DDR_wr_FA_A , -- OUT std_logic; DDR_wr_Shift => DDR_wr_Shift_A , -- OUT std_logic; DDR_wr_din => DDR_wr_din_A , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_Mask => DDR_wr_Mask_A , -- OUT std_logic_vector(2-1 downto 0); DDR_wr_full => DDR_wr_full , -- IN std_logic; -- Data generator table write tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0); tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0); tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common trn_clk => trn_clk , -- IN std_logic; trn_reset_n => trn_reset_n , -- IN std_logic; trn_lnk_up_n => trn_lnk_up_n -- IN std_logic; ); -- --------------------------------------------------- -- Processing Completions -- --------------------------------------------------- CplD_Channel: rx_CplD_Transact PORT MAP( -- trn_rsof_n => trn_rsof_n_dly, -- IN std_logic; trn_reof_n => trn_reof_n_dly, -- IN std_logic; trn_rd => trn_rd_dly, -- IN std_logic_vector(31 downto 0); trn_rrem_n => trn_rrem_n_dly, -- IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n => trn_rerrfwd_n_dly, -- IN std_logic; trn_rsrc_rdy_n => trn_rsrc_rdy_n_dly, -- IN std_logic; trn_rdst_rdy_n => trn_rdst_rdy_n_dly, -- IN std_logic; trn_rsrc_dsc_n => trn_rsrc_dsc_n_dly, -- IN std_logic; trn_rbar_hit_n => trn_rbar_hit_n_dly, -- IN std_logic_vector(6 downto 0); CplD_Type => CplD_Type, -- IN std_logic_vector(3 downto 0); Req_ID_Match => Req_ID_Match, -- IN std_logic; usDex_Tag_Matched => usDex_Tag_Matched, -- IN std_logic; dsDex_Tag_Matched => dsDex_Tag_Matched, -- IN std_logic; Tlp_has_4KB => Tlp_has_4KB , -- IN std_logic; Tlp_has_1DW => Tlp_has_1DW , -- IN std_logic; CplD_is_the_Last => CplD_is_the_Last, -- IN std_logic; CplD_on_Pool => CplD_on_Pool , -- IN std_logic; CplD_on_EB => CplD_on_EB , -- IN std_logic; CplD_Tag => CplD_Tag, -- IN std_logic_vector( 7 downto 0); FC_pop => FC_pop, -- OUT std_logic; -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add => ds_DMA_Bytes_Add, -- OUT std_logic; ds_DMA_Bytes => ds_DMA_Bytes , -- OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- Downstream tRAM port A write request tRAM_weB => tRAM_weB, -- IN std_logic; tRAM_addrB => tRAM_addrB, -- IN std_logic_vector( 6 downto 0); tRAM_dinB => tRAM_dinB, -- IN std_logic_vector(47 downto 0); -- Downstream channel descriptor tag dsDMA_dex_Tag => dsDMA_dex_Tag, -- OUT std_logic_vector( 7 downto 0); -- Downstream Tag Map Signal for Busy/Done Tag_Map_Clear => Tag_Map_Clear, -- OUT std_logic_vector(127 downto 0); -- Upstream channel descriptor tag usDMA_dex_Tag => usDMA_dex_Tag, -- OUT std_logic_vector( 7 downto 0); -- Event Buffer write port eb_FIFO_we => eb_FIFO_we_CplD , -- OUT std_logic; eb_FIFO_wsof => eb_FIFO_wsof_CplD , -- OUT std_logic; eb_FIFO_weof => eb_FIFO_weof_CplD , -- OUT std_logic; eb_FIFO_din => eb_FIFO_din_CplD , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- To registers module Regs_WrEn => Regs_WrEn1, -- OUT std_logic; Regs_WrMask => Regs_WrMask1, -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr => Regs_WrAddr1, -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin => Regs_WrDin1, -- OUT std_logic_vector(32-1 downto 0); -- DDR write port DDR_wr_sof => DDR_wr_sof_B , -- OUT std_logic; DDR_wr_eof => DDR_wr_eof_B , -- OUT std_logic; DDR_wr_v => DDR_wr_v_B , -- OUT std_logic; DDR_wr_FA => DDR_wr_FA_B , -- OUT std_logic; DDR_wr_Shift => DDR_wr_Shift_B , -- OUT std_logic; DDR_wr_Mask => DDR_wr_Mask_B , -- OUT std_logic_vector(2-1 downto 0); DDR_wr_din => DDR_wr_din_B , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full => DDR_wr_full , -- IN std_logic; -- Common trn_clk => trn_clk, -- IN std_logic; trn_reset_n => trn_reset_n, -- IN std_logic; trn_lnk_up_n => trn_lnk_up_n -- IN std_logic; ); -- ------------------------------------------------ -- Processing upstream DMA Requests -- ------------------------------------------------ Upstream_DMA_Engine: usDMA_Transact PORT MAP( -- TLP buffer usTlp_RE => usTlp_RE, -- IN std_logic; usTlp_Req => usTlp_Req, -- OUT std_logic; usTlp_Qout => usTlp_Qout, -- OUT std_logic_vector(127 downto 0) FIFO_Data_Count => eb_FIFO_data_count, -- IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); FIFO_Reading => eb_FIFO_Reading, -- IN std_logic; -- upstream Control Signals from MWr Channel usDMA_Start => usDMA_Start, -- IN std_logic; usDMA_Stop => usDMA_Stop, -- IN std_logic; -- Upstream Control Signals from CplD Channel usDMA_Start2 => usDMA_Start2, -- IN std_logic; usDMA_Stop2 => usDMA_Stop2, -- IN std_logic; DMA_Cmd_Ack => usDMA_Cmd_Ack, -- OUT std_logic; usDMA_Channel_Rst => usDMA_Channel_Rst, -- IN std_logic; us_FC_stop => us_FC_stop, -- IN std_logic; us_Last_sof => us_Last_sof, -- IN std_logic; us_Last_eof => us_Last_eof, -- IN std_logic; -- To Interrupt module DMA_Done => DMA_us_Done, -- OUT std_logic; DMA_TimeOut => DMA_us_Tout, -- OUT std_logic; DMA_Busy => DMA_us_Busy, -- OUT std_logic; -- To Tx channel DMA_us_Status => DMA_us_Status, -- OUT std_logic_vector(31 downto 0); -- upstream Registers DMA_us_PA => DMA_us_PA, -- IN std_logic_vector(63 downto 0); DMA_us_HA => DMA_us_HA, -- IN std_logic_vector(63 downto 0); DMA_us_BDA => DMA_us_BDA, -- IN std_logic_vector(63 downto 0); DMA_us_Length => DMA_us_Length, -- IN std_logic_vector(31 downto 0); DMA_us_Control => DMA_us_Control, -- IN std_logic_vector(31 downto 0); usDMA_BDA_eq_Null => usDMA_BDA_eq_Null, -- IN std_logic; us_MWr_Param_Vec => us_MWr_Param_Vec, -- IN std_logic_vector(5 downto 0); -- Calculation in advance, for better timing usHA_is_64b => usHA_is_64b , -- IN std_logic; usBDA_is_64b => usBDA_is_64b , -- IN std_logic; usLeng_Hi19b_True => usLeng_Hi19b_True , -- IN std_logic; usLeng_Lo7b_True => usLeng_Lo7b_True , -- IN std_logic; usDMA_dex_Tag => usDMA_dex_Tag , -- OUT std_logic_vector( 7 downto 0); cfg_dcommand => cfg_dcommand , -- IN std_logic_vector(16-1 downto 0) trn_clk => trn_clk -- IN std_logic; ); -- ------------------------------------------------ -- Processing downstream DMA Requests -- ------------------------------------------------ Downstream_DMA_Engine: dsDMA_Transact PORT MAP( -- Downstream tRAM port A write request tRAM_weB => tRAM_weB, -- OUT std_logic; tRAM_addrB => tRAM_addrB, -- OUT std_logic_vector( 6 downto 0); tRAM_dinB => tRAM_dinB, -- OUT std_logic_vector(47 downto 0); -- TLP buffer MRd_dsp_RE => dsMRd_RE, -- IN std_logic; MRd_dsp_Req => dsMRd_Req, -- OUT std_logic; MRd_dsp_Qout => dsMRd_Qout, -- OUT std_logic_vector(127 downto 0); -- Downstream Registers DMA_ds_PA => DMA_ds_PA, -- IN std_logic_vector(63 downto 0); DMA_ds_HA => DMA_ds_HA, -- IN std_logic_vector(63 downto 0); DMA_ds_BDA => DMA_ds_BDA, -- IN std_logic_vector(63 downto 0); DMA_ds_Length => DMA_ds_Length, -- IN std_logic_vector(31 downto 0); DMA_ds_Control => DMA_ds_Control, -- IN std_logic_vector(31 downto 0); dsDMA_BDA_eq_Null => dsDMA_BDA_eq_Null, -- IN std_logic; -- Calculation in advance, for better timing dsHA_is_64b => dsHA_is_64b , -- IN std_logic; dsBDA_is_64b => dsBDA_is_64b , -- IN std_logic; dsLeng_Hi19b_True => dsLeng_Hi19b_True , -- IN std_logic; dsLeng_Lo7b_True => dsLeng_Lo7b_True , -- IN std_logic; -- Downstream Control Signals from MWr Channel dsDMA_Start => dsDMA_Start, -- IN std_logic; dsDMA_Stop => dsDMA_Stop, -- IN std_logic; -- Downstream Control Signals from CplD Channel dsDMA_Start2 => dsDMA_Start2, -- IN std_logic; dsDMA_Stop2 => dsDMA_Stop2, -- IN std_logic; DMA_Cmd_Ack => dsDMA_Cmd_Ack, -- OUT std_logic; dsDMA_Channel_Rst => dsDMA_Channel_Rst, -- IN std_logic; -- Downstream Handshake Signals with CplD Channel for Busy/Done Tag_Map_Clear => Tag_Map_Clear, -- IN std_logic_vector(127 downto 0); FC_pop => FC_pop, -- IN std_logic; -- To Interrupt module DMA_Done => DMA_ds_Done, -- OUT std_logic; DMA_TimeOut => DMA_ds_Tout, -- OUT std_logic; DMA_Busy => DMA_ds_Busy, -- OUT std_logic; -- To Tx channel DMA_ds_Status => DMA_ds_Status, -- OUT std_logic_vector(31 downto 0); -- tag for descriptor dsDMA_dex_Tag => dsDMA_dex_Tag, -- IN std_logic_vector( 7 downto 0); -- Additional cfg_dcommand => cfg_dcommand , -- IN std_logic_vector(16-1 downto 0) -- common trn_clk => trn_clk -- IN std_logic; ); -- ------------------------------------------------ -- Interrupts generation -- ------------------------------------------------ Intrpt_Handle: Interrupts PORT MAP( Sys_IRQ => Sys_IRQ , -- IN std_logic_vector(31 downto 0); -- Interrupt generator signals IG_Reset => IG_Reset , -- IN std_logic; IG_Host_Clear => IG_Host_Clear , -- IN std_logic; IG_Latency => IG_Latency , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Assert => IG_Num_Assert , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Deassert => IG_Num_Deassert , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Asserting => IG_Asserting , -- OUT std_logic; -- cfg interface cfg_interrupt_n => cfg_interrupt_n , -- OUT std_logic; cfg_interrupt_rdy_n => cfg_interrupt_rdy_n , -- IN std_logic; cfg_interrupt_mmenable => cfg_interrupt_mmenable , -- IN std_logic_vector(2 downto 0); cfg_interrupt_msienable => cfg_interrupt_msienable , -- IN std_logic; cfg_interrupt_di => cfg_interrupt_di , -- OUT std_logic_vector(7 downto 0); cfg_interrupt_do => cfg_interrupt_do , -- IN std_logic_vector(7 downto 0); cfg_interrupt_assert_n => cfg_interrupt_assert_n , -- OUT std_logic; -- Irpt Channel Irpt_Req => Irpt_Req , -- OUT std_logic; Irpt_RE => Irpt_RE , -- IN std_logic; Irpt_Qout => Irpt_Qout , -- OUT std_logic_vector(127 downto 0); IrptStatesOut => IrptStatesOut , -- OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed => Interrupts_ORed , -- OUT std_logic; DAQ_irq => DAQ_irq , -- IN std_logic; CTL_irq => CTL_irq , -- IN std_logic; DLM_irq => DLM_irq , -- IN std_logic; DAQTOUT_irq => DAQTOUT_irq , -- IN std_logic; CTLTOUT_irq => CTLTOUT_irq , -- IN std_logic; DLMTOUT_irq => DLMTOUT_irq , -- IN std_logic; trn_clk => trn_clk , -- IN std_logic; trn_reset_n => trn_reset_n -- IN std_logic ); end architecture Behavioral;
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_mBuf_128x72/simulation/k7_mBuf_128x72_dverif.vhd
1
5848
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: k7_mBuf_128x72_dverif.vhd -- -- Description: -- Used for FIFO read interface stimulus generation and data checking -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.k7_mBuf_128x72_pkg.ALL; ENTITY k7_mBuf_128x72_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE fg_dv_arch OF k7_mBuf_128x72_dverif IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL data_chk : STD_LOGIC := '1'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL pr_r_en : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '0'; BEGIN DOUT_CHK <= data_chk; RD_EN <= rd_en_i; rd_en_i <= PRC_RD_EN; data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE ------------------------------------------------------- -- Expected data generation and checking for data_fifo ------------------------------------------------------- PROCESS (RD_CLK,RESET) BEGIN IF (RESET = '1') THEN rd_en_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF(EMPTY = '0' AND rd_en_i='1' AND rd_en_d1 = '0') THEN rd_en_d1 <= '1'; END IF; END IF; END PROCESS; pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst2:k7_mBuf_128x72_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => RD_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_r_en ); END GENERATE; PROCESS (RD_CLK,RESET) BEGIN IF(RESET = '1') THEN data_chk <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF((EMPTY = '0') AND (rd_en_i = '1' AND rd_en_d1 = '1')) THEN IF(DATA_OUT = expected_dout) THEN data_chk <= '0'; ELSE data_chk <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE data_fifo_chk; END ARCHITECTURE;
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/OpenSource/tlpControl.vhd
1
88243
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library work; use work.abb64Package.all; --use work.busmacro_xc4v_pkg.all; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity tlpControl is port ( -- Test pin, emulating DDR data flow discontinuity mbuf_UserFull : IN std_logic; trn_Blinker : OUT std_logic; -- DCB protocol interface protocol_link_act : IN std_logic_vector(2-1 downto 0); protocol_rst : OUT std_logic; -- Interrupter triggers DAQ_irq : IN std_logic; CTL_irq : IN std_logic; DLM_irq : IN std_logic; DAQTOUT_irq : IN std_logic; CTLTOUT_irq : IN std_logic; DLMTOUT_irq : IN std_logic; Sys_Int_Enable : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Fabric side: CTL Rx ctl_rv : OUT std_logic; ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: CTL Tx ctl_ttake : OUT std_logic; ctl_tv : IN std_logic; ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); ctl_tstop : OUT std_logic; ctl_reset : OUT std_logic; ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Rx dlm_tv : OUT std_logic; dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Tx dlm_rv : IN std_logic; dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- SIMONE Register: PC-->FPGA reg01_tv : OUT std_logic; reg01_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg02_tv : OUT std_logic; reg02_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg03_tv : OUT std_logic; reg03_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg04_tv : OUT std_logic; reg04_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg05_tv : OUT std_logic; reg05_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg06_tv : OUT std_logic; reg06_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg07_tv : OUT std_logic; reg07_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg08_tv : OUT std_logic; reg08_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg09_tv : OUT std_logic; reg09_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg10_tv : OUT std_logic; reg10_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg11_tv : OUT std_logic; reg11_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg12_tv : OUT std_logic; reg12_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg13_tv : OUT std_logic; reg13_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg14_tv : OUT std_logic; reg14_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg15_tv : OUT std_logic; reg15_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg16_tv : OUT std_logic; reg16_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg17_tv : OUT std_logic; reg17_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg18_tv : OUT std_logic; reg18_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg19_tv : OUT std_logic; reg19_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg20_tv : OUT std_logic; reg20_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg21_tv : OUT std_logic; reg21_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg22_tv : OUT std_logic; reg22_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg23_tv : OUT std_logic; reg23_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg24_tv : OUT std_logic; reg24_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg25_tv : OUT std_logic; reg25_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- SIMONE Register: FPGA-->PC reg01_rv : IN std_logic; reg01_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg02_rv : IN std_logic; reg02_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg03_rv : IN std_logic; reg03_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg04_rv : IN std_logic; reg04_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg05_rv : IN std_logic; reg05_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg06_rv : IN std_logic; reg06_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg07_rv : IN std_logic; reg07_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg08_rv : IN std_logic; reg08_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg09_rv : IN std_logic; reg09_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg10_rv : IN std_logic; reg10_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg11_rv : IN std_logic; reg11_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg12_rv : IN std_logic; reg12_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg13_rv : IN std_logic; reg13_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg14_rv : IN std_logic; reg14_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg15_rv : IN std_logic; reg15_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg16_rv : IN std_logic; reg16_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg17_rv : IN std_logic; reg17_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg18_rv : IN std_logic; reg18_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg19_rv : IN std_logic; reg19_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg20_rv : IN std_logic; reg20_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg21_rv : IN std_logic; reg21_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg22_rv : IN std_logic; reg22_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg23_rv : IN std_logic; reg23_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg24_rv : IN std_logic; reg24_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg25_rv : IN std_logic; reg25_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- SIMONE debug signals debug_in_1i : OUT std_logic_vector(31 downto 0); debug_in_2i : OUT std_logic_vector(31 downto 0); debug_in_3i : OUT std_logic_vector(31 downto 0); debug_in_4i : OUT std_logic_vector(31 downto 0); -- Event Buffer FIFO interface eb_FIFO_we : OUT std_logic; eb_FIFO_wsof : OUT std_logic; eb_FIFO_weof : OUT std_logic; eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_re : OUT std_logic; eb_FIFO_empty : IN std_logic; eb_FIFO_qout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_ow : IN std_logic; eb_FIFO_data_count : IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); pio_reading_status : OUT std_logic; eb_FIFO_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_Rst : OUT std_logic; H2B_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0); B2H_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0); Link_Buf_full : IN std_logic; -- Debugging signals DMA_us_Done : OUT std_logic; DMA_us_Busy : OUT std_logic; DMA_us_Busy_LED : OUT std_logic; DMA_ds_Done : OUT std_logic; DMA_ds_Busy : OUT std_logic; DMA_ds_Busy_LED : OUT std_logic; -- DDR control interface DDR_Ready : IN std_logic; DDR_wr_sof : OUT std_logic; DDR_wr_eof : OUT std_logic; DDR_wr_v : OUT std_logic; DDR_wr_FA : OUT std_logic; DDR_wr_Shift : OUT std_logic; DDR_wr_Mask : OUT std_logic_vector(2-1 downto 0); DDR_wr_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : IN std_logic; DDR_rdc_sof : OUT std_logic; DDR_rdc_eof : OUT std_logic; DDR_rdc_v : OUT std_logic; DDR_rdc_FA : OUT std_logic; DDR_rdc_Shift : OUT std_logic; DDR_rdc_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : IN std_logic; -- DDR_rdD_sof : IN std_logic; -- DDR_rdD_eof : IN std_logic; -- DDR_rdDout_V : IN std_logic; -- DDR_rdDout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR payload FIFO Read Port DDR_FIFO_RdEn : OUT std_logic; DDR_FIFO_Empty : IN std_logic; DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Data generator table write tab_we : OUT std_logic_vector(2-1 downto 0); tab_wa : OUT std_logic_vector(12-1 downto 0); tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DG_is_Running : IN std_logic; DG_Reset : OUT std_logic; DG_Mask : OUT std_logic; -- Common interface trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic; -- Transaction receive interface trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rdst_rdy_n : OUT std_logic; trn_rnp_ok_n : OUT std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); -- trn_rfc_ph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_pd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_nph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_npd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_cplh_av : IN std_logic_vector(7 downto 0); -- trn_rfc_cpld_av : IN std_logic_vector(11 downto 0); -- Transaction transmit interface trn_tsof_n : OUT std_logic; trn_teof_n : OUT std_logic; trn_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_trem_n : OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_terrfwd_n : OUT std_logic; trn_tsrc_rdy_n : OUT std_logic; trn_tdst_rdy_n : IN std_logic; trn_tsrc_dsc_n : OUT std_logic; trn_tdst_dsc_n : IN std_logic; trn_tbuf_av : IN std_logic_vector(C_TBUF_AWIDTH-1 downto 0); Format_Shower : OUT std_logic; -- Interrupt Interface cfg_interrupt_n : OUT std_logic; cfg_interrupt_rdy_n : IN std_logic; cfg_interrupt_mmenable : IN std_logic_VECTOR(2 downto 0); cfg_interrupt_msienable : IN std_logic; cfg_interrupt_di : OUT std_logic_VECTOR(7 downto 0); cfg_interrupt_do : IN std_logic_VECTOR(7 downto 0); cfg_interrupt_assert_n : OUT std_logic; Irpt_Req : OUT std_logic; Irpt_RE : OUT std_logic; IrptStatesOut : OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed : OUT std_logic; -- Local signals pcie_link_width : IN std_logic_vector(CINT_BIT_LWIDTH_IN_GSR_TOP-CINT_BIT_LWIDTH_IN_GSR_BOT downto 0); cfg_dcommand : IN std_logic_vector(16-1 downto 0); localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0); --for debug------------------------------------------------- dsDMA_Start : OUT std_logic; dsDMA_Stop : OUT std_logic; dsDMA_Start2 : OUT std_logic; dsDMA_Stop2 : OUT std_logic; dsDMA_Channel_Rst : OUT std_logic; usDMA_Start : OUT std_logic; usDMA_Stop : OUT std_logic; usDMA_Start2 : OUT std_logic; usDMA_Stop2 : OUT std_logic; usDMA_Channel_Rst : OUT std_logic; DMA_us_PA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_HA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_BDA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Length : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Control : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_PA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Registers Write Port Regs_WrEn0 : OUT std_logic; Regs_WrMask0 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr0 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin0 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); Regs_WrEn1 : OUT std_logic; Regs_WrMask1 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr1 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin1 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0) ); end entity tlpControl; architecture Behavioral of tlpControl is signal trn_lnk_up_i : std_logic; ---- Rx transaction control component rx_Transact port ( -- Common ports trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic; -- Transaction receive interface trn_rsof_n : IN std_logic; trn_reof_n : IN std_logic; trn_rd : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_rrem_n : IN std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_rerrfwd_n : IN std_logic; trn_rsrc_rdy_n : IN std_logic; trn_rdst_rdy_n : OUT std_logic; trn_rnp_ok_n : OUT std_logic; trn_rsrc_dsc_n : IN std_logic; trn_rbar_hit_n : IN std_logic_vector(C_BAR_NUMBER-1 downto 0); -- trn_rfc_ph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_pd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_nph_av : IN std_logic_vector(7 downto 0); -- trn_rfc_npd_av : IN std_logic_vector(11 downto 0); -- trn_rfc_cplh_av : IN std_logic_vector(7 downto 0); -- trn_rfc_cpld_av : IN std_logic_vector(11 downto 0); -- MRd Channel pioCplD_Req : OUT std_logic; pioCplD_RE : IN std_logic; pioCplD_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); pio_FC_stop : IN std_logic; -- MRd-downstream packet Channel dsMRd_Req : OUT std_logic; dsMRd_RE : IN std_logic; dsMRd_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Upstream MWr/MRd Channel usTlp_Req : OUT std_logic; usTlp_RE : IN std_logic; usTlp_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); us_FC_stop : IN std_logic; us_Last_sof : IN std_logic; us_Last_eof : IN std_logic; -- Irpt Channel Irpt_Req : OUT std_logic; Irpt_RE : IN std_logic; Irpt_Qout : OUT std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); IrptStatesOut : OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed : OUT std_logic; -- Interrupt Interface cfg_interrupt_n : OUT std_logic; cfg_interrupt_rdy_n : IN std_logic; cfg_interrupt_mmenable : IN std_logic_VECTOR(2 downto 0); cfg_interrupt_msienable : IN std_logic; cfg_interrupt_di : OUT std_logic_VECTOR(7 downto 0); cfg_interrupt_do : IN std_logic_VECTOR(7 downto 0); cfg_interrupt_assert_n : OUT std_logic; -- Event Buffer write port eb_FIFO_we : OUT std_logic; eb_FIFO_wsof : OUT std_logic; eb_FIFO_weof : OUT std_logic; eb_FIFO_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_data_count : IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); eb_FIFO_Empty : IN std_logic; eb_FIFO_Reading : IN std_logic; pio_reading_status : OUT std_logic; -- Registers Write Port Regs_WrEn0 : OUT std_logic; Regs_WrMask0 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr0 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin0 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); Regs_WrEn1 : OUT std_logic; Regs_WrMask1 : OUT std_logic_vector(2-1 downto 0); Regs_WrAddr1 : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDin1 : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add : OUT std_logic; ds_DMA_Bytes : OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- -------------------------- -- Registers DMA_ds_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); dsDMA_BDA_eq_Null : IN std_logic; DMA_ds_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Done : OUT std_logic; DMA_ds_Busy : OUT std_logic; DMA_ds_Tout : OUT std_logic; -- Calculation in advance, for better timing dsHA_is_64b : IN std_logic; dsBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing dsLeng_Hi19b_True : IN std_logic; dsLeng_Lo7b_True : IN std_logic; dsDMA_Start : IN std_logic; dsDMA_Stop : IN std_logic; dsDMA_Start2 : IN std_logic; dsDMA_Stop2 : IN std_logic; dsDMA_Channel_Rst : IN std_logic; dsDMA_Cmd_Ack : OUT std_logic; DMA_us_PA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_HA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_BDA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Length : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Control : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); usDMA_BDA_eq_Null : IN std_logic; us_MWr_Param_Vec : IN std_logic_vector(6-1 downto 0); DMA_us_Status : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Done : OUT std_logic; DMA_us_Busy : OUT std_logic; DMA_us_Tout : OUT std_logic; -- Calculation in advance, for better timing usHA_is_64b : IN std_logic; usBDA_is_64b : IN std_logic; -- Calculation in advance, for better timing usLeng_Hi19b_True : IN std_logic; usLeng_Lo7b_True : IN std_logic; usDMA_Start : IN std_logic; usDMA_Stop : IN std_logic; usDMA_Start2 : IN std_logic; usDMA_Stop2 : IN std_logic; usDMA_Channel_Rst : IN std_logic; usDMA_Cmd_Ack : OUT std_logic; MRd_Channel_Rst : IN std_logic; Sys_IRQ : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR write port DDR_wr_sof_A : OUT std_logic; DDR_wr_eof_A : OUT std_logic; DDR_wr_v_A : OUT std_logic; DDR_wr_FA_A : OUT std_logic; DDR_wr_Shift_A : OUT std_logic; DDR_wr_Mask_A : OUT std_logic_vector(2-1 downto 0); DDR_wr_din_A : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_sof_B : OUT std_logic; DDR_wr_eof_B : OUT std_logic; DDR_wr_v_B : OUT std_logic; DDR_wr_FA_B : OUT std_logic; DDR_wr_Shift_B : OUT std_logic; DDR_wr_Mask_B : OUT std_logic_vector(2-1 downto 0); DDR_wr_din_B : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : IN std_logic; Link_Buf_full : IN std_logic; -- Data generator table write tab_we : OUT std_logic_vector(2-1 downto 0); tab_wa : OUT std_logic_vector(12-1 downto 0); tab_wd : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Interrupt generator signals IG_Reset : IN std_logic; IG_Host_Clear : IN std_logic; IG_Latency : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Assert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Deassert : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Asserting : OUT std_logic; DAQTOUT_irq : IN std_logic; CTLTOUT_irq : IN std_logic; DLMTOUT_irq : IN std_logic; DAQ_irq : IN std_logic; CTL_irq : IN std_logic; DLM_irq : IN std_logic; -- Additional cfg_dcommand : IN std_logic_vector(16-1 downto 0); localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0) ); end component rx_Transact; -- Downstream DMA transferred bytes count up signal ds_DMA_Bytes_Add : std_logic; signal ds_DMA_Bytes : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); ---- Tx transaction control component tx_Transact port ( -- Common ports trn_clk : IN std_logic; trn_reset_n : IN std_logic; trn_lnk_up_n : IN std_logic; -- Transaction trn_tsof_n : OUT std_logic; trn_teof_n : OUT std_logic; trn_td : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); trn_trem_n : OUT std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); trn_terrfwd_n : OUT std_logic; trn_tsrc_rdy_n : OUT std_logic; trn_tdst_rdy_n : IN std_logic; trn_tsrc_dsc_n : OUT std_logic; trn_tdst_dsc_n : IN std_logic; trn_tbuf_av : IN std_logic_vector(C_TBUF_AWIDTH-1 downto 0); -- Upstream DMA transferred bytes count up us_DMA_Bytes_Add : OUT std_logic; us_DMA_Bytes : OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- MRd Channel pioCplD_Req : IN std_logic; pioCplD_RE : OUT std_logic; pioCplD_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); pio_FC_stop : OUT std_logic; -- MRd-downstream packet Channel dsMRd_Req : IN std_logic; dsMRd_RE : OUT std_logic; dsMRd_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Upstream MWr Channel usTlp_Req : IN std_logic; usTlp_RE : OUT std_logic; usTlp_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); us_FC_stop : OUT std_logic; us_Last_sof : OUT std_logic; us_Last_eof : OUT std_logic; -- Irpt Channel Irpt_Req : IN std_logic; Irpt_RE : OUT std_logic; Irpt_Qout : IN std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Event Buffer FIFO read port eb_FIFO_re : OUT std_logic; eb_FIFO_empty : IN std_logic; eb_FIFO_qout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- With Rx port Regs_RdAddr : OUT std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Message routing method Msg_Routing : IN std_logic_vector(C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT downto 0); -- DDR read port DDR_rdc_sof : OUT std_logic; DDR_rdc_eof : OUT std_logic; DDR_rdc_v : OUT std_logic; DDR_rdc_FA : OUT std_logic; DDR_rdc_Shift : OUT std_logic; DDR_rdc_din : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : IN std_logic; -- DDR_rdD_sof : IN std_logic; -- DDR_rdD_eof : IN std_logic; -- DDR_rdDout_V : IN std_logic; -- DDR_rdDout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR payload FIFO Read Port DDR_FIFO_RdEn : OUT std_logic; DDR_FIFO_Empty : IN std_logic; DDR_FIFO_RdQout : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional Tx_TimeOut : OUT std_logic; Tx_eb_TimeOut : OUT std_logic; Format_Shower : OUT std_logic; Tx_Reset : IN std_logic; mbuf_UserFull : IN std_logic; localID : IN std_logic_vector(C_ID_WIDTH-1 downto 0) ); end component tx_Transact; -- Upstream DMA transferred bytes count up signal us_DMA_Bytes_Add : std_logic; signal us_DMA_Bytes : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- ------------------------------------------------ -- United memory space consisting of registers. -- component Regs_Group port ( -- DCB protocol interface protocol_link_act : IN std_logic_vector(2-1 downto 0); protocol_rst : OUT std_logic; -- Fabric side: CTL Rx ctl_rv : OUT std_logic; ctl_rd : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: CTL Tx ctl_ttake : OUT std_logic; ctl_tv : IN std_logic; ctl_td : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); ctl_tstop : OUT std_logic; ctl_reset : OUT std_logic; ctl_status : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Rx dlm_tv : OUT std_logic; dlm_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Tx dlm_rv : IN std_logic; dlm_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Event Buffer status eb_FIFO_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_Rst : OUT std_logic; H2B_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0); B2H_FIFO_Status : IN std_logic_VECTOR(C_DBUS_WIDTH-1 downto 0); -- Register Write Regs_WrEnA : IN std_logic; Regs_WrMaskA : IN std_logic_vector(2-1 downto 0); Regs_WrAddrA : IN std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDinA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); Regs_WrEnB : IN std_logic; Regs_WrMaskB : IN std_logic_vector(2-1 downto 0); Regs_WrAddrB : IN std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_WrDinB : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); Regs_RdAddr : IN std_logic_vector(C_EP_AWIDTH-1 downto 0); Regs_RdQout : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add : IN std_logic; ds_DMA_Bytes : IN std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- Register Values DMA_ds_PA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); dsDMA_BDA_eq_Null : OUT std_logic; DMA_ds_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Done : IN std_logic; -- DMA_ds_Busy : IN std_logic; DMA_ds_Tout : IN std_logic; -- Calculation in advance, for better timing dsHA_is_64b : OUT std_logic; dsBDA_is_64b : OUT std_logic; -- Calculation in advance, for better timing dsLeng_Hi19b_True : OUT std_logic; dsLeng_Lo7b_True : OUT std_logic; dsDMA_Start : OUT std_logic; dsDMA_Stop : OUT std_logic; dsDMA_Start2 : OUT std_logic; dsDMA_Stop2 : OUT std_logic; dsDMA_Channel_Rst : OUT std_logic; dsDMA_Cmd_Ack : IN std_logic; -- Upstream DMA transferred bytes count up us_DMA_Bytes_Add : IN std_logic; us_DMA_Bytes : IN std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); DMA_us_PA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_HA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_BDA : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Length : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Control : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); usDMA_BDA_eq_Null : OUT std_logic; us_MWr_Param_Vec : OUT std_logic_vector(6-1 downto 0); DMA_us_Status : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_us_Done : IN std_logic; -- DMA_us_Busy : IN std_logic; DMA_us_Tout : IN std_logic; -- Calculation in advance, for better timing usHA_is_64b : OUT std_logic; usBDA_is_64b : OUT std_logic; -- Calculation in advance, for better timing usLeng_Hi19b_True : OUT std_logic; usLeng_Lo7b_True : OUT std_logic; usDMA_Start : OUT std_logic; usDMA_Stop : OUT std_logic; usDMA_Start2 : OUT std_logic; usDMA_Stop2 : OUT std_logic; usDMA_Channel_Rst : OUT std_logic; usDMA_Cmd_Ack : IN std_logic; -- Reset signals MRd_Channel_Rst : OUT std_logic; Tx_Reset : OUT std_logic; -- to Interrupt module Sys_IRQ : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DAQ_irq : IN std_logic; CTL_irq : IN std_logic; DLM_irq : IN std_logic; DAQTOUT_irq : IN std_logic; CTLTOUT_irq : IN std_logic; DLMTOUT_irq : IN std_logic; Sys_Int_Enable : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- System error and info eb_FIFO_ow : IN std_logic; Tx_TimeOut : IN std_logic; Tx_eb_TimeOut : IN std_logic; Msg_Routing : OUT std_logic_vector(C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT downto 0); pcie_link_width : IN std_logic_vector(CINT_BIT_LWIDTH_IN_GSR_TOP-CINT_BIT_LWIDTH_IN_GSR_BOT downto 0); cfg_dcommand : IN std_logic_vector(16-1 downto 0); -- Interrupt Generation Signals IG_Reset : OUT std_logic; IG_Host_Clear : OUT std_logic; IG_Latency : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Assert : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Num_Deassert : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); IG_Asserting : IN std_logic; -- Data generator control DG_is_Running : IN std_logic; DG_Reset : OUT std_logic; DG_Mask : OUT std_logic; -- SIMONE Register: PC-->FPGA reg01_tv : OUT std_logic; reg01_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg02_tv : OUT std_logic; reg02_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg03_tv : OUT std_logic; reg03_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg04_tv : OUT std_logic; reg04_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg05_tv : OUT std_logic; reg05_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg06_tv : OUT std_logic; reg06_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg07_tv : OUT std_logic; reg07_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg08_tv : OUT std_logic; reg08_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg09_tv : OUT std_logic; reg09_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg10_tv : OUT std_logic; reg10_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg11_tv : OUT std_logic; reg11_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg12_tv : OUT std_logic; reg12_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg13_tv : OUT std_logic; reg13_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg14_tv : OUT std_logic; reg14_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg15_tv : OUT std_logic; reg15_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg16_tv : OUT std_logic; reg16_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg17_tv : OUT std_logic; reg17_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg18_tv : OUT std_logic; reg18_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg19_tv : OUT std_logic; reg19_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg20_tv : OUT std_logic; reg20_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg21_tv : OUT std_logic; reg21_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg22_tv : OUT std_logic; reg22_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg23_tv : OUT std_logic; reg23_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg24_tv : OUT std_logic; reg24_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg25_tv : OUT std_logic; reg25_td : OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- SIMONE Register: FPGA-->PC reg01_rv : IN std_logic; reg01_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg02_rv : IN std_logic; reg02_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg03_rv : IN std_logic; reg03_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg04_rv : IN std_logic; reg04_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg05_rv : IN std_logic; reg05_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg06_rv : IN std_logic; reg06_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg07_rv : IN std_logic; reg07_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg08_rv : IN std_logic; reg08_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg09_rv : IN std_logic; reg09_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg10_rv : IN std_logic; reg10_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg11_rv : IN std_logic; reg11_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg12_rv : IN std_logic; reg12_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg13_rv : IN std_logic; reg13_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg14_rv : IN std_logic; reg14_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg15_rv : IN std_logic; reg15_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg16_rv : IN std_logic; reg16_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg17_rv : IN std_logic; reg17_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg18_rv : IN std_logic; reg18_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg19_rv : IN std_logic; reg19_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg20_rv : IN std_logic; reg20_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg21_rv : IN std_logic; reg21_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg22_rv : IN std_logic; reg22_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg23_rv : IN std_logic; reg23_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg24_rv : IN std_logic; reg24_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); reg25_rv : IN std_logic; reg25_rd : IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); --SIMONE debug signals debug_in_1i : OUT std_logic_vector(31 downto 0); debug_in_2i : OUT std_logic_vector(31 downto 0); debug_in_3i : OUT std_logic_vector(31 downto 0); debug_in_4i : OUT std_logic_vector(31 downto 0); -- Common interface trn_clk : IN std_logic; trn_lnk_up_n : IN std_logic; trn_reset_n : IN std_logic ); end component Regs_Group; -- DDR write port signal DDR_wr_sof_A : std_logic; signal DDR_wr_eof_A : std_logic; signal DDR_wr_v_A : std_logic; signal DDR_wr_FA_A : std_logic; signal DDR_wr_Shift_A : std_logic; signal DDR_wr_Mask_A : std_logic_vector(2-1 downto 0); signal DDR_wr_din_A : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_wr_sof_B : std_logic; signal DDR_wr_eof_B : std_logic; signal DDR_wr_v_B : std_logic; signal DDR_wr_FA_B : std_logic; signal DDR_wr_Shift_B : std_logic; signal DDR_wr_Mask_B : std_logic_vector(2-1 downto 0); signal DDR_wr_din_B : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_wr_sof_i : std_logic; signal DDR_wr_eof_i : std_logic; signal DDR_wr_v_i : std_logic; signal DDR_wr_FA_i : std_logic; signal DDR_wr_Shift_i : std_logic; signal DDR_wr_Mask_i : std_logic_vector(2-1 downto 0); signal DDR_wr_din_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0) := (OTHERS=>'0'); signal DDR_wr_sof_A_r1 : std_logic; signal DDR_wr_eof_A_r1 : std_logic; signal DDR_wr_v_A_r1 : std_logic; signal DDR_wr_FA_A_r1 : std_logic; signal DDR_wr_Shift_A_r1 : std_logic; signal DDR_wr_Mask_A_r1 : std_logic_vector(2-1 downto 0); signal DDR_wr_din_A_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_wr_sof_A_r2 : std_logic; signal DDR_wr_eof_A_r2 : std_logic; signal DDR_wr_v_A_r2 : std_logic; signal DDR_wr_FA_A_r2 : std_logic; signal DDR_wr_Shift_A_r2 : std_logic; signal DDR_wr_Mask_A_r2 : std_logic_vector(2-1 downto 0); signal DDR_wr_din_A_r2 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_wr_sof_A_r3 : std_logic; signal DDR_wr_eof_A_r3 : std_logic; signal DDR_wr_v_A_r3 : std_logic; signal DDR_wr_FA_A_r3 : std_logic; signal DDR_wr_Shift_A_r3 : std_logic; signal DDR_wr_Mask_A_r3 : std_logic_vector(2-1 downto 0); signal DDR_wr_din_A_r3 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- eb FIFO read enable signal eb_FIFO_RdEn_i : std_logic; -- Flow control signals signal pio_FC_stop : std_logic; signal us_FC_stop : std_logic; signal us_Last_sof : std_logic; signal us_Last_eof : std_logic; -- Signals between Tx_Transact and Rx_Transact signal pioCplD_Req : std_logic; signal pioCplD_RE : std_logic; signal pioCplD_Qout : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- MRd-downstream packet Channel signal dsMRd_Req : std_logic; signal dsMRd_RE : std_logic; signal dsMRd_Qout : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Upstream MWr Channel signal usTlp_Req : std_logic; signal usTlp_RE : std_logic; signal usTlp_Qout : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Irpt Channel signal Irpt_Req_i : std_logic; signal Irpt_RE_i : std_logic; signal Irpt_Qout : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Registers Write Port signal Regs_WrEnA : std_logic; signal Regs_WrMaskA : std_logic_vector(2-1 downto 0); signal Regs_WrAddrA : std_logic_vector(C_EP_AWIDTH-1 downto 0); signal Regs_WrDinA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal Regs_WrEnB : std_logic; signal Regs_WrMaskB : std_logic_vector(2-1 downto 0); signal Regs_WrAddrB : std_logic_vector(C_EP_AWIDTH-1 downto 0); signal Regs_WrDinB : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Dex parameters to downstream DMA -- signal DMA_ds_PA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- signal DMA_ds_HA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- signal DMA_ds_BDA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- signal DMA_ds_Length : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- signal DMA_ds_Control : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsDMA_BDA_eq_Null : std_logic; signal DMA_ds_Status : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_ds_Done_i : std_logic; signal DMA_ds_Busy_i : std_logic; signal DMA_ds_Busy_led_i : std_logic; signal cnt_ds_Busy : std_logic_vector(20-1 downto 0); signal DMA_ds_Tout : std_logic; -- Calculation in advance, for better timing signal dsHA_is_64b : std_logic; signal dsBDA_is_64b : std_logic; -- Calculation in advance, for better timing signal dsLeng_Hi19b_True : std_logic; signal dsLeng_Lo7b_True : std_logic; -- Downstream Control Signals signal dsDMA_Start_i : std_logic; signal dsDMA_Stop_i : std_logic; signal dsDMA_Start2_i : std_logic; signal dsDMA_Stop2_i : std_logic; signal dsDMA_Cmd_Ack : std_logic; signal dsDMA_Channel_Rst_i : std_logic; signal DMA_ds_PA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_ds_HA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_ds_BDA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_ds_Length_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_ds_Control_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Dex parameters to upstream DMA signal DMA_us_PA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_us_HA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_us_BDA_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_us_Length_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_us_Control_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal usDMA_BDA_eq_Null : std_logic; signal us_MWr_Param_Vec : std_logic_vector(6-1 downto 0); signal DMA_us_Status : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DMA_us_Done_i : std_logic; signal DMA_us_Busy_i : std_logic; signal DMA_us_Busy_led_i : std_logic; signal cnt_us_Busy : std_logic_vector(20-1 downto 0); signal DMA_us_Tout : std_logic; -- Calculation in advance, for better timing signal usHA_is_64b : std_logic; signal usBDA_is_64b : std_logic; -- Calculation in advance, for better timing signal usLeng_Hi19b_True : std_logic; signal usLeng_Lo7b_True : std_logic; -- Upstream Control Signals signal usDMA_Start_i : std_logic; signal usDMA_Stop_i : std_logic; signal usDMA_Start2_i : std_logic; signal usDMA_Stop2_i : std_logic; signal usDMA_Cmd_Ack : std_logic; signal usDMA_Channel_Rst_i : std_logic; -- MRd Channel Reset signal MRd_Channel_Rst : std_logic; -- Tx module Reset signal Tx_Reset : std_logic; -- Tx time out signal Tx_TimeOut : std_logic; signal Tx_eb_TimeOut : std_logic; -- Registers read port signal Regs_RdAddr : std_logic_vector(C_EP_AWIDTH-1 downto 0); signal Regs_RdQout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Register to Interrupt module signal Sys_IRQ : std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Message routing method signal Msg_Routing : std_logic_vector(C_GCR_MSG_ROUT_BIT_TOP-C_GCR_MSG_ROUT_BIT_BOT downto 0); -- Interrupt Generation Signals signal IG_Reset : std_logic; signal IG_Host_Clear : std_logic; signal IG_Latency : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal IG_Num_Assert : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal IG_Num_Deassert : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal IG_Asserting : std_logic; -- Test blinker signal trn_Blinker_cnt : std_logic_vector(31 downto 0) := (OTHERS=>'0'); begin DDR_wr_v <= DDR_wr_v_i ; DDR_wr_sof <= DDR_wr_sof_i ; DDR_wr_eof <= DDR_wr_eof_i ; DDR_wr_FA <= DDR_wr_FA_i ; DDR_wr_Shift <= DDR_wr_Shift_i ; DDR_wr_Mask <= DDR_wr_Mask_i ; DDR_wr_din <= DDR_wr_din_i ; trn_Blinker <= trn_Blinker_cnt(26) ; DMA_us_Busy <= DMA_us_Busy_i ; DMA_us_Busy_LED <= DMA_us_Busy_led_i ; DMA_ds_Busy <= DMA_ds_Busy_i ; DMA_ds_Busy_LED <= DMA_ds_Busy_led_i ; --for debug------------------------------------------------- dsDMA_Start <= dsDMA_Start_i ; dsDMA_Stop <= dsDMA_Stop_i ; dsDMA_Start2 <= dsDMA_Start2_i ; dsDMA_Stop2 <= dsDMA_Stop2_i ; dsDMA_Channel_Rst <= dsDMA_Channel_Rst_i; DMA_ds_PA <= DMA_ds_PA_i; DMA_ds_HA <= DMA_ds_HA_i; DMA_ds_BDA <= DMA_ds_BDA_i; DMA_ds_Length <= DMA_ds_Length_i; DMA_ds_Control <= DMA_ds_Control_i; eb_FIFO_re <= eb_FIFO_RdEn_i ; DMA_ds_Done <= DMA_ds_Done_i ; DMA_us_Done <= DMA_us_Done_i ; trn_lnk_up_i <= not trn_lnk_up_n; usDMA_Start <= usDMA_Start_i; usDMA_Stop <= usDMA_Stop_i; usDMA_Start2 <= usDMA_Start2_i; usDMA_Stop2 <= usDMA_Stop2_i; usDMA_Channel_Rst <= usDMA_Channel_Rst_i; DMA_us_PA <= DMA_us_PA_i; DMA_us_HA <= DMA_us_HA_i; DMA_us_BDA <= DMA_us_BDA_i; DMA_us_Length <= DMA_us_Length_i; DMA_us_Control <= DMA_us_Control_i; Irpt_Req <= Irpt_Req_i; -- OUT std_logic; Irpt_RE <= Irpt_RE_i; -- OUT std_logic; -- Register Write Regs_WrEn0 <= Regs_WrEnA ; -- OUT std_logic; Regs_WrMask0 <= Regs_WrMaskA ; -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr0 <= Regs_WrAddrA ; -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin0 <= Regs_WrDinA ; -- OUT std_logic_vector(32-1 downto 0); Regs_WrEn1 <= Regs_WrEnB ; -- OUT std_logic; Regs_WrMask1 <= Regs_WrMaskB ; -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr1 <= Regs_WrAddrB ; -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin1 <= Regs_WrDinB ; -- OUT std_logic_vector(32-1 downto 0); -- ------------------------------------------------------- -- Delay DDR write port A for 2 cycles -- SynDelay_DDR_write_PIO: process ( trn_clk ) begin if trn_clk'event and trn_clk = '1' then DDR_wr_v_A_r1 <= DDR_wr_v_A; DDR_wr_sof_A_r1 <= DDR_wr_sof_A; DDR_wr_eof_A_r1 <= DDR_wr_eof_A; DDR_wr_FA_A_r1 <= DDR_wr_FA_A; DDR_wr_Shift_A_r1 <= DDR_wr_Shift_A; DDR_wr_Mask_A_r1 <= DDR_wr_Mask_A; DDR_wr_din_A_r1 <= DDR_wr_din_A; DDR_wr_v_A_r2 <= DDR_wr_v_A_r1; DDR_wr_sof_A_r2 <= DDR_wr_sof_A_r1; DDR_wr_eof_A_r2 <= DDR_wr_eof_A_r1; DDR_wr_FA_A_r2 <= DDR_wr_FA_A_r1; DDR_wr_Shift_A_r2 <= DDR_wr_Shift_A_r1; DDR_wr_Mask_A_r2 <= DDR_wr_Mask_A_r1; DDR_wr_din_A_r2 <= DDR_wr_din_A_r1; DDR_wr_v_A_r3 <= DDR_wr_v_A_r2; DDR_wr_sof_A_r3 <= DDR_wr_sof_A_r2; DDR_wr_eof_A_r3 <= DDR_wr_eof_A_r2; DDR_wr_FA_A_r3 <= DDR_wr_FA_A_r2; DDR_wr_Shift_A_r3 <= DDR_wr_Shift_A_r2; DDR_wr_Mask_A_r3 <= DDR_wr_Mask_A_r2; DDR_wr_din_A_r3 <= DDR_wr_din_A_r2; end if; end process; -- ------------------------------------------------------- -- DDR writes: DDR Writes -- SynProc_DDR_write: process ( trn_clk ) begin if trn_clk'event and trn_clk = '1' then DDR_wr_v_i <= DDR_wr_v_A_r3 or DDR_wr_v_B; if DDR_wr_v_A_r3 = '1' then DDR_wr_sof_i <= DDR_wr_sof_A_r3; DDR_wr_eof_i <= DDR_wr_eof_A_r3; DDR_wr_FA_i <= DDR_wr_FA_A_r3; DDR_wr_Shift_i <= DDR_wr_Shift_A_r3; DDR_wr_Mask_i <= DDR_wr_Mask_A_r3; DDR_wr_din_i <= DDR_wr_din_A_r3; elsif DDR_wr_v_B = '1' then DDR_wr_sof_i <= DDR_wr_sof_B; DDR_wr_eof_i <= DDR_wr_eof_B; DDR_wr_FA_i <= DDR_wr_FA_B ; DDR_wr_Shift_i <= DDR_wr_Shift_B ; DDR_wr_Mask_i <= DDR_wr_Mask_B; DDR_wr_din_i <= DDR_wr_din_B; else DDR_wr_sof_i <= DDR_wr_sof_i; DDR_wr_eof_i <= DDR_wr_eof_i; DDR_wr_FA_i <= DDR_wr_FA_i ; DDR_wr_Shift_i <= DDR_wr_Shift_i ; DDR_wr_Mask_i <= DDR_wr_Mask_i; DDR_wr_din_i <= DDR_wr_din_i; end if; end if; end process; -- ------------------------------------------------------- -- trn blink -- SynProc_trn_blinker: process ( trn_clk ) begin if trn_clk'event and trn_clk = '1' then trn_Blinker_cnt <= trn_Blinker_cnt + '1'; end if; end process; -- ------------------------------------------------------- -- DMA upstream Busy display -- SynProc_DMA_us_Busy_LED: process ( trn_clk, DMA_us_Busy_i) begin if DMA_us_Busy_i='1' then DMA_us_Busy_led_i <= '1'; cnt_us_Busy <= (OTHERS=>'0'); elsif trn_clk'event and trn_clk = '1' then if cnt_us_Busy=X"80000" then DMA_us_Busy_led_i <= '0'; cnt_us_Busy <= cnt_us_Busy; else DMA_us_Busy_led_i <= DMA_us_Busy_led_i; cnt_us_Busy <= cnt_us_Busy + '1'; end if; end if; end process; -- ------------------------------------------------------- -- DMA downstream Busy display -- SynProc_DMA_ds_Busy_LED: process ( trn_clk, DMA_ds_Busy_i) begin if DMA_ds_Busy_i='1' then DMA_ds_Busy_led_i <= '1'; cnt_ds_Busy <= (OTHERS=>'0'); elsif trn_clk'event and trn_clk = '1' then if cnt_ds_Busy=X"FFFFF" then DMA_ds_Busy_led_i <= '0'; cnt_ds_Busy <= cnt_ds_Busy; else DMA_ds_Busy_led_i <= DMA_ds_Busy_led_i; cnt_ds_Busy <= cnt_ds_Busy + '1'; end if; end if; end process; -- DDR_wr_v <= DDR_wr_v_A or DDR_wr_v_B; -- DDR_wr_sof <= DDR_wr_sof_A when DDR_wr_v_A='1' else DDR_wr_sof_B; -- DDR_wr_eof <= DDR_wr_eof_A when DDR_wr_v_A='1' else DDR_wr_eof_B; -- DDR_wr_FA <= DDR_wr_FA_A when DDR_wr_v_A='1' else DDR_wr_FA_B; -- DDR_wr_din <= DDR_wr_din_A when DDR_wr_v_A='1' else DDR_wr_din_B; -- Rx TLP interface rx_Itf: rx_Transact port map( -- Common ports trn_clk => trn_clk, -- IN std_logic, trn_reset_n => trn_lnk_up_i , -- trn_reset_n, -- IN std_logic, trn_lnk_up_n => trn_lnk_up_n, -- IN std_logic, -- Transaction receive interface trn_rsof_n => trn_rsof_n, -- IN std_logic, trn_reof_n => trn_reof_n, -- IN std_logic, trn_rd => trn_rd, -- IN std_logic_vector(31 downto 0), trn_rrem_n => trn_rrem_n, -- IN STD_LOGIC_VECTOR ( 7 downto 0 ); trn_rerrfwd_n => trn_rerrfwd_n, -- IN std_logic, trn_rsrc_rdy_n => trn_rsrc_rdy_n, -- IN std_logic, trn_rdst_rdy_n => trn_rdst_rdy_n, -- OUT std_logic, trn_rnp_ok_n => trn_rnp_ok_n, -- OUT std_logic, trn_rsrc_dsc_n => trn_rsrc_dsc_n, -- IN std_logic, trn_rbar_hit_n => trn_rbar_hit_n, -- IN std_logic_vector(6 downto 0), -- trn_rfc_ph_av => trn_rfc_ph_av, -- IN std_logic_vector(7 downto 0), -- trn_rfc_pd_av => trn_rfc_pd_av, -- IN std_logic_vector(11 downto 0), -- trn_rfc_nph_av => trn_rfc_nph_av, -- IN std_logic_vector(7 downto 0), -- trn_rfc_npd_av => trn_rfc_npd_av, -- IN std_logic_vector(11 downto 0), -- trn_rfc_cplh_av => trn_rfc_cplh_av, -- IN std_logic_vector(7 downto 0), -- trn_rfc_cpld_av => trn_rfc_cpld_av, -- IN std_logic_vector(11 downto 0), -- MRd Channel pioCplD_Req => pioCplD_Req, -- OUT std_logic; pioCplD_RE => pioCplD_RE, -- IN std_logic; pioCplD_Qout => pioCplD_Qout, -- OUT std_logic_vector(96 downto 0); pio_FC_stop => pio_FC_stop, -- IN std_logic; -- downstream MRd Channel dsMRd_Req => dsMRd_Req, -- OUT std_logic; dsMRd_RE => dsMRd_RE, -- IN std_logic; dsMRd_Qout => dsMRd_Qout, -- OUT std_logic_vector(96 downto 0); -- Upstream MWr/MRd Channel usTlp_Req => usTlp_Req, -- OUT std_logic; usTlp_RE => usTlp_RE, -- IN std_logic; usTlp_Qout => usTlp_Qout, -- OUT std_logic_vector(96 downto 0); us_FC_stop => us_FC_stop, -- IN std_logic; us_Last_sof => us_Last_sof, -- IN std_logic; us_Last_eof => us_Last_eof, -- IN std_logic; -- Irpt Channel Irpt_Req => Irpt_Req_i, -- OUT std_logic; Irpt_RE => Irpt_RE_i, -- IN std_logic; Irpt_Qout => Irpt_Qout, -- OUT std_logic_vector(96 downto 0); IrptStatesOut => IrptStatesOut, --OUT std_logic_VECTOR(7 downto 0); Interrupts_ORed => Interrupts_ORed, -- OUT std_logic; -- Interrupt Interface cfg_interrupt_n => cfg_interrupt_n , -- OUT std_logic; cfg_interrupt_rdy_n => cfg_interrupt_rdy_n , -- IN std_logic; cfg_interrupt_mmenable => cfg_interrupt_mmenable , -- IN std_logic_VECTOR(2 downto 0); cfg_interrupt_msienable => cfg_interrupt_msienable , -- IN std_logic; cfg_interrupt_di => cfg_interrupt_di , -- OUT std_logic_VECTOR(7 downto 0); cfg_interrupt_do => cfg_interrupt_do , -- IN std_logic_VECTOR(7 downto 0); cfg_interrupt_assert_n => cfg_interrupt_assert_n , -- OUT std_logic; -- Event Buffer write port eb_FIFO_we => eb_FIFO_we , -- OUT std_logic; eb_FIFO_wsof => eb_FIFO_wsof , -- OUT std_logic; eb_FIFO_weof => eb_FIFO_weof , -- OUT std_logic; eb_FIFO_din => eb_FIFO_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_data_count => eb_FIFO_data_count, -- IN std_logic_vector(C_FIFO_DC_WIDTH downto 0); eb_FIFO_Empty => eb_FIFO_Empty , -- IN std_logic; eb_FIFO_Reading => eb_FIFO_RdEn_i , -- IN std_logic; pio_reading_status => pio_reading_status , -- OUT std_logic; -- Register Write Regs_WrEn0 => Regs_WrEnA , -- OUT std_logic; Regs_WrMask0 => Regs_WrMaskA , -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr0 => Regs_WrAddrA , -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin0 => Regs_WrDinA , -- OUT std_logic_vector(32-1 downto 0); Regs_WrEn1 => Regs_WrEnB , -- OUT std_logic; Regs_WrMask1 => Regs_WrMaskB , -- OUT std_logic_vector(2-1 downto 0); Regs_WrAddr1 => Regs_WrAddrB , -- OUT std_logic_vector(16-1 downto 0); Regs_WrDin1 => Regs_WrDinB , -- OUT std_logic_vector(32-1 downto 0); -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add => ds_DMA_Bytes_Add , -- OUT std_logic; ds_DMA_Bytes => ds_DMA_Bytes , -- OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- Registers DMA_ds_PA => DMA_ds_PA_i , -- IN std_logic_vector(63 downto 0); DMA_ds_HA => DMA_ds_HA_i , -- IN std_logic_vector(63 downto 0); DMA_ds_BDA => DMA_ds_BDA_i , -- IN std_logic_vector(63 downto 0); DMA_ds_Length => DMA_ds_Length_i , -- IN std_logic_vector(31 downto 0); DMA_ds_Control => DMA_ds_Control_i , -- IN std_logic_vector(31 downto 0); dsDMA_BDA_eq_Null => dsDMA_BDA_eq_Null , -- IN std_logic; DMA_ds_Status => DMA_ds_Status , -- OUT std_logic_vector(31 downto 0); DMA_ds_Done => DMA_ds_Done_i , -- OUT std_logic; DMA_ds_Busy => DMA_ds_Busy_i , -- OUT std_logic; DMA_ds_Tout => DMA_ds_Tout , -- OUT std_logic; dsHA_is_64b => dsHA_is_64b , -- IN std_logic; dsBDA_is_64b => dsBDA_is_64b , -- IN std_logic; dsLeng_Hi19b_True => dsLeng_Hi19b_True , -- IN std_logic; dsLeng_Lo7b_True => dsLeng_Lo7b_True , -- IN std_logic; dsDMA_Start => dsDMA_Start_i , -- IN std_logic; dsDMA_Stop => dsDMA_Stop_i , -- IN std_logic; dsDMA_Start2 => dsDMA_Start2_i , -- IN std_logic; dsDMA_Stop2 => dsDMA_Stop2_i , -- IN std_logic; dsDMA_Channel_Rst => dsDMA_Channel_Rst_i , -- IN std_logic; dsDMA_Cmd_Ack => dsDMA_Cmd_Ack , -- OUT std_logic; DMA_us_PA => DMA_us_PA_i , -- IN std_logic_vector(63 downto 0); DMA_us_HA => DMA_us_HA_i , -- IN std_logic_vector(63 downto 0); DMA_us_BDA => DMA_us_BDA_i , -- IN std_logic_vector(63 downto 0); DMA_us_Length => DMA_us_Length_i , -- IN std_logic_vector(31 downto 0); DMA_us_Control => DMA_us_Control_i , -- IN std_logic_vector(31 downto 0); usDMA_BDA_eq_Null => usDMA_BDA_eq_Null , -- IN std_logic; us_MWr_Param_Vec => us_MWr_Param_Vec , -- IN std_logic_vector(6-1 downto 0); DMA_us_Status => DMA_us_Status , -- OUT std_logic_vector(31 downto 0); DMA_us_Done => DMA_us_Done_i , -- OUT std_logic; DMA_us_Busy => DMA_us_Busy_i , -- OUT std_logic; DMA_us_Tout => DMA_us_Tout , -- OUT std_logic; usHA_is_64b => usHA_is_64b , -- IN std_logic; usBDA_is_64b => usBDA_is_64b , -- IN std_logic; usLeng_Hi19b_True => usLeng_Hi19b_True , -- IN std_logic; usLeng_Lo7b_True => usLeng_Lo7b_True , -- IN std_logic; usDMA_Start => usDMA_Start_i , -- IN std_logic; usDMA_Stop => usDMA_Stop_i , -- IN std_logic; usDMA_Start2 => usDMA_Start2_i , -- IN std_logic; usDMA_Stop2 => usDMA_Stop2_i , -- IN std_logic; usDMA_Channel_Rst => usDMA_Channel_Rst_i , -- IN std_logic; usDMA_Cmd_Ack => usDMA_Cmd_Ack , -- OUT std_logic; -- Reset signals MRd_Channel_Rst => MRd_Channel_Rst , -- IN std_logic; -- to Interrupt module Sys_IRQ => Sys_IRQ , -- IN std_logic_vector(31 downto 0); IG_Reset => IG_Reset , IG_Host_Clear => IG_Host_Clear , IG_Latency => IG_Latency , IG_Num_Assert => IG_Num_Assert , IG_Num_Deassert => IG_Num_Deassert , IG_Asserting => IG_Asserting , DAQ_irq => DAQ_irq , -- IN std_logic; CTL_irq => CTL_irq , -- IN std_logic; DLM_irq => DLM_irq , -- IN std_logic; DAQTOUT_irq => DAQTOUT_irq , -- IN std_logic; CTLTOUT_irq => CTLTOUT_irq , -- IN std_logic; DLMTOUT_irq => DLMTOUT_irq , -- IN std_logic; -- DDR write port DDR_wr_sof_A => DDR_wr_sof_A , -- OUT std_logic; DDR_wr_eof_A => DDR_wr_eof_A , -- OUT std_logic; DDR_wr_v_A => DDR_wr_v_A , -- OUT std_logic; DDR_wr_FA_A => DDR_wr_FA_A , -- OUT std_logic; DDR_wr_Shift_A => DDR_wr_Shift_A , -- OUT std_logic; DDR_wr_Mask_A => DDR_wr_Mask_A , -- OUT std_logic_vector(2-1 downto 0); DDR_wr_din_A => DDR_wr_din_A , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_sof_B => DDR_wr_sof_B , -- OUT std_logic; DDR_wr_eof_B => DDR_wr_eof_B , -- OUT std_logic; DDR_wr_v_B => DDR_wr_v_B , -- OUT std_logic; DDR_wr_FA_B => DDR_wr_FA_B , -- OUT std_logic; DDR_wr_Shift_B => DDR_wr_Shift_B , -- OUT std_logic; DDR_wr_Mask_B => DDR_wr_Mask_B , -- OUT std_logic_vector(2-1 downto 0); DDR_wr_din_B => DDR_wr_din_B , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full => DDR_wr_full , -- IN std_logic; Link_Buf_full => Link_Buf_full , -- IN std_logic; -- Data generator table write tab_we => tab_we , -- OUT std_logic_vector(2-1 downto 0); tab_wa => tab_wa , -- OUT std_logic_vector(12-1 downto 0); tab_wd => tab_wd , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional cfg_dcommand => cfg_dcommand , -- IN std_logic_vector(15 downto 0) localID => localID -- IN std_logic_vector(15 downto 0) ); -- Tx TLP interface tx_Itf: tx_Transact port map( -- Common ports trn_clk => trn_clk, -- IN std_logic, trn_reset_n => trn_lnk_up_i , -- trn_reset_n, -- IN std_logic, trn_lnk_up_n => trn_lnk_up_n, -- IN std_logic, -- Transaction trn_tsof_n => trn_tsof_n, -- OUT std_logic, trn_teof_n => trn_teof_n, -- OUT std_logic, trn_td => trn_td, -- OUT std_logic_vector(31 downto 0), trn_trem_n => trn_trem_n, -- OUT STD_LOGIC_VECTOR ( 7 downto 0 ); trn_terrfwd_n => trn_terrfwd_n, -- OUT std_logic, trn_tsrc_rdy_n => trn_tsrc_rdy_n, -- OUT std_logic, trn_tdst_rdy_n => trn_tdst_rdy_n, -- IN std_logic, trn_tsrc_dsc_n => trn_tsrc_dsc_n, -- OUT std_logic, trn_tdst_dsc_n => trn_tdst_dsc_n, -- IN std_logic, trn_tbuf_av => trn_tbuf_av, -- IN std_logic_vector(6 downto 0), -- Upstream DMA transferred bytes count up us_DMA_Bytes_Add => us_DMA_Bytes_Add, -- OUT std_logic; us_DMA_Bytes => us_DMA_Bytes, -- OUT std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- MRd Channel pioCplD_Req => pioCplD_Req, -- IN std_logic; pioCplD_RE => pioCplD_RE, -- OUT std_logic; pioCplD_Qout => pioCplD_Qout, -- IN std_logic_vector(96 downto 0); pio_FC_stop => pio_FC_stop, -- OUT std_logic; -- downstream MRd Channel dsMRd_Req => dsMRd_Req, -- IN std_logic; dsMRd_RE => dsMRd_RE, -- OUT std_logic; dsMRd_Qout => dsMRd_Qout, -- IN std_logic_vector(96 downto 0); -- Upstream MWr/MRd Channel usTlp_Req => usTlp_Req, -- IN std_logic; usTlp_RE => usTlp_RE, -- OUT std_logic; usTlp_Qout => usTlp_Qout, -- IN std_logic_vector(96 downto 0); us_FC_stop => us_FC_stop, -- OUT std_logic; us_Last_sof => us_Last_sof, -- OUT std_logic; us_Last_eof => us_Last_eof, -- OUT std_logic; -- Irpt Channel Irpt_Req => Irpt_Req_i, -- IN std_logic; Irpt_RE => Irpt_RE_i, -- OUT std_logic; Irpt_Qout => Irpt_Qout, -- IN std_logic_vector(96 downto 0); -- Event Buffer FIFO read port eb_FIFO_re => eb_FIFO_RdEn_i, -- OUT std_logic; eb_FIFO_empty => eb_FIFO_empty , -- IN std_logic; eb_FIFO_qout => eb_FIFO_qout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Registers read Regs_RdAddr => Regs_RdAddr, -- OUT std_logic_vector(15 downto 0); Regs_RdQout => Regs_RdQout, -- IN std_logic_vector(31 downto 0); -- Message routing method Msg_Routing => Msg_Routing, -- DDR read port DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic; DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic; DDR_rdc_v => DDR_rdc_v , -- OUT std_logic; DDR_rdc_FA => DDR_rdc_FA , -- OUT std_logic; DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic; DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full => DDR_rdc_full , -- IN std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic; DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic; DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR_rdD_sof => DDR_rdD_sof , -- IN std_logic; -- DDR_rdD_eof => DDR_rdD_eof , -- IN std_logic; -- DDR_rdDout_V => DDR_rdDout_V , -- IN std_logic; -- DDR_rdDout => DDR_rdDout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional Tx_TimeOut => Tx_TimeOut, -- OUT std_logic; Tx_eb_TimeOut => Tx_eb_TimeOut, -- OUT std_logic; Format_Shower => Format_Shower, -- OUT std_logic; Tx_Reset => Tx_Reset, -- IN std_logic; mbuf_UserFull => mbuf_UserFull, -- IN std_logic; localID => localID -- IN std_logic_vector(15 downto 0) ); -- ------------------------------------------------ -- Unified memory space -- ------------------------------------------------ Memory_Space: Regs_Group PORT MAP( -- DCB protocol interface protocol_link_act => protocol_link_act , -- IN std_logic_vector(2-1 downto 0); protocol_rst => protocol_rst , -- OUT std_logic; -- Fabric side: CTL Rx ctl_rv => ctl_rv , -- OUT std_logic; ctl_rd => ctl_rd , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: CTL Tx ctl_ttake => ctl_ttake , -- OUT std_logic; ctl_tv => ctl_tv , -- IN std_logic; ctl_td => ctl_td , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); ctl_tstop => ctl_tstop , -- OUT std_logic; ctl_reset => ctl_reset , -- OUT std_logic; ctl_status => ctl_status , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Rx dlm_tv => dlm_tv , -- OUT std_logic; dlm_td => dlm_td , -- OUT std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Fabric side: DLM Tx dlm_rv => dlm_rv , -- IN std_logic; dlm_rd => dlm_rd , -- IN std_logic_vector(C_DBUS_WIDTH/2-1 downto 0); -- Event Buffer status + reset eb_FIFO_Status => eb_FIFO_Status , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); eb_FIFO_Rst => eb_FIFO_Rst , -- OUT std_logic; H2B_FIFO_Status => H2B_FIFO_Status , B2H_FIFO_Status => B2H_FIFO_Status , -- Registers Regs_WrEnA => Regs_WrEnA , -- IN std_logic; Regs_WrMaskA => Regs_WrMaskA , -- IN std_logic_vector(2-1 downto 0); Regs_WrAddrA => Regs_WrAddrA , -- IN std_logic_vector(16-1 downto 0); Regs_WrDinA => Regs_WrDinA , -- IN std_logic_vector(32-1 downto 0); Regs_WrEnB => Regs_WrEnB , -- IN std_logic; Regs_WrMaskB => Regs_WrMaskB , -- IN std_logic_vector(2-1 downto 0); Regs_WrAddrB => Regs_WrAddrB , -- IN std_logic_vector(16-1 downto 0); Regs_WrDinB => Regs_WrDinB , -- IN std_logic_vector(32-1 downto 0); Regs_RdAddr => Regs_RdAddr , -- IN std_logic_vector(15 downto 0); Regs_RdQout => Regs_RdQout , -- OUT std_logic_vector(31 downto 0); -- Downstream DMA transferred bytes count up ds_DMA_Bytes_Add => ds_DMA_Bytes_Add , -- IN std_logic; ds_DMA_Bytes => ds_DMA_Bytes , -- IN std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); -- Register values DMA_ds_PA => DMA_ds_PA_i , -- OUT std_logic_vector(63 downto 0); DMA_ds_HA => DMA_ds_HA_i , -- OUT std_logic_vector(63 downto 0); DMA_ds_BDA => DMA_ds_BDA_i , -- OUT std_logic_vector(63 downto 0); DMA_ds_Length => DMA_ds_Length_i , -- OUT std_logic_vector(31 downto 0); DMA_ds_Control => DMA_ds_Control_i , -- OUT std_logic_vector(31 downto 0); dsDMA_BDA_eq_Null => dsDMA_BDA_eq_Null , -- OUT std_logic; DMA_ds_Status => DMA_ds_Status , -- IN std_logic_vector(31 downto 0); DMA_ds_Done => DMA_ds_Done_i , -- IN std_logic; DMA_ds_Tout => DMA_ds_Tout , -- IN std_logic; dsHA_is_64b => dsHA_is_64b , -- OUT std_logic; dsBDA_is_64b => dsBDA_is_64b , -- OUT std_logic; dsLeng_Hi19b_True => dsLeng_Hi19b_True , -- OUT std_logic; dsLeng_Lo7b_True => dsLeng_Lo7b_True , -- OUT std_logic; dsDMA_Start => dsDMA_Start_i , -- OUT std_logic; dsDMA_Stop => dsDMA_Stop_i , -- OUT std_logic; dsDMA_Start2 => dsDMA_Start2_i , -- OUT std_logic; dsDMA_Stop2 => dsDMA_Stop2_i , -- OUT std_logic; dsDMA_Channel_Rst => dsDMA_Channel_Rst_i , -- OUT std_logic; dsDMA_Cmd_Ack => dsDMA_Cmd_Ack , -- IN std_logic; -- Upstream DMA transferred bytes count up us_DMA_Bytes_Add => us_DMA_Bytes_Add , -- IN std_logic; us_DMA_Bytes => us_DMA_Bytes , -- IN std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+2 downto 0); DMA_us_PA => DMA_us_PA_i , -- OUT std_logic_vector(63 downto 0); DMA_us_HA => DMA_us_HA_i , -- OUT std_logic_vector(63 downto 0); DMA_us_BDA => DMA_us_BDA_i , -- OUT std_logic_vector(63 downto 0); DMA_us_Length => DMA_us_Length_i , -- OUT std_logic_vector(31 downto 0); DMA_us_Control => DMA_us_Control_i , -- OUT std_logic_vector(31 downto 0); usDMA_BDA_eq_Null => usDMA_BDA_eq_Null , -- OUT std_logic; us_MWr_Param_Vec => us_MWr_Param_Vec , -- OUT std_logic_vector(6-1 downto 0); DMA_us_Status => DMA_us_Status , -- IN std_logic_vector(31 downto 0); DMA_us_Done => DMA_us_Done_i , -- IN std_logic; DMA_us_Tout => DMA_us_Tout , -- IN std_logic; usHA_is_64b => usHA_is_64b , -- OUT std_logic; usBDA_is_64b => usBDA_is_64b , -- OUT std_logic; usLeng_Hi19b_True => usLeng_Hi19b_True , -- OUT std_logic; usLeng_Lo7b_True => usLeng_Lo7b_True , -- OUT std_logic; usDMA_Start => usDMA_Start_i , -- OUT std_logic; usDMA_Stop => usDMA_Stop_i , -- OUT std_logic; usDMA_Start2 => usDMA_Start2_i , -- OUT std_logic; usDMA_Stop2 => usDMA_Stop2_i , -- OUT std_logic; usDMA_Channel_Rst => usDMA_Channel_Rst_i , -- OUT std_logic; usDMA_Cmd_Ack => usDMA_Cmd_Ack , -- IN std_logic; -- Reset signals MRd_Channel_Rst => MRd_Channel_Rst , -- OUT std_logic; Tx_Reset => Tx_Reset , -- OUT std_logic; -- to Interrupt module Sys_IRQ => Sys_IRQ , -- OUT std_logic_vector(31 downto 0); DAQ_irq => DAQ_irq , -- IN std_logic; CTL_irq => CTL_irq , -- IN std_logic; DLM_irq => DLM_irq , -- IN std_logic; DAQTOUT_irq => DAQTOUT_irq , -- IN std_logic; CTLTOUT_irq => CTLTOUT_irq , -- IN std_logic; DLMTOUT_irq => DLMTOUT_irq , -- IN std_logic; Sys_Int_Enable => Sys_Int_Enable , -- System error and info eb_FIFO_ow => eb_FIFO_ow , Tx_TimeOut => Tx_TimeOut , Tx_eb_TimeOut => Tx_eb_TimeOut , Msg_Routing => Msg_Routing , pcie_link_width => pcie_link_width , cfg_dcommand => cfg_dcommand , -- Interrupt Generation Signals IG_Reset => IG_Reset , IG_Host_Clear => IG_Host_Clear , IG_Latency => IG_Latency , IG_Num_Assert => IG_Num_Assert , IG_Num_Deassert => IG_Num_Deassert , IG_Asserting => IG_Asserting , -- Data generator control DG_is_Running => DG_is_Running , DG_Reset => DG_Reset , DG_Mask => DG_Mask , -- SIMONE Register: PC-->FPGA reg01_tv => reg01_tv, reg01_td => reg01_td, reg02_tv => reg02_tv, reg02_td => reg02_td, reg03_tv => reg03_tv, reg03_td => reg03_td, reg04_tv => reg04_tv, reg04_td => reg04_td, reg05_tv => reg05_tv, reg05_td => reg05_td, reg06_tv => reg06_tv, reg06_td => reg06_td, reg07_tv => reg07_tv, reg07_td => reg07_td, reg08_tv => reg08_tv, reg08_td => reg08_td, reg09_tv => reg09_tv, reg09_td => reg09_td, reg10_tv => reg10_tv, reg10_td => reg10_td, reg11_tv => reg11_tv, reg11_td => reg11_td, reg12_tv => reg12_tv, reg12_td => reg12_td, reg13_tv => reg13_tv, reg13_td => reg13_td, reg14_tv => reg14_tv, reg14_td => reg14_td, reg15_tv => reg15_tv, reg15_td => reg15_td, reg16_tv => reg16_tv, reg16_td => reg16_td, reg17_tv => reg17_tv, reg17_td => reg17_td, reg18_tv => reg18_tv, reg18_td => reg18_td, reg19_tv => reg19_tv, reg19_td => reg19_td, reg20_tv => reg20_tv, reg20_td => reg20_td, reg21_tv => reg21_tv, reg21_td => reg21_td, reg22_tv => reg22_tv, reg22_td => reg22_td, reg23_tv => reg23_tv, reg23_td => reg23_td, reg24_tv => reg24_tv, reg24_td => reg24_td, reg25_tv => reg25_tv, reg25_td => reg25_td, -- SIMONE Register: FPGA-->PC reg01_rv => reg01_rv, reg01_rd => reg01_rd, reg02_rv => reg02_rv, reg02_rd => reg02_rd, reg03_rv => reg03_rv, reg03_rd => reg03_rd, reg04_rv => reg04_rv, reg04_rd => reg04_rd, reg05_rv => reg05_rv, reg05_rd => reg05_rd, reg06_rv => reg06_rv, reg06_rd => reg06_rd, reg07_rv => reg07_rv, reg07_rd => reg07_rd, reg08_rv => reg08_rv, reg08_rd => reg08_rd, reg09_rv => reg09_rv, reg09_rd => reg09_rd, reg10_rv => reg10_rv, reg10_rd => reg10_rd, reg11_rv => reg11_rv, reg11_rd => reg11_rd, reg12_rv => reg12_rv, reg12_rd => reg12_rd, reg13_rv => reg13_rv, reg13_rd => reg13_rd, reg14_rv => reg14_rv, reg14_rd => reg14_rd, reg15_rv => reg15_rv, reg15_rd => reg15_rd, reg16_rv => reg16_rv, reg16_rd => reg16_rd, reg17_rv => reg17_rv, reg17_rd => reg17_rd, reg18_rv => reg18_rv, reg18_rd => reg18_rd, reg19_rv => reg19_rv, reg19_rd => reg19_rd, reg20_rv => reg20_rv, reg20_rd => reg20_rd, reg21_rv => reg21_rv, reg21_rd => reg21_rd, reg22_rv => reg22_rv, reg22_rd => reg22_rd, reg23_rv => reg23_rv, reg23_rd => reg23_rd, reg24_rv => reg24_rv, reg24_rd => reg24_rd, reg25_rv => reg25_rv, reg25_rd => reg25_rd, -- SIMONE debug signals debug_in_1i => debug_in_1i, debug_in_2i => debug_in_2i, debug_in_3i => debug_in_3i, debug_in_4i => debug_in_4i, -- Common trn_clk => trn_clk , -- IN std_logic; trn_lnk_up_n => trn_lnk_up_n , -- IN std_logic, trn_reset_n => trn_reset_n -- IN std_logic; ); end architecture Behavioral;
gpl-2.0
SonicFrog/CPU
register_file.vhd
1
1916
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity register_file is port( clk : in std_logic; aa : in std_logic_vector( 4 downto 0); ab : in std_logic_vector( 4 downto 0); aw : in std_logic_vector( 4 downto 0); wren : in std_logic; wrdata : in std_logic_vector(31 downto 0); a : out std_logic_vector(31 downto 0); b : out std_logic_vector(31 downto 0) ); end register_file; architecture synth of register_file is type reg_type is array (0 to 31) of std_logic_vector(31 downto 0); signal reg_array : reg_type := (others=>(others=>'0')); signal decoder : std_logic_vector(31 downto 0); begin -- asynchronous read (1396 LUT) --a <= reg_array(conv_integer(aa)) when aa/=0 else (others=>'0'); --b <= reg_array(conv_integer(ab)) when ab/=0 else (others=>'0'); -- asynchronous read (1396 LUT) a <= reg_array(conv_integer(aa)); b <= reg_array(conv_integer(ab)); -- synchronous write (1403 LUT) -- process(clk) -- begin -- if(rising_edge(clk))then -- if(wren='1')then -- reg_array(conv_integer(aw)) <= wrdata; -- end if; -- -- fix register 0 to 0 -- reg_array(0) <= (others => '0'); -- end if; -- end process; -- synchronous write (1393 LUT) process(clk) begin if(rising_edge(clk))then if(wren='1' and aw /= 0)then reg_array(conv_integer(aw)) <= wrdata; end if; -- fix register 0 to 0 --reg_array(0) <= (others => '0'); end if; end process; -- -- synchronous write (1392 LU) -- process(clk) -- begin -- if(rising_edge(clk))then -- if(wren='1')then -- reg_array(conv_integer(aw)) <= wrdata; -- end if; -- end if; -- end process; end synth;
gpl-2.0
alpha0010/doxygen
examples/mux.vhdl
37
860
------------------------------------------------------- --! @file --! @brief 2:1 Mux using with-select ------------------------------------------------------- --! Use standard library library ieee; --! Use logic elements use ieee.std_logic_1164.all; --! Mux entity brief description --! Detailed description of this --! mux design element. entity mux_using_with is port ( din_0 : in std_logic; --! Mux first input din_1 : in std_logic; --! Mux Second input sel : in std_logic; --! Select input mux_out : out std_logic --! Mux output ); end entity; --! @brief Architecture definition of the MUX --! @details More details about this mux element. architecture behavior of mux_using_with is begin with (sel) select mux_out <= din_0 when '0', din_1 when others; end architecture;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_serloop/nexys3/sys_tst_serloop1_n3.vhd
1
7606
-- $Id: sys_tst_serloop1_n3.vhd 476 2013-01-26 22:23:53Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: sys_tst_serloop1_n3 - syn -- Description: Tester serial link for nexys3 (serport_1clock case) -- -- Dependencies: genlib/clkdivce -- bpgen/bp_rs232_2l4l_iob -- bpgen/sn_humanio -- tst_serloop_hiomap -- vlib/serport/serport_1clock -- tst_serloop -- vlib/nxcramlib/nx_cram_dummy -- -- Test bench: - -- -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2011-12-11 438 13.1 O40d xc6slx16-2 419 650 32 221 t 7.7 -- -- Revision History: -- Date Rev Version Comment -- 2011-12-11 438 1.0 Initial version (derived from sys_tst_serloop_n3) ------------------------------------------------------------------------------ -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.xlib.all; use work.genlib.all; use work.bpgenlib.all; use work.tst_serlooplib.all; use work.serportlib.all; use work.nxcramlib.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity sys_tst_serloop1_n3 is -- top level -- implements nexys3_fusp_aif port ( I_CLK100 : in slbit; -- 100 MHz clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) I_SWI : in slv8; -- n3 switches I_BTN : in slv5; -- n3 buttons O_LED : out slv8; -- n3 leds O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16; -- cram: data lines O_PPCM_CE_N : out slbit; -- ppcm: ... O_PPCM_RST_N : out slbit; -- ppcm: ... O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n I_FUSP_RXD : in slbit; -- fusp: rs232 rx O_FUSP_TXD : out slbit -- fusp: rs232 tx ); end sys_tst_serloop1_n3; architecture syn of sys_tst_serloop1_n3 is signal CLK : slbit := '0'; signal RESET : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RXD : slbit := '0'; signal TXD : slbit := '0'; signal CTS_N : slbit := '0'; signal RTS_N : slbit := '0'; signal SWI : slv8 := (others=>'0'); signal BTN : slv5 := (others=>'0'); signal LED : slv8 := (others=>'0'); signal DSP_DAT : slv16 := (others=>'0'); signal DSP_DP : slv4 := (others=>'0'); signal HIO_CNTL : hio_cntl_type := hio_cntl_init; signal HIO_STAT : hio_stat_type := hio_stat_init; signal RXDATA : slv8 := (others=>'0'); signal RXVAL : slbit := '0'; signal RXHOLD : slbit := '0'; signal TXDATA : slv8 := (others=>'0'); signal TXENA : slbit := '0'; signal TXBUSY : slbit := '0'; signal SER_MONI : serport_moni_type := serport_moni_init; begin CLK <= I_CLK100; CLKDIV : clkdivce generic map ( CDUWIDTH => 8, USECDIV => sys_conf_clkdiv_usecdiv, -- syn: 100 sim: 20 MSECDIV => sys_conf_clkdiv_msecdiv) -- syn: 1000 sim: 5 port map ( CLK => CLK, CE_USEC => open, CE_MSEC => CE_MSEC ); HIO : sn_humanio generic map ( BWIDTH => 5, DEBOUNCE => sys_conf_hio_debounce) port map ( CLK => CLK, RESET => '0', CE_MSEC => CE_MSEC, SWI => SWI, BTN => BTN, LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => O_LED, O_ANO_N => O_ANO_N, O_SEG_N => O_SEG_N ); RESET <= BTN(0); -- BTN(0) will reset tester !! HIOMAP : tst_serloop_hiomap port map ( CLK => CLK, RESET => RESET, HIO_CNTL => HIO_CNTL, HIO_STAT => HIO_STAT, SER_MONI => SER_MONI, SWI => SWI, BTN => BTN(3 downto 0), LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP ); IOB_RS232 : bp_rs232_2l4l_iob port map ( CLK => CLK, RESET => '0', SEL => SWI(0), -- port selection RXD => RXD, TXD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, I_RXD0 => I_RXD, O_TXD0 => O_TXD, I_RXD1 => I_FUSP_RXD, O_TXD1 => O_FUSP_TXD, I_CTS1_N => I_FUSP_CTS_N, O_RTS1_N => O_FUSP_RTS_N ); SERPORT : serport_1clock generic map ( CDWIDTH => 15, CDINIT => sys_conf_uart_cdinit, RXFAWIDTH => 5, TXFAWIDTH => 5) port map ( CLK => CLK, CE_MSEC => CE_MSEC, RESET => RESET, ENAXON => HIO_CNTL.enaxon, ENAESC => HIO_CNTL.enaesc, RXDATA => RXDATA, RXVAL => RXVAL, RXHOLD => RXHOLD, TXDATA => TXDATA, TXENA => TXENA, TXBUSY => TXBUSY, MONI => SER_MONI, RXSD => RXD, TXSD => TXD, RXRTS_N => RTS_N, TXCTS_N => CTS_N ); TESTER : tst_serloop port map ( CLK => CLK, RESET => RESET, CE_MSEC => CE_MSEC, HIO_CNTL => HIO_CNTL, HIO_STAT => HIO_STAT, SER_MONI => SER_MONI, RXDATA => RXDATA, RXVAL => RXVAL, RXHOLD => RXHOLD, TXDATA => TXDATA, TXENA => TXENA, TXBUSY => TXBUSY ); SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy port map ( O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CLK => O_MEM_CLK, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled O_PPCM_RST_N <= '1'; -- end syn;
gpl-2.0
freecores/w11
rtl/vlib/xlib/xlib.vhd
1
8857
-- $Id: xlib.vhd 538 2013-10-06 17:21:25Z mueller $ -- -- Copyright 2007-2013 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Package Name: xlib -- Description: Xilinx specific components -- -- Dependencies: - -- Tool versions: xst 8.2, 9.1, 9.2, 13.1, 14.5, 14.6; ghdl 0.18-0.29 -- Revision History: -- Date Rev Version Comment -- 2013-10-06 538 1.0.10 add s6_cmt_sfs -- 2013-09-28 535 1.0.9 add s7_cmt_sfs -- 2011-11-24 432 1.0.8 add iob_oddr2_simple -- 2011-11-17 426 1.0.7 rename dcm_sp_sfs -> dcm_sfs; remove family generic -- 2011-11-10 423 1.0.6 add family generic for dcm_sp_sfs -- 2010-11-07 337 1.0.5 add dcm_sp_sfs -- 2008-05-23 149 1.0.4 add iob_io(_gen) -- 2008-05-22 148 1.0.3 add iob_keeper(_gen); -- 2008-05-18 147 1.0.2 add PULL generic to iob_reg_io(_gen) -- 2007-12-16 101 1.0.1 add INIT generic ports -- 2007-12-08 100 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; package xlib is component iob_reg_i is -- registered IOB, input generic ( INIT : slbit := '0'); -- initial state port ( CLK : in slbit; -- clock CE : in slbit := '1'; -- clock enable DI : out slbit; -- input data PAD : in slbit -- i/o pad ); end component; component iob_reg_i_gen is -- registered IOB, input, vector generic ( DWIDTH : positive := 16; -- data port width INIT : slbit := '0'); -- initial state port ( CLK : in slbit; -- clock CE : in slbit := '1'; -- clock enable DI : out slv(DWIDTH-1 downto 0); -- input data PAD : in slv(DWIDTH-1 downto 0) -- i/o pad ); end component; component iob_reg_o is -- registered IOB, output generic ( INIT : slbit := '0'); -- initial state port ( CLK : in slbit; -- clock CE : in slbit := '1'; -- clock enable DO : in slbit; -- output data PAD : out slbit -- i/o pad ); end component; component iob_reg_o_gen is -- registered IOB, output, vector generic ( DWIDTH : positive := 16; -- data port width INIT : slbit := '0'); -- initial state port ( CLK : in slbit; -- clock CE : in slbit := '1'; -- clock enable DO : in slv(DWIDTH-1 downto 0); -- output data PAD : out slv(DWIDTH-1 downto 0) -- i/o pad ); end component; component iob_reg_io is -- registered IOB, in/output generic ( INITI : slbit := '0'; -- initial state ( in flop) INITO : slbit := '0'; -- initial state (out flop) INITE : slbit := '0'; -- initial state ( oe flop) PULL : string := "NONE"); -- pull-up,-down or keeper port ( CLK : in slbit; -- clock CEI : in slbit := '1'; -- clock enable ( in flops) CEO : in slbit := '1'; -- clock enable (out flops) OE : in slbit; -- output enable DI : out slbit; -- input data (read from pad) DO : in slbit; -- output data (write to pad) PAD : inout slbit -- i/o pad ); end component; component iob_reg_io_gen is -- registered IOB, in/output, vector generic ( DWIDTH : positive := 16; -- data port width INITI : slbit := '0'; -- initial state ( in flop) INITO : slbit := '0'; -- initial state (out flop) INITE : slbit := '0'; -- initial state ( oe flop) PULL : string := "NONE"); -- pull-up,-down or keeper port ( CLK : in slbit; -- clock CEI : in slbit := '1'; -- clock enable ( in flops) CEO : in slbit := '1'; -- clock enable (out flops) OE : in slbit; -- output enable DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad) DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad) PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad ); end component; component iob_io is -- un-registered IOB, in/output generic ( PULL : string := "NONE"); -- pull-up,-down or keeper port ( OE : in slbit; -- output enable DI : out slbit; -- input data (read from pad) DO : in slbit; -- output data (write to pad) PAD : inout slbit -- i/o pad ); end component; component iob_oddr2_simple is -- DDR2 output I/O pad generic ( ALIGN : string := "NONE"; -- ddr_alignment INIT : slbit := '0'); -- initial state port ( CLK : in slbit; -- clock CE : in slbit := '1'; -- clock enable DO0 : in slbit; -- output data DO1 : in slbit; -- output data PAD : out slbit -- i/o pad ); end component; component iob_io_gen is -- un-registered IOB, in/output, vector generic ( DWIDTH : positive := 16; -- data port width PULL : string := "NONE"); -- pull-up,-down or keeper port ( OE : in slbit; -- output enable DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad) DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad) PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad ); end component; component iob_keeper is -- keeper for IOB port ( PAD : inout slbit -- i/o pad ); end component; component iob_keeper_gen is -- keeper for IOB, vector generic ( DWIDTH : positive := 16); -- data port width port ( PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad ); end component; component dcm_sfs is -- DCM for simple frequency synthesis generic ( CLKFX_DIVIDE : positive := 2; -- FX clock divide (1-32) CLKFX_MULTIPLY : positive := 2; -- FX clock multiply (2-32) (1->no DCM) CLKIN_PERIOD : real := 20.0); -- CLKIN period (def is 20.0 ns) port ( CLKIN : in slbit; -- clock input CLKFX : out slbit; -- clock output (synthesized freq.) LOCKED : out slbit -- dcm locked ); end component; component s7_cmt_sfs is -- 7-Series CMT for simple freq. synth. generic ( VCO_DIVIDE : positive := 1; -- vco clock divide VCO_MULTIPLY : positive := 1; -- vco clock multiply OUT_DIVIDE : positive := 1; -- output divide CLKIN_PERIOD : real := 10.0; -- CLKIN period (def is 10.0 ns) CLKIN_JITTER : real := 0.01; -- CLKIN jitter (def is 10 ps) STARTUP_WAIT : boolean := false; -- hold FPGA startup till LOCKED GEN_TYPE : string := "PLL"); -- PLL or MMCM port ( CLKIN : in slbit; -- clock input CLKFX : out slbit; -- clock output (synthesized freq.) LOCKED : out slbit -- pll/mmcm locked ); end component; component s6_cmt_sfs is -- Spartan-6 CMT for simple freq. synth. generic ( VCO_DIVIDE : positive := 1; -- vco clock divide VCO_MULTIPLY : positive := 1; -- vco clock multiply OUT_DIVIDE : positive := 1; -- output divide CLKIN_PERIOD : real := 10.0; -- CLKIN period (def is 10.0 ns) CLKIN_JITTER : real := 0.01; -- CLKIN jitter (def is 10 ps) STARTUP_WAIT : boolean := false; -- hold FPGA startup till LOCKED GEN_TYPE : string := "PLL"); -- PLL or DCM port ( CLKIN : in slbit; -- clock input CLKFX : out slbit; -- clock output (synthesized freq.) LOCKED : out slbit -- pll/mmcm locked ); end component; end package xlib;
gpl-2.0
freecores/w11
rtl/w11a/pdp11_mem70.vhd
2
6381
-- $Id: pdp11_mem70.vhd 427 2011-11-19 21:04:11Z mueller $ -- -- Copyright 2008-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: pdp11_mem70 - syn -- Description: pdp11: 11/70 memory system registers -- -- Dependencies: - -- Test bench: tb/tb_pdp11_core (implicit) -- Target Devices: generic -- Tool versions: xst 8.2, 9.1, 9.2, 12.1, 13.1; ghdl 0.18-0.29 -- -- Revision History: -- Date Rev Version Comment -- 2011-11-18 427 1.1.1 now numeric_std clean -- 2010-10-17 333 1.1 use ibus V2 interface -- 2008-08-22 161 1.0.2 rename ubf_ -> ibf_; use iblib -- 2008-02-23 118 1.0.1 use sys_conf_mem_losize; rename CACHE_ENA->_FMISS -- 2008-01-27 115 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.iblib.all; use work.pdp11.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity pdp11_mem70 is -- 11/70 memory system registers port ( CLK : in slbit; -- clock CRESET : in slbit; -- console reset HM_ENA : in slbit; -- hit/miss enable HM_VAL : in slbit; -- hit/miss value CACHE_FMISS : out slbit; -- cache force miss IB_MREQ : in ib_mreq_type; -- ibus request IB_SRES : out ib_sres_type -- ibus response ); end pdp11_mem70; architecture syn of pdp11_mem70 is constant ibaddr_loaddr : slv16 := slv(to_unsigned(8#177740#,16)); constant ibaddr_hiaddr : slv16 := slv(to_unsigned(8#177742#,16)); constant ibaddr_syserr : slv16 := slv(to_unsigned(8#177744#,16)); constant ibaddr_cntl : slv16 := slv(to_unsigned(8#177746#,16)); constant ibaddr_maint : slv16 := slv(to_unsigned(8#177750#,16)); constant ibaddr_hm : slv16 := slv(to_unsigned(8#177752#,16)); constant ibaddr_losize : slv16 := slv(to_unsigned(8#177760#,16)); constant ibaddr_hisize : slv16 := slv(to_unsigned(8#177762#,16)); subtype cntl_ibf_frep is integer range 5 downto 4; subtype cntl_ibf_fmiss is integer range 3 downto 2; constant cntl_ibf_disutrap : integer := 1; constant cntl_ibf_distrap : integer := 0; type regs_type is record -- state registers ibsel_cr : slbit; -- ibus select cntl ibsel_hm : slbit; -- ibus select hitmiss ibsel_ls : slbit; -- ibus select losize ibsel_nn : slbit; -- ibus select others hm_data : slv6; -- hit/miss: data cr_frep : slv2; -- cntl: force replacement bits cr_fmiss : slv2; -- cntl: force miss bits cr_disutrap: slbit; -- cntl: disable unibus trap cr_distrap: slbit; -- cntl: disable traps end record regs_type; constant regs_init : regs_type := ( '0','0','0','0', -- ibsel_* (others=>'0'), -- hm_data "00","00", -- cr_freq,_fmiss '0','0' -- dis(u)trap ); signal R_REGS : regs_type := regs_init; signal N_REGS : regs_type := regs_init; begin proc_regs: process (CLK) begin if rising_edge(CLK) then if CRESET = '1' then R_REGS <= regs_init; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next: process (R_REGS, HM_ENA, HM_VAL, IB_MREQ) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable idout : slv16 := (others=>'0'); variable ibreq : slbit := '0'; variable ibw0 : slbit := '0'; begin r := R_REGS; n := R_REGS; idout := (others=>'0'); ibreq := IB_MREQ.re or IB_MREQ.we; ibw0 := IB_MREQ.we and IB_MREQ.be0; -- ibus address decoder n.ibsel_cr := '0'; n.ibsel_hm := '0'; n.ibsel_ls := '0'; n.ibsel_nn := '0'; if IB_MREQ.aval = '1' then if IB_MREQ.addr = ibaddr_cntl(12 downto 1) then n.ibsel_cr := '1'; end if; if IB_MREQ.addr = ibaddr_hm(12 downto 1) then n.ibsel_hm := '1'; end if; if IB_MREQ.addr = ibaddr_losize(12 downto 1) then n.ibsel_ls := '1'; end if; if IB_MREQ.addr=ibaddr_loaddr(12 downto 1) or IB_MREQ.addr=ibaddr_hiaddr(12 downto 1) or IB_MREQ.addr=ibaddr_syserr(12 downto 1) or IB_MREQ.addr=ibaddr_maint(12 downto 1) or IB_MREQ.addr=ibaddr_hisize(12 downto 1) then n.ibsel_nn := '1'; end if; end if; -- ibus transactions if r.ibsel_cr = '1' then idout(cntl_ibf_frep) := r.cr_frep; idout(cntl_ibf_fmiss) := r.cr_fmiss; idout(cntl_ibf_disutrap) := r.cr_disutrap; idout(cntl_ibf_distrap) := r.cr_distrap; end if; if r.ibsel_hm = '1' then idout(r.hm_data'range) := r.hm_data; end if; if r.ibsel_ls = '1' then idout := slv(to_unsigned(sys_conf_mem_losize,16)); end if; if r.ibsel_cr='1' and ibw0='1' then n.cr_frep := IB_MREQ.din(cntl_ibf_frep); n.cr_fmiss := IB_MREQ.din(cntl_ibf_fmiss); n.cr_disutrap := IB_MREQ.din(cntl_ibf_disutrap); n.cr_distrap := IB_MREQ.din(cntl_ibf_distrap); end if; if HM_ENA = '1' then n.hm_data := r.hm_data(r.hm_data'left-1 downto 0) & HM_VAL; end if; N_REGS <= n; IB_SRES.dout <= idout; IB_SRES.ack <= (r.ibsel_cr or r.ibsel_hm or r.ibsel_ls or r.ibsel_nn) and ibreq; IB_SRES.busy <= '0'; end process proc_next; CACHE_FMISS <= (R_REGS.cr_fmiss(1) or R_REGS.cr_fmiss(0)); end syn;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_serloop/tst_serlooplib.vhd
1
4996
-- $Id: tst_serlooplib.vhd 476 2013-01-26 22:23:53Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Package Name: tst_serlooplib -- Description: Definitions for tst_serloop records and helpers -- -- Dependencies: - -- Tool versions: xst 13.1; ghdl 0.29 -- Revision History: -- Date Rev Version Comment -- 2011-12-10 438 1.0.2 add rxui(cnt|dat) fields in hio_stat_type -- 2011-12-09 437 1.0.1 rename serport stat->moni port -- 2011-10-14 416 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; use work.serportlib.all; package tst_serlooplib is constant c_mode_idle : slv2 := "00"; -- mode: idle (no tx activity) constant c_mode_rxblast : slv2 := "01"; -- mode: rxblast (check rx activity) constant c_mode_txblast : slv2 := "10"; -- mode: txblast (saturate tx) constant c_mode_loop : slv2 := "11"; -- mode: loop (rx->tx loop-back) type hio_cntl_type is record -- humanio controls mode : slv2; -- mode (idle,(tx|tx)blast,loop) enaxon : slbit; -- enable xon/xoff handling enaesc : slbit; -- enable xon/xoff escaping enathrottle : slbit; -- enable 1 msec tx throttling enaftdi : slbit; -- enable ftdi flush handling end record hio_cntl_type; constant hio_cntl_init : hio_cntl_type := ( c_mode_idle, -- mode '0','0','0','0' -- enaxon,enaesc,enathrottle,enaftdi ); type hio_stat_type is record -- humanio status rxfecnt : slv16; -- rx frame error counter rxoecnt : slv16; -- rx overrun error counter rxsecnt : slv16; -- rx sequence error counter rxcnt : slv32; -- rx char counter txcnt : slv32; -- tx char counter rxuicnt : slv8; -- rx unsolicited input counter rxuidat : slv8; -- rx unsolicited input data rxokcnt : slv16; -- rxok 1->0 transition counter txokcnt : slv16; -- txok 1->0 transition counter end record hio_stat_type; constant hio_stat_init : hio_stat_type := ( (others=>'0'), -- rxfecnt (others=>'0'), -- rxoecnt (others=>'0'), -- rxsecnt (others=>'0'), -- rxcnt (others=>'0'), -- txcnt (others=>'0'), -- rxuicnt (others=>'0'), -- rxuidat (others=>'0'), -- rxokcnt (others=>'0') -- txokcnt ); -- ------------------------------------- component tst_serloop is -- tester for serport components port ( CLK : in slbit; -- clock RESET : in slbit; -- reset CE_MSEC : in slbit; -- msec pulse HIO_CNTL : in hio_cntl_type; -- humanio controls HIO_STAT : out hio_stat_type; -- humanio status SER_MONI : in serport_moni_type; -- serport monitor RXDATA : in slv8; -- receiver data out RXVAL : in slbit; -- receiver data valid RXHOLD : out slbit; -- receiver data hold TXDATA : out slv8; -- transmit data in TXENA : out slbit; -- transmit data enable TXBUSY : in slbit -- transmit busy ); end component; component tst_serloop_hiomap is -- default human I/O mapper port ( CLK : in slbit; -- clock RESET : in slbit; -- reset HIO_CNTL : out hio_cntl_type; -- tester controls from hio HIO_STAT : in hio_stat_type; -- tester status to display by hio SER_MONI : in serport_moni_type; -- serport monitor to display by hio SWI : in slv8; -- switch settings BTN : in slv4; -- button settings LED : out slv8; -- led data DSP_DAT : out slv16; -- display data DSP_DP : out slv4 -- display decimal points ); end component; end package tst_serlooplib;
gpl-2.0
freecores/w11
rtl/vlib/rlink/tb/tbcore_rlink.vhd
1
8688
-- $Id: tbcore_rlink.vhd 469 2013-01-05 12:29:44Z mueller $ -- -- Copyright 2010-2013 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tbcore_rlink - sim -- Description: Core for a rlink_cext based test bench -- -- Dependencies: simlib/simclkcnt -- -- To test: generic, any rlink_cext based target -- -- Target Devices: generic -- Tool versions: xst 11.4, 13.1; ghdl 0.26-0.29 -- Revision History: -- Date Rev Version Comment -- 2013-01-04 469 3.1.2 use 1ns wait for .sinit to allow simbus debugging -- 2011-12-25 445 3.1.1 add SB_ init drivers to avoid SB_VAL='U' at start -- 2011-12-23 444 3.1 redo clock handling, remove simclk, CLK now input -- 2011-11-19 427 3.0.1 now numeric_std clean -- 2010-12-29 351 3.0 rename rritb_core->tbcore_rlink; use rbv3 naming -- 2010-06-05 301 1.1.2 rename .rpmon -> .rbmon -- 2010-05-02 287 1.1.1 rename config command .sdata -> .sinit; -- use sbcntl_sbf_(cp|rp)mon defs, use rritblib; -- 2010-04-25 283 1.1 new clk handling in proc_stim, wait period-setup -- 2010-04-24 282 1.0 Initial version (from vlib/s3board/tb/tb_s3board) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simlib.all; use work.simbus.all; use work.rblib.all; use work.rlinklib.all; use work.rlinktblib.all; use work.rlink_cext_vhpi.all; entity tbcore_rlink is -- core of rlink_cext based test bench port ( CLK : in slbit; -- control interface clock CLK_STOP : out slbit; -- clock stop trigger RX_DATA : out slv8; -- read data (data ext->tb) RX_VAL : out slbit; -- read data valid (data ext->tb) RX_HOLD : in slbit; -- read data hold (data ext->tb) TX_DATA : in slv8; -- write data (data tb->ext) TX_ENA : in slbit -- write data enable (data tb->ext) ); end tbcore_rlink; architecture sim of tbcore_rlink is signal CLK_CYCLE : integer := 0; begin CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE); proc_conf: process file fconf : text open read_mode is "rlink_cext_conf"; variable iline : line; variable oline : line; variable ok : boolean; variable dname : string(1 to 6) := (others=>' '); variable ien : slbit := '0'; variable ibit : integer := 0; variable iaddr : slv8 := (others=>'0'); variable idata : slv16 := (others=>'0'); begin SB_CNTL <= (others=>'L'); SB_VAL <= 'L'; SB_ADDR <= (others=>'L'); SB_DATA <= (others=>'L'); file_loop: while not endfile(fconf) loop readline (fconf, iline); readcomment(iline, ok); next file_loop when ok; readword(iline, dname, ok); if ok then case dname is when ".scntl" => -- .scntl read_ea(iline, ibit); read_ea(iline, ien); assert (ibit>=SB_CNTL'low and ibit<=SB_CNTL'high) report "assert bit number in range of SB_CNTL" severity failure; if ien = '1' then SB_CNTL(ibit) <= 'H'; else SB_CNTL(ibit) <= 'L'; end if; when ".rlmon" => -- .rlmon read_ea(iline, ien); if ien = '1' then SB_CNTL(sbcntl_sbf_rlmon) <= 'H'; else SB_CNTL(sbcntl_sbf_rlmon) <= 'L'; end if; when ".rbmon" => -- .rbmon read_ea(iline, ien); if ien = '1' then SB_CNTL(sbcntl_sbf_rbmon) <= 'H'; else SB_CNTL(sbcntl_sbf_rbmon) <= 'L'; end if; when ".sinit" => -- .sinit readgen_ea(iline, iaddr, 8); readgen_ea(iline, idata, 8); SB_ADDR <= iaddr; SB_DATA <= idata; SB_VAL <= 'H'; wait for 1 ns; SB_VAL <= 'L'; SB_ADDR <= (others=>'L'); SB_DATA <= (others=>'L'); wait for 1 ns; when others => -- bad command write(oline, string'("?? unknown command: ")); write(oline, dname); writeline(output, oline); report "aborting" severity failure; end case; else report "failed to find command" severity failure; end if; testempty_ea(iline); end loop; -- file_loop: SB_VAL <= 'L'; SB_ADDR <= (others=>'L'); SB_DATA <= (others=>'L'); wait; -- halt process here end process proc_conf; proc_stim: process variable irxint : integer := 0; variable irxslv : slv24 := (others=>'0'); variable ibit : integer := 0; variable oline : line; variable r_sb_cntl : slv16 := (others=>'Z'); variable iaddr : slv8 := (others=>'0'); variable idata : slv16 := (others=>'0'); begin -- setup init values for all output ports CLK_STOP <= '0'; RX_DATA <= (others=>'0'); RX_VAL <= '0'; SB_VAL <= 'Z'; SB_ADDR <= (others=>'Z'); SB_DATA <= (others=>'Z'); -- wait for 10 clock cycles (design run up) for i in 0 to 9 loop wait until rising_edge(CLK); end loop; -- i stim_loop: loop wait until falling_edge(CLK); SB_ADDR <= (others=>'Z'); SB_DATA <= (others=>'Z'); RX_VAL <= '0'; if RX_HOLD = '0' then irxint := rlink_cext_getbyte(CLK_CYCLE); if irxint >= 0 then if irxint <= 16#ff# then -- normal data byte RX_DATA <= slv(to_unsigned(irxint, 8)); RX_VAL <= '1'; elsif irxint >= 16#1000000# then -- out-of-band message irxslv := slv(to_unsigned(irxint mod 16#1000000#, 24)); iaddr := irxslv(23 downto 16); idata := irxslv(15 downto 0); writetimestamp(oline, CLK_CYCLE, ": OOB-MSG"); write(oline, irxslv(23 downto 16), right, 9); write(oline, irxslv(15 downto 8), right, 9); write(oline, irxslv( 7 downto 0), right, 9); write(oline, string'(" : ")); writeoct(oline, iaddr, right, 3); writeoct(oline, idata, right, 7); writeline(output, oline); if unsigned(iaddr) = 0 then ibit := to_integer(unsigned(idata(15 downto 8))); r_sb_cntl(ibit) := idata(0); else SB_ADDR <= iaddr; SB_DATA <= idata; SB_VAL <= '1'; wait for 0 ns; SB_VAL <= 'Z'; wait for 0 ns; end if; end if; elsif irxint = -1 then -- end-of-file seen exit stim_loop; else report "rlink_cext_getbyte error: " & integer'image(-irxint) severity failure; end if; end if; SB_CNTL <= r_sb_cntl; end loop; -- wait for 50 clock cycles (design run down) for i in 0 to 49 loop wait until rising_edge(CLK); end loop; -- i CLK_STOP <= '1'; writetimestamp(oline, CLK_CYCLE, ": DONE "); writeline(output, oline); wait; -- suspend proc_stim forever -- clock is stopped, sim will end end process proc_stim; proc_moni: process variable itxdata : integer := 0; variable itxrc : integer := 0; variable oline : line; begin loop wait until rising_edge(CLK); if TX_ENA = '1' then itxdata := to_integer(unsigned(TX_DATA)); itxrc := rlink_cext_putbyte(itxdata); assert itxrc=0 report "rlink_cext_putbyte error: " & integer'image(itxrc) severity failure; end if; end loop; end process proc_moni; end sim;
gpl-2.0
freecores/w11
rtl/vlib/rlink/tb/tb_rlink.vhd
1
23804
-- $Id: tb_rlink.vhd 444 2011-12-25 10:04:58Z mueller $ -- -- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_rlink - sim -- Description: Test bench for rlink_core -- -- Dependencies: simlib/simclk -- simlib/simclkcnt -- genlib/clkdivce -- rbus/tbd_tester -- rbus/rb_mon -- rlink/rlink_mon -- tbd_rlink_gen [UUT] -- -- To test: rlink_core (via tbd_rlink_direct) -- rlink_base (via tbd_rlink_serport) -- rlink_serport (via tbd_rlink_serport) -- -- Target Devices: generic -- Tool versions: xst 8.2, 9.1, 9.2, 11.4, 12.1, 13.1; ghdl 0.18-0.29 -- -- Revision History: -- Date Rev Version Comment -- 2011-12-23 444 3.1 use new simclk/simclkcnt -- 2011-11-19 427 3.0.7 fix crc8_update_tbl usage; now numeric_std clean -- 2010-12-29 351 3.0.6 use new rbd_tester addr 111100xx (from 111101xx) -- 2010-12-26 348 3.0.5 use simbus to export clkcycle (for tbd_..serport) -- 2010-12-23 347 3.0.4 use rb_mon, rlink_mon directly; rename CP_*->RL_* -- 2010-12-22 346 3.0.3 add .rlmon and .rbmon commands -- 2010-12-21 345 3.0.2 rename commands .[rt]x... to [rt]x...; -- add .[rt]x(idle|attn) cmds; remove 'bbbbbbbb' cmd -- 2010-12-12 344 3.0.1 add .attn again; add .txbad, .txoof; ren oob->oof -- 2010-12-05 343 3.0 rri->rlink renames; port to rbus V3 protocol; -- use rbd_tester instead of sim target; -- 2010-06-06 302 2.5 use sop/eop framing instead of soc+chaining -- 2010-06-03 299 2.2.2 new init encoding (WE=0/1 int/ext);use sv_ prefix -- for shared variables -- 2010-05-02 287 2.2.1 ren CE_XSEC->CE_INT,RP_STAT->RB_STAT,AP_LAM->RB_LAM -- drop RP_IINT signal from interfaces -- 2010-04-03 274 2.2 add CE_USEC in tbd_rri_gen interface -- 2009-03-14 197 2.1 remove records in interface to allow _ssim usage -- 2008-08-24 162 2.0 with new rb_mreq/rb_sres interface -- 2008-03-24 129 1.1.2 CLK_CYCLE now 31 bits -- 2008-01-20 112 1.1.1 rename clkgen->clkdivce -- 2007-11-24 98 1.1 add RP_IINT support, add checkmiss_tx to test -- for missing responses -- 2007-10-26 92 1.0.2 add DONE timestamp at end of execution -- 2007-10-12 88 1.0.1 avoid ieee.std_logic_unsigned, use cast to unsigned -- 2007-09-09 81 1.0 Initial version ------------------------------------------------------------------------------ -- command set: -- .reset assert RESET for 1 clk -- .rlmon ien enable rlink monitor -- .rbmon ien enable rbus monitor -- .wait n wait n clks -- .iowt n wait n clks for rlink i/o; auto-extend -- .attn dat(16) pulse attn lines with dat -- txsop send <sop> -- txeop send <eop> -- txnak send <nak> -- txidle send <idle> -- txattn send <attn> -- tx8 dat(8) send 8 bit value -- tx16 dat(16) send 16 bit value -- txcrc send crc -- txbad send bad (inverted) crc -- txc cmd(8) send cmd - crc -- txca cmd(8) addr(8) send cmd - addr - crc -- txcad cmd(8) addr(8) dat(16) send cmd - addr - dl dh - crc -- txcac cmd(8) addr(8) cnt(8) send cmd - addr - cnt - crc -- txoof dat(9) send out-of-frame symbol -- rxsop reset rx list; expect sop -- rxeop expect <eop> -- rxnak expect <nak> -- rxidle expect <idle> -- rxattn expect <attn> -- rx8 dat(8) expect 8 bit value -- rx16 dat(16) expect 16 bit value -- rxcrc expect crc -- rxcs cmd(8) stat(8) expect cmd - stat - crc -- rxcds cmd(8) dat(16) stat(8) expect cmd - dl dh - stat - crc -- rxccd cmd(8) ccmd(8) dat(16) stat(8) expect cmd - ccmd - dl dh - stat - crc -- rxoof dat(9) expect out-of-frame symbol -- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.genlib.all; use work.comlib.all; use work.rblib.all; use work.rbdlib.all; use work.rlinklib.all; use work.simlib.all; entity tb_rlink is end tb_rlink; architecture sim of tb_rlink is signal CLK : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RESET : slbit := '0'; signal RL_DI : slv9 := (others=>'0'); signal RL_ENA : slbit := '0'; signal RL_BUSY : slbit := '0'; signal RL_DO : slv9 := (others=>'0'); signal RL_VAL : slbit := '0'; signal RL_HOLD : slbit := '0'; signal RB_MREQ_aval : slbit := '0'; signal RB_MREQ_re : slbit := '0'; signal RB_MREQ_we : slbit := '0'; signal RB_MREQ_initt: slbit := '0'; signal RB_MREQ_addr : slv8 := (others=>'0'); signal RB_MREQ_din : slv16 := (others=>'0'); signal RB_SRES_ack : slbit := '0'; signal RB_SRES_busy : slbit := '0'; signal RB_SRES_err : slbit := '0'; signal RB_SRES_dout : slv16 := (others=>'0'); signal RB_LAM_TBENCH : slv16 := (others=>'0'); signal RB_LAM_TESTER : slv16 := (others=>'0'); signal RB_LAM : slv16 := (others=>'0'); signal RB_STAT : slv3 := (others=>'0'); signal TXRXACT : slbit := '0'; signal RLMON_EN : slbit := '0'; signal RBMON_EN : slbit := '0'; signal RB_MREQ : rb_mreq_type := rb_mreq_init; signal RB_SRES : rb_sres_type := rb_sres_init; signal CLK_STOP : slbit := '0'; signal CLK_CYCLE : integer := 0; constant slv9_zero : slv9 := (others=>'0'); constant slv16_zero : slv16 := (others=>'0'); type slv9_array_type is array (0 to 255) of slv9; type slv16_array_type is array (0 to 255) of slv16; shared variable sv_rxlist : slv9_array_type := (others=>slv9_zero); shared variable sv_nrxlist : natural := 0; shared variable sv_rxind : natural := 0; constant clock_period : time := 20 ns; constant clock_offset : time := 200 ns; constant setup_time : time := 5 ns; constant c2out_time : time := 10 ns; component tbd_rlink_gen is -- rlink, generic tb design interface port ( CLK : in slbit; -- clock CE_INT : in slbit; -- rlink ito time unit clock enable CE_USEC : in slbit; -- 1 usec clock enable RESET : in slbit; -- reset RL_DI : in slv9; -- rlink: data in RL_ENA : in slbit; -- rlink: data enable RL_BUSY : out slbit; -- rlink: data busy RL_DO : out slv9; -- rlink: data out RL_VAL : out slbit; -- rlink: data valid RL_HOLD : in slbit; -- rlink: data hold RB_MREQ_aval : out slbit; -- rbus: request - aval RB_MREQ_re : out slbit; -- rbus: request - re RB_MREQ_we : out slbit; -- rbus: request - we RB_MREQ_initt: out slbit; -- rbus: request - init; avoid name coll RB_MREQ_addr : out slv8; -- rbus: request - addr RB_MREQ_din : out slv16; -- rbus: request - din RB_SRES_ack : in slbit; -- rbus: response - ack RB_SRES_busy : in slbit; -- rbus: response - busy RB_SRES_err : in slbit; -- rbus: response - err RB_SRES_dout : in slv16; -- rbus: response - dout RB_LAM : in slv16; -- rbus: look at me RB_STAT : in slv3; -- rbus: status flags TXRXACT : out slbit -- txrx active flag ); end component; begin CLKGEN : simclk generic map ( PERIOD => clock_period, OFFSET => clock_offset) port map ( CLK => CLK, CLK_STOP => CLK_STOP ); CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE); CLKDIV : clkdivce generic map ( CDUWIDTH => 6, USECDIV => 4, MSECDIV => 5) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC ); RB_MREQ.aval <= RB_MREQ_aval; RB_MREQ.re <= RB_MREQ_re; RB_MREQ.we <= RB_MREQ_we; RB_MREQ.init <= RB_MREQ_initt; RB_MREQ.addr <= RB_MREQ_addr; RB_MREQ.din <= RB_MREQ_din; RB_SRES_ack <= RB_SRES.ack; RB_SRES_busy <= RB_SRES.busy; RB_SRES_err <= RB_SRES.err; RB_SRES_dout <= RB_SRES.dout; RBTEST : rbd_tester generic map ( RB_ADDR => slv(to_unsigned(2#11110000#,8))) port map ( CLK => CLK, RESET => '0', RB_MREQ => RB_MREQ, RB_SRES => RB_SRES, RB_LAM => RB_LAM_TESTER, RB_STAT => RB_STAT ); RB_LAM <= RB_LAM_TESTER or RB_LAM_TBENCH; RLMON : rlink_mon generic map ( DWIDTH => RL_DI'length) port map ( CLK => CLK, CLK_CYCLE => CLK_CYCLE, ENA => RLMON_EN, RL_DI => RL_DI, RL_ENA => RL_ENA, RL_BUSY => RL_BUSY, RL_DO => RL_DO, RL_VAL => RL_VAL, RL_HOLD => RL_HOLD ); RBMON : rb_mon generic map ( DBASE => 2) port map ( CLK => CLK, CLK_CYCLE => CLK_CYCLE, ENA => RBMON_EN, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT ); UUT : tbd_rlink_gen port map ( CLK => CLK, CE_INT => CE_MSEC, CE_USEC => CE_USEC, RESET => RESET, RL_DI => RL_DI, RL_ENA => RL_ENA, RL_BUSY => RL_BUSY, RL_DO => RL_DO, RL_VAL => RL_VAL, RL_HOLD => RL_HOLD, RB_MREQ_aval => RB_MREQ_aval, RB_MREQ_re => RB_MREQ_re, RB_MREQ_we => RB_MREQ_we, RB_MREQ_initt=> RB_MREQ_initt, RB_MREQ_addr => RB_MREQ_addr, RB_MREQ_din => RB_MREQ_din, RB_SRES_ack => RB_SRES_ack, RB_SRES_busy => RB_SRES_busy, RB_SRES_err => RB_SRES_err, RB_SRES_dout => RB_SRES_dout, RB_LAM => RB_LAM, RB_STAT => RB_STAT, TXRXACT => TXRXACT ); proc_stim: process file fstim : text open read_mode is "tb_rlink_stim"; variable iline : line; variable oline : line; variable ien : slbit := '0'; variable icmd : slv8 := (others=>'0'); variable iaddr : slv8 := (others=>'0'); variable icnt : slv8 := (others=>'0'); variable istat : slv3 := (others=>'0'); variable iattn : slv16 := (others=>'0'); variable idata : slv16 := (others=>'0'); variable ioof : slv9 := (others=>'0'); variable ok : boolean; variable dname : string(1 to 6) := (others=>' '); variable idelta : integer := 0; variable iowait : integer := 0; variable txcrc,rxcrc : slv8 := (others=>'0'); variable txlist : slv9_array_type := (others=>slv9_zero); variable ntxlist : natural := 0; procedure do_tx8 (data : inout slv8) is begin txlist(ntxlist) := '0' & data; ntxlist := ntxlist + 1; txcrc := crc8_update_tbl(txcrc, data); end procedure do_tx8; procedure do_tx16 (data : inout slv16) is begin do_tx8(data( 7 downto 0)); do_tx8(data(15 downto 8)); end procedure do_tx16; procedure do_rx8 (data : inout slv8) is begin sv_rxlist(sv_nrxlist) := '0' & data; sv_nrxlist := sv_nrxlist + 1; rxcrc := crc8_update_tbl(rxcrc, data); end procedure do_rx8; procedure do_rx16 (data : inout slv16) is begin do_rx8(data( 7 downto 0)); do_rx8(data(15 downto 8)); end procedure do_rx16; procedure checkmiss_rx is begin if sv_rxind < sv_nrxlist then for i in sv_rxind to sv_nrxlist-1 loop writetimestamp(oline, CLK_CYCLE, ": moni "); write(oline, string'(" FAIL MISSING DATA=")); write(oline, sv_rxlist(i)(8)); write(oline, string'(" ")); write(oline, sv_rxlist(i)(7 downto 0)); writeline(output, oline); end loop; end if; end procedure checkmiss_rx; begin wait for clock_offset - setup_time; file_loop: while not endfile(fstim) loop readline (fstim, iline); readcomment(iline, ok); next file_loop when ok; readword(iline, dname, ok); if ok then case dname is when ".reset" => -- .reset write(oline, string'(".reset")); writeline(output, oline); RESET <= '1'; wait for clock_period; RESET <= '0'; wait for 9*clock_period; when ".rlmon" => -- .rlmon read_ea(iline, ien); RLMON_EN <= ien; wait for 2*clock_period; -- wait for monitor to start when ".rbmon" => -- .rbmon read_ea(iline, ien); RBMON_EN <= ien; wait for 2*clock_period; -- wait for monitor to start when ".wait " => -- .wait read_ea(iline, idelta); wait for idelta*clock_period; when ".iowt " => -- .iowt read_ea(iline, iowait); idelta := iowait; while idelta > 0 loop -- until time has expired if TXRXACT = '1' then -- if any io activity idelta := iowait; -- restart timer else idelta := idelta - 1; -- otherwise count down time end if; wait for clock_period; end loop; when ".attn " => -- .attn read_ea(iline, iattn); RB_LAM_TBENCH <= iattn; -- pulse attn lines wait for clock_period; -- for 1 clock RB_LAM_TBENCH <= (others=>'0'); when "txsop " => -- txsop send sop txlist(0) := c_rlink_dat_sop; ntxlist := 1; txcrc := (others=>'0'); when "txeop " => -- txeop send eop txlist(0) := c_rlink_dat_eop; ntxlist := 1; txcrc := (others=>'0'); when "txnak " => -- txnak send nak txlist(0) := c_rlink_dat_nak; ntxlist := 1; txcrc := (others=>'0'); when "txidle" => -- txidle send idle txlist(0) := c_rlink_dat_idle; ntxlist := 1; when "txattn" => -- txattn send attn txlist(0) := c_rlink_dat_attn; ntxlist := 1; when "tx8 " => -- tx8 send 8 bit value read_ea(iline, iaddr); ntxlist := 0; do_tx8(iaddr); when "tx16 " => -- tx16 send 16 bit value read_ea(iline, idata); ntxlist := 0; do_tx16(idata); when "txcrc " => -- txcrc send crc txlist(0) := '0' & txcrc; ntxlist := 1; when "txbad " => -- txbad send bad crc txlist(0) := '0' & (not txcrc); ntxlist := 1; when "txc " => -- txc send: cmd crc read_ea(iline, icmd); ntxlist := 0; do_tx8(icmd); txlist(ntxlist) := '0' & txcrc; ntxlist := ntxlist + 1; when "txca " => -- txc send: cmd addr crc read_ea(iline, icmd); read_ea(iline, iaddr); ntxlist := 0; do_tx8(icmd); do_tx8(iaddr); txlist(ntxlist) := '0' & txcrc; ntxlist := ntxlist + 1; when "txcad " => -- txc send: cmd addr data crc read_ea(iline, icmd); read_ea(iline, iaddr); read_ea(iline, idata); ntxlist := 0; do_tx8(icmd); do_tx8(iaddr); do_tx16(idata); txlist(ntxlist) := '0' & txcrc; ntxlist := ntxlist + 1; when "txcac " => -- txc send: cmd addr cnt crc read_ea(iline, icmd); read_ea(iline, iaddr); read_ea(iline, icnt); ntxlist := 0; do_tx8(icmd); do_tx8(iaddr); do_tx8(icnt); txlist(ntxlist) := '0' & txcrc; ntxlist := ntxlist + 1; when "txoof " => -- txoof send out-of-frame symbol read_ea(iline, txlist(0)); ntxlist := 1; when "rxsop " => -- rxsop expect sop checkmiss_rx; sv_rxlist(0) := c_rlink_dat_sop; sv_nrxlist := 1; sv_rxind := 0; rxcrc := (others=>'0'); when "rxeop " => -- rxeop expect eop sv_rxlist(sv_nrxlist) := c_rlink_dat_eop; sv_nrxlist := sv_nrxlist + 1; when "rxnak " => -- rxnak expect nak sv_rxlist(sv_nrxlist) := c_rlink_dat_nak; sv_nrxlist := sv_nrxlist + 1; when "rxidle" => -- rxidle expect idle sv_rxlist(sv_nrxlist) := c_rlink_dat_idle; sv_nrxlist := sv_nrxlist + 1; when "rxattn" => -- rxattn expect attn sv_rxlist(sv_nrxlist) := c_rlink_dat_attn; sv_nrxlist := sv_nrxlist + 1; when "rx8 " => -- rx8 expect 8 bit value read_ea(iline, iaddr); do_rx8(iaddr); when "rx16 " => -- rx16 expect 16 bit value read_ea(iline, idata); do_rx16(idata); when "rxcrc " => -- rxcrc expect crc sv_rxlist(sv_nrxlist) := '0' & rxcrc; sv_nrxlist := sv_nrxlist+1; when "rxcs " => -- rxcs expect: cmd stat crc read_ea(iline, icmd); read_ea(iline, iaddr); do_rx8(icmd); do_rx8(iaddr); sv_rxlist(sv_nrxlist) := '0' & rxcrc; sv_nrxlist := sv_nrxlist + 1; when "rxcds " => -- rxcsd expect: cmd data stat crc read_ea(iline, icmd); read_ea(iline, idata); read_ea(iline, iaddr); do_rx8(icmd); do_rx16(idata); do_rx8(iaddr); sv_rxlist(sv_nrxlist) := '0' & rxcrc; sv_nrxlist := sv_nrxlist + 1; when "rxccd " => -- rxccd expect: cmd ccmd dat stat crc read_ea(iline, icmd); read_ea(iline, icnt); read_ea(iline, idata); read_ea(iline, iaddr); do_rx8(icmd); do_rx8(icnt); do_rx16(idata); do_rx8(iaddr); sv_rxlist(sv_nrxlist) := '0' & rxcrc; sv_nrxlist := sv_nrxlist + 1; when "rxoof " => -- rxoof expect: out-of-frame symbol read_ea(iline, ioof); sv_rxlist(sv_nrxlist) := ioof; sv_nrxlist := sv_nrxlist + 1; when others => -- bad command write(oline, string'("?? unknown command: ")); write(oline, dname); writeline(output, oline); report "aborting" severity failure; end case; else report "failed to find command" severity failure; end if; next file_loop when ntxlist=0; for i in 0 to ntxlist-1 loop RL_DI <= txlist(i); RL_ENA <= '1'; writetimestamp(oline, CLK_CYCLE, ": stim"); write(oline, txlist(i)(8), right, 3); write(oline, txlist(i)(7 downto 0), right, 9); if txlist(i)(8) = '1' then case txlist(i) is when c_rlink_dat_idle => write(oline, string'(" (idle)")); when c_rlink_dat_sop => write(oline, string'(" (sop) ")); when c_rlink_dat_eop => write(oline, string'(" (eop) ")); when c_rlink_dat_nak => write(oline, string'(" (nak) ")); when c_rlink_dat_attn => write(oline, string'(" (attn)")); when others => write(oline, string'(" (????)")); end case; end if; writeline(output, oline); wait for clock_period; while RL_BUSY = '1' loop wait for clock_period; end loop; RL_ENA <= '0'; end loop; -- i ntxlist := 0; end loop; -- file fstim wait for 50*clock_period; checkmiss_rx; writetimestamp(oline, CLK_CYCLE, ": DONE "); writeline(output, oline); CLK_STOP <= '1'; wait; -- suspend proc_stim forever -- clock is stopped, sim will end end process proc_stim; proc_moni: process variable oline : line; begin loop wait until rising_edge(CLK); wait for c2out_time; if RL_VAL = '1' then writetimestamp(oline, CLK_CYCLE, ": moni"); write(oline, RL_DO(8), right, 3); write(oline, RL_DO(7 downto 0), right, 9); if RL_DO(8) = '1' then case RL_DO is when c_rlink_dat_idle => write(oline, string'(" (idle)")); when c_rlink_dat_sop => write(oline, string'(" (sop) ")); when c_rlink_dat_eop => write(oline, string'(" (eop) ")); when c_rlink_dat_nak => write(oline, string'(" (nak) ")); when c_rlink_dat_attn => write(oline, string'(" (attn)")); when others => write(oline, string'(" (????)")); end case; end if; if sv_nrxlist > 0 then write(oline, string'(" CHECK")); if sv_rxind < sv_nrxlist then if RL_DO = sv_rxlist(sv_rxind) then write(oline, string'(" OK")); else write(oline, string'(" FAIL, exp=")); write(oline, sv_rxlist(sv_rxind)(8), right, 2); write(oline, sv_rxlist(sv_rxind)(7 downto 0), right, 9); end if; sv_rxind := sv_rxind + 1; else write(oline, string'(" FAIL, UNEXPECTED")); end if; end if; writeline(output, oline); end if; end loop; end process proc_moni; end sim;
gpl-2.0
freecores/w11
rtl/w11a/pdp11_sim.vhd
2
1468
-- $Id: pdp11_sim.vhd 314 2010-07-09 17:38:41Z mueller $ -- -- Copyright 2006-2007 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Package Name: pdp11_sim -- Description: Definitions for simulations -- -- Dependencies: - -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2007-10-12 88 1.0.2 avoid ieee.std_logic_unsigned, use cast to unsigned -- 2007-06-14 56 1.0.1 Use slvtypes.all -- 2007-05-12 26 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use std.textio.all; package pdp11_sim is constant clock_period : time := 20 ns; constant clock_offset : time := 200 ns; constant setup_time : time := 5 ns; constant c2out_time : time := 5 ns; end package pdp11_sim;
gpl-2.0
freecores/w11
rtl/vlib/serport/serport_2clock.vhd
1
12222
-- $Id: serport_2clock.vhd 476 2013-01-26 22:23:53Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: serport_2clock - syn -- Description: serial port: serial port module, 2 clock domain -- -- Dependencies: genlib/cdc_pulse -- serport_uart_rxtx_ab -- serport_xonrx -- serport_xontx -- memlib/fifo_2c_dram -- Test bench: - -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2011-11-13 424 13.1 O40d xc3s1000-4 224 362 64 295 s 8.6/10.1 -- -- Revision History: -- Date Rev Version Comment -- 2011-12-10 438 1.0.2 internal reset on abact -- 2011-12-09 437 1.0.1 rename stat->moni port -- 2011-11-13 424 1.0 Initial version -- 2011-11-07 421 0.5 First draft ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.serportlib.all; use work.genlib.all; use work.memlib.all; entity serport_2clock is -- serial port module, 2 clock domain generic ( CDWIDTH : positive := 13; -- clk divider width CDINIT : natural := 15; -- clk divider initial/reset setting RXFAWIDTH : natural := 5; -- rx fifo address width TXFAWIDTH : natural := 5); -- tx fifo address width port ( CLKU : in slbit; -- clock (backend:user) RESET : in slbit; -- reset CLKS : in slbit; -- clock (frontend:serial) CES_MSEC : in slbit; -- S|1 msec clock enable ENAXON : in slbit; -- U|enable xon/xoff handling ENAESC : in slbit; -- U|enable xon/xoff escaping RXDATA : out slv8; -- U|receiver data out RXVAL : out slbit; -- U|receiver data valid RXHOLD : in slbit; -- U|receiver data hold TXDATA : in slv8; -- U|transmit data in TXENA : in slbit; -- U|transmit data enable TXBUSY : out slbit; -- U|transmit busy MONI : out serport_moni_type; -- U|serport monitor port RXSD : in slbit; -- S|receive serial data (uart view) TXSD : out slbit; -- S|transmit serial data (uart view) RXRTS_N : out slbit; -- S|receive rts (uart view, act.low) TXCTS_N : in slbit -- S|transmit cts (uart view, act.low) ); end serport_2clock; architecture syn of serport_2clock is type synu_type is record rxact_c : slbit; -- rxact (capt from CLKS->CLKU) rxact_s : slbit; -- rxact (sync in CLKU) txact_c : slbit; -- txact (capt from CLKS->CLKU) txact_s : slbit; -- txact (sync in CLKU) abact_c : slbit; -- abact (capt from CLKS->CLKU) abact_s : slbit; -- abact (sync in CLKU) rxok_c : slbit; -- rxok (capt from CLKS->CLKU) rxok_s : slbit; -- rxok (sync in CLKU) txok_c : slbit; -- txok (capt from CLKS->CLKU) txok_s : slbit; -- txok (sync in CLKU) abclkdiv_c : slv(CDWIDTH-1 downto 0); -- abclkdiv (capt from CLKS->CLKU) abclkdiv_s : slv(CDWIDTH-1 downto 0); -- abclkdiv (sync in CLKU) end record synu_type; constant synu_init : synu_type := ( '0','0', -- rxact_c,_s '0','0', -- txact_c,_s '0','0', -- abact_c,_s '0','0', -- rxok_c,_s '0','0', -- txok_c,_s slv(to_unsigned(0,CDWIDTH)), -- abclkdiv_c slv(to_unsigned(0,CDWIDTH)) -- abclkdiv_s ); type syns_type is record enaxon_c : slbit; -- enaxon (capt from CLKU->CLKS) enaxon_s : slbit; -- enaxon (sync in CLKS) enaesc_c : slbit; -- enaesc (capt from CLKU->CLKS) enaesc_s : slbit; -- enaesc (sync in CLKS) end record syns_type; constant syns_init : syns_type := ( '0','0', -- enaxon_c,_s '0','0' -- enaxon_c,_s ); signal R_SYNU : synu_type := synu_init; -- sync registers (clku) signal R_SYNS : syns_type := syns_init; -- sync registers (clks) signal R_RXOK : slbit := '1'; signal RESET_INT : slbit := '0'; signal RESET_CLKS : slbit := '0'; signal UART_RXDATA : slv8 := (others=>'0'); signal UART_RXVAL : slbit := '0'; signal UART_TXDATA : slv8 := (others=>'0'); signal UART_TXENA : slbit := '0'; signal UART_TXBUSY : slbit := '0'; signal XONTX_TXENA : slbit := '0'; signal XONTX_TXBUSY : slbit := '0'; signal RXFIFO_DI : slv8 := (others=>'0'); signal RXFIFO_ENA : slbit := '0'; signal RXFIFO_BUSY : slbit := '0'; signal RXFIFO_SIZEW : slv(RXFAWIDTH-1 downto 0) := (others=>'0'); signal TXFIFO_DO : slv8 := (others=>'0'); signal TXFIFO_VAL : slbit := '0'; signal TXFIFO_HOLD : slbit := '0'; signal RXERR : slbit := '0'; signal RXOVR : slbit := '0'; signal RXACT : slbit := '0'; signal ABACT : slbit := '0'; signal ABDONE : slbit := '0'; signal ABCLKDIV : slv(CDWIDTH-1 downto 0) := (others=>'0'); signal TXOK : slbit := '0'; signal RXOK : slbit := '0'; signal RXERR_CLKU : slbit := '0'; signal RXOVR_CLKU : slbit := '0'; signal ABDONE_CLKU : slbit := '0'; begin assert CDWIDTH<=16 report "assert(CDWIDTH<=16): max width of UART clock divider" severity failure; CDC_RESET : cdc_pulse generic map ( POUT_SINGLE => false, BUSY_WACK => false) port map ( CLKM => CLKU, RESET => '0', CLKS => CLKS, PIN => RESET, BUSY => open, POUT => RESET_CLKS ); UART : serport_uart_rxtx_ab -- uart, rx+tx+autobauder combo generic map ( CDWIDTH => CDWIDTH, CDINIT => CDINIT) port map ( CLK => CLKS, CE_MSEC => CES_MSEC, RESET => RESET_CLKS, RXSD => RXSD, RXDATA => UART_RXDATA, RXVAL => UART_RXVAL, RXERR => RXERR, RXACT => RXACT, TXSD => TXSD, TXDATA => UART_TXDATA, TXENA => UART_TXENA, TXBUSY => UART_TXBUSY, ABACT => ABACT, ABDONE => ABDONE, ABCLKDIV => ABCLKDIV ); RESET_INT <= RESET_CLKS or ABACT; XONRX : serport_xonrx -- xon/xoff logic rx path port map ( CLK => CLKS, RESET => RESET_INT, ENAXON => R_SYNS.enaxon_s, ENAESC => R_SYNS.enaesc_s, UART_RXDATA => UART_RXDATA, UART_RXVAL => UART_RXVAL, RXDATA => RXFIFO_DI, RXVAL => RXFIFO_ENA, RXHOLD => RXFIFO_BUSY, RXOVR => RXOVR, TXOK => TXOK ); XONTX : serport_xontx -- xon/xoff logic tx path port map ( CLK => CLKS, RESET => RESET_INT, ENAXON => R_SYNS.enaxon_s, ENAESC => R_SYNS.enaesc_s, UART_TXDATA => UART_TXDATA, UART_TXENA => XONTX_TXENA, UART_TXBUSY => XONTX_TXBUSY, TXDATA => TXFIFO_DO, TXENA => TXFIFO_VAL, TXBUSY => TXFIFO_HOLD, RXOK => RXOK, TXOK => TXOK ); RXFIFO : fifo_2c_dram -- input fifo, 2 clock, dram based generic map ( AWIDTH => RXFAWIDTH, DWIDTH => 8) port map ( CLKW => CLKS, CLKR => CLKU, RESETW => ABACT, -- clear fifo on abact RESETR => RESET, DI => RXFIFO_DI, ENA => RXFIFO_ENA, BUSY => RXFIFO_BUSY, DO => RXDATA, VAL => RXVAL, HOLD => RXHOLD, SIZEW => RXFIFO_SIZEW, SIZER => open ); TXFIFO : fifo_2c_dram -- output fifo, 2 clock, dram based generic map ( AWIDTH => TXFAWIDTH, DWIDTH => 8) port map ( CLKW => CLKU, CLKR => CLKS, RESETW => RESET, RESETR => ABACT, -- clear fifo on abact DI => TXDATA, ENA => TXENA, BUSY => TXBUSY, DO => TXFIFO_DO, VAL => TXFIFO_VAL, HOLD => TXFIFO_HOLD, SIZEW => open, SIZER => open ); -- receive back preasure -- on if fifo more than 3/4 full (less than 1/4 free) -- off if fifo less than 1/2 full (more than 1/2 free) proc_rxok: process (CLKS) constant rxsize_rxok_off : slv2 := "01"; constant rxsize_rxok_on : slv2 := "10"; variable rxsize_msb : slv2 := "00"; begin if rising_edge(CLKS) then if RESET_INT = '1' then R_RXOK <= '1'; else rxsize_msb := RXFIFO_SIZEW(RXFAWIDTH-1 downto RXFAWIDTH-2); if unsigned(rxsize_msb) < unsigned(rxsize_rxok_off) then R_RXOK <= '0'; elsif unsigned(RXSIZE_MSB) >= unsigned(rxsize_rxok_on) then R_RXOK <= '1'; end if; end if; end if; end process proc_rxok; RXOK <= R_RXOK; RXRTS_N <= not R_RXOK; proc_cts: process (TXCTS_N, XONTX_TXENA, UART_TXBUSY) begin if TXCTS_N = '0' then -- transmit cts asserted UART_TXENA <= XONTX_TXENA; XONTX_TXBUSY <= UART_TXBUSY; else -- transmit cts not asserted UART_TXENA <= '0'; XONTX_TXBUSY <= '1'; end if; end process proc_cts; proc_synu: process (CLKU) begin if rising_edge(CLKU) then R_SYNU.rxact_c <= RXACT; R_SYNU.rxact_s <= R_SYNU.rxact_c; R_SYNU.txact_c <= UART_TXBUSY; R_SYNU.txact_s <= R_SYNU.txact_c; R_SYNU.abact_c <= ABACT; R_SYNU.abact_s <= R_SYNU.abact_c; R_SYNU.rxok_c <= RXOK; R_SYNU.rxok_s <= R_SYNU.rxok_c; R_SYNU.txok_c <= TXOK; R_SYNU.txok_s <= R_SYNU.txok_c; R_SYNU.abclkdiv_c <= ABCLKDIV; R_SYNU.abclkdiv_s <= R_SYNU.abclkdiv_c; end if; end process proc_synu; proc_syns: process (CLKS) begin if rising_edge(CLKS) then R_SYNS.enaxon_c <= ENAXON; R_SYNS.enaxon_s <= R_SYNS.enaxon_c; R_SYNS.enaesc_c <= ENAESC; R_SYNS.enaesc_s <= R_SYNS.enaesc_c; end if; end process proc_syns; CDC_RXERR : cdc_pulse generic map ( POUT_SINGLE => true, BUSY_WACK => false) port map ( CLKM => CLKS, RESET => '0', CLKS => CLKU, PIN => RXERR, BUSY => open, POUT => RXERR_CLKU ); CDC_RXOVR : cdc_pulse generic map ( POUT_SINGLE => true, BUSY_WACK => false) port map ( CLKM => CLKS, RESET => '0', CLKS => CLKU, PIN => RXOVR, BUSY => open, POUT => RXOVR_CLKU ); CDC_ABDONE : cdc_pulse generic map ( POUT_SINGLE => true, BUSY_WACK => false) port map ( CLKM => CLKS, RESET => '0', CLKS => CLKU, PIN => ABDONE, BUSY => open, POUT => ABDONE_CLKU ); MONI.rxerr <= RXERR_CLKU; MONI.rxovr <= RXOVR_CLKU; MONI.rxact <= R_SYNU.rxact_s; MONI.txact <= R_SYNU.txact_s; MONI.abact <= R_SYNU.abact_s; MONI.abdone <= ABDONE_CLKU; MONI.rxok <= R_SYNU.rxok_s; MONI.txok <= R_SYNU.txok_s; proc_abclkdiv: process (R_SYNU.abclkdiv_s) begin MONI.abclkdiv <= (others=>'0'); MONI.abclkdiv(R_SYNU.abclkdiv_s'range) <= R_SYNU.abclkdiv_s; end process proc_abclkdiv; end syn;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_rlink/rbd_tst_rlink.vhd
2
5662
-- $Id: rbd_tst_rlink.vhd 438 2011-12-11 23:40:52Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: rbd_tst_rlink - syn -- Description: rbus device for tst_rlink -- -- Dependencies: rbus/rbd_tester -- rbus/rbd_bram -- rbus/rbd_rbmon -- rbus/rbd_eyemon -- rbus/rbd_timer -- rbus/rb_sres_or_3 -- rbus/rb_sres_or_4 -- -- Test bench: nexys3/tb/tb_tst_rlink_n3 -- -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Revision History: -- Date Rev Version Comment -- 2011-11-11 351 1.0 Initial version (derived from tst_rlink) ------------------------------------------------------------------------------ -- Usage of STAT signal: -- STAT(0): timer 0 busy -- STAT(1): timer 1 busy -- STAT(2:7): unused library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.rblib.all; use work.rbdlib.all; -- ---------------------------------------------------------------------------- entity rbd_tst_rlink is -- rbus device for tst_rlink port ( CLK : in slbit; -- clock RESET : in slbit; -- reset CE_USEC : in slbit; -- usec pulse RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response RB_LAM : out slv16; -- rbus: look at me RB_STAT : out slv3; -- rbus: status flags RB_SRES_TOP : in rb_sres_type; -- top-level rb_sres, for rbd_mon RXSD : in slbit; -- serport rxsd, for rbd_emon RXACT : in slbit; -- serport rxact, for rbd_emon STAT : out slv8 -- status flags ); end rbd_tst_rlink; architecture syn of rbd_tst_rlink is signal RB_SRES_TEST : rb_sres_type := rb_sres_init; signal RB_SRES_BRAM : rb_sres_type := rb_sres_init; signal RB_SRES_MON : rb_sres_type := rb_sres_init; signal RB_SRES_EMON : rb_sres_type := rb_sres_init; signal RB_SRES_TIM0 : rb_sres_type := rb_sres_init; signal RB_SRES_TIM1 : rb_sres_type := rb_sres_init; signal RB_SRES_SUM1 : rb_sres_type := rb_sres_init; signal RB_LAM_TEST : slv16 := (others=>'0'); signal TIM0_DONE : slbit := '0'; signal TIM0_BUSY : slbit := '0'; signal TIM1_DONE : slbit := '0'; signal TIM1_BUSY : slbit := '0'; constant rbaddr_mon : slv8 := "11111100"; -- 111111xx constant rbaddr_emon : slv8 := "11111000"; -- 111110xx constant rbaddr_bram : slv8 := "11110100"; -- 111101xx constant rbaddr_test : slv8 := "11110000"; -- 111100xx constant rbaddr_tim1 : slv8 := "11100001"; -- 11100001 constant rbaddr_tim0 : slv8 := "11100000"; -- 11100000 begin TEST : rbd_tester generic map ( RB_ADDR => rbaddr_test) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_TEST, RB_LAM => RB_LAM_TEST, RB_STAT => RB_STAT ); BRAM : rbd_bram generic map ( RB_ADDR => rbaddr_bram) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_BRAM ); MON : rbd_rbmon generic map ( RB_ADDR => rbaddr_mon, AWIDTH => 9) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_MON, RB_SRES_SUM => RB_SRES_TOP ); EMON : rbd_eyemon generic map ( RB_ADDR => rbaddr_emon, RDIV => slv(to_unsigned(0,8))) port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_EMON, RXSD => RXSD, RXACT => RXACT ); TIM0 : rbd_timer generic map ( RB_ADDR => rbaddr_tim0) port map ( CLK => CLK, CE_USEC => CE_USEC, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_TIM0, DONE => TIM0_DONE, BUSY => TIM0_BUSY ); TIM1 : rbd_timer generic map ( RB_ADDR => rbaddr_tim1) port map ( CLK => CLK, CE_USEC => CE_USEC, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_TIM1, DONE => TIM1_DONE, BUSY => TIM1_BUSY ); RB_SRES_OR1 : rb_sres_or_3 port map ( RB_SRES_1 => RB_SRES_TEST, RB_SRES_2 => RB_SRES_BRAM, RB_SRES_3 => RB_SRES_MON, RB_SRES_OR => RB_SRES_SUM1 ); RB_SRES_OR : rb_sres_or_4 port map ( RB_SRES_1 => RB_SRES_SUM1, RB_SRES_2 => RB_SRES_EMON, RB_SRES_3 => RB_SRES_TIM0, RB_SRES_4 => RB_SRES_TIM1, RB_SRES_OR => RB_SRES ); RB_LAM(15 downto 2) <= RB_LAM_TEST(15 downto 2); RB_LAM(1) <= TIM1_DONE; RB_LAM(0) <= TIM0_DONE; STAT(0) <= TIM0_BUSY; STAT(1) <= TIM1_BUSY; STAT(7 downto 2) <= (others=>'0'); end syn;
gpl-2.0
freecores/w11
rtl/vlib/memlib/ram_1swsr_wfirst_gen.vhd
2
3469
-- $Id: ram_1swsr_wfirst_gen.vhd 422 2011-11-10 18:44:06Z mueller $ -- -- Copyright 2006-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: ram_1swsr_rfirst_gen - syn -- Description: Single-Port RAM with with one synchronous read/write port -- and 'read-through' semantics (as block RAM). -- The 'ram_style' attribute is set to 'block', this will -- force in XST a synthesis as block RAM. -- -- Notes: For xst 8.1.03i: can be written with a signal or a shared -- variable declared at the architecture level. Use variable -- because this seemed better for simulation. Using a simple -- variable declared at process level leads to an array of -- registers and a big mux. -- -- Dependencies: - -- Test bench: - -- Target Devices: generic Spartan, Virtex -- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29 -- Revision History: -- Date Rev Version Comment -- 2011-11-08 422 1.0.4 now numeric_std clean -- 2010-06-03 299 1.0.3 use sv_ prefix for shared variables -- 2008-03-08 123 1.0.2 use std_..._arith, not _unsigned; use unsigned(); -- 2008-03-02 122 1.0.1 change generic default for BRAM models -- 2007-06-03 45 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; entity ram_1swsr_wfirst_gen is -- RAM, 1 sync r/w ports, write first generic ( AWIDTH : positive := 11; -- address port width DWIDTH : positive := 9); -- data port width port( CLK : in slbit; -- clock EN : in slbit; -- enable WE : in slbit; -- write enable ADDR : in slv(AWIDTH-1 downto 0); -- address port DI : in slv(DWIDTH-1 downto 0); -- data in port DO : out slv(DWIDTH-1 downto 0) -- data out port ); end ram_1swsr_wfirst_gen; architecture syn of ram_1swsr_wfirst_gen is constant memsize : positive := 2**AWIDTH; constant datzero : slv(DWIDTH-1 downto 0) := (others=>'0'); type ram_type is array (0 to memsize-1) of slv(DWIDTH-1 downto 0); shared variable sv_ram : ram_type := (others=>datzero); attribute ram_style : string; attribute ram_style of sv_ram : variable is "block"; signal R_DO : slv(DWIDTH-1 downto 0) := datzero; begin proc_clk: process (CLK) begin if rising_edge(CLK) then if EN = '1' then if WE = '1' then sv_ram(to_integer(unsigned(ADDR))) := DI; end if; R_DO <= sv_ram(to_integer(unsigned(ADDR))); end if; end if; end process proc_clk; DO <= R_DO; end syn;
gpl-2.0
freecores/w11
rtl/sys_gen/w11a/nexys3/tb/tb_w11a_n3.vhd
1
1557
-- $Id: tb_w11a_n3.vhd 509 2013-04-21 20:46:20Z mueller $ -- -- Copyright 2011-2013 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_w11a_n3 -- Description: Configuration for tb_w11a_n3 for tb_nexys3_fusp_cuff -- -- Dependencies: sys_w11a_n3 -- -- To test: sys_w11a_n3 -- -- Verified (with (#1) ../../tb/tb_rritba_pdp11core_stim.dat -- (#2) ../../tb/tb_pdp11_core_stim.dat): -- Date Rev Code ghdl ise Target Comment -- 2011-11-25 295 - -.-- - - -:-- -- -- Revision History: -- Date Rev Version Comment -- 2013-04-21 509 1.1 now based on tb_nexys3_fusp_cuff -- 2011-11-25 432 1.0 Initial version (cloned from _n2) ------------------------------------------------------------------------------ configuration tb_w11a_n3 of tb_nexys3_fusp_cuff is for sim for all : nexys3_fusp_cuff_aif use entity work.sys_w11a_n3; end for; end for; end tb_w11a_n3;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_fx2loop/tst_fx2loop_hiomap.vhd
1
6088
-- $Id: tst_fx2loop_hiomap.vhd 453 2012-01-15 17:51:18Z mueller $ -- -- Copyright 2011-2012 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tst_fx2loop_hiomap - syn -- Description: default human I/O mapper -- -- Dependencies: - -- Test bench: - -- -- Target Devices: generic -- Tool versions: xst 13.3; ghdl 0.29 -- -- Revision History: -- Date Rev Version Comment -- 2012-01-15 453 1.0.2 re-arrange DP,DSP usage -- 2012-01-03 449 1.0.1 use new fx2ctl_moni layout -- 2011-12-26 445 1.0 Initial version ------------------------------------------------------------------------------ -- -- Usage of Switches, Buttons, LEDs: -- -- BTN(3) -- unused -- -- (2) -- unused -- -- (1) -- unused -- -- (0) reset state [!! decoded by top level design !!] -- -- SWI(7:5) select display -- (4) -- unused -- -- (3) throttle -- (2) tx2blast -- (1:0) mode 00 idle -- 01 rxblast -- 10 txblast -- 11 loop -- -- LED(7) MONI.fifo_ep4 -- (6) MONI.fifo_ep6 -- (5) MONI.fifo_ep8 -- (4) MONI.flag_ep4_empty -- (3) MONI.flag_ep4_almost -- (2) MONI.flag_ep6_full -- (1) MONI.flag_ep6_almost -- (0) rxsecnt > 0 (sequence error) -- -- DSP data as selected by SWI(7:5) -- 000 -> rxsecnt -- 001 -> -- unused -- (display ffff) -- 010 -> rxcnt.l -- 011 -> rxcnt.h -- 100 -> txcnt.l -- 101 -> txcnt.h -- 110 -> tx2cnt.l -- 111 -> tx2cnt.h -- -- DP(3) FX2_TXBUSY (shows tx back preasure) -- (2) FX2_MONI.slwr (shows tx activity) -- (1) FX2_RXHOLD (shows rx back preasure) -- (0) FX2_MONI.slrd (shows rx activity) -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.fx2lib.all; use work.tst_fx2looplib.all; -- ---------------------------------------------------------------------------- entity tst_fx2loop_hiomap is -- default human I/O mapper port ( CLK : in slbit; -- clock RESET : in slbit; -- reset HIO_CNTL : out hio_cntl_type; -- tester controls from hio HIO_STAT : in hio_stat_type; -- tester status to diaplay by hio FX2_MONI : in fx2ctl_moni_type; -- fx2ctl monitor to display by hio SWI : in slv8; -- switch settings BTN : in slv4; -- button settings LED : out slv8; -- led data DSP_DAT : out slv16; -- display data DSP_DP : out slv4 -- display decimal points ); end tst_fx2loop_hiomap; architecture syn of tst_fx2loop_hiomap is type regs_type is record dspdat : slv16; -- display data dummy : slbit; -- <remove when 2nd signal added...> end record regs_type; constant regs_init : regs_type := ( (others=>'0'), -- dspdat '0' ); signal R_REGS : regs_type := regs_init; -- state registers signal N_REGS : regs_type := regs_init; -- next value state regs begin proc_regs: process (CLK) begin if rising_edge(CLK) then if RESET = '1' then R_REGS <= regs_init; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next: process (R_REGS, HIO_STAT, FX2_MONI, SWI, BTN) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable icntl : hio_cntl_type := hio_cntl_init; variable iled : slv8 := (others=>'0'); variable idat : slv16 := (others=>'0'); variable idp : slv4 := (others=>'0'); begin r := R_REGS; n := R_REGS; icntl := hio_cntl_init; iled := (others=>'0'); idat := (others=>'0'); idp := (others=>'0'); -- setup tester controls icntl.mode := SWI(1 downto 0); icntl.tx2blast := SWI(2); icntl.throttle := SWI(3); -- setup leds iled(7) := FX2_MONI.fifo_ep4; iled(6) := FX2_MONI.fifo_ep6; iled(5) := FX2_MONI.fifo_ep8; iled(4) := FX2_MONI.flag_ep4_empty; iled(3) := FX2_MONI.flag_ep4_almost; iled(2) := FX2_MONI.flag_ep6_full; iled(1) := FX2_MONI.flag_ep6_almost; if unsigned(HIO_STAT.rxsecnt) > 0 then iled(0) := '1'; end if; -- setup display data case SWI(7 downto 5) is when "000" => idat := HIO_STAT.rxsecnt; when "001" => idat := (others=>'1'); when "010" => idat := HIO_STAT.rxcnt(15 downto 0); when "011" => idat := HIO_STAT.rxcnt(31 downto 16); when "100" => idat := HIO_STAT.txcnt(15 downto 0); when "101" => idat := HIO_STAT.txcnt(31 downto 16); when "110" => idat := HIO_STAT.tx2cnt(15 downto 0); when "111" => idat := HIO_STAT.tx2cnt(31 downto 16); when others => null; end case; n.dspdat := idat; -- setup display decimal points idp(3) := HIO_STAT.txbusy; -- tx back preasure idp(2) := FX2_MONI.slwr; -- tx activity idp(1) := HIO_STAT.rxhold; -- rx back preasure idp(0) := FX2_MONI.slrd; -- rx activity N_REGS <= n; HIO_CNTL <= icntl; LED <= iled; DSP_DAT <= r.dspdat; DSP_DP <= idp; end process proc_next; end syn;
gpl-2.0
freecores/w11
rtl/vlib/memlib/ram_1swsr_wfirst_gen_unisim.vhd
2
2401
-- $Id: ram_1swsr_wfirst_gen_unisim.vhd 314 2010-07-09 17:38:41Z mueller $ -- -- Copyright 2008- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: ram_1swsr_wfirst_gen - syn -- Description: Single-Port RAM with with one synchronous read/write port -- and 'read-through' semantics (as block RAM). -- Direct instantiation of Xilinx UNISIM primitives -- -- Dependencies: - -- Test bench: - -- Target Devices: Spartan-3, Virtex-2,-4 -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2008-03-08 123 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.ALL; use work.slvtypes.all; use work.memlib.all; entity ram_1swsr_wfirst_gen is -- RAM, 1 sync r/w port, write first generic ( AWIDTH : positive := 11; -- address port width DWIDTH : positive := 9); -- data port width port( CLK : in slbit; -- clock EN : in slbit; -- enable WE : in slbit; -- write enable ADDR : in slv(AWIDTH-1 downto 0); -- address DI : in slv(DWIDTH-1 downto 0); -- data in DO : out slv(DWIDTH-1 downto 0) -- data out ); end ram_1swsr_wfirst_gen; architecture syn of ram_1swsr_wfirst_gen is begin UMEM: ram_1swsr_xfirst_gen_unisim generic map ( AWIDTH => AWIDTH, DWIDTH => DWIDTH, WRITE_MODE => "WRITE_FIRST") port map ( CLK => CLK, EN => EN, WE => WE, ADDR => ADDR, DI => DI, DO => DO ); end syn;
gpl-2.0
freecores/w11
rtl/vlib/rlink/rlink_core8.vhd
2
5364
-- $Id: rlink_core8.vhd 440 2011-12-18 20:08:09Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: rlink_core8 - syn -- Description: rlink core with 8bit interface (core+b2c/c2b+rlmon+rbmon) -- -- Dependencies: rlink_core -- comlib/byte2cdata -- comlib/cdata2byte -- rlink_mon_sb [sim only] -- rbus/rb_mon_sb [sim only] -- -- Test bench: - -- -- Target Devices: generic -- Tool versions: xst 13.1; ghdl 0.29 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2011-12-09 437 13.1 O40d xc3s1000-4 184 403 0 244 s 9.1 -- -- Revision History: -- Date Rev Version Comment -- 2011-12-09 437 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.comlib.all; use work.rblib.all; use work.rlinklib.all; entity rlink_core8 is -- rlink core with 8bit interface generic ( ATOWIDTH : positive := 5; -- access timeout counter width ITOWIDTH : positive := 6; -- idle timeout counter width CPREF : slv4 := c_rlink_cpref; -- comma prefix ENAPIN_RLMON : integer := sbcntl_sbf_rlmon; -- SB_CNTL for rlmon (-1=none) ENAPIN_RBMON : integer := sbcntl_sbf_rbmon); -- SB_CNTL for rbmon (-1=none) port ( CLK : in slbit; -- clock CE_INT : in slbit := '0'; -- rlink ito time unit clock enable RESET : in slbit; -- reset RLB_DI : in slv8; -- rlink 8b: data in RLB_ENA : in slbit; -- rlink 8b: data enable RLB_BUSY : out slbit; -- rlink 8b: data busy RLB_DO : out slv8; -- rlink 8b: data out RLB_VAL : out slbit; -- rlink 8b: data valid RLB_HOLD : in slbit; -- rlink 8b: data hold RL_MONI : out rl_moni_type; -- rlink: monitor port RB_MREQ : out rb_mreq_type; -- rbus: request RB_SRES : in rb_sres_type; -- rbus: response RB_LAM : in slv16; -- rbus: look at me RB_STAT : in slv3 -- rbus: status flags ); end entity rlink_core8; architecture syn of rlink_core8 is signal RL_DI : slv9 := (others=>'0'); signal RL_ENA : slbit := '0'; signal RL_BUSY : slbit := '0'; signal RL_DO : slv9 := (others=>'0'); signal RL_VAL : slbit := '0'; signal RL_HOLD : slbit := '0'; signal RB_MREQ_L : rb_mreq_type := rb_mreq_init; -- local, readable RB_MREQ begin RL : rlink_core generic map ( ATOWIDTH => ATOWIDTH, ITOWIDTH => ITOWIDTH) port map ( CLK => CLK, CE_INT => CE_INT, RESET => RESET, RL_DI => RL_DI, RL_ENA => RL_ENA, RL_BUSY => RL_BUSY, RL_DO => RL_DO, RL_VAL => RL_VAL, RL_HOLD => RL_HOLD, RL_MONI => RL_MONI, RB_MREQ => RB_MREQ_L, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT ); RB_MREQ <= RB_MREQ_L; -- RLB -> RL converter (DI handling) ------------- B2CD : byte2cdata -- byte stream -> 9bit comma,data generic map ( CPREF => CPREF, NCOMM => c_rlink_ncomm) port map ( CLK => CLK, RESET => RESET, DI => RLB_DI, ENA => RLB_ENA, BUSY => RLB_BUSY, DO => RL_DI, VAL => RL_ENA, HOLD => RL_BUSY ); -- RL -> RLB converter (DO handling) ------------- CD2B : cdata2byte -- 9bit comma,data -> byte stream generic map ( CPREF => CPREF, NCOMM => c_rlink_ncomm) port map ( CLK => CLK, RESET => RESET, DI => RL_DO, ENA => RL_VAL, BUSY => RL_HOLD, DO => RLB_DO, VAL => RLB_VAL, HOLD => RLB_HOLD ); -- synthesis translate_off RLMON: if ENAPIN_RLMON >= 0 generate MON : rlink_mon_sb generic map ( DWIDTH => RL_DI'length, ENAPIN => ENAPIN_RLMON) port map ( CLK => CLK, RL_DI => RL_DI, RL_ENA => RL_ENA, RL_BUSY => RL_BUSY, RL_DO => RL_DO, RL_VAL => RL_VAL, RL_HOLD => RL_HOLD ); end generate RLMON; RBMON: if ENAPIN_RBMON >= 0 generate MON : rb_mon_sb generic map ( DBASE => 8, ENAPIN => ENAPIN_RBMON) port map ( CLK => CLK, RB_MREQ => RB_MREQ_L, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT ); end generate RBMON; -- synthesis translate_on end syn;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_fx2loop/tst_fx2loop.vhd
1
7894
-- $Id: tst_fx2loop.vhd 510 2013-04-26 16:14:57Z mueller $ -- -- Copyright 2011-2013 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tst_fx2loop - syn -- Description: simple stand-alone tester for fx2lib components -- -- Dependencies: comlib/byte2word -- comlib/word2byte -- Test bench: - -- -- Target Devices: generic -- Tool versions: xst 13.3; ghdl 0.29 -- -- Revision History: -- Date Rev Version Comment -- 2013-04-24 510 1.0.1 fix sensitivity list of proc_next -- 2012-01-15 453 1.0 Initial version -- 2011-12-26 445 0.5 First draft ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.comlib.all; use work.fx2lib.all; use work.tst_fx2looplib.all; -- ---------------------------------------------------------------------------- entity tst_fx2loop is -- tester for fx2lib components port ( CLK : in slbit; -- clock RESET : in slbit; -- reset CE_MSEC : in slbit; -- msec pulse HIO_CNTL : in hio_cntl_type; -- humanio controls HIO_STAT : out hio_stat_type; -- humanio status FX2_MONI : in fx2ctl_moni_type; -- fx2ctl monitor RXDATA : in slv8; -- receiver data out RXVAL : in slbit; -- receiver data valid RXHOLD : out slbit; -- receiver data hold TXDATA : out slv8; -- transmit data in TXENA : out slbit; -- transmit data enable TXBUSY : in slbit; -- transmit busy TX2DATA : out slv8; -- transmit 2 data in TX2ENA : out slbit; -- transmit 2 data enable TX2BUSY : in slbit -- transmit 2 busy ); end tst_fx2loop; architecture syn of tst_fx2loop is type regs_type is record rxdata : slv16; -- next rx word txdata : slv16; -- next tx word tx2data : slv16; -- next tx2 word rxsecnt : slv16; -- rx sequence error counter rxcnt : slv32; -- rx word counter txcnt : slv32; -- tx word counter tx2cnt : slv32; -- tx2 word counter rxthrottle : slbit; -- rx throttle flag end record regs_type; constant regs_init : regs_type := ( (others=>'0'), -- rxdata (others=>'0'), -- txdata (others=>'0'), -- tx2data (others=>'0'), -- rxsecnt (others=>'0'), -- rxcnt (others=>'0'), -- txcnt (others=>'0'), -- tx2cnt '0' -- rxthrottle ); signal R_REGS : regs_type := regs_init; -- state registers signal N_REGS : regs_type := regs_init; -- next value state regs signal RXWDATA : slv16 := (others=>'0'); signal RXWVAL : slbit := '0'; signal RXWHOLD : slbit := '0'; signal RXODD : slbit := '0'; signal TXWDATA : slv16 := (others=>'0'); signal TXWENA : slbit := '0'; signal TXWBUSY : slbit := '0'; signal TXODD : slbit := '0'; signal TX2WDATA : slv16 := (others=>'0'); signal TX2WENA : slbit := '0'; signal TX2WBUSY : slbit := '0'; signal TX2ODD : slbit := '0'; signal RXHOLD_L : slbit := '0'; -- local copy of out port signal signal TXENA_L : slbit := '0'; -- local copy of out port signal signal TX2ENA_L : slbit := '0'; -- local copy of out port signal signal CNTL_RESET_L : slbit := '0'; -- local copy of out port signal begin CNTL_RESET_L <= '0'; -- so far unused RXB2W : byte2word port map ( CLK => CLK, RESET => CNTL_RESET_L, DI => RXDATA, ENA => RXVAL, BUSY => RXHOLD_L, DO => RXWDATA, VAL => RXWVAL, HOLD => RXWHOLD, ODD => RXODD ); TX1W2B : word2byte port map ( CLK => CLK, RESET => CNTL_RESET_L, DI => TXWDATA, ENA => TXWENA, BUSY => TXWBUSY, DO => TXDATA, VAL => TXENA_L, HOLD => TXBUSY, ODD => TXODD ); TX2W2B : word2byte port map ( CLK => CLK, RESET => CNTL_RESET_L, DI => TX2WDATA, ENA => TX2WENA, BUSY => TX2WBUSY, DO => TX2DATA, VAL => TX2ENA_L, HOLD => TX2BUSY, ODD => TX2ODD ); proc_regs: process (CLK) begin if rising_edge(CLK) then if RESET = '1' then R_REGS <= regs_init; else R_REGS <= N_REGS; end if; end if; end process proc_regs; proc_next: process (R_REGS, CE_MSEC, HIO_CNTL, FX2_MONI, RXWDATA, RXWVAL, TXWBUSY, TX2WBUSY, RXHOLD_L, TXBUSY, TX2BUSY) variable r : regs_type := regs_init; variable n : regs_type := regs_init; variable irxwhold : slbit := '1'; variable itxwena : slbit := '0'; variable itxwdata : slv16 := (others=>'0'); variable itx2wena : slbit := '0'; begin r := R_REGS; n := R_REGS; irxwhold := '1'; itxwena := '0'; itxwdata := RXWDATA; itx2wena := '0'; if HIO_CNTL.throttle = '1' then if CE_MSEC = '1' then n.rxthrottle := not r.rxthrottle; end if; else n.rxthrottle := '0'; end if; case HIO_CNTL.mode is when c_mode_idle => null; when c_mode_rxblast => if RXWVAL='1' and r.rxthrottle='0' then irxwhold := '0'; if RXWDATA /= r.rxdata then n.rxsecnt := slv(unsigned(r.rxsecnt) + 1); end if; n.rxdata := slv(unsigned(RXWDATA) + 1); end if; when c_mode_txblast => itxwdata := r.txdata; if TXWBUSY = '0' then itxwena := '1'; n.txdata := slv(unsigned(r.txdata) + 1); end if; irxwhold := '0'; when c_mode_loop => itxwdata := RXWDATA; if RXWVAL='1' and r.rxthrottle='0' and TXWBUSY = '0' then irxwhold := '0'; itxwena := '1'; end if; when others => null; end case; if HIO_CNTL.tx2blast = '1' then if TX2WBUSY = '0' then itx2wena := '1'; n.tx2data := slv(unsigned(r.tx2data) + 1); end if; end if; if RXWVAL='1' and irxwhold='0' then n.rxcnt := slv(unsigned(r.rxcnt) + 1); end if; if itxwena = '1' then n.txcnt := slv(unsigned(r.txcnt) + 1); end if; if itx2wena = '1' then n.tx2cnt := slv(unsigned(r.tx2cnt) + 1); end if; N_REGS <= n; RXWHOLD <= irxwhold; TXWENA <= itxwena; TXWDATA <= itxwdata; TX2WENA <= itx2wena; TX2WDATA <= r.tx2data; HIO_STAT.rxhold <= RXHOLD_L; HIO_STAT.txbusy <= TXBUSY; HIO_STAT.tx2busy <= TX2BUSY; HIO_STAT.rxsecnt <= r.rxsecnt; HIO_STAT.rxcnt <= r.rxcnt; HIO_STAT.txcnt <= r.txcnt; HIO_STAT.tx2cnt <= r.tx2cnt; end process proc_next; RXHOLD <= RXHOLD_L; TXENA <= TXENA_L; TX2ENA <= TX2ENA_L; end syn;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_rlink/nexys2/tb/tb_tst_rlink_n2.vhd
2
1394
-- $Id: tb_tst_rlink_n2.vhd 437 2011-12-09 19:38:07Z mueller $ -- -- Copyright 2010- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tb_tst_rlink_n2 -- Description: Configuration for tb_tst_rlink_n2 for tb_nexys2_fusp -- -- Dependencies: sys_tst_rlink_n2 -- -- To test: sys_tst_rlink_n2 -- -- Verified: -- Date Rev Code ghdl ise Target Comment -- 2010-12-xx xxx - 0.29 12.1 M53d xc3s1200e u:??? -- -- Revision History: -- Date Rev Version Comment -- 2010-12-29 351 1.0 Initial version ------------------------------------------------------------------------------ configuration tb_tst_rlink_n2 of tb_nexys2_fusp is for sim for all : nexys2_fusp_aif use entity work.sys_tst_rlink_n2; end for; end for; end tb_tst_rlink_n2;
gpl-2.0
freecores/w11
rtl/sys_gen/tst_serloop/s3board/tb/sys_conf_sim.vhd
2
1824
-- $Id: sys_conf_sim.vhd 441 2011-12-20 17:01:16Z mueller $ -- -- Copyright 2011- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Package Name: sys_conf -- Description: Definitions for sys_tst_serloop_s3 (for test bench) -- -- Dependencies: - -- Tool versions: xst 11.4; ghdl 0.26 -- Revision History: -- Date Rev Version Comment -- 2011-11-05 420 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; package sys_conf is -- in simulation a usec is shortened to 12 cycles (0.2 usec) and a msec -- to 60 cycles (1 usec). This affects the pulse generators (usec) and -- mainly the autobauder. A break will be detected after 128 msec periods, -- this in simulation after 128 usec or 6400 cycles. This is compatible with -- bitrates of 115200 baud or higher (115200 <-> 8.68 usec <-> 521 cycles) constant sys_conf_clkdiv_usecdiv : integer := 12; -- shortened ! constant sys_conf_clkdiv_msecdiv : integer := 5; -- shortened ! constant sys_conf_hio_debounce : boolean := false; -- no debouncers constant sys_conf_uart_cdinit : integer := 1-1; -- 1 cycle/bit in sim end package sys_conf;
gpl-2.0
freecores/w11
rtl/bplib/fx2lib/tb/fx2_2fifo_core.vhd
1
7935
-- $Id: fx2_2fifo_core.vhd 469 2013-01-05 12:29:44Z mueller $ -- -- Copyright 2013- by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: fx2_2fifo_core - sim -- Description: Cypress EZ-USB FX2 (2 fifo core model) -- -- Dependencies: memlib/fifo_2c_dram -- Test bench: - -- Target Devices: generic -- Tool versions: xst 13.3; ghdl 0.29 -- Revision History: -- Date Rev Version Comment -- 2013-01-04 469 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simbus.all; use work.fx2lib.all; use work.memlib.all; entity fx2_2fifo_core is -- EZ-USB FX2 (2 fifo core model) port ( CLK : in slbit; -- uplink clock RESET : in slbit; -- reset RXDATA : in slv8; -- rx data (ext->fx2) RXENA : in slbit; -- rx enable RXBUSY : out slbit; -- rx busy TXDATA : out slv8; -- tx data (fx2->ext) TXVAL : out slbit; -- tx valid IFCLK : out slbit; -- fx2 interface clock FIFO : in slv2; -- fx2 fifo address FLAG : out slv4; -- fx2 fifo flags SLRD_N : in slbit; -- fx2 read enable (act.low) SLWR_N : in slbit; -- fx2 write enable (act.low) SLOE_N : in slbit; -- fx2 output enable (act.low) PKTEND_N : in slbit; -- fx2 packet end (act.low) DATA : inout slv8 -- fx2 data lines ); end fx2_2fifo_core; architecture sim of fx2_2fifo_core is constant c_rxfifo : slv2 := c_fifo_ep4; constant c_txfifo : slv2 := c_fifo_ep6; constant c_flag_prog : integer := 0; constant c_flag_tx_ff : integer := 1; constant c_flag_rx_ef : integer := 2; constant c_flag_tx2_ff : integer := 3; constant bufsize : positive := 1024; constant datzero : slv(DATA'range) := (others=>'0'); type buf_type is array (0 to bufsize-1) of slv(DATA'range); signal CLK30 : slbit := '0'; signal RXFIFO_DO : slv8 := (others=>'0'); signal RXFIFO_VAL : slbit := '0'; signal RXFIFO_HOLD : slbit := '0'; signal TXFIFO_DI : slv8 := (others=>'0'); signal TXFIFO_ENA : slbit := '0'; signal TXFIFO_BUSY : slbit := '0'; signal R_FLAG : slv4 := (others=>'0'); signal R_DATA : slv8 := (others=>'0'); -- added for debug purposes signal R_rxbuf_rind : natural := 0; signal R_rxbuf_wind : natural := 0; signal R_rxbuf_nbyt : natural := 0; signal R_txbuf_rind : natural := 0; signal R_txbuf_wind : natural := 0; signal R_txbuf_nbyt : natural := 0; begin RXFIFO : fifo_2c_dram generic map ( AWIDTH => 5, DWIDTH => 8) port map ( CLKW => CLK, CLKR => CLK30, RESETW => '0', RESETR => '0', DI => RXDATA, ENA => RXENA, BUSY => RXBUSY, DO => RXFIFO_DO, VAL => RXFIFO_VAL, HOLD => RXFIFO_HOLD, SIZEW => open, SIZER => open ); TXFIFO : fifo_2c_dram generic map ( AWIDTH => 5, DWIDTH => 8) port map ( CLKW => CLK30, CLKR => CLK, RESETW => '0', RESETR => '0', DI => TXFIFO_DI, ENA => TXFIFO_ENA, BUSY => TXFIFO_BUSY, DO => TXDATA, VAL => TXVAL, HOLD => '0', SIZEW => open, SIZER => open ); proc_ifclk: process constant offset : time := 200 ns; constant halfperiod_7 : time := 16700 ps; constant halfperiod_6 : time := 16600 ps; begin CLK30 <= '0'; wait for offset; clk_loop: loop CLK30 <= '1'; wait for halfperiod_7; CLK30 <= '0'; wait for halfperiod_7; CLK30 <= '1'; wait for halfperiod_6; CLK30 <= '0'; wait for halfperiod_7; CLK30 <= '1'; wait for halfperiod_7; CLK30 <= '0'; wait for halfperiod_6; exit clk_loop when to_x01(SB_CLKSTOP) = '1'; end loop; wait; -- endless wait, simulator will stop end process proc_ifclk; proc_state: process (CLK30) variable rxbuf : buf_type := (others=>datzero); variable rxbuf_rind : natural := 0; variable rxbuf_wind : natural := 0; variable rxbuf_nbyt : natural := 0; variable txbuf : buf_type := (others=>datzero); variable txbuf_rind : natural := 0; variable txbuf_wind : natural := 0; variable txbuf_nbyt : natural := 0; variable oline : line; begin if rising_edge(CLK30) then RXFIFO_HOLD <= '0'; TXFIFO_ENA <= '0'; -- rxfifo -> rxbuf if RXFIFO_VAL = '1' then if rxbuf_nbyt < bufsize then rxbuf(rxbuf_wind) := RXFIFO_DO; rxbuf_wind := (rxbuf_wind + 1) mod bufsize; rxbuf_nbyt := rxbuf_nbyt + 1; else RXFIFO_HOLD <= '1'; end if; end if; -- txbuf -> txfifo if txbuf_nbyt>0 and TXFIFO_BUSY='0' then TXFIFO_DI <= txbuf(txbuf_rind); TXFIFO_ENA <= '1'; txbuf_rind := (txbuf_rind + 1) mod bufsize; txbuf_nbyt := txbuf_nbyt - 1; end if; -- slrd cycle: rxbuf -> data if SLRD_N = '0' then if rxbuf_nbyt > 0 then rxbuf_rind := (rxbuf_rind + 1) mod bufsize; rxbuf_nbyt := rxbuf_nbyt - 1; else write(oline, string'("fx2_2fifo_core: SLRD_N=0 when rxbuf empty")); writeline(output, oline); end if; end if; R_DATA <= rxbuf(rxbuf_rind); -- slwr cycle: data -> txbuf if SLWR_N = '0' then if txbuf_nbyt < bufsize then txbuf(txbuf_wind) := DATA; txbuf_wind := (txbuf_wind + 1) mod bufsize; txbuf_nbyt := txbuf_nbyt + 1; else write(oline, string'("fx2_2fifo_core: SLWR_N=0 when txbuf full")); writeline(output, oline); end if; end if; -- prepare flags (note that FLAGs are act.low!) R_FLAG <= (others=>'1'); -- FLAGA = indexed, PF -- rx endpoint -> PF 'almost empty' at 3 bytes to go if FIFO = c_rxfifo then if rxbuf_nbyt < 4 then R_FLAG(0) <= '0'; end if; -- tx endpoint -> PF 'almost full' at 3 bytes to go elsif FIFO = c_txfifo then if txbuf_nbyt > bufsize-4 then R_FLAG(0) <= '0'; end if; end if; -- FLAGB = EP6 FF if txbuf_nbyt = bufsize then R_FLAG(1) <= '0'; end if; -- FLAGC = EP4 EF if rxbuf_nbyt = 0 then R_FLAG(2) <= '0'; end if; -- FLAGD = EP8 FF R_FLAG(3) <= '1'; -- added for debug purposes R_rxbuf_rind <= rxbuf_rind; R_rxbuf_wind <= rxbuf_wind; R_rxbuf_nbyt <= rxbuf_nbyt; R_txbuf_rind <= txbuf_rind; R_txbuf_wind <= txbuf_wind; R_txbuf_nbyt <= txbuf_nbyt; end if; end process proc_state; IFCLK <= CLK30; FLAG <= R_FLAG; proc_data: process (SLOE_N, R_DATA) begin if SLOE_N = '1' then DATA <= (others=>'Z'); else DATA <= R_DATA; end if; end process proc_data; end sim;
gpl-2.0
drxzcl/neppielight
averager.vhd
2
6662
---------------------------------------------------------------------------------- -- Engineer: [email protected] -- -- Create Date: 22:35:50 01/09/2015 -- Design Name: HDMI block averager -- Module Name: - Behavioral -- Project Name: Neppielight ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity averager is Port ( clk_pixel : IN std_logic; -- i_red : IN std_logic_vector(7 downto 0); i_green : IN std_logic_vector(7 downto 0); i_blue : IN std_logic_vector(7 downto 0); i_blank : IN std_logic; i_hsync : IN std_logic; i_vsync : IN std_logic; -- framebuffer : OUT std_logic_vector(0 to 25*24-1); o_red : OUT std_logic_vector(7 downto 0); o_green : OUT std_logic_vector(7 downto 0); o_blue : OUT std_logic_vector(7 downto 0); o_blank : OUT std_logic; o_hsync : OUT std_logic; o_vsync : OUT std_logic); end averager; architecture Behavioral of averager is ------------------------- -- Part of the pipeline ------------------------- signal a_red : std_logic_vector(7 downto 0); signal a_green : std_logic_vector(7 downto 0); signal a_blue : std_logic_vector(7 downto 0); signal a_blank : std_logic; signal a_hsync : std_logic; signal a_vsync : std_logic; ------------------------------- -- Counters for screen position ------------------------------- signal x : STD_LOGIC_VECTOR (10 downto 0); signal y : STD_LOGIC_VECTOR (10 downto 0); constant nblocks : integer := 25; -- signal pixel : std_logic_vector(23 downto 0) := (others => '0'); type accumulator_type is array (0 to nblocks-1,0 to 3) of std_logic_vector(21 downto 0); signal accumulator : accumulator_type; --signal blocknr : integer range 0 to 10; type blockcoords_type is array (0 to nblocks-1) of integer; -- Due to the details of the construction, we start in the lower left corner -- and work our way clockwise. -- Laterally, we've got more leds than pixels, so we'll have partially verlapping boxes. constant startx : blockcoords_type := ( 0, 0, 0, 0, 0,0,144,288,432,576,720,864,1008,1152,1152,1152,1152,1152,1152,987,823,658,494,329,164); constant starty : blockcoords_type := (592,472,356,238,118,0, 0, 0, 0, 0, 0, 0, 0, 0, 118, 238, 356, 472, 592,592,592,592,592,592,592); type gamma_lut_type is array ( 0 to 255) of std_logic_vector(7 downto 0); constant gamma_lut : gamma_lut_type := ( X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"01", X"02", X"02", X"02", X"02", X"02", X"02", X"02", X"02", X"02", X"02", X"02", X"03", X"03", X"03", X"03", X"03", X"03", X"03", X"03", X"04", X"04", X"04", X"04", X"04", X"05", X"05", X"05", X"05", X"05", X"06", X"06", X"06", X"06", X"06", X"07", X"07", X"07", X"08", X"08", X"08", X"08", X"09", X"09", X"09", X"0A", X"0A", X"0A", X"0B", X"0B", X"0B", X"0C", X"0C", X"0D", X"0D", X"0D", X"0E", X"0E", X"0F", X"0F", X"0F", X"10", X"10", X"11", X"11", X"12", X"12", X"13", X"13", X"14", X"14", X"15", X"15", X"16", X"17", X"17", X"18", X"18", X"19", X"19", X"1A", X"1B", X"1B", X"1C", X"1D", X"1D", X"1E", X"1F", X"1F", X"20", X"21", X"21", X"22", X"23", X"24", X"24", X"25", X"26", X"27", X"28", X"28", X"29", X"2A", X"2B", X"2C", X"2D", X"2D", X"2E", X"2F", X"30", X"31", X"32", X"33", X"34", X"35", X"36", X"37", X"38", X"39", X"3A", X"3B", X"3C", X"3D", X"3E", X"3F", X"40", X"41", X"42", X"43", X"44", X"46", X"47", X"48", X"49", X"4A", X"4B", X"4D", X"4E", X"4F", X"50", X"51", X"53", X"54", X"55", X"57", X"58", X"59", X"5A", X"5C", X"5D", X"5F", X"60", X"61", X"63", X"64", X"66", X"67", X"68", X"6A", X"6B", X"6D", X"6E", X"70", X"71", X"73", X"74", X"76", X"78", X"79", X"7B", X"7C", X"7E", X"80", X"81", X"83", X"85", X"86", X"88", X"8A", X"8B", X"8D", X"8F", X"91", X"92", X"94", X"96", X"98", X"9A", X"9B", X"9D", X"9F", X"A1", X"A3", X"A5", X"A7", X"A9", X"AB", X"AD", X"AF", X"B1", X"B3", X"B5", X"B7", X"B9", X"BB", X"BD", X"BF", X"C1", X"C3", X"C5", X"C7", X"CA", X"CC", X"CE", X"D0", X"D2", X"D5", X"D7", X"D9", X"DB", X"DE", X"E0", X"E2", X"E4", X"E7", X"E9", X"EC", X"EE", X"F0", X"F3", X"F5", X"F8", X"FA", X"FD", X"FF"); begin process(clk_pixel) variable blockedge : std_logic := '0'; begin if rising_edge(clk_pixel) then for bn in 0 to nblocks-1 loop if unsigned(x) >= startx(bn) and unsigned(x) < startx(bn)+128 and unsigned(y) >= starty(bn) and unsigned(y) < starty(bn)+128 then -- We are a part of block bn. Accumulate the color info. accumulator(bn,0) <= std_logic_vector(unsigned(accumulator(bn,0)) + unsigned(a_red)); accumulator(bn,1) <= std_logic_vector(unsigned(accumulator(bn,1)) + unsigned(a_green)); accumulator(bn,2) <= std_logic_vector(unsigned(accumulator(bn,2)) + unsigned(a_blue)); end if; end loop; -- debug, mark the block corners in red -- blockedge := '0'; -- for bn in 0 to nblocks-1 loop -- if (unsigned(x) = startx(bn) or unsigned(x) = startx(bn)+128) and -- (unsigned(y) = starty(bn) or unsigned(y) = starty(bn)+128) then -- blockedge := '1'; -- end if; -- end loop; -- -- if blockedge = '0' then o_red <= a_red; o_green <= a_green; o_blue <= a_blue; -- else -- o_red <= X"FF"; -- o_green <= X"00"; -- o_blue <= X"00"; -- end if; o_blank <= a_blank; o_hsync <= a_hsync; o_vsync <= a_vsync; a_red <= i_red; a_green <= i_green; a_blue <= i_blue; a_blank <= i_blank; a_hsync <= i_hsync; a_vsync <= i_vsync; -- Working out where we are in the screen.. if i_vsync /= a_vsync then y <= (others => '0'); if i_vsync = '1' then for i in 0 to nblocks-1 loop for c in 0 to 2 loop framebuffer(c * 8 + i * 24 to i * 24 + c * 8 + 7) <= gamma_lut(to_integer(unsigned(accumulator(i,c)(21 downto 14)))); accumulator(i,c) <= (others => '0'); end loop; end loop; end if; end if; if i_blank = '0' then x <= std_logic_vector(unsigned(x) + 1); end if; -- Start of the blanking interval? if a_blank = '0' and i_blank = '1' then y <= std_logic_vector(unsigned(y) + 1); x <= (others => '0'); end if; end if; end process; end Behavioral;
gpl-2.0
mati75/ghdl
libraries/ieee2008/float_generic_pkg-body.vhdl
4
231104
-- -------------------------------------------------------------------- -- -- Copyright © 2008 by IEEE. All rights reserved. -- -- This source file is an essential part of IEEE Std 1076-2008, -- IEEE Standard VHDL Language Reference Manual. This source file may not be -- copied, sold, or included with software that is sold without written -- permission from the IEEE Standards Department. This source file may be -- copied for individual use between licensed users. This source file is -- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR -- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE -- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify -- and hold IEEE harmless from any damages or liability arising out of the -- use thereof. -- -- Title : Floating-point package (Generic package body) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: Accellera VHDL-TC and IEEE P1076 Working Group -- : -- Purpose : This packages defines basic binary floating point -- : arithmetic functions -- : -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- package body float_generic_pkg is -- Author David Bishop ([email protected]) ----------------------------------------------------------------------------- -- type declarations ----------------------------------------------------------------------------- -- This deferred constant will tell you if the package body is synthesizable -- or implemented as real numbers, set to "true" if synthesizable. constant fphdlsynth_or_real : BOOLEAN := true; -- deferred constant -- types of boundary conditions type boundary_type is (normal, infinity, zero, denormal); -- null range array constant constant NAFP : UNRESOLVED_float (0 downto 1) := (others => '0'); constant NSLV : STD_ULOGIC_VECTOR (0 downto 1) := (others => '0'); -- Special version of "minimum" to do some boundary checking function mine (L, R : INTEGER) return INTEGER is begin -- function minimum if (L = INTEGER'low or R = INTEGER'low) then report float_generic_pkg'instance_name & " Unbounded number passed, was a literal used?" severity error; return 0; end if; return minimum (L, R); end function mine; -- Generates the base number for the exponent normalization offset. function gen_expon_base ( constant exponent_width : NATURAL) return SIGNED is variable result : SIGNED (exponent_width-1 downto 0); begin result := (others => '1'); result (exponent_width-1) := '0'; return result; end function gen_expon_base; -- Integer version of the "log2" command (contributed by Peter Ashenden) function log2 (A : NATURAL) return NATURAL is variable quotient : NATURAL; variable result : NATURAL := 0; begin quotient := A / 2; while quotient > 0 loop quotient := quotient / 2; result := result + 1; end loop; return result; end function log2; -- Function similar to the ILOGB function in MATH_REAL function log2 (A : REAL) return INTEGER is variable Y : REAL; variable N : INTEGER := 0; begin if (A = 1.0 or A = 0.0) then return 0; end if; Y := A; if(A > 1.0) then while Y >= 2.0 loop Y := Y / 2.0; N := N + 1; end loop; return N; end if; -- O < Y < 1 while Y < 1.0 loop Y := Y * 2.0; N := N - 1; end loop; return N; end function log2; -- purpose: Test the boundary conditions of a Real number procedure test_boundary ( arg : in REAL; -- Input, converted to real constant fraction_width : in NATURAL; -- length of FP output fraction constant exponent_width : in NATURAL; -- length of FP exponent constant denormalize : in BOOLEAN := true; -- Use IEEE extended FP variable btype : out boundary_type; variable log2i : out INTEGER ) is constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset constant exp_min : SIGNED (12 downto 0) := -(resize(expon_base, 13)) + 1; -- Minimum normal exponent constant exp_ext_min : SIGNED (12 downto 0) := exp_min - fraction_width; -- Minimum for denormal exponent variable log2arg : INTEGER; -- log2 of argument begin -- function test_boundary -- Check to see if the exponent is big enough -- Note that the argument is always an absolute value at this point. log2arg := log2(arg); if arg = 0.0 then btype := zero; elsif exponent_width > 11 then -- Exponent for Real is 11 (64 bit) btype := normal; else if log2arg < to_integer(exp_min) then if denormalize then if log2arg < to_integer(exp_ext_min) then btype := zero; else btype := denormal; end if; else if log2arg < to_integer(exp_min)-1 then btype := zero; else btype := normal; -- Can still represent this number end if; end if; elsif exponent_width < 11 then if log2arg > to_integer(expon_base)+1 then btype := infinity; else btype := normal; end if; else btype := normal; end if; end if; log2i := log2arg; end procedure test_boundary; -- purpose: Rounds depending on the state of the "round_style" -- Logic taken from -- "What Every Computer Scientist Should Know About Floating Point Arithmetic" -- by David Goldberg (1991) function check_round ( fract_in : STD_ULOGIC; -- input fraction sign : STD_ULOGIC; -- sign bit remainder : UNSIGNED; -- remainder to round from sticky : STD_ULOGIC := '0'; -- Sticky bit constant round_style : round_type) -- rounding type return BOOLEAN is variable result : BOOLEAN; variable or_reduced : STD_ULOGIC; begin -- function check_round result := false; if (remainder'length > 0) then -- if remainder in a null array or_reduced := or (remainder & sticky); rounding_case : case round_style is when round_nearest => -- Round Nearest, default mode if remainder(remainder'high) = '1' then -- round if (remainder'length > 1) then if ((or (remainder(remainder'high-1 downto remainder'low)) = '1' or sticky = '1') or fract_in = '1') then -- Make the bottom bit zero if possible if we are at 1/2 result := true; end if; else result := (fract_in = '1' or sticky = '1'); end if; end if; when round_inf => -- round up if positive, else truncate. if or_reduced = '1' and sign = '0' then result := true; end if; when round_neginf => -- round down if negative, else truncate. if or_reduced = '1' and sign = '1' then result := true; end if; when round_zero => -- round toward 0 Truncate null; end case rounding_case; end if; return result; end function check_round; -- purpose: Rounds depending on the state of the "round_style" -- unsigned version procedure fp_round ( fract_in : in UNSIGNED; -- input fraction expon_in : in SIGNED; -- input exponent fract_out : out UNSIGNED; -- output fraction expon_out : out SIGNED) is -- output exponent begin -- procedure fp_round if and (fract_in) = '1' then -- Fraction is all "1" expon_out := expon_in + 1; fract_out := to_unsigned(0, fract_out'high+1); else expon_out := expon_in; fract_out := fract_in + 1; end if; end procedure fp_round; -- This version of break_number doesn't call "classfp" procedure break_number ( -- internal version arg : in UNRESOLVED_float; fptyp : in valid_fpstate; denormalize : in BOOLEAN := true; fract : out UNSIGNED; expon : out SIGNED) is constant fraction_width : NATURAL := -arg'low; -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable exp : SIGNED (expon'range); begin fract (fraction_width-1 downto 0) := UNSIGNED (to_slv(arg(-1 downto -fraction_width))); breakcase : case fptyp is when pos_zero | neg_zero => fract (fraction_width) := '0'; exp := -expon_base; when pos_denormal | neg_denormal => if denormalize then exp := -expon_base; fract (fraction_width) := '0'; else exp := -expon_base - 1; fract (fraction_width) := '1'; end if; when pos_normal | neg_normal | pos_inf | neg_inf => fract (fraction_width) := '1'; exp := SIGNED(arg(exponent_width-1 downto 0)); exp (exponent_width-1) := not exp(exponent_width-1); when others => assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "BREAK_NUMBER: " & "Meta state detected in fp_break_number process" severity warning; -- complete the case, if a NAN goes in, a NAN comes out. exp := (others => '1'); fract (fraction_width) := '1'; end case breakcase; expon := exp; end procedure break_number; -- purpose: floating point to UNSIGNED -- Used by to_integer, to_unsigned, and to_signed functions procedure float_to_unsigned ( arg : in UNRESOLVED_float; -- floating point input variable sign : out STD_ULOGIC; -- sign of output variable frac : out UNSIGNED; -- unsigned biased output constant denormalize : in BOOLEAN; -- turn on denormalization constant bias : in NATURAL; -- bias for fixed point constant round_style : in round_type) is -- rounding method constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent variable fract : UNSIGNED (frac'range); -- internal version of frac variable isign : STD_ULOGIC; -- internal version of sign variable exp : INTEGER; -- Exponent variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp -- Base to divide fraction by variable frac_shift : UNSIGNED (frac'high+3 downto 0); -- Fraction shifted variable shift : INTEGER; variable remainder : UNSIGNED (2 downto 0); variable round : STD_ULOGIC; -- round BIT begin isign := to_x01(arg(arg'high)); -- exponent /= '0', normal floating point expon := to_01(SIGNED(arg (exponent_width-1 downto 0)), 'X'); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (expon); -- Figure out the fraction fract := (others => '0'); -- fill with zero fract (fract'high) := '1'; -- Add the "1.0". shift := (fract'high-1) - exp; if fraction_width > fract'high then -- Can only use size-2 bits fract (fract'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -fract'high))); else -- can use all bits fract (fract'high-1 downto fract'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := fract & "000"; if shift < 0 then -- Overflow fract := (others => '1'); else frac_shift := shift_right (frac_shift, shift); fract := frac_shift (frac_shift'high downto 3); remainder := frac_shift (2 downto 0); -- round (round_zero will bypass this and truncate) case round_style is when round_nearest => round := remainder(2) and (fract (0) or (or (remainder (1 downto 0)))); when round_inf => round := remainder(2) and not isign; when round_neginf => round := remainder(2) and isign; when others => round := '0'; end case; if round = '1' then fract := fract + 1; end if; end if; frac := fract; sign := isign; end procedure float_to_unsigned; -- purpose: returns a part of a vector, this function is here because -- or (fractr (to_integer(shiftx) downto 0)); -- can't be synthesized in some synthesis tools. function smallfract ( arg : UNSIGNED; shift : NATURAL) return STD_ULOGIC is variable orx : STD_ULOGIC; begin orx := arg(shift); for i in arg'range loop if i < shift then orx := arg(i) or orx; end if; end loop; return orx; end function smallfract; --------------------------------------------------------------------------- -- Visible functions --------------------------------------------------------------------------- -- purpose: converts the negative index to a positive one -- negative indices are illegal in 1164 and 1076.3 function to_sulv ( arg : UNRESOLVED_float) -- fp vector return STD_ULOGIC_VECTOR is subtype result_subtype is STD_ULOGIC_VECTOR (arg'length-1 downto 0); variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0); begin -- function to_std_ulogic_vector if arg'length < 1 then return NSLV; end if; result := result_subtype (arg); return result; end function to_sulv; -- Converts an fp into an SULV function to_slv (arg : UNRESOLVED_float) return STD_LOGIC_VECTOR is begin return to_sulv (arg); end function to_slv; -- purpose: normalizes a floating point number -- This version assumes an "unsigned" input with function normalize ( fract : UNRESOLVED_UNSIGNED; -- fraction, unnormalized expon : UNRESOLVED_SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign BIT sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is variable sfract : UNSIGNED (fract'high downto 0); -- shifted fraction variable rfract : UNSIGNED (fraction_width-1 downto 0); -- fraction variable exp : SIGNED (exponent_width+1 downto 0); -- exponent variable rexp : SIGNED (exponent_width+1 downto 0); -- result exponent variable rexpon : UNSIGNED (exponent_width-1 downto 0); -- exponent variable result : UNRESOLVED_float (exponent_width downto -fraction_width); -- result variable shiftr : INTEGER; -- shift amount variable stickyx : STD_ULOGIC; -- version of sticky constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable round, zerores, infres : BOOLEAN; begin -- function normalize zerores := false; infres := false; round := false; shiftr := find_leftmost (to_01(fract), '1') -- Find the first "1" - fraction_width - nguard; -- subtract the length we want exp := resize (expon, exp'length) + shiftr; if (or (fract) = '0') then -- Zero zerores := true; elsif ((exp <= -resize(expon_base, exp'length)-1) and denormalize) or ((exp < -resize(expon_base, exp'length)-1) and not denormalize) then if (exp >= -resize(expon_base, exp'length)-fraction_width-1) and denormalize then exp := -resize(expon_base, exp'length)-1; shiftr := -to_integer (expon + expon_base); -- new shift else -- return zero zerores := true; end if; elsif (exp > expon_base-1) then -- infinity infres := true; end if; if zerores then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); elsif infres then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else sfract := fract srl shiftr; -- shift if shiftr > 0 then -- stickyx := sticky or (or (fract (shiftr-1 downto 0))); stickyx := sticky or smallfract (fract, shiftr-1); else stickyx := sticky; end if; if nguard > 0 then round := check_round ( fract_in => sfract (nguard), sign => sign, remainder => sfract(nguard-1 downto 0), sticky => stickyx, round_style => round_style); end if; if round then fp_round(fract_in => sfract (fraction_width-1+nguard downto nguard), expon_in => exp(rexp'range), fract_out => rfract, expon_out => rexp); else rfract := sfract (fraction_width-1+nguard downto nguard); rexp := exp(rexp'range); end if; -- result rexpon := UNSIGNED (rexp(exponent_width-1 downto 0)); rexpon (exponent_width-1) := not rexpon(exponent_width-1); result (rexpon'range) := UNRESOLVED_float(rexpon); result (-1 downto -fraction_width) := UNRESOLVED_float(rfract); end if; result (exponent_width) := sign; -- sign BIT return result; end function normalize; -- purpose: normalizes a floating point number -- This version assumes a "ufixed" input function normalize ( fract : UNRESOLVED_ufixed; -- unsigned fixed point expon : UNRESOLVED_SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arguns : UNSIGNED (fract'high + fraction_width + nguard downto 0) := (others => '0'); begin -- function normalize arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) := UNSIGNED (to_slv (fract)); result := normalize (fract => arguns, expon => expon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => nguard); return result; end function normalize; -- purpose: normalizes a floating point number -- This version assumes a "ufixed" input with a "size_res" input function normalize ( fract : UNRESOLVED_ufixed; -- unsigned fixed point expon : UNRESOLVED_SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is constant fraction_width : NATURAL := -size_res'low; constant exponent_width : NATURAL := size_res'high; variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arguns : UNSIGNED (fract'high + fraction_width + nguard downto 0) := (others => '0'); begin -- function normalize arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) := UNSIGNED (to_slv (fract)); result := normalize (fract => arguns, expon => expon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => nguard); return result; end function normalize; -- Regular "normalize" function with a "size_res" input. function normalize ( fract : UNRESOLVED_UNSIGNED; -- unsigned expon : UNRESOLVED_SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is begin return normalize (fract => fract, expon => expon, sign => sign, sticky => sticky, fraction_width => -size_res'low, exponent_width => size_res'high, round_style => round_style, denormalize => denormalize, nguard => nguard); end function normalize; -- Returns the class which X falls into function Classfp ( x : UNRESOLVED_float; -- floating point input check_error : BOOLEAN := float_check_error) -- check for errors return valid_fpstate is constant fraction_width : INTEGER := -mine(x'low, x'low); -- length of FP output fraction constant exponent_width : INTEGER := x'high; -- length of FP output exponent variable arg : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- classfp if (arg'length < 1 or fraction_width < 3 or exponent_width < 3 or x'left < x'right) then report FLOAT_GENERIC_PKG'instance_name & "CLASSFP: " & "Floating point number detected with a bad range" severity error; return isx; end if; -- Check for "X". arg := to_01 (x, 'X'); if (arg(0) = 'X') then return isx; -- If there is an X in the number -- Special cases, check for illegal number elsif check_error and (and (STD_ULOGIC_VECTOR (arg (exponent_width-1 downto 0))) = '1') then -- Exponent is all "1". if or (to_slv (arg (-1 downto -fraction_width))) /= '0' then -- Fraction must be all "0" or this is not a number. if (arg(-1) = '1') then -- From "W. Khan - IEEE standard return nan; -- 754 binary FP Signaling nan (Not a number) else return quiet_nan; end if; -- Check for infinity elsif arg(exponent_width) = '0' then return pos_inf; -- Positive infinity else return neg_inf; -- Negative infinity end if; -- check for "0" elsif or (STD_LOGIC_VECTOR (arg (exponent_width-1 downto 0))) = '0' then -- Exponent is all "0" if or (to_slv (arg (-1 downto -fraction_width))) = '0' then -- Fraction is all "0" if arg(exponent_width) = '0' then return pos_zero; -- Zero else return neg_zero; end if; else if arg(exponent_width) = '0' then return pos_denormal; -- Denormal number (ieee extended fp) else return neg_denormal; end if; end if; else if arg(exponent_width) = '0' then return pos_normal; -- Normal FP number else return neg_normal; end if; end if; end function Classfp; procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out UNRESOLVED_UNSIGNED; expon : out UNRESOLVED_SIGNED; sign : out STD_ULOGIC) is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction variable fptyp : valid_fpstate; begin fptyp := Classfp (arg, check_error); sign := to_x01(arg(arg'high)); break_number ( arg => arg, fptyp => fptyp, denormalize => denormalize, fract => fract, expon => expon); end procedure break_number; procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out UNRESOLVED_ufixed; -- 1 downto -fraction_width expon : out UNRESOLVED_SIGNED; -- exponent_width-1 downto 0 sign : out STD_ULOGIC) is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction variable fptyp : valid_fpstate; variable ufract : UNSIGNED (fraction_width downto 0); -- unsigned fraction begin fptyp := Classfp (arg, check_error); sign := to_x01(arg(arg'high)); break_number ( arg => arg, fptyp => fptyp, denormalize => denormalize, fract => ufract, expon => expon); fract (0 downto -fraction_width) := ufixed (ufract); end procedure break_number; -- Arithmetic functions function "abs" ( arg : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); -- result begin if (arg'length > 0) then result := to_01 (arg, 'X'); result (arg'high) := '0'; -- set the sign bit to positive return result; else return NAFP; end if; end function "abs"; -- IEEE 754 "negative" function function "-" ( arg : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); -- result begin if (arg'length > 0) then result := to_01 (arg, 'X'); result (arg'high) := not result (arg'high); -- invert sign bit return result; else return NAFP; end if; end function "-"; -- Addition, adds two floating point numbers function add ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant addguard : NATURAL := guard; -- add one guard bit variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width+1+addguard downto 0); -- fractions variable fractc, fracts : UNSIGNED (fractl'range); -- constant and shifted variables variable urfract, ulfract : UNSIGNED (fraction_width downto 0); variable ufract : UNSIGNED (fraction_width+1+addguard downto 0); variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width downto 0); -- result exponent variable shiftx : SIGNED (exponent_width downto 0); -- shift fractions variable sign : STD_ULOGIC; -- sign of the output variable leftright : BOOLEAN; -- left or right used variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- addition if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf and rfptype = neg_inf) or (lfptype = neg_inf and rfptype = pos_inf) then -- Return quiet NAN, IEEE754-1985-7.1,2 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf) then -- x + inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = neg_inf or rfptype = neg_inf) then -- x - inf = -inf fpresult := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = neg_zero and rfptype = neg_zero) then -- -0 + -0 = -0 fpresult := neg_zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); fractl := (others => '0'); fractl (fraction_width+addguard downto addguard) := ulfract; break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); fractr := (others => '0'); fractr (fraction_width+addguard downto addguard) := urfract; shiftx := (exponl(exponent_width-1) & exponl) - exponr; if shiftx < -fractl'high then rexpon := exponr(exponent_width-1) & exponr; fractc := fractr; fracts := (others => '0'); -- add zero leftright := false; sticky := or (fractl); elsif shiftx < 0 then shiftx := - shiftx; fracts := shift_right (fractl, to_integer(shiftx)); fractc := fractr; rexpon := exponr(exponent_width-1) & exponr; leftright := false; -- sticky := or (fractl (to_integer(shiftx) downto 0)); sticky := smallfract (fractl, to_integer(shiftx)); elsif shiftx = 0 then rexpon := exponl(exponent_width-1) & exponl; sticky := '0'; if fractr > fractl then fractc := fractr; fracts := fractl; leftright := false; else fractc := fractl; fracts := fractr; leftright := true; end if; elsif shiftx > fractr'high then rexpon := exponl(exponent_width-1) & exponl; fracts := (others => '0'); -- add zero fractc := fractl; leftright := true; sticky := or (fractr); elsif shiftx > 0 then fracts := shift_right (fractr, to_integer(shiftx)); fractc := fractl; rexpon := exponl(exponent_width-1) & exponl; leftright := true; -- sticky := or (fractr (to_integer(shiftx) downto 0)); sticky := smallfract (fractr, to_integer(shiftx)); end if; -- add fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB if l(l'high) = r(r'high) then ufract := fractc + fracts; sign := l(l'high); else -- signs are different ufract := fractc - fracts; -- always positive result if leftright then -- Figure out which sign to use sign := l(l'high); else sign := r(r'high); end if; end if; if or (ufract) = '0' then sign := '0'; -- IEEE 854, 6.3, paragraph 2. end if; -- normalize fpresult := normalize (fract => ufract, expon => rexpon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => addguard); end if; return fpresult; end function add; -- Subtraction, Calls "add". function subtract ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable negr : UNRESOLVED_float (r'range); -- negative version of r begin negr := -r; -- r := -r return add (l => l, r => negr, round_style => round_style, guard => guard, check_error => check_error, denormalize => denormalize); end function subtract; -- Floating point multiply function multiply ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant multguard : NATURAL := guard; -- guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction variable sfract : UNSIGNED (fraction_width+1+multguard downto 0); -- result fraction variable shifty : INTEGER; -- denormal shift variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- multiply if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif ((lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan)) then -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (((lfptype = pos_inf or lfptype = neg_inf) and (rfptype = pos_zero or rfptype = neg_zero)) or ((rfptype = pos_inf or rfptype = neg_inf) and (lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf -- Return quiet NAN, IEEE754-1985-7.1,3 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf or lfptype = neg_inf or rfptype = neg_inf) then -- x * inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); -- figure out the sign fp_sign := l(l'high) xor r(r'high); -- figure out the sign fpresult (exponent_width) := fp_sign; else fp_sign := l(l'high) xor r(r'high); -- figure out the sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => fractl, expon => exponl); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => fractr, expon => exponr); if (rfptype = pos_denormal or rfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractr, '1'); fractr := shift_left (fractr, shifty); elsif (lfptype = pos_denormal or lfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractl, '1'); fractl := shift_left (fractl, shifty); else shifty := 0; -- Note that a denormal number * a denormal number is always zero. end if; -- multiply -- add the exponents rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1; rfract := fractl * fractr; -- Multiply the fraction sfract := rfract (rfract'high downto rfract'high - (fraction_width+1+multguard)); sticky := or (rfract (rfract'high-(fraction_width+1+multguard) downto 0)); -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => multguard); end if; return fpresult; end function multiply; function short_divide ( lx, rx : UNSIGNED) return UNSIGNED is -- This is a special divider for the floating point routines. -- For a true unsigned divider, "stages" needs to = lx'high constant stages : INTEGER := lx'high - rx'high; -- number of stages variable partial : UNSIGNED (lx'range); variable q : UNSIGNED (stages downto 0); variable partial_argl : SIGNED (rx'high + 2 downto 0); variable partial_arg : SIGNED (rx'high + 2 downto 0); begin partial := lx; for i in stages downto 0 loop partial_argl := resize ("0" & SIGNED (partial(lx'high downto i)), partial_argl'length); partial_arg := partial_argl - SIGNED ("0" & rx); if (partial_arg (partial_arg'high) = '1') then -- negative q(i) := '0'; else q(i) := '1'; partial (lx'high+i-stages downto lx'high+i-stages-rx'high) := UNSIGNED (partial_arg(rx'range)); end if; end loop; -- to make the output look like that of the unsigned IEEE divide. return resize (q, lx'length); end function short_divide; -- 1/X function. Needed for algorithm development. function reciprocal ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent constant divguard : NATURAL := guard; -- guard bits function onedivy ( arg : UNSIGNED) return UNSIGNED is variable q : UNSIGNED((2*arg'high)+1 downto 0); variable one : UNSIGNED (q'range); begin one := (others => '0'); one(one'high) := '1'; q := short_divide (one, arg); -- Unsigned divide return resize (q, arg'length+1); end function onedivy; variable fptype : valid_fpstate; variable expon : SIGNED (exponent_width-1 downto 0); -- exponents variable denorm_offset : NATURAL range 0 to 2; variable fract : UNSIGNED (fraction_width downto 0); variable fractg : UNSIGNED (fraction_width+divguard downto 0); variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- reciprocal fptype := classfp(arg, check_error); classcase : case fptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- 1/inf, return 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); when neg_zero | pos_zero => -- 1/0 report FLOAT_GENERIC_PKG'instance_name & "RECIPROCAL: Floating Point divide by zero" severity error; fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when others => if (fptype = pos_denormal or fptype = neg_denormal) and ((arg (-1) or arg(-2)) /= '1') then -- 1/denormal = infinity, with the exception of 2**-expon_base fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fpresult (exponent_width) := to_x01 (arg (exponent_width)); else break_number ( arg => arg, fptyp => fptype, denormalize => denormalize, fract => fract, expon => expon); fractg := (others => '0'); if (fptype = pos_denormal or fptype = neg_denormal) then -- The reciprocal of a denormal number is typically zero, -- except for two special cases which are trapped here. if (to_x01(arg (-1)) = '1') then fractg (fractg'high downto divguard+1) := fract (fract'high-1 downto 0); -- Shift to not denormal denorm_offset := 1; -- add 1 to exponent compensate else -- arg(-2) = '1' fractg (fractg'high downto divguard+2) := fract (fract'high-2 downto 0); -- Shift to not denormal denorm_offset := 2; -- add 2 to exponent compensate end if; else fractg (fractg'high downto divguard) := fract; denorm_offset := 0; end if; expon := - expon - 3 + denorm_offset; sfract := onedivy (fractg); -- normalize fpresult := normalize (fract => sfract, expon => expon, sign => arg(exponent_width), sticky => '1', fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); end if; end case classcase; return fpresult; end function reciprocal; -- floating point division function divide ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant divguard : NATURAL := guard; -- division guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable fractl : UNSIGNED ((2*(fraction_width+divguard)+1) downto 0); -- left variable fractr : UNSIGNED (fraction_width+divguard downto 0); -- right variable rfract : UNSIGNED (fractl'range); -- result fraction variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent variable fp_sign, sticky : STD_ULOGIC; -- sign of result variable shifty, shiftx : INTEGER; -- denormal number shift variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- divide if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; classcase : case rfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => if lfptype = pos_inf or lfptype = neg_inf -- inf / inf or lfptype = quiet_nan or lfptype = nan then -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else -- x / inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when pos_zero | neg_zero => if lfptype = pos_zero or lfptype = neg_zero -- 0 / 0 or lfptype = quiet_nan or lfptype = nan then -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else report float_generic_pkg'instance_name & "DIVIDE: Floating Point divide by zero" severity error; -- Infinity, define in 754-1985-7.2 fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when others => classcase2 : case lfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- inf / x = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult(exponent_width) := fp_sign; when pos_zero | neg_zero => -- 0 / X = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult(exponent_width) := fp_sign; when others => fp_sign := l(l'high) xor r(r'high); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); -- right side break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); -- Compute the exponent rexpon := resize (exponl, rexpon'length) - exponr - 2; if (rfptype = pos_denormal or rfptype = neg_denormal) then -- Do the shifting here not after. That way we have a smaller -- shifter, and need a smaller divider, because the top -- bit in the divisor will always be a "1". shifty := fraction_width - find_leftmost(urfract, '1'); urfract := shift_left (urfract, shifty); rexpon := rexpon + shifty; end if; fractr := (others => '0'); fractr (fraction_width+divguard downto divguard) := urfract; if (lfptype = pos_denormal or lfptype = neg_denormal) then shiftx := fraction_width - find_leftmost(ulfract, '1'); ulfract := shift_left (ulfract, shiftx); rexpon := rexpon - shiftx; end if; fractl := (others => '0'); fractl (fractl'high downto fractl'high-fraction_width) := ulfract; -- divide rfract := short_divide (fractl, fractr); -- unsigned divide sfract := rfract (sfract'range); -- lower bits sticky := '1'; -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); end case classcase2; end case classcase; return fpresult; end function divide; -- division by a power of 2 function dividebyp2 ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable exponl, exponr : SIGNED(exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED(exponent_width downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- divisionbyp2 if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; classcase : case rfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => if lfptype = pos_inf or lfptype = neg_inf then -- inf / inf -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else -- x / inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when pos_zero | neg_zero => if lfptype = pos_zero or lfptype = neg_zero then -- 0 / 0 -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else report FLOAT_GENERIC_PKG'instance_name & "DIVIDEBYP2: Floating Point divide by zero" severity error; -- Infinity, define in 754-1985-7.2 fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when others => classcase2 : case lfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- inf / x = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (exponent_width) := fp_sign; -- sign when pos_zero | neg_zero => -- 0 / X = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (exponent_width) := fp_sign; -- sign when others => fp_sign := l(l'high) xor r(r'high); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); -- right side break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); assert (or (urfract (fraction_width-1 downto 0)) = '0') report FLOAT_GENERIC_PKG'instance_name & "DIVIDEBYP2: " & "Dividebyp2 called with a non power of two divisor" severity error; rexpon := (exponl(exponl'high)&exponl) - (exponr(exponr'high)&exponr) - 1; -- normalize fpresult := normalize (fract => ulfract, expon => rexpon, sign => fp_sign, sticky => '1', fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); end case classcase2; end case classcase; return fpresult; end function dividebyp2; -- Multiply accumulate result = l*r + c function mac ( l, r, c : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine (mine(l'low, r'low), c'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum (maximum(l'high, r'high), c'high); -- length of FP output exponent variable lfptype, rfptype, cfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions variable fractx : UNSIGNED (fraction_width+guard downto 0); variable fractc, fracts : UNSIGNED (fraction_width+1+guard downto 0); variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction variable sfract, ufract : UNSIGNED (fraction_width+1+guard downto 0); -- result fraction variable exponl, exponr, exponc : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon, rexpon2 : SIGNED (exponent_width+1 downto 0); -- result exponent variable shifty : INTEGER; -- denormal shift variable shiftx : SIGNED (rexpon'range); -- shift fractions variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable cresize : UNRESOLVED_float (exponent_width downto -fraction_width - guard); variable leftright : BOOLEAN; -- left or right used variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- multiply if (fraction_width = 0 or l'length < 7 or r'length < 7 or c'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); cfptype := classfp (c, check_error); end if; if (lfptype = isx or rfptype = isx or cfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan or cfptype = nan or cfptype = quiet_nan) then -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (((lfptype = pos_inf or lfptype = neg_inf) and (rfptype = pos_zero or rfptype = neg_zero)) or ((rfptype = pos_inf or rfptype = neg_inf) and (lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf -- Return quiet NAN, IEEE754-1985-7.1,3 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf or lfptype = neg_inf or rfptype = neg_inf -- x * inf = inf or cfptype = neg_inf or cfptype = pos_inf) then -- x + inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); -- figure out the sign fpresult (exponent_width) := l(l'high) xor r(r'high); else fp_sign := l(l'high) xor r(r'high); -- figure out the sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked cresize := resize (arg => to_x01(c), exponent_width => exponent_width, fraction_width => -cresize'low, denormalize_in => denormalize, denormalize => denormalize); cfptype := classfp (cresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => fractl, expon => exponl); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => fractr, expon => exponr); break_number ( arg => cresize, fptyp => cfptype, denormalize => denormalize, fract => fractx, expon => exponc); if (rfptype = pos_denormal or rfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractr, '1'); fractr := shift_left (fractr, shifty); elsif (lfptype = pos_denormal or lfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractl, '1'); fractl := shift_left (fractl, shifty); else shifty := 0; -- Note that a denormal number * a denormal number is always zero. end if; -- multiply rfract := fractl * fractr; -- Multiply the fraction -- add the exponents rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1; shiftx := rexpon - exponc; if shiftx < -fractl'high then rexpon2 := resize (exponc, rexpon2'length); fractc := "0" & fractx; fracts := (others => '0'); sticky := or (rfract); elsif shiftx < 0 then shiftx := - shiftx; fracts := shift_right (rfract (rfract'high downto rfract'high - fracts'length+1), to_integer(shiftx)); fractc := "0" & fractx; rexpon2 := resize (exponc, rexpon2'length); leftright := false; sticky := or (rfract (to_integer(shiftx)+rfract'high - fracts'length downto 0)); elsif shiftx = 0 then rexpon2 := resize (exponc, rexpon2'length); sticky := or (rfract (rfract'high - fractc'length downto 0)); if rfract (rfract'high downto rfract'high - fractc'length+1) > fractx then fractc := "0" & fractx; fracts := rfract (rfract'high downto rfract'high - fracts'length+1); leftright := false; else fractc := rfract (rfract'high downto rfract'high - fractc'length+1); fracts := "0" & fractx; leftright := true; end if; elsif shiftx > fractx'high then rexpon2 := rexpon; fracts := (others => '0'); fractc := rfract (rfract'high downto rfract'high - fractc'length+1); leftright := true; sticky := or (fractx & rfract (rfract'high - fractc'length downto 0)); else -- fractx'high > shiftx > 0 rexpon2 := rexpon; fracts := "0" & shift_right (fractx, to_integer (shiftx)); fractc := rfract (rfract'high downto rfract'high - fractc'length+1); leftright := true; sticky := or (fractx (to_integer (shiftx) downto 0) & rfract (rfract'high - fractc'length downto 0)); end if; fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB if fp_sign = to_X01(c(c'high)) then ufract := fractc + fracts; fp_sign := fp_sign; else -- signs are different ufract := fractc - fracts; -- always positive result if leftright then -- Figure out which sign to use fp_sign := fp_sign; else fp_sign := c(c'high); end if; end if; -- normalize fpresult := normalize (fract => ufract, expon => rexpon2, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => guard); end if; return fpresult; end function mac; -- "rem" function function remainder ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant divguard : NATURAL := guard; -- division guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable fractr, fractl : UNSIGNED (fraction_width+divguard downto 0); -- right variable rfract : UNSIGNED (fractr'range); -- result fraction variable sfract : UNSIGNED (fraction_width+divguard downto 0); -- result fraction variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable shifty : INTEGER; -- denormal number shift variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- remainder if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan) or (rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x -- Return quiet NAN, IEEE754-1985-7.1,5 or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0 -- Return quiet NAN, IEEE754-1985-7.1,5 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (abs(l) < abs(r)) then fpresult := l; else fp_sign := to_X01(l(l'high)); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked fractl := (others => '0'); break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); fractl (fraction_width+divguard downto divguard) := ulfract; -- right side fractr := (others => '0'); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); fractr (fraction_width+divguard downto divguard) := urfract; rexpon := (exponr(exponr'high)&exponr); shifty := to_integer(exponl - rexpon); if (shifty > 0) then fractr := shift_right (fractr, shifty); rexpon := rexpon + shifty; end if; if (fractr /= 0) then -- rem rfract := fractl rem fractr; -- unsigned rem sfract := rfract (sfract'range); -- lower bits -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); else -- If we shift "fractr" so far that it becomes zero, return zero. fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); end if; end if; return fpresult; end function remainder; -- "mod" function function modulo ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := - mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable remres : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- remainder if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan) or (rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x -- Return quiet NAN, IEEE754-1985-7.1,5 or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0 -- Return quiet NAN, IEEE754-1985-7.1,5 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else remres := remainder (l => abs(l), r => abs(r), round_style => round_style, guard => guard, check_error => false, denormalize => denormalize); -- MOD is the same as REM, but you do something different with -- negative values if (is_negative (l)) then remres := - remres; end if; if (is_negative (l) = is_negative (r) or remres = 0) then fpresult := remres; else fpresult := add (l => remres, r => r, round_style => round_style, guard => guard, check_error => false, denormalize => denormalize); end if; end if; return fpresult; end function modulo; -- Square root of a floating point number. Done using Newton's Iteration. function sqrt ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; constant guard : NATURAL := float_guard_bits; constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float is constant fraction_width : NATURAL := guard-arg'low; -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent variable sign : STD_ULOGIC; variable fpresult : float (arg'range); variable fptype : valid_fpstate; variable iexpon : SIGNED(exponent_width-1 downto 0); -- exponents variable expon : SIGNED(exponent_width downto 0); -- exponents variable ufact : ufixed (0 downto arg'low); variable fact : ufixed (2 downto -fraction_width); -- fraction variable resb : ufixed (fact'high+1 downto fact'low); begin -- square root fptype := Classfp (arg, check_error); classcase : case fptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan | -- Return quiet NAN, IEEE754-1985-7.1,1 neg_normal | neg_denormal | neg_inf => -- sqrt (neg) -- Return quiet NAN, IEEE754-1985-7.1.6 fpresult := qnanfp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when pos_inf => -- Sqrt (inf), return infinity fpresult := pos_inffp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when pos_zero => -- return 0 fpresult := zerofp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when neg_zero => -- IEEE754-1985-6.3 return -0 fpresult := neg_zerofp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when others => break_number (arg => arg, denormalize => denormalize, check_error => false, fract => ufact, expon => iexpon, sign => sign); expon := resize (iexpon+1, expon'length); -- get exponent fact := resize (ufact, fact'high, fact'low); if (expon(0) = '1') then fact := fact sla 1; -- * 2.0 end if; expon := shift_right (expon, 1); -- exponent/2 -- Newton's iteration - root := (1 + arg) / 2 resb := (fact + 1) sra 1; for j in 0 to fraction_width/4 loop -- root := (root + (arg/root))/2 resb := resize (arg => (resb + (fact/resb)) sra 1, left_index => resb'high, right_index => resb'low, round_style => fixed_truncate, overflow_style => fixed_wrap); end loop; fpresult := normalize (fract => resb, expon => expon-1, sign => '0', exponent_width => arg'high, fraction_width => -arg'low, round_style => round_style, denormalize => denormalize, nguard => guard); end case classcase; return fpresult; end function sqrt; function Is_Negative (arg : UNRESOLVED_float) return BOOLEAN is -- Technically -0 should return "false", but I'm leaving that case out. begin return (to_x01(arg(arg'high)) = '1'); end function Is_Negative; -- compare functions -- =, /=, >=, <=, <, > function eq ( -- equal = l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : BOOLEAN; constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- equal if (fraction_width = 0 or l'length < 7 or r'length < 7) then return false; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := true; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); is_equal := (to_slv(lresize) = to_slv(rresize)); end if; if (check_error) then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_equal and not is_unordered; end function eq; function lt ( -- less than < l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable expl, expr : UNSIGNED (exponent_width-1 downto 0); variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0); variable is_less_than, is_unordered : BOOLEAN; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then is_less_than := false; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits expl := UNSIGNED(lresize(exponent_width-1 downto 0)); expr := UNSIGNED(rresize(exponent_width-1 downto 0)); if expl = expr then fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width))); fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width))); if to_x01(l(l'high)) = '0' then -- positive number is_less_than := (fractl < fractr); else is_less_than := (fractl > fractr); -- negative end if; else if to_x01(l(l'high)) = '0' then -- positive number is_less_than := (expl < expr); else is_less_than := (expl > expr); -- negative end if; end if; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); if (lfptype = neg_zero and rfptype = pos_zero) then is_less_than := false; -- -0 < 0 returns false. else is_less_than := (to_x01(l(l'high)) > to_x01(r(r'high))); end if; end if; end if; if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_less_than and not is_unordered; end function lt; function gt ( -- greater than > l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable expl, expr : UNSIGNED (exponent_width-1 downto 0); variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0); variable is_greater_than : BOOLEAN; variable is_unordered : BOOLEAN; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- greater_than if (fraction_width = 0 or l'length < 7 or r'length < 7) then is_greater_than := false; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits expl := UNSIGNED(lresize(exponent_width-1 downto 0)); expr := UNSIGNED(rresize(exponent_width-1 downto 0)); if expl = expr then fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width))); fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width))); if to_x01(l(l'high)) = '0' then -- positive number is_greater_than := fractl > fractr; else is_greater_than := fractl < fractr; -- negative end if; else if to_x01(l(l'high)) = '0' then -- positive number is_greater_than := expl > expr; else is_greater_than := expl < expr; -- negative end if; end if; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); if (lfptype = pos_zero and rfptype = neg_zero) then is_greater_than := false; -- 0 > -0 returns false. else is_greater_than := to_x01(l(l'high)) < to_x01(r(r'high)); end if; end if; end if; if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_greater_than and not is_unordered; end function gt; -- purpose: /= function function ne ( -- not equal /= l, r : UNRESOLVED_float; constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_equal, is_unordered : BOOLEAN; begin is_equal := eq (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not (is_equal and not is_unordered); end function ne; function le ( -- less than or equal to <= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_greater_than, is_unordered : BOOLEAN; begin is_greater_than := gt (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not is_greater_than and not is_unordered; end function le; function ge ( -- greater than or equal to >= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_less_than, is_unordered : BOOLEAN; begin is_less_than := lt (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not is_less_than and not is_unordered; end function ge; function "?=" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : STD_ULOGIC; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- ?= if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else lfptype := classfp (l, float_check_error); rfptype := classfp (r, float_check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := '1'; else lresize := resize (arg => l, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); rresize := resize (arg => r, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); is_equal := to_sulv(lresize) ?= to_sulv(rresize); end if; if (float_check_error) then if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) then is_unordered := '1'; else is_unordered := '0'; end if; else is_unordered := '0'; end if; return is_equal and not is_unordered; end function "?="; function "?/=" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : STD_ULOGIC; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- ?/= if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else lfptype := classfp (l, float_check_error); rfptype := classfp (r, float_check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := '1'; else lresize := resize (arg => l, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); rresize := resize (arg => r, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); is_equal := to_sulv(lresize) ?= to_sulv(rresize); end if; if (float_check_error) then if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) then is_unordered := '1'; else is_unordered := '0'; end if; else is_unordered := '0'; end if; return not (is_equal and not is_unordered); end function "?/="; function "?>" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_generic_pkg'instance_name & " ""?>"": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function "?>"; function "?>=" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_generic_pkg'instance_name & " ""?>="": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function "?>="; function "?<" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_generic_pkg'instance_name & " ""?<"": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function "?<"; function "?<=" (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_generic_pkg'instance_name & " ""?<="": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function "?<="; function std_match (L, R : UNRESOLVED_float) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_sulv(L), to_sulv(R)); else report float_generic_pkg'instance_name & "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; function find_rightmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'reverse_range loop if arg(i) ?= y then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_rightmost; function find_leftmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'range loop if arg(i) ?= y then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_leftmost; -- These override the defaults for the compare operators. function "=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return eq(l, r); end function "="; function "/=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return ne(l, r); end function "/="; function ">=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return ge(l, r); end function ">="; function "<=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return le(l, r); end function "<="; function ">" (l, r : UNRESOLVED_float) return BOOLEAN is begin return gt(l, r); end function ">"; function "<" (l, r : UNRESOLVED_float) return BOOLEAN is begin return lt(l, r); end function "<"; -- purpose: maximum of two numbers (overrides default) function maximum ( L, R : UNRESOLVED_float) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if ((L'length < 1) or (R'length < 1)) then return NAFP; end if; lresize := resize (l, exponent_width, fraction_width); rresize := resize (r, exponent_width, fraction_width); if lresize > rresize then return lresize; else return rresize; end if; end function maximum; function minimum ( L, R : UNRESOLVED_float) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if ((L'length < 1) or (R'length < 1)) then return NAFP; end if; lresize := resize (l, exponent_width, fraction_width); rresize := resize (r, exponent_width, fraction_width); if lresize > rresize then return rresize; else return lresize; end if; end function minimum; ----------------------------------------------------------------------------- -- conversion functions ----------------------------------------------------------------------------- -- Converts a floating point number of one format into another format function resize ( arg : UNRESOLVED_float; -- Floating point input constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant in_fraction_width : NATURAL := -arg'low; -- length of FP output fraction constant in_exponent_width : NATURAL := arg'high; -- length of FP output exponent variable result : UNRESOLVED_float (exponent_width downto -fraction_width); -- result value variable fptype : valid_fpstate; variable expon_in : SIGNED (in_exponent_width-1 downto 0); variable fract_in : UNSIGNED (in_fraction_width downto 0); variable round : BOOLEAN; variable expon_out : SIGNED (exponent_width-1 downto 0); -- output fract variable fract_out : UNSIGNED (fraction_width downto 0); -- output fract variable passguard : NATURAL; begin fptype := classfp(arg, check_error); if ((fptype = pos_denormal or fptype = neg_denormal) and denormalize_in and (in_exponent_width < exponent_width or in_fraction_width < fraction_width)) or in_exponent_width > exponent_width or in_fraction_width > fraction_width then -- size reduction classcase : case fptype is when isx => result := (others => 'X'); when nan | quiet_nan => result := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf => result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when neg_inf => result := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_zero | neg_zero => result := zerofp (fraction_width => fraction_width, -- hate -0 exponent_width => exponent_width); when others => break_number ( arg => arg, fptyp => fptype, denormalize => denormalize_in, fract => fract_in, expon => expon_in); if fraction_width > in_fraction_width and denormalize_in then -- You only get here if you have a denormal input fract_out := (others => '0'); -- pad with zeros fract_out (fraction_width downto fraction_width - in_fraction_width) := fract_in; result := normalize ( fract => fract_out, expon => expon_in, sign => arg(arg'high), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); else result := normalize ( fract => fract_in, expon => expon_in, sign => arg(arg'high), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => in_fraction_width - fraction_width); end if; end case classcase; else -- size increase or the same size if exponent_width > in_exponent_width then expon_in := SIGNED(arg (in_exponent_width-1 downto 0)); if fptype = pos_zero or fptype = neg_zero then result (exponent_width-1 downto 0) := (others => '0'); elsif expon_in = -1 then -- inf or nan (shorts out check_error) result (exponent_width-1 downto 0) := (others => '1'); else -- invert top BIT expon_in(expon_in'high) := not expon_in(expon_in'high); expon_out := resize (expon_in, expon_out'length); -- signed expand -- Flip it back. expon_out(expon_out'high) := not expon_out(expon_out'high); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon_out); end if; result (exponent_width) := arg (in_exponent_width); -- sign else -- exponent_width = in_exponent_width result (exponent_width downto 0) := arg (in_exponent_width downto 0); end if; if fraction_width > in_fraction_width then result (-1 downto -fraction_width) := (others => '0'); -- zeros result (-1 downto -in_fraction_width) := arg (-1 downto -in_fraction_width); else -- fraction_width = in_fraciton_width result (-1 downto -fraction_width) := arg (-1 downto -in_fraction_width); end if; end if; return result; end function resize; function resize ( arg : UNRESOLVED_float; -- floating point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := resize (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); return result; end if; end function resize; function to_float32 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float32 is begin return resize (arg => arg, exponent_width => float32'high, fraction_width => -float32'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float32; function to_float64 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float64 is begin return resize (arg => arg, exponent_width => float64'high, fraction_width => -float64'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float64; function to_float128 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float128 is begin return resize (arg => arg, exponent_width => float128'high, fraction_width => -float128'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float128; -- to_float (Real) -- typically not Synthesizable unless the input is a constant. function to_float ( arg : REAL; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_real : REAL; -- Real version of argument variable validfp : boundary_type; -- Check for valid results variable exp : INTEGER; -- Integer version of exponent variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract : UNSIGNED (fraction_width-1 downto 0); variable frac : REAL; -- Real version of fraction constant roundfrac : REAL := 2.0 ** (-2 - fract'high); -- used for rounding variable round : BOOLEAN; -- to round or not to round begin result := (others => '0'); arg_real := arg; if arg_real < 0.0 then result (exponent_width) := '1'; arg_real := - arg_real; -- Make it positive. else result (exponent_width) := '0'; end if; test_boundary (arg => arg_real, fraction_width => fraction_width, exponent_width => exponent_width, denormalize => denormalize, btype => validfp, log2i => exp); if validfp = zero then return result; -- Result initialized to "0". elsif validfp = infinity then result (exponent_width - 1 downto 0) := (others => '1'); -- Exponent all "1" -- return infinity. return result; else if validfp = denormal then -- Exponent will default to "0". expon := (others => '0'); frac := arg_real * (2.0 ** (to_integer(expon_base)-1)); else -- Number less than 1. "normal" number expon := UNSIGNED (to_signed (exp-1, exponent_width)); expon(exponent_width-1) := not expon(exponent_width-1); frac := (arg_real / 2.0 ** exp) - 1.0; -- Number less than 1. end if; for i in 0 to fract'high loop if frac >= 2.0 ** (-1 - i) then fract (fract'high - i) := '1'; frac := frac - 2.0 ** (-1 - i); else fract (fract'high - i) := '0'; end if; end loop; round := false; case round_style is when round_nearest => if frac > roundfrac or ((frac = roundfrac) and fract(0) = '1') then round := true; end if; when round_inf => if frac /= 0.0 and result(exponent_width) = '0' then round := true; end if; when round_neginf => if frac /= 0.0 and result(exponent_width) = '1' then round := true; end if; when others => null; -- don't round end case; if (round) then if and(fract) = '1' then -- fraction is all "1" expon := expon + 1; fract := (others => '0'); else fract := fract + 1; end if; end if; result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); return result; end if; end function to_float; -- to_float (Integer) function to_float ( arg : INTEGER; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_int : NATURAL; -- Natural version of argument variable expon : SIGNED (exponent_width-1 downto 0); variable exptmp : SIGNED (exponent_width-1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract : UNSIGNED (fraction_width-1 downto 0) := (others => '0'); variable fracttmp : UNSIGNED (fraction_width-1 downto 0); variable round : BOOLEAN; variable shift : NATURAL; variable shiftr : NATURAL; variable roundfrac : NATURAL; -- used in rounding begin if arg < 0 then result (exponent_width) := '1'; arg_int := -arg; -- Make it positive. else result (exponent_width) := '0'; arg_int := arg; end if; if arg_int = 0 then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else -- If the number is larger than we can represent in this number system -- we need to return infinity. shift := log2(arg_int); if shift > to_integer(expon_base) then -- worry about infinity if result (exponent_width) = '0' then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else -- return negative infinity. result := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); end if; else -- Normal number (can't be denormal) -- Compute Exponent expon := to_signed (shift-1, expon'length); -- positive fraction. -- Compute Fraction arg_int := arg_int - 2**shift; -- Subtract off the 1.0 shiftr := shift; for I in fract'high downto maximum (fract'high - shift + 1, 0) loop shiftr := shiftr - 1; if (arg_int >= 2**shiftr) then arg_int := arg_int - 2**shiftr; fract(I) := '1'; else fract(I) := '0'; end if; end loop; -- Rounding routine round := false; if arg_int > 0 then roundfrac := 2**(shiftr-1); case round_style is when round_nearest => if arg_int > roundfrac or ((arg_int = roundfrac) and fract(0) = '1') then round := true; end if; when round_inf => if arg_int /= 0 and result (exponent_width) = '0' then round := true; end if; when round_neginf => if arg_int /= 0 and result (exponent_width) = '1' then round := true; end if; when others => null; end case; end if; if round then fp_round(fract_in => fract, expon_in => expon, fract_out => fracttmp, expon_out => exptmp); fract := fracttmp; expon := exptmp; end if; -- Put the number together and return expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); end if; end if; return result; end function to_float; -- to_float (unsigned) function to_float ( arg : UNRESOLVED_UNSIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; variable sarg : SIGNED (ARG_LEFT+1 downto 0); -- signed version of arg begin if arg'length < 1 then return NAFP; end if; sarg (XARG'range) := SIGNED (XARG); sarg (sarg'high) := '0'; result := to_float (arg => sarg, exponent_width => exponent_width, fraction_width => fraction_width, round_style => round_style); return result; end function to_float; -- to_float (signed) function to_float ( arg : UNRESOLVED_SIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; variable arg_int : UNSIGNED(xarg'range); -- Real version of argument variable argb2 : UNSIGNED(xarg'high/2 downto 0); -- log2 of input variable rexp : SIGNED (exponent_width - 1 downto 0); variable exp : SIGNED (exponent_width - 1 downto 0); -- signed version of exp. variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable round : BOOLEAN; variable fract : UNSIGNED (fraction_width-1 downto 0); variable rfract : UNSIGNED (fraction_width-1 downto 0); variable sign : STD_ULOGIC; -- sign bit begin if arg'length < 1 then return NAFP; end if; if Is_X (xarg) then result := (others => 'X'); elsif (xarg = 0) then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else -- Normal number (can't be denormal) sign := to_X01(xarg (xarg'high)); arg_int := UNSIGNED(abs (to_01(xarg))); -- Compute Exponent argb2 := to_unsigned(find_leftmost(arg_int, '1'), argb2'length); -- Log2 if argb2 > UNSIGNED(expon_base) then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); result (exponent_width) := sign; else exp := SIGNED(resize(argb2, exp'length)); arg_int := shift_left (arg_int, arg_int'high-to_integer(exp)); if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => fract (0), sign => sign, remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if round then fp_round(fract_in => fract, expon_in => exp, fract_out => rfract, expon_out => rexp); else rfract := fract; rexp := exp; end if; else rexp := exp; rfract := (others => '0'); rfract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; result (exponent_width) := sign; expon := UNSIGNED (rexp-1); expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(rfract); end if; end if; return result; end function to_float; -- std_logic_vector to float function to_float ( arg : STD_ULOGIC_VECTOR; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction return UNRESOLVED_float is variable fpvar : UNRESOLVED_float (exponent_width downto -fraction_width); begin if arg'length < 1 then return NAFP; end if; fpvar := UNRESOLVED_float(arg); return fpvar; end function to_float; -- purpose: converts a ufixed to a floating point function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input constant exponent_width : NATURAL := float_exponent_width; -- width of exponent constant fraction_width : NATURAL := float_fraction_width; -- width of fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float is variable sarg : sfixed (arg'high+1 downto arg'low); -- Signed version of arg variable result : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- function to_float if (arg'length < 1) then return NAFP; end if; sarg (arg'range) := sfixed (arg); sarg (sarg'high) := '0'; result := to_float (arg => sarg, exponent_width => exponent_width, fraction_width => fraction_width, round_style => round_style, denormalize => denormalize); return result; end function to_float; function to_float ( arg : UNRESOLVED_sfixed; -- signed fixed point constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float is constant integer_width : INTEGER := arg'high; constant in_fraction_width : INTEGER := arg'low; variable xresult : sfixed (integer_width downto in_fraction_width); variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_int : UNSIGNED(integer_width - in_fraction_width - 1 downto 0); -- signed version of argument variable argx : SIGNED (integer_width - in_fraction_width downto 0); variable exp, exptmp : SIGNED (exponent_width downto 0); variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract, fracttmp : UNSIGNED (fraction_width-1 downto 0) := (others => '0'); variable round : BOOLEAN := false; begin if (arg'length < 1) then return NAFP; end if; xresult := to_01(arg, 'X'); argx := SIGNED(to_slv(xresult)); if (Is_X (arg)) then result := (others => 'X'); elsif (argx = 0) then result := (others => '0'); else result := (others => '0'); -- zero out the result if argx(argx'left) = '1' then -- toss the sign bit result (exponent_width) := '1'; -- Negative number argx := -argx; -- Make it positive. else result (exponent_width) := '0'; end if; arg_int := UNSIGNED(to_x01(STD_LOGIC_VECTOR (argx(arg_int'range)))); -- Compute Exponent exp := to_signed(find_leftmost(arg_int, '1'), exp'length); -- Log2 if exp + in_fraction_width > expon_base then -- return infinity result (-1 downto -fraction_width) := (others => '0'); result (exponent_width -1 downto 0) := (others => '1'); return result; elsif (denormalize and (exp + in_fraction_width <= -resize(expon_base, exp'length))) then exp := -resize(expon_base, exp'length); -- shift by a constant arg_int := shift_left (arg_int, (arg_int'high + to_integer(expon_base) + in_fraction_width - 1)); if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => arg_int(arg_int'high-fraction_width), sign => result(result'high), remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if (round) then fp_round (fract_in => arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)), expon_in => exp, fract_out => fract, expon_out => exptmp); exp := exptmp; end if; else fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; else arg_int := shift_left (arg_int, arg_int'high-to_integer(exp)); exp := exp + in_fraction_width; if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => fract(0), sign => result(result'high), remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if (round) then fp_round (fract_in => fract, expon_in => exp, fract_out => fracttmp, expon_out => exptmp); fract := fracttmp; exp := exptmp; end if; else fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; end if; expon := UNSIGNED (resize(exp-1, exponent_width)); expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); end if; return result; end function to_float; -- size_res functions -- Integer to float function to_float ( arg : INTEGER; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- real to float function to_float ( arg : REAL; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- unsigned to float function to_float ( arg : UNRESOLVED_UNSIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- signed to float function to_float ( arg : UNRESOLVED_SIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- std_ulogic_vector to float function to_float ( arg : STD_ULOGIC_VECTOR; size_res : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low); return result; end if; end function to_float; -- unsigned fixed point to float function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- signed fixed point to float function to_float ( arg : UNRESOLVED_sfixed; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- to_integer (float) function to_integer ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return INTEGER is variable validfp : valid_fpstate; -- Valid FP state variable frac : UNSIGNED (-arg'low downto 0); -- Fraction variable fract : UNSIGNED (1-arg'low downto 0); -- Fraction variable expon : SIGNED (arg'high-1 downto 0); variable isign : STD_ULOGIC; -- internal version of sign variable round : STD_ULOGIC; -- is rounding needed? variable result : INTEGER; variable base : INTEGER; -- Integer exponent begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan | pos_zero | neg_zero | pos_denormal | neg_denormal => result := 0; -- return 0 when pos_inf => result := INTEGER'high; when neg_inf => result := INTEGER'low; when others => break_number ( arg => arg, fptyp => validfp, denormalize => false, fract => frac, expon => expon); fract (fract'high) := '0'; -- Add extra bit for 0.6 case fract (fract'high-1 downto 0) := frac; isign := to_x01 (arg (arg'high)); base := to_integer (expon) + 1; if base < -1 then result := 0; elsif base >= frac'high then result := to_integer (fract) * 2**(base - frac'high); else -- We need to round if base = -1 then -- trap for 0.6 case. result := 0; else result := to_integer (fract (frac'high downto frac'high-base)); end if; -- rounding routine case round_style is when round_nearest => if frac'high - base > 1 then round := fract (frac'high - base - 1) and (fract (frac'high - base) or (or (fract (frac'high - base - 2 downto 0)))); else round := fract (frac'high - base - 1) and fract (frac'high - base); end if; when round_inf => round := fract(frac'high - base - 1) and not isign; when round_neginf => round := fract(frac'high - base - 1) and isign; when others => round := '0'; end case; if round = '1' then result := result + 1; end if; end if; if isign = '1' then result := - result; end if; end case classcase; return result; end function to_integer; -- to_unsigned (float) function to_unsigned ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNRESOLVED_UNSIGNED is variable validfp : valid_fpstate; -- Valid FP state variable frac : UNRESOLVED_UNSIGNED (size-1 downto 0); -- Fraction variable sign : STD_ULOGIC; -- not used begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => frac := (others => 'X'); when pos_zero | neg_inf | neg_zero | neg_normal | pos_denormal | neg_denormal => frac := (others => '0'); -- return 0 when pos_inf => frac := (others => '1'); when others => float_to_unsigned ( arg => arg, frac => frac, sign => sign, denormalize => false, bias => 0, round_style => round_style); end case classcase; return (frac); end function to_unsigned; -- to_signed (float) function to_signed ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNRESOLVED_SIGNED is variable sign : STD_ULOGIC; -- true if negative variable validfp : valid_fpstate; -- Valid FP state variable frac : UNRESOLVED_UNSIGNED (size-1 downto 0); -- Fraction variable result : UNRESOLVED_SIGNED (size-1 downto 0); begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => result := (others => 'X'); when pos_zero | neg_zero | pos_denormal | neg_denormal => result := (others => '0'); -- return 0 when pos_inf => result := (others => '1'); result (result'high) := '0'; when neg_inf => result := (others => '0'); result (result'high) := '1'; when others => float_to_unsigned ( arg => arg, sign => sign, frac => frac, denormalize => false, bias => 0, round_style => round_style); result (size-1) := '0'; result (size-2 downto 0) := UNRESOLVED_SIGNED(frac (size-2 downto 0)); if sign = '1' then -- Because the most negative signed number is 1 less than the most -- positive signed number, we need this code. if frac(frac'high) = '1' then -- return most negative number result := (others => '0'); result (result'high) := '1'; else result := -result; end if; else if frac(frac'high) = '1' then -- return most positive number result := (others => '1'); result (result'high) := '0'; end if; end if; end case classcase; return result; end function to_signed; -- purpose: Converts a float to ufixed function to_ufixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent constant size : INTEGER := left_index - right_index + 4; -- unsigned size variable expon_base : INTEGER; -- exponent offset variable validfp : valid_fpstate; -- Valid FP state variable exp : INTEGER; -- Exponent variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent -- Base to divide fraction by variable frac : UNSIGNED (size-1 downto 0) := (others => '0'); -- Fraction variable frac_shift : UNSIGNED (size-1 downto 0); -- Fraction shifted variable shift : INTEGER; variable result_big : UNRESOLVED_ufixed (left_index downto right_index-3); variable result : UNRESOLVED_ufixed (left_index downto right_index); -- result begin -- function to_ufixed validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => frac := (others => 'X'); when pos_zero | neg_inf | neg_zero | neg_normal | neg_denormal => frac := (others => '0'); -- return 0 when pos_inf => frac := (others => '1'); -- always saturate when others => expon_base := 2**(exponent_width-1) -1; -- exponent offset -- Figure out the fraction if (validfp = pos_denormal) and denormalize then exp := -expon_base +1; frac (frac'high) := '0'; -- Remove the "1.0". else -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; frac (frac'high) := '1'; -- Add the "1.0". end if; shift := (frac'high - 3 + right_index) - exp; if fraction_width > frac'high then -- Can only use size-2 bits frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -frac'high))); else -- can use all bits frac (frac'high-1 downto frac'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := frac srl shift; if shift < 0 then -- Overflow frac := (others => '1'); else frac := frac_shift; end if; end case classcase; result_big := to_ufixed ( arg => STD_ULOGIC_VECTOR(frac), left_index => left_index, right_index => (right_index-3)); result := resize (arg => result_big, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_ufixed; -- purpose: Converts a float to sfixed function to_sfixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent constant size : INTEGER := left_index - right_index + 4; -- unsigned size variable expon_base : INTEGER; -- exponent offset variable validfp : valid_fpstate; -- Valid FP state variable exp : INTEGER; -- Exponent variable sign : BOOLEAN; -- true if negative variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent -- Base to divide fraction by variable frac : UNSIGNED (size-2 downto 0) := (others => '0'); -- Fraction variable frac_shift : UNSIGNED (size-2 downto 0); -- Fraction shifted variable shift : INTEGER; variable rsigned : SIGNED (size-1 downto 0); -- signed version of result variable result_big : UNRESOLVED_sfixed (left_index downto right_index-3); variable result : UNRESOLVED_sfixed (left_index downto right_index) := (others => '0'); -- result begin -- function to_sfixed validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => result := (others => 'X'); when pos_zero | neg_zero => result := (others => '0'); -- return 0 when neg_inf => result (left_index) := '1'; -- return smallest negative number when pos_inf => result := (others => '1'); -- return largest number result (left_index) := '0'; when others => expon_base := 2**(exponent_width-1) -1; -- exponent offset if arg(exponent_width) = '0' then sign := false; else sign := true; end if; -- Figure out the fraction if (validfp = pos_denormal or validfp = neg_denormal) and denormalize then exp := -expon_base +1; frac (frac'high) := '0'; -- Add the "1.0". else -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; frac (frac'high) := '1'; -- Add the "1.0". end if; shift := (frac'high - 3 + right_index) - exp; if fraction_width > frac'high then -- Can only use size-2 bits frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -frac'high))); else -- can use all bits frac (frac'high-1 downto frac'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := frac srl shift; if shift < 0 then -- Overflow frac := (others => '1'); else frac := frac_shift; end if; if not sign then rsigned := SIGNED("0" & frac); else rsigned := -(SIGNED("0" & frac)); end if; result_big := to_sfixed ( arg => STD_LOGIC_VECTOR(rsigned), left_index => left_index, right_index => (right_index-3)); result := resize (arg => result_big, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); end case classcase; return result; end function to_sfixed; -- size_res versions -- float to unsigned function to_unsigned ( arg : UNRESOLVED_float; -- floating point input size_res : UNRESOLVED_UNSIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNRESOLVED_UNSIGNED is variable result : UNRESOLVED_UNSIGNED (size_res'range); begin if (SIZE_RES'length = 0) then return result; else result := to_unsigned ( arg => arg, size => size_res'length, round_style => round_style, check_error => check_error); return result; end if; end function to_unsigned; -- float to signed function to_signed ( arg : UNRESOLVED_float; -- floating point input size_res : UNRESOLVED_SIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNRESOLVED_SIGNED is variable result : UNRESOLVED_SIGNED (size_res'range); begin if (SIZE_RES'length = 0) then return result; else result := to_signed ( arg => arg, size => size_res'length, round_style => round_style, check_error => check_error); return result; end if; end function to_signed; -- purpose: Converts a float to unsigned fixed point function to_ufixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_ufixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed ( arg => arg, left_index => size_res'high, right_index => size_res'low, overflow_style => overflow_style, round_style => round_style, check_error => check_error, denormalize => denormalize); return result; end if; end function to_ufixed; -- float to signed fixed point function to_sfixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_sfixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed ( arg => arg, left_index => size_res'high, right_index => size_res'low, overflow_style => overflow_style, round_style => round_style, check_error => check_error, denormalize => denormalize); return result; end if; end function to_sfixed; -- to_real (float) -- typically not Synthesizable unless the input is a constant. function to_real ( arg : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return REAL is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable frac : REAL := 0.0; -- Fraction variable validfp : valid_fpstate; -- Valid FP state variable expon : UNSIGNED (exponent_width - 1 downto 0) := (others => '1'); -- Vectorized exponent begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | pos_zero | neg_zero | nan | quiet_nan => return 0.0; when neg_inf => return REAL'low; -- Negative infinity. when pos_inf => return REAL'high; -- Positive infinity when others => expon_base := 2**(exponent_width-1) -1; if to_X01(arg(exponent_width)) = '0' then sign := 1.0; else sign := -1.0; end if; -- Figure out the fraction for i in 0 to fraction_width-1 loop if to_X01(arg (-1 - i)) = '1' then frac := frac + (2.0 **(-1 - i)); end if; end loop; -- i if validfp = pos_normal or validfp = neg_normal or not denormalize then -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; sign := sign * (2.0 ** exp) * (1.0 + frac); else -- exponent = '0', IEEE extended floating point exp := 1 - expon_base; sign := sign * (2.0 ** exp) * frac; end if; return sign; end case classcase; end function to_real; -- For Verilog compatability function realtobits (arg : REAL) return STD_ULOGIC_VECTOR is variable result : float64; -- 64 bit floating point begin result := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_sulv (result); end function realtobits; function bitstoreal (arg : STD_ULOGIC_VECTOR) return REAL is variable arg64 : float64; -- arg converted to float begin arg64 := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_real (arg64); end function bitstoreal; -- purpose: Removes meta-logical values from FP string function to_01 ( arg : UNRESOLVED_float; -- floating point input XMAP : STD_LOGIC := '0') return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin -- function to_01 if (arg'length < 1) then assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "TO_01: null detected, returning NULL" severity warning; return NAFP; end if; result := UNRESOLVED_float (STD_LOGIC_VECTOR(to_01(UNSIGNED(to_slv(arg)), XMAP))); return result; end function to_01; function Is_X (arg : UNRESOLVED_float) return BOOLEAN is begin return Is_X (to_slv(arg)); end function Is_X; function to_X01 (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "TO_X01: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_X01(to_slv(arg))); return result; end if; end function to_X01; function to_X01Z (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "TO_X01Z: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_X01Z(to_slv(arg))); return result; end if; end function to_X01Z; function to_UX01 (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "TO_UX01: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_UX01(to_slv(arg))); return result; end if; end function to_UX01; -- These allows the base math functions to use the default values -- of their parameters. Thus they do full IEEE floating point. function "+" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return add (l, r); end function "+"; function "-" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return subtract (l, r); end function "-"; function "*" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return multiply (l, r); end function "*"; function "/" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return divide (l, r); end function "/"; function "rem" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return remainder (l, r); end function "rem"; function "mod" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return modulo (l, r); end function "mod"; -- overloaded versions function "+" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return add (l, r_float); end function "+"; function "+" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return add (l_float, r); end function "+"; function "+" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return add (l, r_float); end function "+"; function "+" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return add (l_float, r); end function "+"; function "-" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return subtract (l, r_float); end function "-"; function "-" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return subtract (l_float, r); end function "-"; function "-" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return subtract (l, r_float); end function "-"; function "-" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return subtract (l_float, r); end function "-"; function "*" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return multiply (l, r_float); end function "*"; function "*" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return multiply (l_float, r); end function "*"; function "*" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return multiply (l, r_float); end function "*"; function "*" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return multiply (l_float, r); end function "*"; function "/" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return divide (l, r_float); end function "/"; function "/" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return divide (l_float, r); end function "/"; function "/" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return divide (l, r_float); end function "/"; function "/" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return divide (l_float, r); end function "/"; function "rem" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return remainder (l, r_float); end function "rem"; function "rem" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return remainder (l_float, r); end function "rem"; function "rem" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return remainder (l, r_float); end function "rem"; function "rem" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return remainder (l_float, r); end function "rem"; function "mod" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return modulo (l, r_float); end function "mod"; function "mod" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return modulo (l_float, r); end function "mod"; function "mod" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return modulo (l, r_float); end function "mod"; function "mod" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return modulo (l_float, r); end function "mod"; function "=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return eq (l, r_float); end function "="; function "/=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ne (l, r_float); end function "/="; function ">=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ge (l, r_float); end function ">="; function "<=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return le (l, r_float); end function "<="; function ">" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return gt (l, r_float); end function ">"; function "<" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return lt (l, r_float); end function "<"; function "=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return eq (l_float, r); end function "="; function "/=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ne (l_float, r); end function "/="; function ">=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ge (l_float, r); end function ">="; function "<=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return le (l_float, r); end function "<="; function ">" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return gt (l_float, r); end function ">"; function "<" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return lt (l_float, r); end function "<"; function "=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return eq (l, r_float); end function "="; function "/=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ne (l, r_float); end function "/="; function ">=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ge (l, r_float); end function ">="; function "<=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return le (l, r_float); end function "<="; function ">" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return gt (l, r_float); end function ">"; function "<" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return lt (l, r_float); end function "<"; function "=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return eq (l_float, r); end function "="; function "/=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ne (l_float, r); end function "/="; function ">=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ge (l_float, r); end function ">="; function "<=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return le (l_float, r); end function "<="; function ">" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return gt (l_float, r); end function ">"; function "<" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return lt (l_float, r); end function "<"; -- ?= overloads function "?=" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?= r_float; end function "?="; function "?/=" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?/= r_float; end function "?/="; function "?>" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?> r_float; end function "?>"; function "?>=" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?>= r_float; end function "?>="; function "?<" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?< r_float; end function "?<"; function "?<=" (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?<= r_float; end function "?<="; -- real and float function "?=" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?= r; end function "?="; function "?/=" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?/= r; end function "?/="; function "?>" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?> r; end function "?>"; function "?>=" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?>= r; end function "?>="; function "?<" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?< r; end function "?<"; function "?<=" (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?<= r; end function "?<="; -- ?= overloads function "?=" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?= r_float; end function "?="; function "?/=" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?/= r_float; end function "?/="; function "?>" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?> r_float; end function "?>"; function "?>=" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?>= r_float; end function "?>="; function "?<" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?< r_float; end function "?<"; function "?<=" (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return l ?<= r_float; end function "?<="; -- integer and float function "?=" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?= r; end function "?="; function "?/=" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?/= r; end function "?/="; function "?>" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?> r; end function "?>"; function "?>=" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?>= r; end function "?>="; function "?<" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?< r; end function "?<"; function "?<=" (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return l_float ?<= r; end function "?<="; -- minimum and maximum overloads function minimum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return minimum (l, r_float); end function minimum; function maximum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return maximum (l, r_float); end function maximum; function minimum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return minimum (l_float, r); end function minimum; function maximum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return maximum (l_float, r); end function maximum; function minimum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return minimum (l, r_float); end function minimum; function maximum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return maximum (l, r_float); end function maximum; function minimum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return minimum (l_float, r); end function minimum; function maximum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return maximum (l_float, r); end function maximum; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (L : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_sulv(L); return to_float (RESULT, L'high, -L'low); end function "not"; function "and" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) and to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "and"; function "or" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) or to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "or"; function "nand" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nand to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "nand"; function "nor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nor to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "nor"; function "xor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xor to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "xor"; function "xnor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xnor to_sulv(R); else assert NO_WARNING report float_generic_pkg'instance_name & """xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "xnor"; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L and to_sulv(R)); return result; end function "and"; function "and" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) and R); return result; end function "and"; function "or" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L or to_sulv(R)); return result; end function "or"; function "or" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) or R); return result; end function "or"; function "nand" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L nand to_sulv(R)); return result; end function "nand"; function "nand" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) nand R); return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L nor to_sulv(R)); return result; end function "nor"; function "nor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) nor R); return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L xor to_sulv(R)); return result; end function "xor"; function "xor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) xor R); return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin result := UNRESOLVED_float (L xnor to_sulv(R)); return result; end function "xnor"; function "xnor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin result := UNRESOLVED_float (to_sulv(L) xnor R); return result; end function "xnor"; -- Reduction operators, same as numeric_std functions function "and" (l : UNRESOLVED_float) return STD_ULOGIC is begin return and to_sulv(l); end function "and"; function "nand" (l : UNRESOLVED_float) return STD_ULOGIC is begin return nand to_sulv(l); end function "nand"; function "or" (l : UNRESOLVED_float) return STD_ULOGIC is begin return or to_sulv(l); end function "or"; function "nor" (l : UNRESOLVED_float) return STD_ULOGIC is begin return nor to_sulv(l); end function "nor"; function "xor" (l : UNRESOLVED_float) return STD_ULOGIC is begin return xor to_sulv(l); end function "xor"; function "xnor" (l : UNRESOLVED_float) return STD_ULOGIC is begin return xnor to_sulv(l); end function "xnor"; ----------------------------------------------------------------------------- -- Recommended Functions from the IEEE 754 Appendix ----------------------------------------------------------------------------- -- returns x with the sign of y. function Copysign ( x, y : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is begin return y(y'high) & x (x'high-1 downto x'low); end function Copysign; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : INTEGER; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(y'low, y'low); -- length of FP output fraction constant exponent_width : NATURAL := y'high; -- length of FP output exponent variable arg, result : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp variable exp : SIGNED (exponent_width downto 0); variable ufract : UNSIGNED (fraction_width downto 0); constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fptype : valid_fpstate; begin -- This can be done by simply adding N to the exponent. arg := to_01 (y, 'X'); fptype := classfp(arg, check_error); classcase : case fptype is when isx => result := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 result := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when others => break_number ( arg => arg, fptyp => fptype, denormalize => denormalize, fract => ufract, expon => expon); exp := resize (expon, exp'length) + N; result := normalize ( fract => ufract, expon => exp, sign => to_x01 (arg (arg'high)), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); end case classcase; return result; end function Scalb; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : UNRESOLVED_SIGNED; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable n_int : INTEGER; begin n_int := to_integer(N); return Scalb (y => y, N => n_int, round_style => round_style, check_error => check_error, denormalize => denormalize); end function Scalb; -- returns the unbiased exponent of x function Logb ( x : UNRESOLVED_float) -- floating point input return INTEGER is constant fraction_width : NATURAL := -mine (x'low, x'low); -- length of FP output fraction constant exponent_width : NATURAL := x'high; -- length of FP output exponent variable result : INTEGER; -- result variable arg : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument variable expon : SIGNED (exponent_width - 1 downto 0); variable fract : UNSIGNED (fraction_width downto 0); constant expon_base : INTEGER := 2**(exponent_width-1) -1; -- exponent -- offset +1 variable fptype : valid_fpstate; begin -- Just return the exponent. arg := to_01 (x, 'X'); fptype := classfp(arg); classcase : case fptype is when isx | nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 result := 0; when pos_denormal | neg_denormal => fract (fraction_width) := '0'; fract (fraction_width-1 downto 0) := UNSIGNED (to_slv(arg(-1 downto -fraction_width))); result := find_leftmost (fract, '1') -- Find the first "1" - fraction_width; -- subtract the length we want result := -expon_base + 1 + result; when others => expon := SIGNED(arg (exponent_width - 1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); expon := expon + 1; result := to_integer (expon); end case classcase; return result; end function Logb; -- returns the unbiased exponent of x function Logb ( x : UNRESOLVED_float) -- floating point input return UNRESOLVED_SIGNED is constant exponent_width : NATURAL := x'high; -- length of FP output exponent variable result : SIGNED (exponent_width - 1 downto 0); -- result begin -- Just return the exponent. result := to_signed (Logb (x), exponent_width); return result; end function Logb; -- returns the next representable neighbor of x in the direction toward y function Nextafter ( x, y : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(x'low, x'low); -- length of FP output fraction constant exponent_width : NATURAL := x'high; -- length of FP output exponent function "=" ( l, r : UNRESOLVED_float) -- inputs return BOOLEAN is begin -- function "=" return eq (l => l, r => r, check_error => false); end function "="; function ">" ( l, r : UNRESOLVED_float) -- inputs return BOOLEAN is begin -- function ">" return gt (l => l, r => r, check_error => false); end function ">"; variable fract : UNSIGNED (fraction_width-1 downto 0); variable expon : UNSIGNED (exponent_width-1 downto 0); variable sign : STD_ULOGIC; variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable validfpx, validfpy : valid_fpstate; -- Valid FP state begin -- fp_Nextafter -- If Y > X, add one to the fraction, otherwise subtract. validfpx := classfp (x, check_error); validfpy := classfp (y, check_error); if validfpx = isx or validfpy = isx then result := (others => 'X'); return result; elsif (validfpx = nan or validfpy = nan) then return nanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (validfpx = quiet_nan or validfpy = quiet_nan) then return qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif x = y then -- Return X return x; else fract := UNSIGNED (to_slv (x (-1 downto -fraction_width))); -- Fraction expon := UNSIGNED (x (exponent_width - 1 downto 0)); -- exponent sign := x(exponent_width); -- sign bit if (y > x) then -- Increase the number given if validfpx = neg_inf then -- return most negative number expon := (others => '1'); expon (0) := '0'; fract := (others => '1'); elsif validfpx = pos_zero or validfpx = neg_zero then -- return smallest denormal number sign := '0'; expon := (others => '0'); fract := (others => '0'); fract(0) := '1'; elsif validfpx = pos_normal then if and (fract) = '1' then -- fraction is all "1". if and (expon (exponent_width-1 downto 1)) = '1' and expon (0) = '0' then -- Exponent is one away from infinity. assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "FP_NEXTAFTER: NextAfter overflow" severity warning; return pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else expon := expon + 1; fract := (others => '0'); end if; else fract := fract + 1; end if; elsif validfpx = pos_denormal then if and (fract) = '1' then -- fraction is all "1". -- return smallest possible normal number expon := (others => '0'); expon(0) := '1'; fract := (others => '0'); else fract := fract + 1; end if; elsif validfpx = neg_normal then if or (fract) = '0' then -- fraction is all "0". if or (expon (exponent_width-1 downto 1)) = '0' and expon (0) = '1' then -- Smallest exponent -- return the largest negative denormal number expon := (others => '0'); fract := (others => '1'); else expon := expon - 1; fract := (others => '1'); end if; else fract := fract - 1; end if; elsif validfpx = neg_denormal then if or (fract(fract'high downto 1)) = '0' and fract (0) = '1' then -- Smallest possible fraction return zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else fract := fract - 1; end if; end if; else -- Decrease the number if validfpx = pos_inf then -- return most positive number expon := (others => '1'); expon (0) := '0'; fract := (others => '1'); elsif validfpx = pos_zero or classfp (x) = neg_zero then -- return smallest negative denormal number sign := '1'; expon := (others => '0'); fract := (others => '0'); fract(0) := '1'; elsif validfpx = neg_normal then if and (fract) = '1' then -- fraction is all "1". if and (expon (exponent_width-1 downto 1)) = '1' and expon (0) = '0' then -- Exponent is one away from infinity. assert NO_WARNING report FLOAT_GENERIC_PKG'instance_name & "FP_NEXTAFTER: NextAfter overflow" severity warning; return neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else expon := expon + 1; -- Fraction overflow fract := (others => '0'); end if; else fract := fract + 1; end if; elsif validfpx = neg_denormal then if and (fract) = '1' then -- fraction is all "1". -- return smallest possible normal number expon := (others => '0'); expon(0) := '1'; fract := (others => '0'); else fract := fract + 1; end if; elsif validfpx = pos_normal then if or (fract) = '0' then -- fraction is all "0". if or (expon (exponent_width-1 downto 1)) = '0' and expon (0) = '1' then -- Smallest exponent -- return the largest positive denormal number expon := (others => '0'); fract := (others => '1'); else expon := expon - 1; fract := (others => '1'); end if; else fract := fract - 1; end if; elsif validfpx = pos_denormal then if or (fract(fract'high downto 1)) = '0' and fract (0) = '1' then -- Smallest possible fraction return zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else fract := fract - 1; end if; end if; end if; result (-1 downto -fraction_width) := UNRESOLVED_float(fract); result (exponent_width -1 downto 0) := UNRESOLVED_float(expon); result (exponent_width) := sign; return result; end if; end function Nextafter; -- Returns True if X is unordered with Y. function Unordered ( x, y : UNRESOLVED_float) -- floating point input return BOOLEAN is variable lfptype, rfptype : valid_fpstate; begin lfptype := classfp (x); rfptype := classfp (y); if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan or lfptype = isx or rfptype = isx) then return true; else return false; end if; end function Unordered; function Finite ( x : UNRESOLVED_float) return BOOLEAN is variable fp_state : valid_fpstate; -- fp state begin fp_state := Classfp (x); if (fp_state = pos_inf) or (fp_state = neg_inf) then return true; else return false; end if; end function Finite; function Isnan ( x : UNRESOLVED_float) return BOOLEAN is variable fp_state : valid_fpstate; -- fp state begin fp_state := Classfp (x); if (fp_state = nan) or (fp_state = quiet_nan) then return true; else return false; end if; end function Isnan; -- Function to return constants. function zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is constant result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin return result; end function zerofp; function nanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" result (-1) := '1'; -- MSB of Fraction "1" -- Note: From W. Khan "IEEE Standard 754 for Binary Floating Point" -- The difference between a signaling NAN and a quiet NAN is that -- the MSB of the Fraction is a "1" in a Signaling NAN, and is a -- "0" in a quiet NAN. return result; end function nanfp; function qnanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" result (-fraction_width) := '1'; -- LSB of Fraction "1" -- (Could have been any bit) return result; end function qnanfp; function pos_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" return result; end function pos_inffp; function neg_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width downto 0) := (others => '1'); -- top bits all "1" return result; end function neg_inffp; function neg_zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width) := '1'; return result; end function neg_zerofp; -- size_res versions function zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return zerofp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function zerofp; function nanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return nanfp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function nanfp; function qnanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return qnanfp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function qnanfp; function pos_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return pos_inffp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function pos_inffp; function neg_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return neg_inffp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function neg_inffp; function neg_zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return neg_zerofp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function neg_zerofp; -- Textio functions -- purpose: writes float into a line (NOTE changed basetype) type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error); type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER; type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC; type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus; constant NBSP : CHARACTER := CHARACTER'val(160); -- space character constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-"; constant char_to_MVL9 : MVL9_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U'); constant char_to_MVL9plus : MVL9plus_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error); -- purpose: Skips white space procedure skip_whitespace ( L : inout LINE) is variable readOk : BOOLEAN; variable c : CHARACTER; begin while L /= null and L.all'length /= 0 loop c := l (l'left); if (c = ' ' or c = NBSP or c = HT) then read (l, c, readOk); else exit; end if; end loop; end procedure skip_whitespace; -- purpose: Checks the punctuation in a line procedure check_punctuation ( arg : in STRING; colon : out BOOLEAN; -- There was a colon in the line dot : out BOOLEAN; -- There was a dot in the line good : out BOOLEAN; -- True if enough characters found chars : in INTEGER) is -- Examples. Legal inputs are "0000000", "0000.000", "0:000:000" alias xarg : STRING (1 to arg'length) is arg; -- make it downto range variable icolon, idot : BOOLEAN; -- internal variable j : INTEGER := 0; -- charters read begin good := false; icolon := false; idot := false; for i in 1 to arg'length loop if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j = chars then exit; elsif xarg(i) = ':' then icolon := true; elsif xarg(i) = '.' then idot := true; elsif xarg (i) /= '_' then j := j + 1; end if; end loop; if j = chars then good := true; -- There are enough charactes to read end if; colon := icolon; if idot and icolon then dot := false; else dot := idot; end if; end procedure check_punctuation; -- purpose: Searches a line for a ":" and replaces it with a ".". procedure fix_colon ( arg : inout STRING; chars : in integer) is alias xarg : STRING (1 to arg'length) is arg; -- make it downto range variable j : INTEGER := 0; -- charters read begin for i in 1 to arg'length loop if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j > chars then exit; elsif xarg(i) = ':' then xarg (i) := '.'; elsif xarg (i) /= '_' then j := j + 1; end if; end loop; end procedure fix_colon; procedure WRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_float; -- floating point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'high - value'low +3); variable sindx : INTEGER; begin -- function write s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high))); s(2) := ':'; sindx := 3; for i in VALUE'high-1 downto 0 loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; s(sindx) := ':'; sindx := sindx + 1; for i in -1 downto VALUE'low loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; WRITE (L, s, JUSTIFIED, FIELD); end procedure WRITE; procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float) is -- Possible data: 0:0000:0000000 -- 000000000000 variable c : CHARACTER; variable mv : UNRESOLVED_float (VALUE'range); variable readOk : BOOLEAN; variable lastu : BOOLEAN := false; -- last character was an "_" variable i : INTEGER; -- index variable begin -- READ VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); READ (l, c, readOk); if VALUE'length > 0 then i := value'high; readloop : loop if readOk = false then -- Bail out if there was a bad read report float_generic_pkg'instance_name & "READ(float): " & "Error end of file encountered." severity error; return; elsif c = ' ' or c = CR or c = HT then -- reading done. if (i /= value'low) then report float_generic_pkg'instance_name & "READ(float): " & "Warning: Value truncated." severity warning; return; end if; elsif c = '_' then if i = value'high then -- Begins with an "_" report float_generic_pkg'instance_name & "READ(float): " & "String begins with an ""_""" severity error; return; elsif lastu then -- "__" detected report float_generic_pkg'instance_name & "READ(float): " & "Two underscores detected in input string ""__""" severity error; return; else lastu := true; end if; elsif c = ':' or c = '.' then -- separator, ignore if not (i = -1 or i = value'high-1) then report float_generic_pkg'instance_name & "READ(float): " & "Warning: Separator point does not match number format: '" & c & "' encountered at location " & INTEGER'image(i) & "." severity warning; end if; lastu := false; elsif (char_to_MVL9plus(c) = error) then report float_generic_pkg'instance_name & "READ(float): " & "Error: Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else mv (i) := char_to_MVL9(c); i := i - 1; if i < value'low then VALUE := mv; return; end if; lastu := false; end if; READ (l, c, readOk); end loop readloop; end if; end procedure READ; procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is -- Possible data: 0:0000:0000000 -- 000000000000 variable c : CHARACTER; variable mv : UNRESOLVED_float (VALUE'range); variable lastu : BOOLEAN := false; -- last character was an "_" variable i : INTEGER; -- index variable variable readOk : BOOLEAN; begin -- READ VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); READ (l, c, readOk); if VALUE'length > 0 then i := value'high; good := false; readloop : loop if readOk = false then -- Bail out if there was a bad read return; elsif c = ' ' or c = CR or c = HT then -- reading done return; elsif c = '_' then if i = 0 then -- Begins with an "_" return; elsif lastu then -- "__" detected return; else lastu := true; end if; elsif c = ':' or c = '.' then -- separator, ignore -- good := (i = -1 or i = value'high-1); lastu := false; elsif (char_to_MVL9plus(c) = error) then return; else mv (i) := char_to_MVL9(c); i := i - 1; if i < value'low then good := true; VALUE := mv; return; end if; lastu := false; end if; READ (l, c, readOk); end loop readloop; else good := true; -- read into a null array end if; end procedure READ; procedure OWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0) is -- width of field begin WRITE (L => L, VALUE => to_ostring(VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure OWRITE; procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/3); if not ok then report float_generic_pkg'instance_name & "OREAD: " & "short string encounted: " & L.all & " needs to have " & integer'image (ne/3) & " valid octal characters." severity error; return; elsif dot then OREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then report float_generic_pkg'instance_name & "OREAD: " & "error encounted reading STRING " & L.all severity error; return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then OREAD (L, nybble, ok); -- read the sign bit if not ok then report float_generic_pkg'instance_name & "OREAD: " & "End of string encountered" severity error; return; elsif nybble (2 downto 1) /= "00" then report float_generic_pkg'instance_name & "OREAD: " & "Illegal sign bit STRING encounted " severity error; return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/3); -- replaces the colon with a ".". OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then report float_generic_pkg'instance_name & "OREAD: " & "error encounted reading STRING " & L.all severity error; return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else OREAD (L, slv, ok); if not ok then report float_generic_pkg'instance_name & "OREAD: " & "Error encounted during read" severity error; return; end if; if (or (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then report float_generic_pkg'instance_name & "OREAD: " & "Vector truncated." severity error; return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; end if; end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" GOOD := false; Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/3); if not ok then return; elsif dot then OREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then OREAD (L, nybble, ok); -- read the sign bit if not ok then return; elsif nybble (2 downto 1) /= "00" then return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/3); -- replaces the colon with a ".". OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else OREAD (L, slv, ok); if not ok then return; end if; if (or (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; GOOD := true; end if; end procedure OREAD; procedure HWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0) is -- width of field begin WRITE (L => L, VALUE => to_hstring(VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure HWRITE; procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/4); if not ok then report float_generic_pkg'instance_name & "HREAD: " & "short string encounted: " & L.all & " needs to have " & integer'image (ne/4) & " valid hex characters." severity error; return; elsif dot then HREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then report float_generic_pkg'instance_name & "HREAD: " & "error encounted reading STRING " & L.all severity error; return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then HREAD (L, nybble, ok); -- read the sign bit if not ok then report float_generic_pkg'instance_name & "HREAD: " & "End of string encountered" severity error; return; elsif nybble (3 downto 1) /= "000" then report float_generic_pkg'instance_name & "HREAD: " & "Illegal sign bit STRING encounted " severity error; return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/4); -- replaces the colon with a ".". HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then report float_generic_pkg'instance_name & "HREAD: " & "error encounted reading STRING " & L.all severity error; return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else HREAD (L, slv, ok); if not ok then report float_generic_pkg'instance_name & "HREAD: " & "Error encounted during read" severity error; return; end if; if (or (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then report float_generic_pkg'instance_name & "HREAD: " & "Vector truncated." severity error; return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; end if; end procedure HREAD; procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" GOOD := false; Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/4); if not ok then return; elsif dot then HREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then HREAD (L, nybble, ok); -- read the sign bit if not ok then return; elsif nybble (3 downto 1) /= "000" then return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/4); -- replaces the colon with a ".". HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else HREAD (L, slv, ok); if not ok then return; end if; if (or (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; GOOD := true; end if; end procedure HREAD; function to_string (value : UNRESOLVED_float) return STRING is variable s : STRING(1 to value'high - value'low +3); variable sindx : INTEGER; begin -- function write s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high))); s(2) := ':'; sindx := 3; for i in VALUE'high-1 downto 0 loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; s(sindx) := ':'; sindx := sindx + 1; for i in -1 downto VALUE'low loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; return s; end function to_string; function to_hstring (value : UNRESOLVED_float) return STRING is variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin floop : for i in slv'range loop slv(i) := to_X01Z (value(i + value'low)); end loop floop; return to_hstring (slv); end function to_hstring; function to_ostring (value : UNRESOLVED_float) return STRING is variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin floop : for i in slv'range loop slv(i) := to_X01Z (value(i + value'low)); end loop floop; return to_ostring (slv); end function to_ostring; function from_string ( bstring : STRING; -- binary string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); READ (L, result, good); deallocate (L); assert (good) report FLOAT_GENERIC_PKG'instance_name & "from_string: Bad string " & bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); OREAD (L, result, good); deallocate (L); assert (good) report FLOAT_GENERIC_PKG'instance_name & "from_ostring: Bad string " & ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); HREAD (L, result, good); deallocate (L); assert (good) report FLOAT_GENERIC_PKG'instance_name & "from_hstring: Bad string " & hstring severity error; return result; end function from_hstring; function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_string (bstring => bstring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_ostring (ostring => ostring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_hstring (hstring => hstring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_hstring; end package body float_generic_pkg;
gpl-2.0
tec499-20142/t02-warmup
rtl/uart_tb.vhd
1
2852
---------------------------------------------------------------------------------- -- Creation Date: 13:07:48 27/03/2011 -- Module Name: RS232/UART Interface - Testbench -- Used TAB of 4 Spaces ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity uart_tb is end uart_tb; architecture Behavioral of uart_tb is ---------------------------------------------- -- Constants ---------------------------------------------- constant MAIN_CLK_PER : time := 20 ns; -- 50 MHz constant MAIN_CLK : integer := 50; constant BAUD_RATE : integer := 9600; -- Bits per Second constant RST_LVL : std_logic := '1'; -- Active Level of Reset ---------------------------------------------- -- Signal Declaration ---------------------------------------------- -- Clock and reset Signals signal clk_50m : std_logic := '0'; signal rst : std_logic; -- Transceiver Interface signal data_from_transceiver : std_logic; signal data_to_transceiver : std_logic; -- Configuration signals signal par_en : std_logic; -- uPC Interface signal tx_req : std_logic; signal tx_end : std_logic; signal tx_data : std_logic_vector(7 downto 0) := x"5A"; signal rx_ready : std_logic; signal rx_data : std_logic_vector(7 downto 0); -- Testbench Signals signal uart_clk : std_logic := '0'; begin ---------------------------------------------- -- Components Instantiation ---------------------------------------------- uut:entity work.uart generic map( CLK_FREQ => MAIN_CLK, -- Main frequency (MHz) SER_FREQ => BAUD_RATE -- Baud rate (bps) ) port map( -- Control clk => clk_50m, -- Main clock rst => rst, -- Main reset -- External Interface rx => data_from_transceiver, -- RS232 received serial data tx => data_to_transceiver, -- RS232 transmitted serial data -- RS232/UART Configuration par_en => par_en, -- Parity bit enable -- uPC Interface tx_req => '1', -- Request SEND of data tx_end => tx_end, -- Data SENDED tx_data => tx_data, -- Data to transmit rx_ready => rx_ready, -- Received data ready to uPC read rx_data => rx_data -- Received data ); ---------------------------------------------- -- Main Signals Generation ---------------------------------------------- -- Main Clock generation main_clock_generation:process begin wait for MAIN_CLK_PER/2; clk_50m <= not clk_50m; end process; -- UART Clock generation uart_clock_generation:process begin wait for (MAIN_CLK_PER*5208)/2; uart_clk <= not uart_clk; end process; -- Reset generation rst <= RST_LVL, not RST_LVL after MAIN_CLK_PER*5; data_from_transceiver <= data_to_transceiver; end Behavioral;
gpl-2.0
freecores/t400
rtl/vhdl/t400_io_pack-p.vhd
1
2298
------------------------------------------------------------------------------- -- -- $Id: t400_io_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package t400_io_pack is function io_out_f(dat : in std_logic; opt : in integer) return std_logic; function io_en_f (en : in std_logic; dat : in std_logic; opt : in integer) return std_logic; end t400_io_pack; use work.t400_opt_pack.all; package body t400_io_pack is function io_out_f(dat : in std_logic; opt : in integer) return std_logic is variable result_v : std_logic; begin result_v := '-'; case opt is -- Open drain type output drivers --------------------------------------- when t400_opt_out_type_od_c => result_v := '0'; -- Push/pull type output drivers ---------------------------------------- when t400_opt_out_type_std_c | t400_opt_out_type_led_c | t400_opt_out_type_pp_c => result_v := dat; when others => null; end case; return result_v; end io_out_f; function io_en_f (en : in std_logic; dat : in std_logic; opt : in integer) return std_logic is variable result_v : std_logic; begin result_v := '0'; case opt is -- Open drain type output drivers --------------------------------------- when t400_opt_out_type_od_c => if en = '1' and dat = '0' then result_v := '1'; end if; -- Push/pull type output drivers ---------------------------------------- when t400_opt_out_type_std_c | t400_opt_out_type_led_c | t400_opt_out_type_pp_c => result_v := en; when others => null; end case; return result_v; end io_en_f; end t400_io_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
keith-epidev/md2x
build/code/mylib.vhdl
1
565
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use IEEE.math_real.all; package my_lib is function f_log2 (x : positive) return natural; type int_array is array(0 to 7) of integer; type hex_array is array(0 to 7) of std_logic_vector(6 downto 0); type disp_chars is array(0 to 16*2-1) of std_logic_vector(0 to 7); end; package body my_lib is function f_log2 (x : positive) return natural is variable i : natural; begin i := 0; while (2**i < x) and i < 31 loop i := i + 1; end loop; return i; end function; end;
gpl-2.0
keith-epidev/md2x
build/code/or_gate.vhdl
2
306
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; entity or_gate is generic ( width:integer := 2 ); port ( input : in std_logic_vector(width-1 downto 0); output : out std_logic ); end or_gate; architecture arch of or_gate is begin output <= or_reduce(input); end arch;
gpl-2.0
freecores/t400
rtl/vhdl/system/t400_system_comp_pack-p.vhd
1
12291
------------------------------------------------------------------------------- -- -- $Id: t400_system_comp_pack-p.vhd,v 1.6 2006-06-11 22:18:52 arniml Exp $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t400_opt_pack.all; package t400_system_comp_pack is component t410_notri generic ( opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_cko_g : integer := t400_opt_cko_crystal_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; cko_i : in std_logic; io_l_i : in std_logic_vector(7 downto 0); io_l_o : out std_logic_vector(7 downto 0); io_l_en_o : out std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_d_en_o : out std_logic_vector(3 downto 0); io_g_i : in std_logic_vector(3 downto 0); io_g_o : out std_logic_vector(3 downto 0); io_g_en_o : out std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; so_en_o : out std_logic; sk_o : out std_logic; sk_en_o : out std_logic ); end component; component t410 generic ( opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; io_l_b : inout std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_g_b : inout std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; sk_o : out std_logic ); end component; component t411 generic ( opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; si_i : in std_logic; so_o : out std_logic; sk_o : out std_logic; io_l_b : inout std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(1 downto 0); io_g_b : inout std_logic_vector(2 downto 0) ); end component; component t420_notri generic ( opt_type_g : integer := t400_opt_type_420_c; opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_cko_g : integer := t400_opt_cko_crystal_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_microbus_g : integer := t400_opt_no_microbus_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; cko_i : in std_logic; io_l_i : in std_logic_vector(7 downto 0); io_l_o : out std_logic_vector(7 downto 0); io_l_en_o : out std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_d_en_o : out std_logic_vector(3 downto 0); io_g_i : in std_logic_vector(3 downto 0); io_g_o : out std_logic_vector(3 downto 0); io_g_en_o : out std_logic_vector(3 downto 0); io_in_i : in std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; so_en_o : out std_logic; sk_o : out std_logic; sk_en_o : out std_logic ); end component; component t420 generic ( opt_ck_div_g : integer := t400_opt_ck_div_16_c; opt_cko_g : integer := t400_opt_cko_crystal_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_microbus_g : integer := t400_opt_no_microbus_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; cko_i : in std_logic; io_l_b : inout std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_g_b : inout std_logic_vector(3 downto 0); io_in_i : in std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; sk_o : out std_logic ); end component; component t421 generic ( opt_ck_div_g : integer := t400_opt_ck_div_8_c; opt_cko_g : integer := t400_opt_cko_crystal_c; opt_l_out_type_7_g : integer := t400_opt_out_type_std_c; opt_l_out_type_6_g : integer := t400_opt_out_type_std_c; opt_l_out_type_5_g : integer := t400_opt_out_type_std_c; opt_l_out_type_4_g : integer := t400_opt_out_type_std_c; opt_l_out_type_3_g : integer := t400_opt_out_type_std_c; opt_l_out_type_2_g : integer := t400_opt_out_type_std_c; opt_l_out_type_1_g : integer := t400_opt_out_type_std_c; opt_l_out_type_0_g : integer := t400_opt_out_type_std_c; opt_d_out_type_3_g : integer := t400_opt_out_type_std_c; opt_d_out_type_2_g : integer := t400_opt_out_type_std_c; opt_d_out_type_1_g : integer := t400_opt_out_type_std_c; opt_d_out_type_0_g : integer := t400_opt_out_type_std_c; opt_g_out_type_3_g : integer := t400_opt_out_type_std_c; opt_g_out_type_2_g : integer := t400_opt_out_type_std_c; opt_g_out_type_1_g : integer := t400_opt_out_type_std_c; opt_g_out_type_0_g : integer := t400_opt_out_type_std_c; opt_so_output_type_g : integer := t400_opt_out_type_std_c; opt_sk_output_type_g : integer := t400_opt_out_type_std_c ); port ( ck_i : in std_logic; ck_en_i : in std_logic; reset_n_i : in std_logic; cko_i : in std_logic; io_l_b : inout std_logic_vector(7 downto 0); io_d_o : out std_logic_vector(3 downto 0); io_g_b : inout std_logic_vector(3 downto 0); si_i : in std_logic; so_o : out std_logic; sk_o : out std_logic ); end component; end t400_system_comp_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.5 2006/06/11 13:48:13 arniml -- * t421 added -- * fixed generic list for t420 and t420_notri -- -- Revision 1.4 2006/06/05 20:02:46 arniml -- use microbus generic -- -- Revision 1.3 2006/05/23 01:16:19 arniml -- routi CKO to t400_core -- -- Revision 1.2 2006/05/14 22:29:33 arniml -- t420 hierarchies added -- -- Revision 1.1.1.1 2006/05/06 01:56:45 arniml -- import from local CVS repository, LOC_CVS_0_1 -- -------------------------------------------------------------------------------
gpl-2.0
EPiCS/reconos
pcores/reconos_memif_mmu_zynq_v1_00_a/hdl/vhdl/tlb.vhd
4
2967
-- ____ _____ -- ________ _________ ____ / __ \/ ___/ -- / ___/ _ \/ ___/ __ \/ __ \/ / / /\__ \ -- / / / __/ /__/ /_/ / / / / /_/ /___/ / -- /_/ \___/\___/\____/_/ /_/\____//____/ -- -- ====================================================================== -- -- title: IP-Core - MEMIF MMU - TLB -- -- project: ReconOS -- author: Christoph Rüthing, University of Paderborn -- description: The TLB (translation lookaside buffer) caches the last -- address translations for faster access. -- -- ====================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; entity tlb is generic ( C_TLB_SIZE : integer := 128; C_TAG_SIZE : integer := 20; C_DATA_SIZE : integer := 32 ); port ( -- TLB ports TLB_Tag : in std_logic_vector(C_TAG_SIZE - 1 downto 0); TLB_DI : in std_logic_vector(C_DATA_SIZE - 1 downto 0); TLB_DO : out std_logic_vector(C_DATA_SIZE - 1 downto 0); TLB_WE : in std_logic; TLB_Hit : out std_logic; TLB_Clk : in std_logic; TLB_Rst : in std_logic ); attribute SIGIS : string; attribute SIGIS of TLB_Clk : signal is "Clk"; attribute SIGIS of TLB_Rst : signal is "Rst"; end entity tlb; architecture implementation of tlb is signal clk : std_logic; signal rst : std_logic; signal do : std_logic_vector(C_DATA_SIZE - 1 downto 0); signal hit : std_logic; type TAG_MEM_T is array (0 to C_TLB_SIZE - 1) of std_logic_vector(C_TAG_SIZE - 1 downto 0); type DATA_MEM_T is array (0 to C_TLB_SIZE - 1) of std_logic_vector(C_DATA_SIZE - 1 downto 0); signal valid : std_logic_vector(0 to C_TLB_SIZE - 1); signal tag_mem : TAG_MEM_T; signal data_mem : DATA_MEM_T; signal wrptr : std_logic_vector(clog2(C_TLB_SIZE) - 1 downto 0); begin clk <= TLB_Clk; rst <= TLB_Rst; TLB_DO <= do; TLB_Hit <= hit; write_proc : process(clk,rst) is begin if rst = '1' then wrptr <= (others => '0'); valid <= (others => '0'); elsif rising_edge(clk) then if TLB_WE = '1' then tag_mem(CONV_INTEGER(wrptr)) <= TLB_Tag; data_mem(CONV_INTEGER(wrptr)) <= TLB_DI; valid(CONV_INTEGER(wrptr)) <= '1'; wrptr <= wrptr + 1; end if; end if; end process write_proc; read_proc : process(TLB_Tag,data_mem,valid,tag_mem) is begin hit <= '0'; do <= (others => '0'); -- loop over all tlb entries and take the first hit for i in 0 to C_TLB_SIZE - 1 loop if valid(i) = '1' and tag_mem(i) = TLB_Tag then hit <= '1'; do <= data_mem(i); exit; end if; end loop; end process read_proc; end architecture implementation;
gpl-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/submodules/Video_System_Video_RGB_Resampler.vhd
1
8495
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_misc.all; -- ****************************************************************************** -- * License Agreement * -- * * -- * Copyright (c) 1991-2012 Altera Corporation, San Jose, California, USA. * -- * All rights reserved. * -- * * -- * Any megafunction design, and related net list (encrypted or decrypted), * -- * support information, device programming or simulation file, and any other * -- * associated documentation or information provided by Altera or a partner * -- * under Altera's Megafunction Partnership Program may be used only to * -- * program PLD devices (but not masked PLD devices) from Altera. Any other * -- * use of such megafunction design, net list, support information, device * -- * programming or simulation file, or any other related documentation or * -- * information is prohibited for any other purpose, including, but not * -- * limited to modification, reverse engineering, de-compiling, or use with * -- * any other silicon devices, unless such use is explicitly licensed under * -- * a separate agreement with Altera or a megafunction partner. Title to * -- * the intellectual property, including patents, copyrights, trademarks, * -- * trade secrets, or maskworks, embodied in any such megafunction design, * -- * net list, support information, device programming or simulation file, or * -- * any other related documentation or information provided by Altera or a * -- * megafunction partner, remains with Altera, the megafunction partner, or * -- * their respective licensors. No other licenses, including any licenses * -- * needed under any third party's intellectual property, are provided herein.* -- * Copying or modifying any file, or portion thereof, to which this notice * -- * is attached violates this copyright. * -- * * -- * THIS FILE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -- * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -- * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL * -- * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -- * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -- * FROM, OUT OF OR IN CONNECTION WITH THIS FILE OR THE USE OR OTHER DEALINGS * -- * IN THIS FILE. * -- * * -- * This agreement shall be governed in all respects by the laws of the State * -- * of California and by the laws of the United States of America. * -- * * -- ****************************************************************************** -- ****************************************************************************** -- * * -- * This module converts video streams between RGB color formats. * -- * * -- ****************************************************************************** ENTITY Video_System_Video_RGB_Resampler IS -- ***************************************************************************** -- * Generic Declarations * -- ***************************************************************************** GENERIC ( IDW :INTEGER := 23; ODW :INTEGER := 15; IEW :INTEGER := 1; OEW :INTEGER := 0; ALPHA :STD_LOGIC_VECTOR( 9 DOWNTO 0) := B"1111111111" ); -- ***************************************************************************** -- * Port Declarations * -- ***************************************************************************** PORT ( -- Inputs clk :IN STD_LOGIC; reset :IN STD_LOGIC; stream_in_data :IN STD_LOGIC_VECTOR(IDW DOWNTO 0); stream_in_startofpacket :IN STD_LOGIC; stream_in_endofpacket :IN STD_LOGIC; stream_in_empty :IN STD_LOGIC_VECTOR(IEW DOWNTO 0); stream_in_valid :IN STD_LOGIC; stream_out_ready :IN STD_LOGIC; -- Bidirectional -- Outputs stream_in_ready :BUFFER STD_LOGIC; stream_out_data :BUFFER STD_LOGIC_VECTOR(ODW DOWNTO 0); stream_out_startofpacket :BUFFER STD_LOGIC; stream_out_endofpacket :BUFFER STD_LOGIC; stream_out_empty :BUFFER STD_LOGIC_VECTOR(OEW DOWNTO 0); stream_out_valid :BUFFER STD_LOGIC ); END Video_System_Video_RGB_Resampler; ARCHITECTURE Behaviour OF Video_System_Video_RGB_Resampler IS -- ***************************************************************************** -- * Constant Declarations * -- ***************************************************************************** -- ***************************************************************************** -- * Internal Signals Declarations * -- ***************************************************************************** -- Internal Wires SIGNAL r :STD_LOGIC_VECTOR( 9 DOWNTO 0); SIGNAL g :STD_LOGIC_VECTOR( 9 DOWNTO 0); SIGNAL b :STD_LOGIC_VECTOR( 9 DOWNTO 0); SIGNAL a :STD_LOGIC_VECTOR( 9 DOWNTO 0); SIGNAL converted_data :STD_LOGIC_VECTOR(ODW DOWNTO 0); -- Internal Registers -- State Machine Registers -- Integers -- ***************************************************************************** -- * Component Declarations * -- ***************************************************************************** BEGIN -- ***************************************************************************** -- * Finite State Machine(s) * -- ***************************************************************************** -- ***************************************************************************** -- * Sequential Logic * -- ***************************************************************************** -- Output Registers PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF (reset = '1') THEN stream_out_data <= (OTHERS => '0'); stream_out_startofpacket <= '0'; stream_out_endofpacket <= '0'; stream_out_empty <= (OTHERS => '0'); stream_out_valid <= '0'; ELSIF ((stream_out_ready = '1') OR (stream_out_valid = '0')) THEN stream_out_data <= converted_data; stream_out_startofpacket <= stream_in_startofpacket; stream_out_endofpacket <= stream_in_endofpacket; -- stream_out_empty <= stream_in_empty; stream_out_empty <= (OTHERS => '0'); stream_out_valid <= stream_in_valid; END IF; END IF; END PROCESS; -- Internal Registers -- ***************************************************************************** -- * Combinational Logic * -- ***************************************************************************** -- Output Assignments stream_in_ready <= stream_out_ready OR NOT stream_out_valid; -- Internal Assignments r <= (stream_in_data(23 DOWNTO 16) & stream_in_data(23 DOWNTO 22)); g <= (stream_in_data(15 DOWNTO 8) & stream_in_data(15 DOWNTO 14)); b <= (stream_in_data( 7 DOWNTO 0) & stream_in_data( 7 DOWNTO 6)); a <= ALPHA; converted_data(15 DOWNTO 11) <= r( 9 DOWNTO 5); converted_data(10 DOWNTO 5) <= g( 9 DOWNTO 4); converted_data( 4 DOWNTO 0) <= b( 9 DOWNTO 5); -- ***************************************************************************** -- * Component Instantiations * -- ***************************************************************************** END Behaviour;
gpl-2.0
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/tracking_camera_system/testbench/tracking_camera_system_tb/simulation/submodules/tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator.vhd
1
12740
-- tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator is generic ( AV_ADDRESS_W : integer := 1; AV_DATA_W : integer := 8; UAV_DATA_W : integer := 8; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 1; UAV_BYTEENABLE_W : integer := 1; UAV_ADDRESS_W : integer := 25; UAV_BURSTCOUNT_W : integer := 1; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 1; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 1; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(0 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(0 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(7 downto 0); -- .readdata uav_writedata : in std_logic_vector(7 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(0 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_read : out std_logic; -- .read av_readdata : in std_logic_vector(7 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(7 downto 0); -- .writedata av_waitrequest : in std_logic := '0'; -- .waitrequest av_chipselect : out std_logic; -- .chipselect av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_byteenable : out std_logic_vector(0 downto 0); av_clken : out std_logic; av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_readdatavalid : in std_logic := '0'; av_writebyteenable : out std_logic_vector(0 downto 0); uav_clken : in std_logic := '0' ); end entity tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator; architecture rtl of tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(0 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(0 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(7 downto 0); -- readdata uav_writedata : in std_logic_vector(7 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(7 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(7 downto 0); -- writedata av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin character_lcd_0_avalon_lcd_slave_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_read => av_read, -- .read av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_waitrequest => av_waitrequest, -- .waitrequest av_chipselect => av_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of tracking_camera_system_character_lcd_0_avalon_lcd_slave_translator
gpl-2.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/submodules/Video_System_Video_Scaler.vhd
1
9614
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_misc.all; -- ****************************************************************************** -- * License Agreement * -- * * -- * Copyright (c) 1991-2012 Altera Corporation, San Jose, California, USA. * -- * All rights reserved. * -- * * -- * Any megafunction design, and related net list (encrypted or decrypted), * -- * support information, device programming or simulation file, and any other * -- * associated documentation or information provided by Altera or a partner * -- * under Altera's Megafunction Partnership Program may be used only to * -- * program PLD devices (but not masked PLD devices) from Altera. Any other * -- * use of such megafunction design, net list, support information, device * -- * programming or simulation file, or any other related documentation or * -- * information is prohibited for any other purpose, including, but not * -- * limited to modification, reverse engineering, de-compiling, or use with * -- * any other silicon devices, unless such use is explicitly licensed under * -- * a separate agreement with Altera or a megafunction partner. Title to * -- * the intellectual property, including patents, copyrights, trademarks, * -- * trade secrets, or maskworks, embodied in any such megafunction design, * -- * net list, support information, device programming or simulation file, or * -- * any other related documentation or information provided by Altera or a * -- * megafunction partner, remains with Altera, the megafunction partner, or * -- * their respective licensors. No other licenses, including any licenses * -- * needed under any third party's intellectual property, are provided herein.* -- * Copying or modifying any file, or portion thereof, to which this notice * -- * is attached violates this copyright. * -- * * -- * THIS FILE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -- * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -- * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL * -- * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -- * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -- * FROM, OUT OF OR IN CONNECTION WITH THIS FILE OR THE USE OR OTHER DEALINGS * -- * IN THIS FILE. * -- * * -- * This agreement shall be governed in all respects by the laws of the State * -- * of California and by the laws of the United States of America. * -- * * -- ****************************************************************************** -- ****************************************************************************** -- * * -- * This module scales video streams on the DE boards. * -- * * -- ****************************************************************************** ENTITY Video_System_Video_Scaler IS -- ***************************************************************************** -- * Generic Declarations * -- ***************************************************************************** GENERIC ( DW :INTEGER := 15; -- Frame's Data Width EW :INTEGER := 0; -- Frame's Empty Width WIW :INTEGER := 9; -- Incoming frame's width's address width HIW :INTEGER := 7; -- Incoming frame's height's address width WIDTH_IN :INTEGER := 640; WIDTH_DROP_MASK :STD_LOGIC_VECTOR( 3 DOWNTO 0) := B"0101"; HEIGHT_DROP_MASK :STD_LOGIC_VECTOR( 3 DOWNTO 0) := B"0000"; MH_WW :INTEGER := 8; -- Multiply height's incoming width's address width MH_WIDTH_IN :INTEGER := 320; -- Multiply height's incoming width MH_CW :INTEGER := 0; -- Multiply height's counter width MW_CW :INTEGER := 0 -- Multiply width's counter width ); -- ***************************************************************************** -- * Port Declarations * -- ***************************************************************************** PORT ( -- Inputs clk :IN STD_LOGIC; reset :IN STD_LOGIC; stream_in_data :IN STD_LOGIC_VECTOR(DW DOWNTO 0); stream_in_startofpacket :IN STD_LOGIC; stream_in_endofpacket :IN STD_LOGIC; stream_in_empty :IN STD_LOGIC_VECTOR(EW DOWNTO 0); stream_in_valid :IN STD_LOGIC; stream_out_ready :IN STD_LOGIC; -- Bidirectional -- Outputs stream_in_ready :BUFFER STD_LOGIC; stream_out_data :BUFFER STD_LOGIC_VECTOR(DW DOWNTO 0); stream_out_startofpacket :BUFFER STD_LOGIC; stream_out_endofpacket :BUFFER STD_LOGIC; stream_out_empty :BUFFER STD_LOGIC_VECTOR(EW DOWNTO 0); stream_out_valid :BUFFER STD_LOGIC ); END Video_System_Video_Scaler; ARCHITECTURE Behaviour OF Video_System_Video_Scaler IS -- ***************************************************************************** -- * Constant Declarations * -- ***************************************************************************** -- ***************************************************************************** -- * Internal Signals Declarations * -- ***************************************************************************** -- Internal Wires SIGNAL internal_data :STD_LOGIC_VECTOR(DW DOWNTO 0); SIGNAL internal_startofpacket :STD_LOGIC; SIGNAL internal_endofpacket :STD_LOGIC; SIGNAL internal_valid :STD_LOGIC; SIGNAL internal_ready :STD_LOGIC; -- Internal Registers -- State Machine Registers -- Integers -- ***************************************************************************** -- * Component Declarations * -- ***************************************************************************** COMPONENT altera_up_video_scaler_shrink GENERIC ( DW :INTEGER; WW :INTEGER; HW :INTEGER; WIDTH_IN :INTEGER; WIDTH_DROP_MASK :STD_LOGIC_VECTOR( 3 DOWNTO 0); HEIGHT_DROP_MASK :STD_LOGIC_VECTOR( 3 DOWNTO 0) ); PORT ( -- Inputs clk :IN STD_LOGIC; reset :IN STD_LOGIC; stream_in_data :IN STD_LOGIC_VECTOR(DW DOWNTO 0); stream_in_startofpacket :IN STD_LOGIC; stream_in_endofpacket :IN STD_LOGIC; stream_in_valid :IN STD_LOGIC; stream_out_ready :IN STD_LOGIC; -- Bidirectional -- Outputs stream_in_ready :BUFFER STD_LOGIC; stream_out_data :BUFFER STD_LOGIC_VECTOR(DW DOWNTO 0); stream_out_startofpacket :BUFFER STD_LOGIC; stream_out_endofpacket :BUFFER STD_LOGIC; stream_out_valid :BUFFER STD_LOGIC ); END COMPONENT; BEGIN -- ***************************************************************************** -- * Finite State Machine(s) * -- ***************************************************************************** -- ***************************************************************************** -- * Sequential Logic * -- ***************************************************************************** -- Output Registers -- Internal Registers -- ***************************************************************************** -- * Combinational Logic * -- ***************************************************************************** -- Output Assignments stream_out_empty <= (OTHERS => '0'); -- Internal Assignments -- ***************************************************************************** -- * Component Instantiations * -- ***************************************************************************** Shrink_Frame : altera_up_video_scaler_shrink GENERIC MAP ( DW => DW, WW => WIW, HW => HIW, WIDTH_IN => WIDTH_IN, WIDTH_DROP_MASK => WIDTH_DROP_MASK, HEIGHT_DROP_MASK => HEIGHT_DROP_MASK ) PORT MAP ( -- Inputs clk => clk, reset => reset, stream_in_data => stream_in_data, stream_in_startofpacket => stream_in_startofpacket, stream_in_endofpacket => stream_in_endofpacket, stream_in_valid => stream_in_valid, stream_out_ready => stream_out_ready, -- Bidirectional -- Outputs stream_in_ready => stream_in_ready, stream_out_data => stream_out_data, stream_out_startofpacket => stream_out_startofpacket, stream_out_endofpacket => stream_out_endofpacket, stream_out_valid => stream_out_valid ); END Behaviour;
gpl-2.0
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/openmac/src/phyMgmt-rtl-ea.vhd
3
9447
------------------------------------------------------------------------------- --! @file phyMgmt-rtl-ea.vhd -- --! @brief OpenMAC phy management module -- --! @details This is the openMAC phy management module to configure the connected --! phys via SMI (= serial management interface). ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity phyMgmt is port ( --! Reset iRst : in std_logic; --! Clock iClk : in std_logic; --! Address (word addresses) iAddress : in std_logic_vector(3 downto 1); --! Select iSelect : in std_logic; --! Byteenale (low-active) inByteenable : in std_logic_vector(1 downto 0); --! Write (low-active) inWrite : in std_logic; --! Writedata iWritedata : in std_logic_vector(15 downto 0); --! Readdata oReaddata : out std_logic_vector(15 downto 0); --! SMI Clock oSmiClk : out std_logic; --! SMI data input iSmiDataIn : in std_logic; --! SMI data output oSmiDataOut : out std_logic; --! SMI data output enable oSmiDataOutEnable : out std_logic; --! Phy reset (low-active) onPhyReset : out std_logic ); end entity phyMgmt; architecture rtl of phyMgmt is --! This is the shift register to serialize write and read data. signal shift_reg : std_logic_vector(31 downto 0); --! This is the generated SMI clock. signal smiClk : std_logic; --! This is the clock divider vector to generate smiClk. signal clkDivider : std_logic_vector(4 downto 0); --! This alias triggers shifting the shift register. alias doShift : std_logic is clkDivider(clkDivider'high); --! This is the bit counter for serializing. signal bit_cnt : std_logic_vector(2 downto 0); --! This is the byte counter for serializing. signal byte_cnt : std_logic_vector(2 downto 0); --! This flag signalizes activity. signal runActive : std_logic; --! This flag signalizes a busy shift register. signal shiftBusy : std_logic; --! This signal is used to control the phy reset (low active). signal nPhyReset : std_logic; --! This is the internal SMI data output. signal smiDataOut : std_logic; --! This is the internal SMI data output enable. signal smiDataOutEnable : std_logic; begin --------------------------------------------------------------------------- -- Assign outputs --------------------------------------------------------------------------- oSmiClk <= smiClk; oSmiDataOut <= smiDataOut; oSmiDataOutEnable <= smiDataOutEnable; onPhyReset <= nPhyReset; --! This process assigns the readdata vector. ASSIGN_READDATA : process ( nPhyReset, shiftBusy, shift_reg, iAddress ) begin -- default is zero oReaddata <= (others => cInactivated); if iAddress(1) = cInactivated then oReaddata(7) <= nPhyReset; oReaddata(0) <= shiftBusy; else oReaddata <= shift_reg(15 downto 0); end if; end process ASSIGN_READDATA; --! This process generates the SMI signals and assigns memory mapped writes. doSMI : process (iRst, iClk) begin if iRst = cActivated then smiClk <= cInactivated; runActive <= cInactivated; shiftBusy <= cInactivated; smiDataOutEnable <= cActivated; smiDataOut <= cActivated; nPhyReset <= cnActivated; bit_cnt <= (others => cInactivated); byte_cnt <= (others => cInactivated); shift_reg <= x"0000abcd"; clkDivider <= (others => cInactivated); elsif rising_edge(iClk) then if doShift = cActivated then clkDivider <= std_logic_vector(to_unsigned(8, clkDivider'length) + 1); smiClk <= not smiClk; else clkDivider <= std_logic_vector(unsigned(clkDivider) - 1); end if; if (iSelect = cActivated and inWrite = cnActivated and shiftBusy = cInactivated and iAddress(2) = cActivated and inByteenable(0) = cnActivated) then nPhyReset <= iWritedata(7); end if; if (iSelect = cActivated and inWrite = cnActivated and shiftBusy = cInactivated and iAddress(2) = cInactivated) then if iAddress(1) = cInactivated then if inByteenable(1) = cnActivated then shift_reg(31 downto 24) <= iWritedata(15 downto 8); end if; if inByteenable(0) = cnActivated then shift_reg(23 downto 16) <= iWritedata(7 downto 0); shiftBusy <= cActivated; end if; else if inByteenable(1) = cnActivated then shift_reg(15 downto 8) <= iWritedata(15 downto 8); end if; if inByteenable(0) = cnActivated then shift_reg(7 downto 0) <= iWritedata(7 downto 0); end if; end if; else if doShift = cActivated and smiClk = cActivated then if runActive = cInactivated and shiftBusy = cActivated then runActive <= cActivated; byte_cnt <= "111"; bit_cnt <= "111"; else if byte_cnt(2) = cInactivated and shiftBusy = cActivated then smiDataOut <= shift_reg(31); shift_reg <= shift_reg(30 downto 0) & iSmiDataIn; end if; bit_cnt <= std_logic_vector(unsigned(bit_cnt) - 1); if bit_cnt = std_logic_vector(to_unsigned(0, bit_cnt'length)) then byte_cnt <= std_logic_vector(unsigned(byte_cnt) - 1); if byte_cnt = std_logic_vector(to_unsigned(0, byte_cnt'length)) then shiftBusy <= cInactivated; runActive <= cInactivated; end if; end if; if (byte_cnt = std_logic_vector(to_unsigned(2, byte_cnt'length)) and bit_cnt = std_logic_vector(to_unsigned(1, bit_cnt'length)) and shift_reg(31) = cInactivated) then smiDataOutEnable <= cInactivated; end if; end if; if shiftBusy = cInactivated or runActive = cInactivated then smiDataOut <= cActivated; smiDataOutEnable <= cActivated; end if; end if; end if; end if; end process doSMI; end rtl;
gpl-2.0
dummylink/plnk_fpga-stack
Examples/altera_nios2/SYSTEC_ECUcore-EP3C/design_nios2_directIO/POWERLINK/src/pdi_led.vhd
5
3876
------------------------------------------------------------------------------------------------------------------------ -- Process Data Interface (PDI) led gadget -- -- Copyright (C) 2011 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2011-09-14 V0.01 zelenkaj extract from pdi.vhd ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; --the led gadget can be set by three different sources -- source A, B and C -- the highest priority has C entity pdiLed is generic ( iLedWidth_g : integer := 8 ); port ( --src A srcAled : in std_logic_vector(iLedWidth_g-1 downto 0); srcAforce : in std_logic_vector(iLedWidth_g-1 downto 0); --src B srcBled : in std_logic_vector(iLedWidth_g-1 downto 0); srcBforce : in std_logic_vector(iLedWidth_g-1 downto 0); --src C srcCled : in std_logic_vector(iLedWidth_g-1 downto 0); srcCforce : in std_logic_vector(iLedWidth_g-1 downto 0); --led output ledOut : out std_logic_vector(iLedWidth_g-1 downto 0) ); end entity pdiLed; architecture rtl of pdiLed is begin theLedGadget : process(srcAled, srcAforce, srcBled, srcBforce, srcCled, srcCforce) variable tmp_led : std_logic_vector(ledOut'range); begin tmp_led := (others => '0'); for i in tmp_led'range loop --okay, src A may drive if forced if srcAforce(i) = '1' then tmp_led(i) := srcAled(i); end if; --same vaild for src B, but it overrules src A if srcBforce(i) = '1' then tmp_led(i) := srcBled(i); end if; --and the head of the logics => src C if srcCforce(i) = '1' then tmp_led(i) := srcCled(i); end if; end loop; --let's export and go for a coffee... ledOut <= tmp_led; end process; end architecture rtl;
gpl-2.0
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/IP/FIR/FIR/auk_dspip_roundsat_hpfir.vhd
2
7668
-- (C) 2001-2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. ------------------------------------------------------------------------- ------------------------------------------------------------------------- -- -- Revision Control Information -- -- $RCSfile: auk_dspip_roundsat_hpfir.vhd,v $ -- -- $Revision: #1 $ -- $Date: 2010/08/19 $ -- Check in by : $Author: max $ -- -- Description : -- Implement output options for HP-FIR -- -- ALTERA Confidential and Proprietary -- Copyright 2006 (c) Altera Corporation -- All rights reserved -- ------------------------------------------------------------------------- ------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity auk_dspip_roundsat_hpfir is generic ( IN_WIDTH_g : natural := 8; -- i/p data width REM_LSB_BIT_g : natural := 2; -- no. of lsb to be removed REM_LSB_TYPE_g : string := "Truncation"; -- Truncation/Rounding REM_MSB_BIT_g : natural := 2; -- no. of msb to be removed REM_MSB_TYPE_g : string := "Truncation" -- Truncation/Saturating ); port ( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); valid : out std_logic; dataout : out std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0) ); end entity auk_dspip_roundsat_hpfir; architecture beh of auk_dspip_roundsat_hpfir is signal data_lsb : std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-1 downto 0); signal valid_lsb : std_logic; signal data_msb : std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0); constant zero_vec : std_logic_vector := std_logic_vector(to_signed(0, REM_LSB_BIT_g)); begin -- architecture beh ----------------------------------------------------------------------------- -- lsb : truncation/round-up (symmetric) ----------------------------------------------------------------------------- remove_lsb: if REM_LSB_BIT_g > 0 generate begin trunc_lsb: if REM_LSB_TYPE_g = "Truncation" generate begin data_lsb <= datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g); valid_lsb <= enable; end generate trunc_lsb; rndup_lsb: if REM_LSB_TYPE_g = "Rounding" generate round_up_sym_p : process (clk, reset_n) variable OR_accu : std_logic := '0'; begin if reset_n = '0' then data_lsb <= (others => '0'); valid_lsb <= '0'; elsif rising_edge(clk) then if enable = '1' then OR_accu := '0'; for i in 0 to REM_LSB_BIT_g-2 loop OR_accu := OR_accu or datain(i); end loop; -- negative value if (datain(IN_WIDTH_g-1) = '1') then -- larger than -x.5 : rounded to -x if (datain(REM_LSB_BIT_g-1)='1' and OR_accu='1') then data_lsb <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g))+1); -- less than or equal -x.5 : rounded to -x + 1 else data_lsb <= datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g); end if; -- positive value else -- maximum positive value if datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g-1) = std_logic_vector(to_signed(2**(IN_WIDTH_g-REM_LSB_BIT_g)-1, IN_WIDTH_g-REM_LSB_BIT_g+1)) then data_lsb <= std_logic_vector(to_signed( 2**(IN_WIDTH_g-REM_LSB_BIT_g-1)-1, IN_WIDTH_g-REM_LSB_BIT_g)); -- larger than or equal x.5 : rounded to x + 1 elsif datain(REM_LSB_BIT_g-1) = '1' then data_lsb <= std_logic_vector(signed(datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g))+1); -- less than x.5 : rounded to x else data_lsb <= datain(IN_WIDTH_g-1 downto REM_LSB_BIT_g); end if; end if; end if; valid_lsb <= enable; end if; end process round_up_sym_p; end generate rndup_lsb; end generate remove_lsb; ----------------------------------------------------------------------------- -- keep lsb ----------------------------------------------------------------------------- keep_lsb: if REM_LSB_BIT_g = 0 generate begin data_lsb <= datain; valid_lsb <= enable; end generate keep_lsb; ----------------------------------------------------------------------------- -- msb : truncation/saturation ----------------------------------------------------------------------------- remove_msb: if REM_MSB_BIT_g > 0 generate begin trunc_msb: if REM_MSB_TYPE_g = "Truncation" generate begin data_msb <= data_lsb(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0); dataout <= data_msb; valid <= valid_lsb; end generate trunc_msb; sat_msb: if REM_MSB_TYPE_g = "Saturating" generate data_msb <= std_logic_vector(to_signed( 2**(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1)-1, IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g)) when signed(data_lsb) > 2**(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1)-1 else std_logic_vector(to_signed(-2**(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1) , IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g)) when signed(data_lsb) < -2**(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1) else data_lsb(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0); msb_p : process (clk, reset_n) begin if reset_n = '0' then dataout <= (others => '0'); valid <= '0'; elsif rising_edge(clk) then if valid_lsb = '1' then dataout <= data_msb; end if; valid <= valid_lsb; end if; end process msb_p; end generate sat_msb; end generate remove_msb; ----------------------------------------------------------------------------- -- keep msb ----------------------------------------------------------------------------- keep_msb: if REM_MSB_BIT_g = 0 generate begin data_msb <= data_lsb; dataout <= data_msb; valid <= valid_lsb; end generate keep_msb; ----------------------------------------------------------------------------- -- error checking: -- Have we got a valid rounding mode? -- Is the input greater than the output? ----------------------------------------------------------------------------- assert (REM_LSB_TYPE_g = "Truncation" or REM_LSB_TYPE_g = "Rounding" or REM_MSB_TYPE_g = "Truncation" or REM_MSB_TYPE_g = "Saturating" ) report "Please check your rounding type and its spelling. Currently, we only support Truncation, and Rounding for LSB, Truncation and Saturating for MSB" severity error; end architecture beh;
gpl-2.0
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/auk_dspip_lib_pkg_hpfir.vhd
2
23067
-- (C) 2001-2013 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Alex, 02-10-07, this package declaration results in error at built time on a new machine -- use work.auk_dspip_math_pkg_hpfir.all; package auk_dspip_lib_pkg_hpfir is --Component names: --auk_dspip_atlantic_sink --auk_dspip_atlantic_source --auk_dspip_interface_controller --auk_dspip_avalon_streaming_controller_hpfir --auk_dspip_avalon_streaming_controller_pe_fir_91 --auk_dspip_avalon_streaming_sink_hpfir --auk_dspip_avalon_streaming_source_hpfir --auk_dspip_delay_fir_91 --auk_dspip_fastadd_fir_91 --auk_dspip_fastaddsub_fir_91 --auk_dspip_pipelined_adder_fir_91 --auk_dspip_fast_accumulator_fir_91 --auk_dspip_fifo_pfc_fir_91 --auk_dspip_fpcompiler_alufp --auk_dspip_fpcompiler_aslf --auk_dspip_fpcompiler_asrf --auk_dspip_fpcompiler_castftox --auk_dspip_fpcompiler_castxtof --auk_dspip_fpcompiler_clzf --auk_dspip_fpcompiler_mulfp --auk_dspip_pfc_fir_91 --auk_dspip_roundsat_fir_91 component auk_dspip_atlantic_sink is generic( WIDTH : integer := 16; PACKET_SIZE : natural := 4; log2packet_size : integer := 2 ); port( clk : in std_logic; reset_n : in std_logic; ----------------- DESIGN SIDE SIGNALS data_available : out std_logic; --goes high when new data is available data : out std_logic_vector(WIDTH-1 downto 0); sink_ready_ctrl : in std_logic; --the controller will tell --the interface whether --new input can be accepted. sink_stall : out std_logic; --needs to stall the design --if no new data is coming packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. --when any of these doesn't behave as --expected, the error is flagged. send_sop : out std_logic; -- transmit SOP signal to the design. -- It only transmits the legal SOP. send_eop : out std_logic; -- transmit EOP signal to the design. -- It only transmits the legal EOP. ----------------- ATLANTIC SIDE SIGNALS at_sink_ready : out std_logic; --it will be '1' whenever the --sink_ready_ctrl signal is high. at_sink_valid : in std_logic; at_sink_data : in std_logic_vector(WIDTH-1 downto 0); at_sink_sop : in std_logic := '0'; at_sink_eop : in std_logic := '0'; at_sink_error : in std_logic_vector(1 downto 0) --it indicates to the data source --that the SOP and EOP signals --are not received as expected. ); end component auk_dspip_atlantic_sink; component auk_dspip_atlantic_source is generic( WIDTH : integer := 16; packet_size : natural := 4; LOG2packet_size : integer := 2; multi_channel : BOOLEAN := TRUE ); port( clk : in std_logic; reset_n : in std_logic; ----------------- DESIGN SIDE SIGNALS data : in std_logic_vector (WIDTH-1 downto 0); data_count : in std_logic_vector (LOG2packet_size-1 downto 0) := (others => '0'); source_valid_ctrl : in std_logic; --the controller will tell --the interface whether --new input can be accepted. source_stall : out std_logic; --needs to stall the design --if no new data is coming packet_error : in std_logic_vector (1 downto 0); ----------------- ATLANTIC SIDE SIGNALS at_source_ready : in std_logic; at_source_valid : out std_logic; at_source_data : out std_logic_vector (WIDTH-1 downto 0); at_source_channel : out std_logic_vector (log2packet_size-1 downto 0); at_source_error : out std_logic_vector (1 downto 0); at_source_sop : out std_logic; at_source_eop : out std_logic ); -- Declarations end component auk_dspip_atlantic_source; component auk_dspip_interface_controller IS PORT( clk : in std_logic; reset : IN std_logic; ready : in std_logic; sink_packet_error : IN std_logic_vector (1 DOWNTO 0); sink_stall : IN std_logic; source_stall : IN std_logic; valid : IN std_logic; reset_design : OUT std_logic; reset_n : OUT std_logic; sink_ready_ctrl : OUT std_logic; source_packet_error : OUT std_logic_vector (1 DOWNTO 0); source_valid_ctrl : OUT std_logic; stall : OUT std_logic ); -- Declarations end component auk_dspip_interface_controller ; component auk_dspip_avalon_streaming_controller_hpfir is port( clk : in std_logic; --clk_en : in std_logic := '1'; reset_n : in std_logic; --ready : in std_logic; sink_packet_error : in std_logic_vector (1 downto 0); --sink_stall : in std_logic; source_stall : in std_logic; valid : in std_logic; reset_design : out std_logic; sink_ready_ctrl : out std_logic; source_packet_error : out std_logic_vector (1 downto 0); source_valid_ctrl : out std_logic; stall : out std_logic ); -- Declarations end component auk_dspip_avalon_streaming_controller_hpfir; component auk_dspip_avalon_streaming_controller_pe_fir_91 is generic ( FIFO_WIDTH_g : natural := 8; ENABLE_PIPELINE_DEPTH_g : natural := 0; -- this value should match the depth of the enable pipeline in the core FAMILY_g : string := "Stratix II"; MEM_TYPE_g : string := "Auto" ); port( clk : in std_logic; clk_en : in std_logic := '1'; reset_n : in std_logic; ready : in std_logic; sink_packet_error : in std_logic_vector (1 downto 0); sink_stall : in std_logic; source_stall : in std_logic; valid : in std_logic; reset_design : out std_logic; sink_ready_ctrl : out std_logic; source_packet_error : out std_logic_vector (1 downto 0); source_valid_ctrl : out std_logic; stall : out std_logic; data_in : in std_logic_vector(FIFO_WIDTH_g-1 downto 0); data_out : out std_logic_vector(FIFO_WIDTH_g-1 downto 0); design_stall : out std_logic ); -- Declarations end component auk_dspip_avalon_streaming_controller_pe_fir_91; component auk_dspip_avalon_streaming_sink_hpfir is generic( WIDTH_g : integer := 16; DATA_WIDTH : integer := 8; DATA_PORT_COUNT : integer := 3; PACKET_SIZE_g : natural := 4 --FIFO_DEPTH_g : natural := 5 --if PFC mode is selected, this generic --is used for passing the poly_factor. --MIN_DATA_COUNT_g : natural := 2; --PFC_MODE_g : boolean := false; --SOP_EOP_CALC_g : boolean := false; -- calculate sop and eop rather than -- reading value from fifo --FAMILY_g : string := "Stratix II"; --MEM_TYPE_g : string := "Auto" ); port( clk : in std_logic; reset_n : in std_logic; ----------------- DESIGN SIDE SIGNALS data : out std_logic_vector(WIDTH_g-1 downto 0); data_valid : out std_logic_vector(0 downto 0); sink_ready_ctrl : in std_logic; --the controller will tell --the interface whether --new input can be accepted. --sink_stall : out std_logic; --needs to stall the design --if no new data is coming packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only. --when any of these doesn't behave as --expected, the error is flagged. --send_sop : out std_logic; -- transmit SOP signal to the design. -- It only transmits the legal SOP. --send_eop : out std_logic; -- transmit EOP signal to the design. -- It only transmits the legal EOP. ----------------- ATLANTIC SIDE SIGNALS at_sink_ready : out std_logic; --it will be '1' whenever the --sink_ready_ctrl signal is high. at_sink_valid : in std_logic; at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0); at_sink_sop : in std_logic := '0'; at_sink_eop : in std_logic := '0'; at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates --that there is an error in the packet. ); end component auk_dspip_avalon_streaming_sink_hpfir; component auk_dspip_avalon_streaming_source_hpfir is generic( WIDTH_g : integer := 8; DATA_WIDTH : integer := 8; DATA_PORT_COUNT : integer := 1; PACKET_SIZE_g : natural := 2; FIFO_DEPTH_g : natural := 0; HAVE_COUNTER_g : boolean := false; COUNTER_LIMIT_g : natural := 4; --MULTI_CHANNEL_g : boolean := true; USE_PACKETS : integer := 1; --FAMILY_g : string := "Stratix II"; --MEM_TYPE_g : string := "Auto"; ENABLE_BACKPRESSURE_g : boolean := true ); port( clk : in std_logic; reset_n : in std_logic; ----------------- DESIGN SIDE SIGNALS data_in : in std_logic_vector (WIDTH_g-1 downto 0); data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0'); source_valid_ctrl : in std_logic; source_stall : out std_logic; packet_error : in std_logic_vector (1 downto 0); ----------------- AVALON_STREAMING SIDE SIGNALS at_source_ready : in std_logic; at_source_valid : out std_logic; at_source_data : out std_logic_vector (WIDTH_g-1 downto 0); at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0); at_source_error : out std_logic_vector (1 downto 0); at_source_sop : out std_logic; at_source_eop : out std_logic ); -- Declarations end component auk_dspip_avalon_streaming_source_hpfir; component auk_dspip_roundsat_hpfir is generic ( IN_WIDTH_g : natural := 8; -- i/p data width REM_LSB_BIT_g : natural := 2; -- no. of lsb to be removed REM_LSB_TYPE_g : string := "Truncation"; -- TRUNCATE/ROUND_UP REM_MSB_BIT_g : natural := 2; -- no. of msb to be removed REM_MSB_TYPE_g : string := "Truncation" -- TRUNCATE/SATURATE ); port ( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); valid : out std_logic; dataout : out std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0) ); end component auk_dspip_roundsat_hpfir; component auk_dspip_delay_fir_91 is generic ( WIDTH_g : natural := 8; -- data width DELAY_g : natural := 8; -- number of clock cycles the input -- will be delayed by MEMORY_TYPE_g : string := "AUTO"; -- possible values are "m4k", "m512", -- "register", "mram", "auto", -- "lutram", "M9K", "M144K". -- Any other string will be interpreted -- as "auto" REGISTER_FIRST_g : natural := 1; -- if "1", the first delay is guaranteed -- to be in registers REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed -- to be in registers port ( clk : in std_logic; reset : in std_logic; enable : in std_logic; -- global clock enable datain : in std_logic_vector(WIDTH_g-1 downto 0); dataout : out std_logic_vector(WIDTH_g-1 downto 0) ); end component auk_dspip_delay_fir_91; component auk_dspip_fastadd_fir_91 is generic ( INWIDTH_g : natural := 18; LABWIDTH_g : natural := 16); -- width of lab in selected device ( 10 or 16 in Cyclone, -- Cylone II, Stratix and Stratix II. Don't know -- Stratix III yet. port ( datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); clk : in std_logic; enable : in std_logic; reset : in std_logic; dataout : out std_logic_vector(INWIDTH_g downto 0)); end component auk_dspip_fastadd_fir_91; component auk_dspip_fastaddsub_fir_91 is generic ( INWIDTH_g : natural := 18; LABWIDTH_g : natural := 16); -- width of lab in selected device ( 10 or 16 in Cyclone, -- Cylone II, Stratix and Stratix II. Don't know -- Stratix III yet. port ( datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); add_nsub : in std_logic; clk : in std_logic; enable : in std_logic; reset : in std_logic; dataout : out std_logic_vector(INWIDTH_g downto 0)); end component auk_dspip_fastaddsub_fir_91; component auk_dspip_pipelined_adder_fir_91 is generic ( INWIDTH_g : natural := 42; -- width of lab in selected device ( 10 or 16 in Cyclone, -- Cylone II, Stratix and Stratix II. -- Alex : should I use 19 bits for Stratix III? -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. LABWIDTH_g : natural := 38); port ( datain1 : in std_logic_vector(INWIDTH_g-1 downto 0); datain2 : in std_logic_vector(INWIDTH_g-1 downto 0); clk : in std_logic; enable : in std_logic; reset : in std_logic; dataout : out std_logic_vector(INWIDTH_g downto 0)); end component auk_dspip_pipelined_adder_fir_91; component auk_dspip_fast_accumulator_fir_91 is generic ( DATA_WIDTH_g : natural := 42; -- width of lab in selected device ( 10 or 16 in Cyclone, -- Cylone II, Stratix and Stratix II. -- for Stratix III is 20 so labwidth should be set to 18. -- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency. LABWIDTH_g : natural := 38; NUM_OF_CHANNELS_g : natural := 1; ACCUM_OUT_WIDTH_g : natural := 48; ACCUM_MEM_TYPE_g : string := "auto"); port ( reset : in std_logic; clk : in std_logic; enb : in std_logic; add_to_zero : in std_logic; datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); datao : out std_logic_vector(ACCUM_OUT_WIDTH_g-1 downto 0)); end component auk_dspip_fast_accumulator_fir_91; component auk_dspip_fifo_pfc_fir_91 is generic ( NUM_CHANNELS_g : integer := 5; POLY_FACTOR_g : integer := 3; DATA_WIDTH_g : integer := 16; ALMOST_FULL_VALUE_g : integer := 2; RAM_TYPE_g : string := "AUTO"; CALCULATE_USED_WORDS_ONCE : boolean := true ); port ( datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); used_w : out std_logic_vector(log2_ceil(POLY_FACTOR_g * NUM_CHANNELS_g)+1 downto 0); wrreq : in std_logic; rdreq : in std_logic; almost_full : out std_logic; empty : out std_logic; sclr : in std_logic; clk : in std_logic; reset : in std_logic; enable : in std_logic ); end component auk_dspip_fifo_pfc_fir_91; component auk_dspip_fpcompiler_alufp is port ( sysclk : in std_logic; reset : in std_logic; enable : in std_logic; addsub : in std_logic; aa : in std_logic_vector (42 downto 1); aasat, aazip : in std_logic; bb : in std_logic_vector (42 downto 1); bbsat, bbzip : in std_logic; cc : out std_logic_vector (42 downto 1); ccsat, cczip : out std_logic ); end component auk_dspip_fpcompiler_alufp; component auk_dspip_fpcompiler_aslf is port ( inbus : in std_logic_vector (32 downto 1); shift : in std_logic_vector (5 downto 1); outbus : out std_logic_vector (32 downto 1) ); end component auk_dspip_fpcompiler_aslf; component auk_dspip_fpcompiler_asrf is port ( inbus : in std_logic_vector (32 downto 1); shift : in std_logic_vector (5 downto 1); outbus : out std_logic_vector (32 downto 1) ); end component auk_dspip_fpcompiler_asrf; component auk_dspip_fpcompiler_castftox is port ( aa : in std_logic_vector (32 downto 1); cc : out std_logic_vector (42 downto 1); ccsat, cczip : out std_logic ); end component auk_dspip_fpcompiler_castftox; component auk_dspip_fpcompiler_castxtof is port ( sysclk : in std_logic; reset : in std_logic; enable : in std_logic; aa : in std_logic_vector (42 downto 1); aasat, aazip : in std_logic; cc : out std_logic_vector (32 downto 1) ); end component auk_dspip_fpcompiler_castxtof; component auk_dspip_fpcompiler_clzf is port ( frac : in std_logic_vector (32 downto 1); count : out std_logic_vector (5 downto 1) ); end component auk_dspip_fpcompiler_clzf; component auk_dspip_fpcompiler_mulfp is port ( sysclk : in std_logic; reset : in std_logic; enable : in std_logic; aa : in std_logic_vector (42 downto 1); aasat, aazip : in std_logic; bb : in std_logic_vector (42 downto 1); bbsat, bbzip : in std_logic; cc : out std_logic_vector (42 downto 1); ccsat, cczip : out std_logic ); end component auk_dspip_fpcompiler_mulfp; component auk_dspip_pfc_fir_91 is generic ( NUM_CHANNELS_g : integer := 5; POLY_FACTOR_g : integer := 3; DATA_WIDTH_g : integer := 16; RAM_TYPE_g : string := "AUTO" ); port ( datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0); datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0); channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0); in_valid : in std_logic; out_valid : out std_logic; clk : in std_logic; reset : in std_logic; enable : in std_logic ); end component auk_dspip_pfc_fir_91; component auk_dspip_roundsat_fir_91 is generic ( IN_WIDTH_g : natural := 8; -- data width OUT_WIDTH_g : natural := 8; -- data width ROUNDING_TYPE_g : string := "TRUNCATE_LOW" ); port ( clk : in std_logic; reset : in std_logic; enable : in std_logic; -- global clock enable datain : in std_logic_vector(IN_WIDTH_g-1 downto 0); dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0)); end component auk_dspip_roundsat_fir_91; component auk_dspip_avalon_streaming_block_source_fir_91 is generic ( MAX_BLK_g : natural; DATAWIDTH_g : natural); port ( clk : in std_logic; reset : in std_logic; in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); in_valid : in std_logic; source_stall : out std_logic; in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); source_valid : out std_logic; source_ready : in std_logic; source_sop : out std_logic; source_eop : out std_logic; source_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0)); end component auk_dspip_avalon_streaming_block_source_fir_91; component auk_dspip_avalon_streaming_block_sink_fir_91 is generic ( MAX_BLK_g : natural; STALL_g : natural; DATAWIDTH_g : natural; -- this generic is specific for the FFT. NUM_STAGES_g : natural); port ( clk : in std_logic; reset : in std_logic; in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); in_sop : in std_logic; in_eop : in std_logic; in_inverse : in std_logic; sink_valid : in std_logic; sink_ready : out std_logic; source_stall : in std_logic; in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0); processing : in std_logic; in_error : in std_logic_vector(1 downto 0); out_error : out std_logic_vector(1 downto 0); out_valid : out std_logic; out_sop : out std_logic; out_eop : out std_logic; out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0); curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0); -- these are specific to the FFT, no effort has been made to optimize! curr_pwr_2 : out std_logic; curr_inverse : out std_logic; curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0)); end component auk_dspip_avalon_streaming_block_sink_fir_91; end package auk_dspip_lib_pkg_hpfir;
gpl-2.0
istankovic/geda-gaf
gnetlist/tests/gnetlistrc.vhdl
8
205
; ; This file is really a gnetlistrc file. ; It is renamed to gnetlistrc before any vhdl backend test is run. ; ; The path is hardcoded for now. ; (component-library "${HOME}/geda/share/gEDA/sym/vhdl")
gpl-2.0
dummylink/plnk_fpga-stack
Examples/altera_nios2/SYSTEC_ECUcore-EP3C/design_nios2_directIO/POWERLINK/src/pdi_dpr_Altera.vhd
3
6224
------------------------------------------------------------------------------------------------------------------------ -- Process Data Interface (PDI) DPR -- -- Copyright (C) 2009 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-06-28 V0.01 zelenkaj First version -- 2010-08-16 V0.02 zelenkaj changed header -- 2012-01-03 V0.03 zelenkaj added initialization file (mif) ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pdi_dpr IS GENERIC ( NUM_WORDS : INTEGER := 1024; LOG2_NUM_WORDS : INTEGER := 10 ); PORT ( address_a : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0); byteena_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1'); byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1'); clock_a : IN STD_LOGIC := '1'; clock_b : IN STD_LOGIC ; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END pdi_dpr; ARCHITECTURE SYN OF pdi_dpr IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); COMPONENT altsyncram GENERIC ( address_reg_b : STRING; byteena_reg_b : STRING; byte_size : NATURAL; clock_enable_input_a : STRING; clock_enable_input_b : STRING; clock_enable_output_a : STRING; clock_enable_output_b : STRING; indata_reg_b : STRING; init_file : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_aclr_b : STRING; outdata_reg_a : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; read_during_write_mode_port_a : STRING; read_during_write_mode_port_b : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL; width_byteena_b : NATURAL; wrcontrol_wraddress_reg_b : STRING ); PORT ( wren_a : IN STD_LOGIC ; clock0 : IN STD_LOGIC ; wren_b : IN STD_LOGIC ; clock1 : IN STD_LOGIC ; byteena_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0); byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0); address_a : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK1", byteena_reg_b => "CLOCK1", byte_size => 8, clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK1", init_file => "mif/pdi_dpr.mif", intended_device_family => "Cyclone IV", lpm_type => "altsyncram", numwords_a => NUM_WORDS, numwords_b => NUM_WORDS, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "NONE", outdata_aclr_b => "NONE", outdata_reg_a => "CLOCK0", outdata_reg_b => "CLOCK1", power_up_uninitialized => "FALSE", read_during_write_mode_port_a => "NEW_DATA_WITH_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_WITH_NBE_READ", widthad_a => LOG2_NUM_WORDS, widthad_b => LOG2_NUM_WORDS, width_a => 32, width_b => 32, width_byteena_a => 4, width_byteena_b => 4, wrcontrol_wraddress_reg_b => "CLOCK1" ) PORT MAP ( wren_a => wren_a, clock0 => clock_a, wren_b => wren_b, clock1 => clock_b, byteena_a => byteena_a, byteena_b => byteena_b, address_a => address_a, address_b => address_b, data_a => data_a, data_b => data_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN;
gpl-2.0
dummylink/plnk_fpga-stack
Examples/altera_nios2/TERASIC_DE2-115/design_nios2_directIO/POWERLINK/src/lib/addr_decoder.vhd
5
3225
------------------------------------------------------------------------------- -- -- Title : addr_decoder -- Design : plk_mn -- ------------------------------------------------------------------------------- -- -- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd -- Generated : Wed Jul 27 09:39:25 2011 -- From : interface description file -- By : Itf2Vhdl ver. 1.22 -- ------------------------------------------------------------------------------- -- -- (c) B&R, 2011 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- 2011-07-26 V0.01 zelenkaj First version -- ------------------------------------------------------------------------------- --{{ Section below this comment is automatically maintained -- and may be overwritten --{entity {addr_decoder} architecture {rtl}} library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity addr_decoder is generic( addrWidth_g : integer := 32; baseaddr_g : integer := 16#1000#; highaddr_g : integer := 16#1FFF# ); port( selin : in std_logic; addr : in std_logic_vector(addrWidth_g-1 downto 0); selout : out std_logic ); end addr_decoder; --}} End of automatically maintained section architecture rtl of addr_decoder is begin selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0'; end rtl;
gpl-2.0
dummylink/plnk_fpga-stack
Examples/altera_nios2/TERASIC_DE2-115/design_nios2_directIO/POWERLINK/src/pdi_spi.vhd
5
11210
------------------------------------------------------------------------------------------------------------------------ -- Parallel port (8/16bit) for PDI -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------------------------------------------------ -- Version History ------------------------------------------------------------------------------------------------------------------------ -- 2010-08-31 V0.01 zelenkaj First version -- 2010-11-23 V0.02 zelenkaj Added write/read sequence feature (WRSQ and RDSQ) -- 2010-11-29 V0.03 zelenkaj Added endian generic -- 2011-01-10 V0.04 zelenkaj Added wake up feature -- 2011-02-28 V0.05 zelenkaj Added inversion of wake up command ------------------------------------------------------------------------------------------------------------------------ LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; entity pdi_spi is generic ( spiSize_g : integer := 8; cpol_g : boolean := false; cpha_g : boolean := false; spiBigEnd_g : boolean := false ); port ( -- SPI spi_clk : in std_logic; spi_sel : in std_logic; spi_miso : out std_logic; spi_mosi : in std_logic; -- clock for AP side ap_reset : in std_logic; ap_clk : in std_logic; -- Avalon Slave Interface for AP ap_chipselect : out std_logic; ap_read : out std_logic; ap_write : out std_logic; ap_byteenable : out std_logic_vector(3 DOWNTO 0); ap_address : out std_logic_vector(12 DOWNTO 0); ap_writedata : out std_logic_vector(31 DOWNTO 0); ap_readdata : in std_logic_vector(31 DOWNTO 0) ); end entity pdi_spi; architecture rtl of pdi_spi is --wake up command constant cmdWakeUp : std_logic_vector(7 downto 0) := x"03"; --0b00000011 constant cmdWakeUp1 : std_logic_vector(7 downto 0) := x"0A"; --0b00001010 constant cmdWakeUp2 : std_logic_vector(7 downto 0) := x"0C"; --0b00001100 constant cmdWakeUp3 : std_logic_vector(7 downto 0) := x"0F"; --0b00001111 --spi frame constants constant cmdHighaddr_c : std_logic_vector(2 downto 0) := "100"; constant cmdMidaddr_c : std_logic_vector(2 downto 0) := "101"; constant cmdWr_c : std_logic_vector(2 downto 0) := "110"; constant cmdRd_c : std_logic_vector(2 downto 0) := "111"; constant cmdWRSQ_c : std_logic_vector(2 downto 0) := "001"; constant cmdRDSQ_c : std_logic_vector(2 downto 0) := "010"; constant cmdLowaddr_c : std_logic_vector(2 downto 0) := "011"; constant cmdIdle_c : std_logic_vector(2 downto 0) := "000"; --pdi_spi control signals type fsm_t is (reset, reset1, reset2, reset3, idle, decode, waitwr, waitrd, wr, rd); signal fsm : fsm_t; signal addrReg : std_logic_vector(ap_address'left+2 downto 0); signal cmd : std_logic_vector(2 downto 0); signal highPriorLoad : std_logic; signal highPriorLoadVal : std_logic_vector(spiSize_g-1 downto 0); --spi core signals signal clk : std_logic; signal rst : std_logic; signal din : std_logic_vector(spiSize_g-1 downto 0); signal load : std_logic; signal dout : std_logic_vector(spiSize_g-1 downto 0); signal valid : std_logic; -- signal ap_byteenable_s : std_logic_vector(ap_byteenable'range); begin clk <= ap_clk; rst <= ap_reset; ap_chipselect <= '1' when fsm = wr or fsm = rd or fsm = waitrd else '0'; ap_write <= '1' when fsm = wr else '0'; ap_read <= '1' when fsm = waitrd or fsm = rd else '0'; ap_address <= addrReg(addrReg'left downto 2); ap_byteenable <= ap_byteenable_s; ap_byteenable_s <= --little endian "0001" when addrReg(1 downto 0) = "00" and spiBigEnd_g = false else "0010" when addrReg(1 downto 0) = "01" and spiBigEnd_g = false else "0100" when addrReg(1 downto 0) = "10" and spiBigEnd_g = false else "1000" when addrReg(1 downto 0) = "11" and spiBigEnd_g = false else --big endian "0001" when addrReg(1 downto 0) = "11" and spiBigEnd_g = true else "0010" when addrReg(1 downto 0) = "10" and spiBigEnd_g = true else "0100" when addrReg(1 downto 0) = "01" and spiBigEnd_g = true else "1000" when addrReg(1 downto 0) = "00" and spiBigEnd_g = true else "0000"; ap_writedata <= (dout & dout & dout & dout); din <= highPriorLoadVal when highPriorLoad = '1' else --load value that was just received ap_readdata( 7 downto 0) when ap_byteenable_s = "0001" else ap_readdata(15 downto 8) when ap_byteenable_s = "0010" else ap_readdata(23 downto 16) when ap_byteenable_s = "0100" else ap_readdata(31 downto 24) when ap_byteenable_s = "1000" else (others => '0'); load <= '1' when highPriorLoad = '1' else --load value that was just received '1' when fsm = rd else --load data from pdi to spi shift register '0'; cmd <= dout(dout'left downto dout'left-2); --get cmd pattern highPriorLoadVal <= not dout; --create inverse of received pattern thePdiSpiFsm : process(clk, rst) variable timeout : integer range 0 to 3; variable writes : integer range 0 to 32; variable reads : integer range 0 to 32; begin if rst = '1' then fsm <= reset; timeout := 0; writes := 0; reads := 0; addrReg <= (others => '0'); highPriorLoad <= '0'; elsif clk = '1' and clk'event then --default assignment highPriorLoad <= '0'; case fsm is when reset => fsm <= reset; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp then --wake up command (1/4) received fsm <= reset1; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset1 => fsm <= reset1; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp1 then --wake up command (2/4) sequence was correctly decoded! fsm <= reset2; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset2 => fsm <= reset2; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp2 then --wake up command (3/4) sequence was correctly decoded! fsm <= reset3; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset3 => fsm <= reset3; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp3 then --wake up command (4/4) sequence was correctly decoded! fsm <= idle; else --wake up command not decoded correctly fsm <= reset; end if; end if; when idle => if writes /= 0 then fsm <= waitwr; elsif reads /= 0 and valid = '1' then fsm <= waitrd; elsif valid = '1' then fsm <= decode; else fsm <= idle; end if; when decode => fsm <= idle; --default case cmd is when cmdHighaddr_c => addrReg(addrReg'left downto addrReg'left-4) <= dout(spiSize_g-4 downto 0); when cmdMidaddr_c => addrReg(addrReg'left-5 downto addrReg'left-9) <= dout(spiSize_g-4 downto 0); when cmdLowaddr_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); when cmdWr_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); fsm <= waitwr; writes := 1; when cmdRd_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); fsm <= waitrd; reads := 1; when cmdWRSQ_c => fsm <= waitwr; writes := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are written when cmdRDSQ_c => fsm <= waitrd; reads := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are read when cmdIdle_c => --don't interpret the command, inverse pattern and goto idle when others => --error, goto idle end case; when waitwr => --wait for data from spi master if valid = '1' then fsm <= wr; else fsm <= waitwr; end if; when waitrd => --spi master wants to read --wait for dpr to read if timeout = 3 then fsm <= rd; timeout := 0; else timeout := timeout + 1; fsm <= waitrd; end if; when wr => fsm <= idle; writes := writes - 1; addrReg <= addrReg + 1; when rd => fsm <= idle; reads := reads - 1; addrReg <= addrReg + 1; end case; end if; end process; theSpiCore : entity work.spi generic map ( frameSize_g => spiSize_g, cpol_g => cpol_g, cpha_g => cpha_g ) port map ( -- Control Interface clk => clk, rst => rst, din => din, load => load, dout => dout, valid => valid, -- SPI sck => spi_clk, ss => spi_sel, miso => spi_miso, mosi => spi_mosi ); end architecture rtl;
gpl-2.0
wklimann/PCM3168
PCM3168/CLK_GEN.vhd
1
2845
--------------------------------------------------------------------------------- -- Engineer: Klimann Wendelin -- -- Create Date: 07:25:11 11/Okt/2013 -- Design Name: clk_gen -- -- Description: -- -- This module is a simple clock divider which generates the BIT_CLK and the LR_CLK -- signals for the I2S interfaces. -- -- It's coded as a generic VHDL entity, so developer can choose the proper signal -- width (8/16/24/32 bit) -> x BIT_CLK cycles per one LR_CLK cycle -- -- Input takes: -- -CLK - system clock -- -Reset - system reset -- -- Output provides: -- -BIT_CLK - bit clock output -- -LR_CLK - left/right selection -> 0 = left and 1 = right. -- -- -------------------------------------------------------------------------------- -- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity clk_gen is -- width: How many bits (from MSB) are gathered from the serial I2S input generic( width : integer := 24; clk_divider : integer := 4 -- a multiple of 2 ); port( -- Input ports CLK : in std_logic; --System clock -- Control ports RESET : in std_logic; --Asynchronous Reset (Active Low) -- Output ports BIT_CLK : out std_logic; --Bit Clock LR_CLK : out std_logic --Left/Right Clock ); end clk_gen; architecture rtl of clk_gen is --signals signal s_counter_bit : integer range 0 to clk_divider; signal s_counter_lr : integer range 0 to width; signal s_bit_clk : std_logic; signal s_lr_clk : std_logic; begin -------------------------------------------------------------------------------- -- generates the BIT_CLK clock -------------------------------------------------------------------------------- p_bit_clk: process(RESET, CLK) variable v_lr_clk_enable : std_logic; begin if(RESET = '0') then BIT_CLK <= '0'; LR_CLK <= '0'; s_counter_bit <= 0 ; s_counter_lr <= 0 ; s_bit_clk <= '0'; s_lr_clk <= '1'; v_lr_clk_enable := '0'; elsif (CLK'event and CLK = '1') then if (s_counter_bit < (clk_divider-1)/2) then s_counter_bit <= s_counter_bit + 1; else s_bit_clk <= not s_bit_clk; s_counter_bit <= 0; if (s_bit_clk = '1') then v_lr_clk_enable := '1'; end if; end if; if (v_lr_clk_enable = '1') then if (s_counter_lr = 0) then s_lr_clk <= not s_lr_clk; s_counter_lr <= s_counter_lr + 1; elsif (s_counter_lr = width-1) then s_counter_lr <= 0; else s_counter_lr <= s_counter_lr + 1; end if; v_lr_clk_enable := '0'; end if; end if; -- reset / rising_edge BIT_CLK <= s_bit_clk; LR_CLK <= s_lr_clk; end process p_bit_clk; end rtl;
gpl-2.0
nulldozer/purisc
Compute_Group/MAGIC_clocked/create_opcode.vhd
2
17365
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity create_opcode is PORT ( COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0); W_EN : IN STD_LOGIC; --OUTPUTS OF READS OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); end; architecture gen of create_opcode is begin OPCODE_0(5) <= not(COL_A(2)) and not(COL_A(1)) and not(COL_A(0)); OPCODE_1(5) <= not(COL_A(2)) and not(COL_A(1)) and (COL_A(0)); OPCODE_2(5) <= not(COL_A(2)) and (COL_A(1)) and not(COL_A(0)); OPCODE_3(5) <= not(COL_A(2)) and (COL_A(1)) and (COL_A(0)); OPCODE_4(5) <= (COL_A(2)) and not(COL_A(1)) and not(COL_A(0)); OPCODE_5(5) <= (COL_A(2)) and not(COL_A(1)) and (COL_A(0)); OPCODE_6(5) <= (COL_A(2)) and (COL_A(1)) and not(COL_A(0)); OPCODE_7(5) <= (COL_A(2)) and (COL_A(1)) and (COL_A(0)); OPCODE_0(4) <= not(COL_B(2)) and not(COL_B(1)) and not(COL_B(0)); OPCODE_1(4) <= not(COL_B(2)) and not(COL_B(1)) and (COL_B(0)); OPCODE_2(4) <= not(COL_B(2)) and (COL_B(1)) and not(COL_B(0)); OPCODE_3(4) <= not(COL_B(2)) and (COL_B(1)) and (COL_B(0)); OPCODE_4(4) <= (COL_B(2)) and not(COL_B(1)) and not(COL_B(0)); OPCODE_5(4) <= (COL_B(2)) and not(COL_B(1)) and (COL_B(0)); OPCODE_6(4) <= (COL_B(2)) and (COL_B(1)) and not(COL_B(0)); OPCODE_7(4) <= (COL_B(2)) and (COL_B(1)) and (COL_B(0)); OPCODE_0(3) <= not(COL_C(2)) and not(COL_C(1)) and not(COL_C(0)); OPCODE_1(3) <= not(COL_C(2)) and not(COL_C(1)) and (COL_C(0)); OPCODE_2(3) <= not(COL_C(2)) and (COL_C(1)) and not(COL_C(0)); OPCODE_3(3) <= not(COL_C(2)) and (COL_C(1)) and (COL_C(0)); OPCODE_4(3) <= (COL_C(2)) and not(COL_C(1)) and not(COL_C(0)); OPCODE_5(3) <= (COL_C(2)) and not(COL_C(1)) and (COL_C(0)); OPCODE_6(3) <= (COL_C(2)) and (COL_C(1)) and not(COL_C(0)); OPCODE_7(3) <= (COL_C(2)) and (COL_C(1)) and (COL_C(0)); OPCODE_0(2) <= not(COL_D(2)) and not(COL_D(1)) and not(COL_D(0)); OPCODE_1(2) <= not(COL_D(2)) and not(COL_D(1)) and (COL_D(0)); OPCODE_2(2) <= not(COL_D(2)) and (COL_D(1)) and not(COL_D(0)); OPCODE_3(2) <= not(COL_D(2)) and (COL_D(1)) and (COL_D(0)); OPCODE_4(2) <= (COL_D(2)) and not(COL_D(1)) and not(COL_D(0)); OPCODE_5(2) <= (COL_D(2)) and not(COL_D(1)) and (COL_D(0)); OPCODE_6(2) <= (COL_D(2)) and (COL_D(1)) and not(COL_D(0)); OPCODE_7(2) <= (COL_D(2)) and (COL_D(1)) and (COL_D(0)); OPCODE_0(1) <= not(COL_E(2)) and not(COL_E(1)) and not(COL_E(0)); OPCODE_1(1) <= not(COL_E(2)) and not(COL_E(1)) and (COL_E(0)); OPCODE_2(1) <= not(COL_E(2)) and (COL_E(1)) and not(COL_E(0)); OPCODE_3(1) <= not(COL_E(2)) and (COL_E(1)) and (COL_E(0)); OPCODE_4(1) <= (COL_E(2)) and not(COL_E(1)) and not(COL_E(0)); OPCODE_5(1) <= (COL_E(2)) and not(COL_E(1)) and (COL_E(0)); OPCODE_6(1) <= (COL_E(2)) and (COL_E(1)) and not(COL_E(0)); OPCODE_7(1) <= (COL_E(2)) and (COL_E(1)) and (COL_E(0)); OPCODE_0(0) <= (not(COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_1(0) <= (not(COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_2(0) <= (not(COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_3(0) <= (not(COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_4(0) <= ((COL_W(2)) and not(COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_5(0) <= ((COL_W(2)) and not(COL_W(1)) and (COL_W(0))) and W_EN; OPCODE_6(0) <= ((COL_W(2)) and (COL_W(1)) and not(COL_W(0))) and W_EN; OPCODE_7(0) <= ((COL_W(2)) and (COL_W(1)) and (COL_W(0))) and W_EN; -- process (COL_A, COL_B, COL_C, COL_D, COL_E, COL_W, W_EN) begin -- --assigning address A to column -- if (COL_A = 0) then -- OPCODE_0(5) <= '1'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 1) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '1'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 2) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '1'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 3) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '1'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 4) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '1'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 5) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '1'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 6) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '1'; -- OPCODE_7(5) <= '0'; -- elsif (COL_A = 7) then -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '1'; -- else -- OPCODE_0(5) <= '0'; -- OPCODE_1(5) <= '0'; -- OPCODE_2(5) <= '0'; -- OPCODE_3(5) <= '0'; -- OPCODE_4(5) <= '0'; -- OPCODE_5(5) <= '0'; -- OPCODE_6(5) <= '0'; -- OPCODE_7(5) <= '0'; -- end if; -- -- --assigning address B to column -- if (COL_B = 0) then -- OPCODE_0(4) <= '1'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 1) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '1'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 2) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '1'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 3) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '1'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 4) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '1'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 5) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '1'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 6) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '1'; -- OPCODE_7(4) <= '0'; -- elsif (COL_B = 7) then -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '1'; -- else -- OPCODE_0(4) <= '0'; -- OPCODE_1(4) <= '0'; -- OPCODE_2(4) <= '0'; -- OPCODE_3(4) <= '0'; -- OPCODE_4(4) <= '0'; -- OPCODE_5(4) <= '0'; -- OPCODE_6(4) <= '0'; -- OPCODE_7(4) <= '0'; -- end if; -- -- --assigning address C to column -- if (COL_C = 0) then -- OPCODE_0(3) <= '1'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 1) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '1'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 2) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '1'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 3) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '1'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 4) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '1'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 5) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '1'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 6) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '1'; -- OPCODE_7(3) <= '0'; -- elsif (COL_C = 7) then -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '1'; -- else -- OPCODE_0(3) <= '0'; -- OPCODE_1(3) <= '0'; -- OPCODE_2(3) <= '0'; -- OPCODE_3(3) <= '0'; -- OPCODE_4(3) <= '0'; -- OPCODE_5(3) <= '0'; -- OPCODE_6(3) <= '0'; -- OPCODE_7(3) <= '0'; -- end if; -- --assigning address D to column -- if (COL_D = 0) then -- OPCODE_0(2) <= '1'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 1) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '1'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 2) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '1'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 3) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '1'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 4) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '1'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 5) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '1'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 6) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '1'; -- OPCODE_7(2) <= '0'; -- elsif (COL_D = 7) then -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '1'; -- else -- OPCODE_0(2) <= '0'; -- OPCODE_1(2) <= '0'; -- OPCODE_2(2) <= '0'; -- OPCODE_3(2) <= '0'; -- OPCODE_4(2) <= '0'; -- OPCODE_5(2) <= '0'; -- OPCODE_6(2) <= '0'; -- OPCODE_7(2) <= '0'; -- end if; -- --assigning address E to column -- if (COL_E = 0) then -- OPCODE_0(1) <= '1'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 1) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '1'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 2) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '1'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 3) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '1'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 4) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '1'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 5) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '1'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 6) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '1'; -- OPCODE_7(1) <= '0'; -- elsif (COL_E = 7) then -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '1'; -- else -- OPCODE_0(1) <= '0'; -- OPCODE_1(1) <= '0'; -- OPCODE_2(1) <= '0'; -- OPCODE_3(1) <= '0'; -- OPCODE_4(1) <= '0'; -- OPCODE_5(1) <= '0'; -- OPCODE_6(1) <= '0'; -- OPCODE_7(1) <= '0'; -- end if; -- --assigning address W to column -- if (COL_W = 0) then -- OPCODE_0(0) <= '1' and W_EN; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 1) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '1' and W_EN; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 2) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '1' and W_EN; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 3) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '1' and W_EN; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 4) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '1' and W_EN; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 5) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '1' and W_EN; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 6) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '1' and W_EN; -- OPCODE_7(0) <= '0'; -- elsif (COL_W = 7) then -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '1' and W_EN; -- else -- OPCODE_0(0) <= '0'; -- OPCODE_1(0) <= '0'; -- OPCODE_2(0) <= '0'; -- OPCODE_3(0) <= '0'; -- OPCODE_4(0) <= '0'; -- OPCODE_5(0) <= '0'; -- OPCODE_6(0) <= '0'; -- OPCODE_7(0) <= '0'; -- end if; -- end process; end gen;
gpl-2.0
freecores/t48
rtl/vhdl/t48_tb_pack-p.vhd
1
556
------------------------------------------------------------------------------- -- -- $Id: t48_tb_pack-p.vhd,v 1.2 2004-04-14 20:53:54 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package t48_tb_pack is -- Instruction strobe visibility signal tb_istrobe_s : std_logic; -- Accumulator visibilty signal tb_accu_s : std_logic_vector(7 downto 0); end t48_tb_pack;
gpl-2.0
freecores/t48
rtl/vhdl/cond_branch_pack-p.vhd
1
1232
------------------------------------------------------------------------------- -- -- $Id: cond_branch_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package t48_cond_branch_pack is ----------------------------------------------------------------------------- -- The branch conditions. ----------------------------------------------------------------------------- type branch_conditions_t is (COND_ON_BIT, COND_Z, COND_C, COND_F0, COND_F1, COND_INT, COND_T0, COND_T1, COND_TF); subtype comp_value_t is std_logic_vector(2 downto 0); end t48_cond_branch_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_q_mngr.vhd
1
37589
------------------------------------------------------------------------------- -- axi_sg_ftch_queue ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 7/27/10 v1_00_a -- ^^^^^^ -- CR569609 -- Remove double driven signal for exclude update engine mode -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1; -- Enable or disable stale descriptor check -- 0 = Disable stale descriptor error check -- 1 = Enable stale descriptor error check C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control -- ch1_desc_flush : in std_logic ; -- ch1_ftch_active : in std_logic ; -- ch1_nxtdesc_wren : out std_logic ; -- ch1_ftch_queue_empty : out std_logic ; -- ch1_ftch_queue_full : out std_logic ; -- ch1_ftch_pause : out std_logic ; -- -- -- Channel 2 Control -- ch2_desc_flush : in std_logic ; -- ch2_ftch_active : in std_logic ; -- ch2_nxtdesc_wren : out std_logic ; -- ch2_ftch_queue_empty : out std_logic ; -- ch2_ftch_queue_full : out std_logic ; -- ch2_ftch_pause : out std_logic ; -- nxtdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- ftch_stale_desc : out std_logic ; -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tkeep : in std_logic_vector -- ((C_M_AXIS_SG_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- m_axis_mm2s_tready : out std_logic ; -- -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ch1_ftch_aclk : in std_logic ; m_axis_ch1_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ch1_ftch_tvalid : out std_logic ; -- m_axis_ch1_ftch_tready : in std_logic ; -- m_axis_ch1_ftch_tlast : out std_logic ; -- -- -- -- Channel 2 AXI Fetch Stream Out -- m_axis_ch2_ftch_aclk : in std_logic ; -- m_axis_ch2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ch2_ftch_tvalid : out std_logic ; -- m_axis_ch2_ftch_tready : in std_logic ; -- m_axis_ch2_ftch_tlast : out std_logic -- ); end axi_sg_ftch_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- Determine the maximum word count for use in setting the word counter width -- Set bit width on max num words to fetch constant FETCH_COUNT : integer := max2(C_SG_CH1_WORDS_TO_FETCH ,C_SG_CH2_WORDS_TO_FETCH); -- LOG2 to get width of counter constant WORDS2FETCH_BITWIDTH : integer := clog2(FETCH_COUNT); -- Zero value for counter constant WORD_ZERO : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0'); -- One value for counter constant WORD_ONE : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := std_logic_vector(to_unsigned(1,WORDS2FETCH_BITWIDTH)); -- Seven value for counter constant WORD_SEVEN : std_logic_vector(WORDS2FETCH_BITWIDTH-1 downto 0) := std_logic_vector(to_unsigned(7,WORDS2FETCH_BITWIDTH)); constant USE_LOGIC_FIFOS : integer := 0; -- Use Logic FIFOs constant USE_BRAM_FIFOS : integer := 1; -- Use BRAM FIFOs ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_mm2s_tready_i : std_logic := '0'; signal ch1_ftch_tready : std_logic := '0'; signal ch2_ftch_tready : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal fetch_word_count : std_logic_vector (WORDS2FETCH_BITWIDTH-1 downto 0) := (others => '0'); signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal lsbnxtdesc_tready : std_logic := '0'; signal msbnxtdesc_tready : std_logic := '0'; signal nxtdesc_tready : std_logic := '0'; signal ch1_writing_curdesc : std_logic := '0'; signal ch2_writing_curdesc : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --------------------------------------------------------------------------- -- For 32-bit SG addresses then drive zero on msb --------------------------------------------------------------------------- GEN_CURDESC_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin msb_curdesc <= (others => '0'); end generate GEN_CURDESC_32; --------------------------------------------------------------------------- -- For 64-bit SG addresses then capture upper order adder to msb --------------------------------------------------------------------------- GEN_CURDESC_64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CAPTURE_CURADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then msb_curdesc <= (others => '0'); elsif(ftch_cmnd_wr = '1')then msb_curdesc <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT + 1); end if; end if; end process CAPTURE_CURADDR; end generate GEN_CURDESC_64; ------------------------------------------------------------------------------- -- Fetch Stream Word Counter -- The process is used to determine when to strip off NextDesc pointer from -- stream and when to look at control word for complete bit set. ------------------------------------------------------------------------------- REG_WORD_COUNTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- Clear on reset and on datamover command write if(m_axi_sg_aresetn = '0' or ftch_cmnd_wr = '1' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tready_i = '1'))then fetch_word_count <= (others => '0'); -- If both tvalid=1 and tready = 1 then count elsif(m_axis_mm2s_tvalid = '1' and m_axis_mm2s_tready_i = '1')then fetch_word_count <= std_logic_vector(unsigned(fetch_word_count (WORDS2FETCH_BITWIDTH-1 downto 0)) + 1); end if; end if; end process REG_WORD_COUNTER; --------------------------------------------------------------------------- -- Write lower order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_LSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then nxtdesc(31 downto 0) <= (others => '0'); -- On valid and word count at 0 and channel active capture LSB next pointer elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ZERO)then nxtdesc(31 downto 0) <= m_axis_mm2s_tdata; end if; end if; end process REG_LSB_NXTPNTR; lsbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ZERO else '0'; --------------------------------------------------------------------------- -- 64 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_UPPER_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin --------------------------------------------------------------------------- -- Write upper order Next Descriptor Pointer out to pntr_mngr --------------------------------------------------------------------------- REG_MSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then nxtdesc(63 downto 32) <= (others => '0'); ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; -- Capture upper pointer, drive ready to progress DataMover -- and also write nxtdesc out elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE)then nxtdesc(63 downto 32) <= m_axis_mm2s_tdata; ch1_nxtdesc_wren <= ch1_ftch_active; ch2_nxtdesc_wren <= ch2_ftch_active; -- Assert tready/wren for only 1 clock else ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; end if; end if; end process REG_MSB_NXTPNTR; msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE else '0'; end generate GEN_UPPER_MSB_NXTDESC; --------------------------------------------------------------------------- -- 32 Bit Scatter Gather addresses enabled --------------------------------------------------------------------------- GEN_NO_UPR_MSB_NXTDESC : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin ----------------------------------------------------------------------- -- No upper order therefore dump fetched word and write pntr lower next -- pointer to pntr mngr ----------------------------------------------------------------------- REG_MSB_NXTPNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; -- Throw away second word but drive ready to progress DataMover -- and also write nxtdesc out elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE)then ch1_nxtdesc_wren <= ch1_ftch_active; ch2_nxtdesc_wren <= ch2_ftch_active; -- Assert for only 1 clock else ch1_nxtdesc_wren <= '0'; ch2_nxtdesc_wren <= '0'; end if; end if; end process REG_MSB_NXTPNTR; msbnxtdesc_tready <= '1' when m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_ONE else '0'; end generate GEN_NO_UPR_MSB_NXTDESC; -- Drive ready to DataMover for ether lsb or msb capture nxtdesc_tready <= msbnxtdesc_tready or lsbnxtdesc_tready; -- Generate logic for checking stale descriptor GEN_STALE_DESC_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 1 or C_SG_CH2_ENBL_STALE_ERROR = 1 generate begin --------------------------------------------------------------------------- -- Examine Completed BIT to determine if stale descriptor fetched --------------------------------------------------------------------------- CMPLTD_CHECK : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then ftch_stale_desc <= '0'; -- On valid and word count at 0 and channel active capture LSB next pointer elsif(m_axis_mm2s_tvalid = '1' and fetch_word_count = WORD_SEVEN and m_axis_mm2s_tready_i = '1' and m_axis_mm2s_tdata(DESC_STS_CMPLTD_BIT) = '1' )then ftch_stale_desc <= '1'; else ftch_stale_desc <= '0'; end if; end if; end process CMPLTD_CHECK; end generate GEN_STALE_DESC_CHECK; -- No needed logic for checking stale descriptor GEN_NO_STALE_CHECK : if C_SG_CH1_ENBL_STALE_ERROR = 0 and C_SG_CH2_ENBL_STALE_ERROR = 0 generate begin ftch_stale_desc <= '0'; end generate GEN_NO_STALE_CHECK; ------------------------------------------------------------------------------- -- If channel 1 is included then generate ch1 logic ------------------------------------------------------------------------------- GEN_CH1_FTCH_Q_IF : if C_INCLUDE_CH1 = 1 generate begin --------------------------------------------------------------------------- -- SG Queueing therefore pass stream signals to -- FIFO --------------------------------------------------------------------------- GEN_CH1_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate begin -- Instantiate the queue version FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE , C_SG_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch1_desc_flush , ftch_active => ch1_ftch_active , ftch_queue_empty => ch1_ftch_queue_empty , ftch_queue_full => ch1_ftch_queue_full , ftch_pause => ch1_ftch_pause , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch1_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch1_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_aclk => m_axis_ch1_ftch_aclk , m_axis_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ); end generate GEN_CH1_QUEUE; -- No SG Queueing therefore pass stream signals straight -- out channel port GEN_NO_CH1_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin -- Instantiate the No queue version NO_FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_noqueue generic map ( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch1_desc_flush , ftch_active => ch1_ftch_active , ftch_queue_empty => ch1_ftch_queue_empty , ftch_queue_full => ch1_ftch_queue_full , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch1_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch1_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_tdata => m_axis_ch1_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch1_ftch_tvalid , m_axis_ftch_tready => m_axis_ch1_ftch_tready , m_axis_ftch_tlast => m_axis_ch1_ftch_tlast ); ch1_ftch_pause <= '0'; end generate GEN_NO_CH1_QUEUE; end generate GEN_CH1_FTCH_Q_IF; ------------------------------------------------------------------------------- -- Channel 1 excluded so tie outputs low ------------------------------------------------------------------------------- GEN_NO_CH1_FTCH_Q_IF : if C_INCLUDE_CH1 = 0 generate begin ch1_ftch_queue_empty <= '0'; ch1_ftch_queue_full <= '0'; ch1_ftch_pause <= '0'; ch1_writing_curdesc <= '0'; ch1_ftch_tready <= '0'; m_axis_ch1_ftch_tdata <= (others => '0'); m_axis_ch1_ftch_tlast <= '0'; m_axis_ch1_ftch_tvalid <= '0'; end generate GEN_NO_CH1_FTCH_Q_IF; ------------------------------------------------------------------------------- -- If channel 2 is included then generate ch1 logic ------------------------------------------------------------------------------- GEN_CH2_FTCH_Q_IF : if C_INCLUDE_CH2 = 1 generate begin --------------------------------------------------------------------------- -- SG Queueing therefore pass stream signals to -- FIFO --------------------------------------------------------------------------- GEN_CH2_QUEUE : if C_SG_FTCH_DESC2QUEUE /= 0 generate begin -- Instantiate the queue version FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE , C_SG_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch2_desc_flush , ftch_active => ch2_ftch_active , ftch_queue_empty => ch2_ftch_queue_empty , ftch_queue_full => ch2_ftch_queue_full , ftch_pause => ch2_ftch_pause , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch2_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch2_ftch_tready , -- Channel 1 AXI Fetch Stream Out m_axis_ftch_aclk => m_axis_ch2_ftch_aclk , m_axis_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ftch_tlast => m_axis_ch2_ftch_tlast ); end generate GEN_CH2_QUEUE; -- No SG Queueing therefore pass stream signals straight -- out channel port GEN_NO_CH2_QUEUE : if C_SG_FTCH_DESC2QUEUE = 0 generate begin -- Instantiate the No queue version NO_FTCH_QUEUE_I : entity axi_vdma_v6_2.axi_sg_ftch_noqueue generic map ( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH, C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Channel Control desc_flush => ch2_desc_flush , ftch_active => ch2_ftch_active , ftch_queue_empty => ch2_ftch_queue_empty , ftch_queue_full => ch2_ftch_queue_full , writing_nxtdesc_in => nxtdesc_tready , writing_curdesc_out => ch2_writing_curdesc , -- DataMover Command ftch_cmnd_wr => ftch_cmnd_wr , ftch_cmnd_data => ftch_cmnd_data , -- MM2S Stream In from DataMover m_axis_mm2s_tdata => m_axis_mm2s_tdata , m_axis_mm2s_tlast => m_axis_mm2s_tlast , m_axis_mm2s_tvalid => m_axis_mm2s_tvalid , m_axis_mm2s_tready => ch2_ftch_tready , -- Channel 2 AXI Fetch Stream Out m_axis_ftch_tdata => m_axis_ch2_ftch_tdata , m_axis_ftch_tvalid => m_axis_ch2_ftch_tvalid , m_axis_ftch_tready => m_axis_ch2_ftch_tready , m_axis_ftch_tlast => m_axis_ch2_ftch_tlast ); ch2_ftch_pause <= '0'; end generate GEN_NO_CH2_QUEUE; end generate GEN_CH2_FTCH_Q_IF; ------------------------------------------------------------------------------- -- Channel 2 excluded so tie outputs low ------------------------------------------------------------------------------- GEN_NO_CH2_FTCH_Q_IF : if C_INCLUDE_CH2 = 0 generate begin ch2_ftch_queue_empty <= '0'; ch2_ftch_queue_full <= '0'; ch2_ftch_pause <= '0'; ch2_writing_curdesc <= '0'; ch2_ftch_tready <= '0'; m_axis_ch2_ftch_tdata <= (others => '0'); m_axis_ch2_ftch_tlast <= '0'; m_axis_ch2_ftch_tvalid <= '0'; end generate GEN_NO_CH2_FTCH_Q_IF; ------------------------------------------------------------------------------- -- DataMover TREADY MUX ------------------------------------------------------------------------------- writing_curdesc <= ch1_writing_curdesc or ch2_writing_curdesc or ftch_cmnd_wr; TREADY_MUX : process(writing_curdesc, fetch_word_count, nxtdesc_tready, -- channel 1 signals ch1_ftch_active, ch1_desc_flush, ch1_ftch_tready, -- channel 2 signals ch2_ftch_active, ch2_desc_flush, ch2_ftch_tready) begin -- If commmanded to flush descriptor then assert ready -- to datamover until active de-asserts. this allows -- any commanded fetches to complete. if( (ch1_desc_flush = '1' and ch1_ftch_active = '1') or(ch2_desc_flush = '1' and ch2_ftch_active = '1'))then m_axis_mm2s_tready_i <= '1'; -- NOT ready if cmnd being written because -- curdesc gets written to queue elsif(writing_curdesc = '1')then m_axis_mm2s_tready_i <= '0'; -- First two words drive ready from internal logic elsif(fetch_word_count = WORD_ZERO or fetch_word_count = WORD_ONE)then m_axis_mm2s_tready_i <= nxtdesc_tready; -- Remainder stream words drive ready from channel input else m_axis_mm2s_tready_i <= (ch1_ftch_active and ch1_ftch_tready) or (ch2_ftch_active and ch2_ftch_tready); end if; end process TREADY_MUX; m_axis_mm2s_tready <= m_axis_mm2s_tready_i; end implementation;
gpl-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_vaddrreg_mux.vhd
2
10806
------------------------------------------------------------------------------- -- axi_vdma_vaddrreg_mux ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_vdma_vaddrreg_mux.vhd -- -- Description: This entity contains the mux for driving current video start -- address to DMA Controller. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_vdma.vhd -- |- axi_vdma_pkg.vhd -- |- axi_vdma_intrpt.vhd -- |- axi_vdma_rst_module.vhd -- | |- axi_vdma_reset.vhd (mm2s) -- | | |- axi_vdma_cdc.vhd -- | |- axi_vdma_reset.vhd (s2mm) -- | | |- axi_vdma_cdc.vhd -- | -- |- axi_vdma_reg_if.vhd -- | |- axi_vdma_lite_if.vhd -- | |- axi_vdma_cdc.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_vdma_sg_cdc.vhd (mm2s) -- |- axi_vdma_vid_cdc.vhd (mm2s) -- |- axi_vdma_fsync_gen.vhd (mm2s) -- |- axi_vdma_sof_gen.vhd (mm2s) -- |- axi_vdma_reg_module.vhd (mm2s) -- | |- axi_vdma_register.vhd (mm2s) -- | |- axi_vdma_regdirect.vhd (mm2s) -- |- axi_vdma_mngr.vhd (mm2s) -- | |- axi_vdma_sg_if.vhd (mm2s) -- | |- axi_vdma_sm.vhd (mm2s) -- | |- axi_vdma_cmdsts_if.vhd (mm2s) -- | |- axi_vdma_vidreg_module.vhd (mm2s) -- | | |- axi_vdma_sgregister.vhd (mm2s) -- | | |- axi_vdma_vregister.vhd (mm2s) -- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s) -- | | |- axi_vdma_blkmem.vhd (mm2s) -- | |- axi_vdma_genlock_mngr.vhd (mm2s) -- | |- axi_vdma_genlock_mux.vhd (mm2s) -- | |- axi_vdma_greycoder.vhd (mm2s) -- |- axi_vdma_mm2s_linebuf.vhd (mm2s) -- | |- axi_vdma_sfifo_autord.vhd (mm2s) -- | |- axi_vdma_afifo_autord.vhd (mm2s) -- | |- axi_vdma_skid_buf.vhd (mm2s) -- | |- axi_vdma_cdc.vhd (mm2s) -- | -- |- axi_vdma_sg_cdc.vhd (s2mm) -- |- axi_vdma_vid_cdc.vhd (s2mm) -- |- axi_vdma_fsync_gen.vhd (s2mm) -- |- axi_vdma_sof_gen.vhd (s2mm) -- |- axi_vdma_reg_module.vhd (s2mm) -- | |- axi_vdma_register.vhd (s2mm) -- | |- axi_vdma_regdirect.vhd (s2mm) -- |- axi_vdma_mngr.vhd (s2mm) -- | |- axi_vdma_sg_if.vhd (s2mm) -- | |- axi_vdma_sm.vhd (s2mm) -- | |- axi_vdma_cmdsts_if.vhd (s2mm) -- | |- axi_vdma_vidreg_module.vhd (s2mm) -- | | |- axi_vdma_sgregister.vhd (s2mm) -- | | |- axi_vdma_vregister.vhd (s2mm) -- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm) -- | | |- axi_vdma_blkmem.vhd (s2mm) -- | |- axi_vdma_genlock_mngr.vhd (s2mm) -- | |- axi_vdma_genlock_mux.vhd (s2mm) -- | |- axi_vdma_greycoder.vhd (s2mm) -- |- axi_vdma_s2mm_linebuf.vhd (s2mm) -- | |- axi_vdma_sfifo_autord.vhd (s2mm) -- | |- axi_vdma_afifo_autord.vhd (s2mm) -- | |- axi_vdma_skid_buf.vhd (s2mm) -- | |- axi_vdma_cdc.vhd (s2mm) -- | -- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL) -- |- axi_sg_v3_00_a.axi_sg.vhd -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_vdma_pkg.all; ------------------------------------------------------------------------------- entity axi_vdma_vaddrreg_mux is generic( C_NUM_FSTORES : integer range 1 to 32 := 1 ; -- Number of Frame Stores C_ADDR_WIDTH : integer range 32 to 32 := 32 -- Start Address Width ); port ( prmry_aclk : in std_logic ; -- prmry_resetn : in std_logic ; -- -- -- -- Current Frame Number -- frame_number : in std_logic_vector -- (FRAME_NUMBER_WIDTH-1 downto 0) ; -- -- -- Video Register Bank -- start_address_vid : in STARTADDR_ARRAY_TYPE -- (0 to C_NUM_FSTORES - 1) ; -- -- crnt_start_address : out std_logic_vector -- (C_ADDR_WIDTH - 1 downto 0) -- ); end axi_vdma_vaddrreg_mux; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_vdma_vaddrreg_mux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal crnt_start_address_i : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); --signal crnt_start_address_d1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); --signal crnt_start_address_d2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal frame_number_index : integer := 0; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin frame_number_index <= to_integer(unsigned(frame_number)); crnt_start_address_i <= start_address_vid(frame_number_index); -- Pipe line for fmax (dble to allow for adjustments later if need be) -----REG_ADDR_OUT : process(prmry_aclk) ----- begin ----- if(prmry_aclk'EVENT and prmry_aclk = '1')then ----- if(prmry_resetn = '0')then ----- crnt_start_address_d1 <= (others => '0'); ----- crnt_start_address_d2 <= (others => '0'); ----- else ----- crnt_start_address_d1 <= crnt_start_address_i; ----- crnt_start_address_d2 <= crnt_start_address_d1; ----- end if; ----- end if; ----- end process REG_ADDR_OUT; ----- ------crnt_start_address <= crnt_start_address_d2; crnt_start_address <= crnt_start_address_i; end implementation;
gpl-2.0
freecores/t48
rtl/vhdl/psw.vhd
1
7279
------------------------------------------------------------------------------- -- -- The Program Status Word (PSW). -- Implements the PSW with its special bits. -- -- $Id: psw.vhd,v 1.8 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; entity t48_psw is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; read_psw_i : in boolean; read_sp_i : in boolean; write_psw_i : in boolean; write_sp_i : in boolean; -- Decoder Interface ------------------------------------------------------ special_data_i : in std_logic; inc_stackp_i : in boolean; dec_stackp_i : in boolean; write_carry_i : in boolean; write_aux_carry_i : in boolean; write_f0_i : in boolean; write_bs_i : in boolean; carry_o : out std_logic; aux_carry_i : in std_logic; aux_carry_o : out std_logic; f0_o : out std_logic; bs_o : out std_logic ); end t48_psw; library ieee; use ieee.numeric_std.all; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; use work.t48_pack.nibble_t; architecture rtl of t48_psw is -- special bit positions in PSW constant carry_c : natural := 3; constant aux_carry_c : natural := 2; constant f0_c : natural := 1; constant bs_c : natural := 0; -- the PSW register signal psw_q : nibble_t; -- the Stack Pointer signal sp_q : unsigned(2 downto 0); -- pragma translate_off signal psw_s : word_t; -- pragma translate_on begin ----------------------------------------------------------------------------- -- Process psw_reg -- -- Purpose: -- Implements the PSW register. -- psw_reg: process (res_i, clk_i) begin if res_i = res_active_c then psw_q <= (others => '0'); sp_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- T48 bus access if write_psw_i then psw_q <= data_i(7 downto 4); end if; if write_sp_i then sp_q <= unsigned(data_i(2 downto 0)); end if; -- increment Stack Pointer if inc_stackp_i then sp_q <= sp_q + 1; end if; -- decrement Stack Pointer if dec_stackp_i then sp_q <= sp_q - 1; end if; -- access to special bits if write_carry_i then psw_q(carry_c) <= special_data_i; end if; -- if write_aux_carry_i then psw_q(aux_carry_c) <= aux_carry_i; end if; -- if write_f0_i then psw_q(f0_c) <= special_data_i; end if; -- if write_bs_i then psw_q(bs_c) <= special_data_i; end if; end if; end if; end process psw_reg; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process data_out -- -- Purpose: -- Output multiplexer for T48 Data Bus. -- data_out: process (read_psw_i, read_sp_i, psw_q, sp_q) begin data_o <= (others => bus_idle_level_c); if read_psw_i then data_o(7 downto 4) <= psw_q; end if; if read_sp_i then data_o(3 downto 0) <= '1' & std_logic_vector(sp_q); end if; end process data_out; -- ----------------------------------------------------------------------------- -- pragma translate_off tb: process (psw_q, sp_q) begin psw_s(7 downto 4) <= psw_q; psw_s(3) <= '1'; psw_s(2 downto 0) <= std_logic_vector(sp_q); end process tb; -- pragma translate_on ----------------------------------------------------------------------------- -- Output mapping. ----------------------------------------------------------------------------- carry_o <= psw_q(carry_c); aux_carry_o <= psw_q(aux_carry_c); f0_o <= psw_q(f0_c); bs_o <= psw_q(bs_c); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.7 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.6 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.5 2004/04/24 11:25:39 arniml -- removed dummy_s - workaround not longer needed for GHDL 0.11.1 -- -- Revision 1.4 2004/04/18 18:59:01 arniml -- add temporary workaround for GHDL 0.11 -- -- Revision 1.3 2004/04/04 14:15:45 arniml -- add dump_compare support -- -- Revision 1.2 2004/03/28 21:28:13 arniml -- take auxiliary carry from direct ALU connection -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
freecores/t48
rtl/vhdl/t8243/t8243_sync_notri.vhd
1
5798
------------------------------------------------------------------------------- -- -- The T8243 synchronous toplevel without tri-state signals -- -- $Id: t8243_sync_notri.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8243_sync_notri is port ( -- System Interface ------------------------------------------------------- clk_i : in std_logic; clk_en_i : in std_logic; reset_n_i : in std_logic; -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_i : in std_logic_vector(3 downto 0); p2_o : out std_logic_vector(3 downto 0); p2_en_o : out std_logic; -- Port 4 Interface ------------------------------------------------------- p4_i : in std_logic_vector(3 downto 0); p4_o : out std_logic_vector(3 downto 0); p4_en_o : out std_logic; -- Port 5 Interface ------------------------------------------------------- p5_i : in std_logic_vector(3 downto 0); p5_o : out std_logic_vector(3 downto 0); p5_en_o : out std_logic; -- Port 6 Interface ------------------------------------------------------- p6_i : in std_logic_vector(3 downto 0); p6_o : out std_logic_vector(3 downto 0); p6_en_o : out std_logic; -- Port 7 Interface ------------------------------------------------------- p7_i : in std_logic_vector(3 downto 0); p7_o : out std_logic_vector(3 downto 0); p7_en_o : out std_logic ); end t8243_sync_notri; use work.t8243_comp_pack.t8243_core; architecture struct of t8243_sync_notri is signal prog_n_q : std_logic; signal clk_rise_en_s, clk_fall_en_s : std_logic; begin ----------------------------------------------------------------------------- -- Process edge_detect -- -- Purpose: -- Implements the sequential element required for edge detection -- on the PROG input. -- edge_detect: process (clk_i, reset_n_i) begin if reset_n_i = '0' then prog_n_q <= '1'; elsif rising_edge(clk_i) then if clk_en_i = '1' then prog_n_q <= prog_n_i; end if; end if; end process edge_detect; -- ----------------------------------------------------------------------------- -- clock enables to detect rising and falling edges of PROG clk_rise_en_s <= clk_en_i and not prog_n_q and prog_n_i; clk_fall_en_s <= clk_en_i and prog_n_q and not prog_n_i; ----------------------------------------------------------------------------- -- The T8243 Core ----------------------------------------------------------------------------- t8243_core_b : t8243_core generic map ( clk_fall_level_g => 1 ) port map ( clk_i => clk_i, clk_rise_en_i => clk_rise_en_s, clk_fall_en_i => clk_fall_en_s, reset_n_i => reset_n_i, cs_n_i => cs_n_i, prog_n_i => prog_n_i, p2_i => p2_i, p2_o => p2_o, p2_en_o => p2_en_o, p4_i => p4_i, p4_o => p4_o, p4_en_o => p4_en_o, p5_i => p5_i, p5_o => p5_o, p5_en_o => p5_en_o, p6_i => p6_i, p6_o => p6_o, p6_en_o => p6_en_o, p7_i => p7_i, p7_o => p7_o, p7_en_o => p7_en_o ); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
freecores/t48
rtl/vhdl/t8243/t8243.vhd
1
4980
------------------------------------------------------------------------------- -- -- The T8243 asynchronous toplevel -- -- $Id: t8243.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8243 is port ( -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_b : inout std_logic_vector(3 downto 0); -- Port 4 Interface ------------------------------------------------------- p4_b : inout std_logic_vector(3 downto 0); -- Port 5 Interface ------------------------------------------------------- p5_b : inout std_logic_vector(3 downto 0); -- Port 6 Interface ------------------------------------------------------- p6_b : inout std_logic_vector(3 downto 0); -- Port 7 Interface ------------------------------------------------------- p7_b : inout std_logic_vector(3 downto 0) ); end t8243; use work.t8243_comp_pack.t8243_async_notri; architecture struct of t8243 is signal p2_s, p4_s, p5_s, p6_s, p7_s : std_logic_vector(3 downto 0); signal p2_en_s, p4_en_s, p5_en_s, p6_en_s, p7_en_s : std_logic; signal vdd_s : std_logic; begin vdd_s <= '1'; ----------------------------------------------------------------------------- -- The asynchronous T8243 ----------------------------------------------------------------------------- t8243_async_notri_b : t8243_async_notri port map ( reset_n_i => vdd_s, -- or generate power-on reset cs_n_i => cs_n_i, prog_n_i => prog_n_i, p2_i => p2_b, p2_o => p2_s, p2_en_o => p2_en_s, p4_i => p4_b, p4_o => p4_s, p4_en_o => p4_en_s, p5_i => p5_b, p5_o => p5_s, p5_en_o => p5_en_s, p6_i => p6_b, p6_o => p6_s, p6_en_o => p6_en_s, p7_i => p7_b, p7_o => p7_s, p7_en_o => p7_en_s ); ----------------------------------------------------------------------------- -- Bidirectional pad structures ----------------------------------------------------------------------------- p2_b <= p2_s when p2_en_s = '1' else (others => 'Z'); p4_b <= p4_s when p4_en_s = '1' else (others => 'Z'); p5_b <= p5_s when p5_en_s = '1' else (others => 'Z'); p6_b <= p6_s when p6_en_s = '1' else (others => 'Z'); p7_b <= p7_s when p7_en_s = '1' else (others => 'Z'); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
Caneda/Caneda
libraries/hdl/vhdl/architecture.vhd
1
285
-- name can be any name chosen for this architecture -- entity is the name of the entity previously defined ARCHITECTURE name OF entity IS -- Signal, component, type and constant declarations go here ... BEGIN -- Concurrent statements and processes go here ... END name;
gpl-2.0
nulldozer/purisc
Global_memory/MAGIC_global/ROUTE_global.vhd
2
13554
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ROUTE_global is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_1_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_2_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_3_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_4_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_5_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_6_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_7_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); OUTPUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end; architecture control of ROUTE_global is --******************************************PROTOTYPE FOR REFERENCE************************************************ -- RAM 0 -----> ram_0_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 1 -----> ram_1_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 2 -----> ram_2_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 3 -----> ram_3_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 4 -----> ram_4_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 5 -----> ram_5_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 6 -----> ram_6_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 7 -----> ram_7_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 component ROUTE_SIGNAL_global PORT( ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); select_vector : IN STD_LOGIC_VECTOR (15 DOWNTO 0); hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OUTPUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; signal select_a : std_logic_vector (15 downto 0); signal select_b : std_logic_vector (15 downto 0); signal select_c : std_logic_vector (15 downto 0); signal select_0 : std_logic_vector (15 downto 0); signal select_1 : std_logic_vector (15 downto 0); signal select_a_1hot : std_logic_vector (15 downto 0); signal select_b_1hot : std_logic_vector (15 downto 0); signal select_c_1hot : std_logic_vector (15 downto 0); signal select_0_1hot : std_logic_vector (15 downto 0); signal select_1_1hot : std_logic_vector (15 downto 0); begin select_a <= ram_0_sel_vector(9 downto 8) & ram_1_sel_vector(9 downto 8) & ram_2_sel_vector(9 downto 8) & ram_3_sel_vector(9 downto 8) & ram_4_sel_vector(9 downto 8) & ram_5_sel_vector(9 downto 8) & ram_6_sel_vector(9 downto 8) & ram_7_sel_vector(9 downto 8); select_b <= ram_0_sel_vector(7 downto 6) & ram_1_sel_vector(7 downto 6) & ram_2_sel_vector(7 downto 6) & ram_3_sel_vector(7 downto 6) & ram_4_sel_vector(7 downto 6) & ram_5_sel_vector(7 downto 6) & ram_6_sel_vector(7 downto 6) & ram_7_sel_vector(7 downto 6); select_c <= ram_0_sel_vector(5 downto 4) & ram_1_sel_vector(5 downto 4) & ram_2_sel_vector(5 downto 4) & ram_3_sel_vector(5 downto 4) & ram_4_sel_vector(5 downto 4) & ram_5_sel_vector(5 downto 4) & ram_6_sel_vector(5 downto 4) & ram_7_sel_vector(5 downto 4); select_0 <= ram_0_sel_vector(3 downto 2) & ram_1_sel_vector(3 downto 2) & ram_2_sel_vector(3 downto 2) & ram_3_sel_vector(3 downto 2) & ram_4_sel_vector(3 downto 2) & ram_5_sel_vector(3 downto 2) & ram_6_sel_vector(3 downto 2) & ram_7_sel_vector(3 downto 2); select_1 <= ram_0_sel_vector(1 downto 0) & ram_1_sel_vector(1 downto 0) & ram_2_sel_vector(1 downto 0) & ram_3_sel_vector(1 downto 0) & ram_4_sel_vector(1 downto 0) & ram_5_sel_vector(1 downto 0) & ram_6_sel_vector(1 downto 0) & ram_7_sel_vector(1 downto 0); select_a_1hot <= select_a(15) & (not(select_a(15) and select_a(14)) and select_a(14)) & select_a(13) & (not(select_a(13) and select_a(12)) and select_a(12)) & select_a(11) & (not(select_a(11) and select_a(10)) and select_a(10)) & select_a(9) & (not(select_a(9) and select_a(8)) and select_a(8)) & select_a(7) & (not(select_a(7) and select_a(6)) and select_a(6)) & select_a(5) & (not(select_a(5) and select_a(4)) and select_a(4)) & select_a(3) & (not(select_a(3) and select_a(2)) and select_a(2)) & select_a(1) & (not(select_a(1) and select_a(0)) and select_a(0)); select_b_1hot <= select_b(15) & (not(select_b(15) and select_b(14)) and select_b(14)) & select_b(13) & (not(select_b(13) and select_b(12)) and select_b(12)) & select_b(11) & (not(select_b(11) and select_b(10)) and select_b(10)) & select_b(9) & (not(select_b(9) and select_b(8)) and select_b(8)) & select_b(7) & (not(select_b(7) and select_b(6)) and select_b(6)) & select_b(5) & (not(select_b(5) and select_b(4)) and select_b(4)) & select_b(3) & (not(select_b(3) and select_b(2)) and select_b(2)) & select_b(1) & (not(select_b(1) and select_b(0)) and select_b(0)); select_c_1hot <= select_c(15) & (not(select_c(15) and select_c(14)) and select_c(14)) & select_c(13) & (not(select_c(13) and select_c(12)) and select_c(12)) & select_c(11) & (not(select_c(11) and select_c(10)) and select_c(10)) & select_c(9) & (not(select_c(9) and select_c(8)) and select_c(8)) & select_c(7) & (not(select_c(7) and select_c(6)) and select_c(6)) & select_c(5) & (not(select_c(5) and select_c(4)) and select_c(4)) & select_c(3) & (not(select_c(3) and select_c(2)) and select_c(2)) & select_c(1) & (not(select_c(1) and select_c(0)) and select_c(0)); select_0_1hot <= select_0(15) & (not(select_0(15) and select_0(14)) and select_0(14)) & select_0(13) & (not(select_0(13) and select_0(12)) and select_0(12)) & select_0(11) & (not(select_0(11) and select_0(10)) and select_0(10)) & select_0(9) & (not(select_0(9) and select_0(8)) and select_0(8)) & select_0(7) & (not(select_0(7) and select_0(6)) and select_0(6)) & select_0(5) & (not(select_0(5) and select_0(4)) and select_0(4)) & select_0(3) & (not(select_0(3) and select_0(2)) and select_0(2)) & select_0(1) & (not(select_0(1) and select_0(0)) and select_0(0)); select_1_1hot <= select_1(15) & (not(select_1(15) and select_1(14)) and select_1(14)) & select_1(13) & (not(select_1(13) and select_1(12)) and select_1(12)) & select_1(11) & (not(select_1(11) and select_1(10)) and select_1(10)) & select_1(9) & (not(select_1(9) and select_1(8)) and select_1(8)) & select_1(7) & (not(select_1(7) and select_1(6)) and select_1(6)) & select_1(5) & (not(select_1(5) and select_1(4)) and select_1(4)) & select_1(3) & (not(select_1(3) and select_1(2)) and select_1(2)) & select_1(1) & (not(select_1(1) and select_1(0)) and select_1(0)); route_a : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_a_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_A ); route_b : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_b_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_B ); route_c : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_c_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_C ); route_0 : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_0_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_0 ); route_1 : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_1_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_1 ); end;
gpl-2.0
freecores/t48
rtl/vhdl/timer.vhd
1
8640
------------------------------------------------------------------------------- -- -- The Timer/Counter unit. -- -- $Id: timer.vhd,v 1.7 2006-11-30 14:31:59 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_pack.mstate_t; entity t48_timer is generic ( -- state in which T1 is sampled (3 or 4) sample_t1_state_g : integer := 4 ); port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; t1_i : in std_logic; clk_mstate_i : in mstate_t; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; read_timer_i : in boolean; write_timer_i : in boolean; -- Decoder Interface ------------------------------------------------------ start_t_i : in boolean; start_cnt_i : in boolean; stop_tcnt_i : in boolean; overflow_o : out std_logic ); end t48_timer; library ieee; use ieee.numeric_std.all; use work.t48_pack.all; architecture rtl of t48_timer is -- the 8 bit counter core signal counter_q : unsigned(word_t'range); signal overflow_q : boolean; -- increment signal for the counter core type inc_type_t is (NONE, TIMER, COUNTER); signal increment_s : boolean; signal inc_sel_q : inc_type_t; -- T1 edge detector signal t1_q : std_logic; signal t1_inc_s : boolean; -- timer prescaler signal prescaler_q : unsigned(4 downto 0); signal pre_inc_s : boolean; begin ----------------------------------------------------------------------------- -- Verify the generics ----------------------------------------------------------------------------- -- pragma translate_off assert (sample_t1_state_g = 3) or (sample_t1_state_g = 4) report "sample_t1_state_g must be either 3 or 4!" severity failure; -- pragma translate_on ----------------------------------------------------------------------------- -- Process t1_edge -- -- Purpose: -- Implements the edge detector for T1. -- t1_edge: process (t1_i, t1_q, clk_mstate_i) begin t1_inc_s <= false; -- sample in state according to generic -- Old devices: sample at the beginning of state 3 -- New devices: sample in state 4 if (sample_t1_state_g = 3 and clk_mstate_i = MSTATE3) or (sample_t1_state_g = 4 and clk_mstate_i = MSTATE4) then -- detect falling edge if t1_q = '1' and t1_i = '0' then t1_inc_s <= true; end if; end if; end process t1_edge; -- ----------------------------------------------------------------------------- pre_inc_s <= clk_mstate_i = MSTATE4 and prescaler_q = 31; ----------------------------------------------------------------------------- -- Process inc_sel -- -- Purpose: -- Select increment source (timer, counter or none). -- inc_sel: process (inc_sel_q, pre_inc_s, t1_inc_s) begin -- default assignment increment_s <= false; case inc_sel_q is when NONE => increment_s <= false; when TIMER => increment_s <= pre_inc_s; when COUNTER => increment_s <= t1_inc_s; when others => null; end case; end process inc_sel; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process regs -- -- Purpose: -- Implements the counter, the prescaler and other registers. -- regs: process (res_i, clk_i) begin if res_i = res_active_c then overflow_q <= false; t1_q <= '0'; prescaler_q <= (others => '0'); inc_sel_q <= NONE; counter_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- Counter Core and overflow ------------------------------------------ overflow_q <= false; if write_timer_i then counter_q <= unsigned(data_i); elsif increment_s then counter_q <= counter_q + 1; if counter_q = 255 then overflow_q <= true; end if; end if; -- T1 edge detector --------------------------------------------------- if (sample_t1_state_g = 3 and clk_mstate_i = MSTATE3) or (sample_t1_state_g = 4 and clk_mstate_i = MSTATE4) then t1_q <= t1_i; end if; -- Prescaler ---------------------------------------------------------- if start_t_i then prescaler_q <= (others => '0'); elsif clk_mstate_i = MSTATE3 then prescaler_q <= prescaler_q + 1; end if; -- Increment Selector ------------------------------------------------- if start_t_i then inc_sel_q <= TIMER; elsif start_cnt_i then inc_sel_q <= COUNTER; elsif stop_tcnt_i then inc_sel_q <= NONE; end if; end if; end if; end process regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- data_o <= std_logic_vector(counter_q) when read_timer_i else (others => bus_idle_level_c); overflow_o <= to_stdLogic(overflow_q); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.6 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.5 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.4 2004/07/04 13:06:45 arniml -- counter_q is not cleared during reset -- this would match all different descriptions of the Counter as -- a) if the software assumes that the Counter is modified during reset, it -- will initialize the Counter anyhow -- b) the special case 'Counter not modified during reset' is covered -- -- Revision 1.3 2004/05/16 15:32:57 arniml -- fix edge detector bug for counter -- -- Revision 1.2 2004/04/15 22:05:13 arniml -- increment prescaler with MSTATE4 -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
freecores/t48
rtl/vhdl/dmem_ctrl_pack-p.vhd
1
1015
------------------------------------------------------------------------------- -- -- $Id: dmem_ctrl_pack-p.vhd,v 1.2 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- package t48_dmem_ctrl_pack is ----------------------------------------------------------------------------- -- Address Type Identifier ----------------------------------------------------------------------------- type dmem_addr_ident_t is (DM_PLAIN, DM_REG, DM_STACK, DM_STACK_HIGH); end t48_dmem_ctrl_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
freecores/t48
rtl/vhdl/decoder.vhd
1
67801
------------------------------------------------------------------------------- -- -- The Decoder unit. -- It decodes the instruction opcodes and executes them. -- -- $Id: decoder.vhd,v 1.27 2008-05-02 21:20:41 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_pack.mstate_t; use work.t48_alu_pack.alu_op_t; use work.t48_cond_branch_pack.all; use work.t48_dmem_ctrl_pack.all; use work.t48_pmem_ctrl_pack.all; entity t48_decoder is generic ( -- store mnemonic in flip-flops (registered-out) register_mnemonic_g : integer := 1 ); port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; xtal_i : in std_logic; xtal_en_i : in boolean; ea_i : in std_logic; ale_i : in boolean; int_n_i : in std_logic; t0_dir_o : out std_logic; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; alu_write_accu_o : out boolean; alu_write_shadow_o : out boolean; alu_write_temp_reg_o : out boolean; alu_read_alu_o : out boolean; bus_write_bus_o : out boolean; bus_read_bus_o : out boolean; dm_write_dmem_addr_o : out boolean; dm_write_dmem_o : out boolean; dm_read_dmem_o : out boolean; p1_write_p1_o : out boolean; p1_read_p1_o : out boolean; p2_write_p2_o : out boolean; p2_write_exp_o : out boolean; p2_read_p2_o : out boolean; p2_read_exp_o : out boolean; pm_write_pcl_o : out boolean; pm_read_pcl_o : out boolean; pm_write_pch_o : out boolean; pm_read_pch_o : out boolean; pm_read_pmem_o : out boolean; psw_read_psw_o : out boolean; psw_read_sp_o : out boolean; psw_write_psw_o : out boolean; psw_write_sp_o : out boolean; -- ALU Interface ---------------------------------------------------------- alu_carry_i : in std_logic; alu_op_o : out alu_op_t; alu_use_carry_o : out boolean; alu_da_high_o : out boolean; alu_accu_low_o : out boolean; alu_p06_temp_reg_o : out boolean; alu_p60_temp_reg_o : out boolean; alu_da_overflow_i : in boolean; -- BUS Interface ---------------------------------------------------------- bus_output_pcl_o : out boolean; bus_bidir_bus_o : out boolean; -- Clock Controller Interface --------------------------------------------- clk_multi_cycle_o : out boolean; clk_assert_psen_o : out boolean; clk_assert_prog_o : out boolean; clk_assert_rd_o : out boolean; clk_assert_wr_o : out boolean; clk_mstate_i : in mstate_t; clk_second_cycle_i : in boolean; -- Conditional Branch Logic Interface ------------------------------------- cnd_compute_take_o : out boolean; cnd_branch_cond_o : out branch_conditions_t; cnd_take_branch_i : in boolean; cnd_comp_value_o : out comp_value_t; cnd_f1_o : out std_logic; cnd_tf_o : out std_logic; -- Data Memory Controller Interface --------------------------------------- dm_addr_type_o : out dmem_addr_ident_t; -- Port 1 Interface ------------------------------------------------------- p1_read_reg_o : out boolean; -- Port 2 Interface ------------------------------------------------------- p2_read_reg_o : out boolean; p2_output_pch_o : out boolean; -- Program Memory Controller Interface ------------------------------------ pm_inc_pc_o : out boolean; pm_write_pmem_addr_o : out boolean; pm_addr_type_o : out pmem_addr_ident_t; -- Program Status Word Interface ------------------------------------------ psw_special_data_o : out std_logic; psw_carry_i : in std_logic; psw_aux_carry_i : in std_logic; psw_f0_i : in std_logic; psw_inc_stackp_o : out boolean; psw_dec_stackp_o : out boolean; psw_write_carry_o : out boolean; psw_write_aux_carry_o : out boolean; psw_write_f0_o : out boolean; psw_write_bs_o : out boolean; -- Timer Interface -------------------------------------------------------- tim_read_timer_o : out boolean; tim_write_timer_o : out boolean; tim_start_t_o : out boolean; tim_start_cnt_o : out boolean; tim_stop_tcnt_o : out boolean; tim_overflow_i : in boolean ); end t48_decoder; use work.t48_pack.all; use work.t48_alu_pack.all; use work.t48_decoder_pack.all; use work.t48_comp_pack.t48_int; -- pragma translate_off use work.t48_tb_pack.tb_istrobe_s; -- pragma translate_on architecture rtl of t48_decoder is -- Enable fixing a bug of Quartus II 4.0 constant enable_quartus_bugfix_c : boolean := true; -- Opcode Decoder signal opc_multi_cycle_s : boolean; signal opc_read_bus_s : boolean; signal opc_inj_int_s : boolean; signal opc_opcode_q : word_t; signal opc_mnemonic_s : mnemonic_t; signal last_cycle_s : boolean; -- state translators signal assert_psen_s : boolean; -- branch taken handshake signal branch_taken_s, branch_taken_q : boolean; signal pm_inc_pc_s : boolean; signal pm_write_pmem_addr_s : boolean; -- additional signal to increment PC during CALL signal add_inc_pc_s : boolean; -- addtional signal to set PC during RET(R) signal add_write_pmem_addr_s : boolean; -- Flag 1 signal clear_f1_s, cpl_f1_s : boolean; signal f1_q : std_logic; -- memory bank select signal clear_mb_s, set_mb_s : boolean; signal mb_q : std_logic; -- T0 direction selection signal ent0_clk_s : boolean; signal t0_dir_q : std_logic; signal data_s : word_t; signal read_dec_s : boolean; signal tf_s : std_logic; signal bus_read_bus_s : boolean; signal add_read_bus_s : boolean; signal dm_write_dmem_s : boolean; signal p2_output_exp_s : boolean; signal movx_first_cycle_s : boolean; -- interrupt handling signal jtf_executed_s : boolean; signal en_tcnti_s : boolean; signal dis_tcnti_s : boolean; signal en_i_s : boolean; signal dis_i_s : boolean; signal tim_int_s : boolean; signal retr_executed_s : boolean; signal int_executed_s : boolean; signal int_pending_s : boolean; signal int_in_progress_s : boolean; -- the mnemonic signal mnemonic_rec_s : mnemonic_rec_t; signal mnemonic_q : mnemonic_t; -- pragma translate_off signal istrobe_res_q : std_logic; signal istrobe_q : std_logic; signal injected_int_q : std_logic; -- pragma translate_on begin -- pragma translate_off -- Register Mnemonic -------------------------------------------------------- assert (register_mnemonic_g = 1) or (register_mnemonic_g = 0) report "register_mnemonic_g must be either 1 or 0!" severity failure; -- pragma translate_on ----------------------------------------------------------------------------- -- Opcode Decoder -- mnemonic_rec_s <= decode_opcode_f(opcode => opc_opcode_q); -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process opc_regs -- -- Purpose: -- Implements the opcode and mnemonic registers. -- opc_regs: process (res_i, clk_i) begin if res_i = res_active_c then opc_opcode_q <= (others => '0'); -- NOP mnemonic_q <= MN_NOP; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if opc_read_bus_s then opc_opcode_q <= data_i; elsif opc_inj_int_s then opc_opcode_q <= "00010100"; else mnemonic_q <= mnemonic_rec_s.mnemonic; end if; end if; end if; end process opc_regs; -- opc_multi_cycle_s <= mnemonic_rec_s.multi_cycle; opc_mnemonic_s <= mnemonic_q when register_mnemonic_g = 1 else mnemonic_rec_s.mnemonic; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Interrupt Controller. ----------------------------------------------------------------------------- int_b : t48_int port map ( clk_i => clk_i, res_i => res_i, en_clk_i => en_clk_i, xtal_i => xtal_i, xtal_en_i => xtal_en_i, clk_mstate_i => clk_mstate_i, jtf_executed_i => jtf_executed_s, tim_overflow_i => tim_overflow_i, tf_o => tf_s, en_tcnti_i => en_tcnti_s, dis_tcnti_i => dis_tcnti_s, int_n_i => int_n_i, ale_i => ale_i, last_cycle_i => last_cycle_s, en_i_i => en_i_s, dis_i_i => dis_i_s, ext_int_o => open, tim_int_o => tim_int_s, retr_executed_i => retr_executed_s, int_executed_i => int_executed_s, int_pending_o => int_pending_s, int_in_progress_o => int_in_progress_s ); last_cycle_s <= not opc_multi_cycle_s or (opc_multi_cycle_s and clk_second_cycle_i); ----------------------------------------------------------------------------- -- Process machine_cycle -- -- Purpose: -- Generates the control signals that are basically needed for the -- handling of a machine cycle. -- machine_cycle: process (clk_mstate_i, clk_second_cycle_i, last_cycle_s, ea_i, assert_psen_s, branch_taken_q, int_pending_s, p2_output_exp_s, movx_first_cycle_s) variable need_address_v : boolean; begin -- default assignments clk_assert_psen_o <= false; pm_inc_pc_s <= false; pm_write_pmem_addr_s <= false; pm_read_pmem_o <= false; bus_output_pcl_o <= false; p2_output_pch_o <= false; opc_read_bus_s <= false; opc_inj_int_s <= false; bus_read_bus_s <= false; need_address_v := not clk_second_cycle_i or (clk_second_cycle_i and assert_psen_s); case clk_mstate_i is when MSTATE1 => if need_address_v then if ea_i = '0' then if not int_pending_s then pm_read_pmem_o <= true; end if; else if not int_pending_s then bus_read_bus_s <= true; end if; p2_output_pch_o <= true; end if; end if; if not clk_second_cycle_i then if not int_pending_s then opc_read_bus_s <= true; else opc_inj_int_s <= true; -- inject interrupt call end if; end if; when MSTATE2 => if need_address_v and not branch_taken_q and not int_pending_s then pm_inc_pc_s <= true; end if; when MSTATE3 => if need_address_v then -- Theory of operation: -- Program Memory address is updated at end of State 3 (or end of -- State 2 in case of a RET). Address information is thus available -- latest with State 4. -- This is the time where we need information about access target -- (internal or external = EA). EA information needs to be stable -- until end of State 1. pm_write_pmem_addr_s <= true; end if; when MSTATE4 => if ea_i = '1' and ((not clk_second_cycle_i and assert_psen_s) or last_cycle_s) then clk_assert_psen_o <= true; p2_output_pch_o <= true; bus_output_pcl_o <= true; end if; when MSTATE5 => if ea_i = '1' and (need_address_v or last_cycle_s) and -- Suppress output of PCH when either -- a) expander port is driven on P2, has priority not p2_output_exp_s and -- b) first cycle of MOVX, don't disturb external access not movx_first_cycle_s then p2_output_pch_o <= true; end if; when others => -- pragma translate_off assert false report "Unkown machine state!" severity error; -- pragma translate_on end case; end process machine_cycle; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process decode -- -- Purpose: -- Indentifies each single instruction and steps through the related -- execution sequence. -- decode: process (alu_carry_i, psw_aux_carry_i, alu_da_overflow_i, clk_mstate_i, clk_second_cycle_i, cnd_take_branch_i, opc_opcode_q, opc_mnemonic_s, psw_carry_i, psw_f0_i, f1_q, mb_q, tim_int_s, int_pending_s, int_in_progress_s) procedure address_indirect_3_f is begin -- apply dmem address from selected register for indirect mode if opc_opcode_q(3) = '0' or enable_quartus_bugfix_c then dm_read_dmem_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_PLAIN; end if; end; procedure and_or_xor_add_4_f is begin -- write dmem contents to Temp Reg dm_read_dmem_o <= true; alu_write_temp_reg_o <= true; end; procedure and_or_xor_add_5_f (alu_op : alu_op_t) is begin -- perform ALU operation and store in Accumulator alu_op_o <= alu_op; alu_read_alu_o <= true; alu_write_accu_o <= true; end; procedure cond_jump_c2_m1_f is begin -- store address in Program Counter low byte if branch has to -- be taken -- if clk_mstate_i = MSTATE1 and cnd_take_branch_i then pm_write_pcl_o <= true; branch_taken_s <= true; -- end if; end; -- intermediate value of the Program Memory Bank Flag variable mb_v : std_logic; begin -- default assignments data_s <= (others => '-'); read_dec_s <= false; branch_taken_s <= false; clear_f1_s <= false; cpl_f1_s <= false; clear_mb_s <= false; set_mb_s <= false; add_inc_pc_s <= false; assert_psen_s <= false; alu_write_accu_o <= false; alu_write_shadow_o <= false; alu_write_temp_reg_o <= false; alu_p06_temp_reg_o <= false; alu_p60_temp_reg_o <= false; alu_read_alu_o <= false; bus_write_bus_o <= false; bus_bidir_bus_o <= false; dm_write_dmem_addr_o <= false; dm_write_dmem_s <= false; dm_read_dmem_o <= false; pm_write_pcl_o <= false; pm_read_pcl_o <= false; pm_write_pch_o <= false; pm_read_pch_o <= false; pm_addr_type_o <= PM_PC; psw_read_psw_o <= false; psw_read_sp_o <= false; psw_write_psw_o <= false; psw_write_sp_o <= false; alu_op_o <= ALU_NOP; alu_use_carry_o <= false; alu_da_high_o <= false; alu_accu_low_o <= false; clk_assert_prog_o <= false; clk_assert_rd_o <= false; clk_assert_wr_o <= false; cnd_branch_cond_o <= COND_ON_BIT; cnd_compute_take_o <= false; cnd_comp_value_o <= opc_opcode_q(7 downto 5); dm_addr_type_o <= DM_REG; tim_read_timer_o <= false; tim_write_timer_o <= false; tim_start_t_o <= false; tim_start_cnt_o <= false; tim_stop_tcnt_o <= false; p1_write_p1_o <= false; p1_read_p1_o <= false; p1_read_reg_o <= false; p2_write_p2_o <= false; p2_write_exp_o <= false; p2_read_p2_o <= false; p2_read_reg_o <= false; p2_read_exp_o <= false; p2_output_exp_s <= false; psw_special_data_o <= '0'; psw_inc_stackp_o <= false; psw_dec_stackp_o <= false; psw_write_carry_o <= false; psw_write_aux_carry_o <= false; psw_write_f0_o <= false; psw_write_bs_o <= false; jtf_executed_s <= false; en_tcnti_s <= false; dis_tcnti_s <= false; en_i_s <= false; dis_i_s <= false; retr_executed_s <= false; int_executed_s <= false; add_write_pmem_addr_s <= false; ent0_clk_s <= false; add_read_bus_s <= false; movx_first_cycle_s <= false; -- the Program Memory Bank Flag is held low when interrupts are in progress -- according to the MCS-48 User's Manual if int_in_progress_s then mb_v := '0'; else mb_v := mb_q; end if; -- prepare potential register indirect address mode if not clk_second_cycle_i and clk_mstate_i = MSTATE2 then data_s <= (others => '0'); if opc_opcode_q(3) = '1' then data_s(2 downto 0) <= opc_opcode_q(2 downto 0); else data_s(2 downto 0) <= "00" & opc_opcode_q(0); end if; read_dec_s <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_REG; end if; case opc_mnemonic_s is -- Mnemonic ADD --------------------------------------------------------- when MN_ADD => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform ADD and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_ADD); if opc_opcode_q(4) = '1' then alu_use_carry_o <= true; end if; psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; psw_write_aux_carry_o <= true; when others => null; end case; -- Mnemonic ADD_A_DATA -------------------------------------------------- when MN_ADD_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform ADD and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_ADD); if opc_opcode_q(4) = '1' then alu_use_carry_o <= true; end if; psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; psw_write_aux_carry_o <= true; when others => null; end case; end if; -- Mnemonic ANL --------------------------------------------------------- when MN_ANL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform AND and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_AND); when others => null; end case; -- Mnemonic ANL_A_DATA -------------------------------------------------- when MN_ANL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform AND and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_AND); when others => null; end case; end if; -- Mnemonic ANL_EXT ----------------------------------------------------- when MN_ANL_EXT => assert_psen_s <= true; if not clk_second_cycle_i then -- read port to Temp Reg if clk_mstate_i = MSTATE5 then if opc_opcode_q(1 downto 0) = "00" then add_read_bus_s <= true; elsif opc_opcode_q(1) = '0' then p1_read_p1_o <= true; p1_read_reg_o <= true; else p2_read_p2_o <= true; p2_read_reg_o <= true; end if; alu_write_temp_reg_o <= true; end if; else case clk_mstate_i is -- write shadow Accumulator when contents of Program Memory is -- on bus when MSTATE1 => alu_write_shadow_o <= true; -- loop shadow Accumulator through ALU to prevent update from -- real Accumulator when MSTATE2 => alu_read_alu_o <= true; alu_write_shadow_o <= true; -- write result of AND operation back to port when MSTATE3 => alu_op_o <= ALU_AND; alu_read_alu_o <= true; if opc_opcode_q(1 downto 0) = "00" then bus_write_bus_o <= true; elsif opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; when others => null; end case; end if; -- Mnemonic CALL -------------------------------------------------------- when MN_CALL => assert_psen_s <= true; if not clk_second_cycle_i then case clk_mstate_i is -- read Stack Pointer and address Data Memory for low byte -- also increment Program Counter to point to next instruction when MSTATE3 => psw_read_sp_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK; -- only increment PC if this is not an injected CALL -- injected CALLS are not located in Program Memory, -- the PC points already to the instruction to be executed -- after the interrupt if not int_pending_s then add_inc_pc_s <= true; end if; -- store Program Counter low byte on stack when MSTATE4 => pm_read_pcl_o <= true; dm_write_dmem_s <= true; -- store Program Counter high byte and PSW on stack -- increment Stack pointer when MSTATE5 => psw_read_psw_o <= true; pm_read_pch_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK_HIGH; dm_write_dmem_s <= true; psw_inc_stackp_o <= true; when others => null; end case; else case clk_mstate_i is -- store address in Program Counter low byte when MSTATE1 => pm_write_pcl_o <= true; branch_taken_s <= true; if int_pending_s then -- apply low part of vector address manually data_s <= (others => '0'); data_s(1 downto 0) <= "11"; if tim_int_s then data_s(2) <= '1'; end if; read_dec_s <= true; end if; when MSTATE2 => pm_write_pch_o <= true; read_dec_s <= true; if not int_pending_s then -- store high part of target address in Program Counter data_s <= "0000" & mb_v & opc_opcode_q(7 downto 5); else -- apply high part of vector address manually data_s <= (others => '0'); int_executed_s <= true; end if; when others => null; end case; end if; -- Mnemonic CLR_A ------------------------------------------------------- when MN_CLR_A => -- write CLR output of ALU to Accumulator if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_CLR; alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemonic CLR_C ------------------------------------------------------- when MN_CLR_C => -- store 0 to Carry if clk_mstate_i = MSTATE3 then psw_special_data_o <= '0'; psw_write_carry_o <= true; end if; -- Mnemonic CLR_F ------------------------------------------------------- when MN_CLR_F => -- store 0 to selected flag if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '0' then psw_special_data_o <= '0'; psw_write_f0_o <= true; else clear_f1_s <= true; end if; end if; -- Mnemonic CPL_A ------------------------------------------------------- when MN_CPL_A => -- write CPL output of ALU to Accumulator if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_CPL; alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemnonic CPL_C ------------------------------------------------------ when MN_CPL_C => -- write inverse of Carry to PSW if clk_mstate_i = MSTATE3 then psw_special_data_o <= not psw_carry_i; psw_write_carry_o <= true; end if; -- Mnemonic CPL_F ------------------------------------------------------- when MN_CPL_f => -- write inverse of selected flag back to flag if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '0' then psw_special_data_o <= not psw_f0_i; psw_write_f0_o <= true; else cpl_f1_s <= true; end if; end if; -- Mnemonic DA ---------------------------------------------------------- when MN_DA => alu_op_o <= ALU_ADD; case clk_mstate_i is -- Step 1: Preload Temp Reg with 0x06 when MSTATE3 => alu_p06_temp_reg_o <= true; -- Step 2: Check Auxiliary Carry and overflow on low nibble -- Add 0x06 to shadow Accumulator if one is true when MSTATE4 => if psw_aux_carry_i = '1' or alu_da_overflow_i then alu_read_alu_o <= true; alu_write_shadow_o <= true; end if; -- preload Temp Reg with 0x60 alu_p60_temp_reg_o <= true; -- Step 3: Check overflow on high nibble -- Add 0x60 to shadow Accumulator if true and store result -- in Accumulator and PSW (only Carry) when MSTATE5 => alu_da_high_o <= true; if alu_da_overflow_i then psw_special_data_o <= alu_carry_i; else alu_op_o <= ALU_NOP; psw_special_data_o <= '0'; end if; alu_read_alu_o <= true; alu_write_accu_o <= true; psw_write_carry_o <= true; when others => null; end case; -- Mnemonic DEC --------------------------------------------------------- when MN_DEC => case clk_mstate_i is when MSTATE4 => -- DEC Rr: store data from RAM to shadow Accumulator if opc_opcode_q(6) = '1' then dm_read_dmem_o <= true; alu_write_shadow_o <= true; end if; when MSTATE5 => alu_op_o <= ALU_DEC; alu_read_alu_o <= true; if opc_opcode_q(6) = '0' then -- write DEC of Accumulator to Accumulator alu_write_accu_o <= true; else -- store DEC of shadow Accumulator back to dmem dm_write_dmem_s <= true; end if; when others => null; end case; -- Mnemonic DIS_EN_I ---------------------------------------------------- when MN_DIS_EN_I => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then dis_i_s <= true; else en_i_s <= true; end if; end if; -- Mnemonic DIS_EN_TCNTI ------------------------------------------------ when MN_DIS_EN_TCNTI => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then dis_tcnti_s <= true; else en_tcnti_s <= true; end if; end if; -- Mnemonic DJNZ -------------------------------------------------------- when MN_DJNZ => assert_psen_s <= true; if not clk_second_cycle_i then case clk_mstate_i is -- store data from RAM to shadow Accumulator when MSTATE4 => dm_read_dmem_o <= true; alu_write_shadow_o <= true; -- write DEC result of shadow Accumulator back to dmem and -- conditional branch logic when MSTATE5 => alu_op_o <= ALU_DEC; alu_read_alu_o <= true; dm_write_dmem_s <= true; cnd_compute_take_o <= true; cnd_branch_cond_o <= COND_Z; cnd_comp_value_o(0) <= '0'; when others => null; end case; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic ENT0_CLK ---------------------------------------------------- when MN_ENT0_CLK => if clk_mstate_i = MSTATE3 then ent0_clk_s <= true; end if; -- Mnemonic IN ---------------------------------------------------------- when MN_IN => -- read Port and store in Accumulator if clk_second_cycle_i and clk_mstate_i = MSTATE2 then alu_write_accu_o <= true; if opc_opcode_q(1) = '0' then p1_read_p1_o <= true; else p2_read_p2_o <= true; end if; end if; -- Mnemonic INS --------------------------------------------------------- when MN_INS => clk_assert_rd_o <= true; -- read BUS and store in Accumulator if clk_second_cycle_i and clk_mstate_i = MSTATE2 then alu_write_accu_o <= true; add_read_bus_s <= true; end if; -- Mnemonic INC --------------------------------------------------------- when MN_INC => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; when MSTATE4 => -- INC Rr; INC @ Rr: store data from RAM to shadow Accumulator if opc_opcode_q(3 downto 2) /= "01" then dm_read_dmem_o <= true; alu_write_shadow_o <= true; end if; when MSTATE5 => alu_op_o <= ALU_INC; alu_read_alu_o <= true; if opc_opcode_q(3 downto 2) = "01" then -- write INC output of ALU to Accumulator alu_write_accu_o <= true; else -- store INC of shadow Accumulator back to dmem dm_write_dmem_s <= true; end if; when others => null; end case; -- Mnemonic JBB --------------------------------------------------------- when MN_JBB => assert_psen_s <= true; cnd_branch_cond_o <= COND_ON_BIT; if not clk_second_cycle_i then -- read Accumulator and start branch calculation if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; cnd_compute_take_o <= true; -- cnd_comp_value_o is ok by default assignment end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JC ---------------------------------------------------------- when MN_JC => assert_psen_s <= true; cnd_branch_cond_o <= COND_C; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(4); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JF ---------------------------------------------------------- when MN_JF => assert_psen_s <= true; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; if opc_opcode_q(7) = '1' then -- JF0 cnd_branch_cond_o <= COND_F0; else -- JF1 cnd_branch_cond_o <= COND_F1; end if; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JMP --------------------------------------------------------- when MN_JMP => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- store address in Program Counter low byte when MSTATE1 => pm_write_pcl_o <= true; branch_taken_s <= true; -- store high part of target address in Program Counter when MSTATE2 => data_s <= "0000" & mb_v & opc_opcode_q(7 downto 5); read_dec_s <= true; pm_write_pch_o <= true; when others => null; end case; end if; -- Mnemonic JMPP -------------------------------------------------------- when MN_JMPP => assert_psen_s <= true; if not clk_second_cycle_i then -- write Accumulator to Program Memory address -- (skip page offset update from Program Counter) if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; pm_addr_type_o <= PM_PAGE; end if; else if clk_mstate_i = MSTATE1 then -- store address in Program Counter low byte pm_write_pcl_o <= true; branch_taken_s <= true; end if; end if; -- Mnemonic JNI --------------------------------------------------------- when MN_JNI => assert_psen_s <= true; cnd_branch_cond_o <= COND_INT; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JT ---------------------------------------------------------- when MN_JT => assert_psen_s <= true; if opc_opcode_q(6) = '0' then cnd_branch_cond_o <= COND_T0; else cnd_branch_cond_o <= COND_T1; end if; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(4); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JTF --------------------------------------------------------- when MN_JTF => assert_psen_s <= true; cnd_branch_cond_o <= COND_TF; if not clk_second_cycle_i then -- start branch calculation if clk_mstate_i = MSTATE3 then cnd_compute_take_o <= true; jtf_executed_s <= true; end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic JZ ---------------------------------------------------------- when MN_JZ => assert_psen_s <= true; cnd_branch_cond_o <= COND_Z; if not clk_second_cycle_i then -- read Accumulator and start branch calculation if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; cnd_compute_take_o <= true; cnd_comp_value_o(0) <= opc_opcode_q(6); end if; else -- store address in Program Counter low byte if branch has to -- be taken if clk_mstate_i = MSTATE1 and cnd_take_branch_i then cond_jump_c2_m1_f; end if; end if; -- Mnemonic MOV_A_DATA -------------------------------------------------- when MN_MOV_A_DATA => assert_psen_s <= true; -- Write Accumulator when contents of Program Memory is on bus -- during machine state 1 of second cycle. if clk_second_cycle_i and clk_mstate_i = MSTATE1 then alu_write_accu_o <= true; end if; -- Mnemonic MOV_A_RR ---------------------------------------------------- when MN_MOV_A_RR => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- read data from RAM and store in Accumulator when MSTATE4 => and_or_xor_add_4_f; alu_write_accu_o <= true; when others => null; end case; -- Mnemonic MOV_A_PSW --------------------------------------------------- when MN_MOV_A_PSW => if clk_mstate_i = MSTATE3 then psw_read_psw_o <= true; psw_read_sp_o <= true; alu_write_accu_o <= true; end if; -- Mnemoniv MOV_PSW_A --------------------------------------------------- when MN_MOV_PSW_A => if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; psw_write_psw_o <= true; psw_write_sp_o <= true; end if; -- Mnemonic MOV_RR ------------------------------------------------------ when MN_MOV_RR => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- write Accumulator to dmem when MSTATE5 => alu_read_alu_o <= true; dm_write_dmem_s <= true; when others => null; end case; -- Mnemonic MOV_RR_DATA ------------------------------------------------- when MN_MOV_RR_DATA => assert_psen_s <= true; -- read RAM once for indirect address mode if not clk_second_cycle_i and clk_mstate_i = MSTATE3 then if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; end if; -- Write Data Memory when contents of Program Memory is on bus -- during machine state 1 of second cycle. if clk_second_cycle_i and clk_mstate_i = MSTATE1 then dm_write_dmem_s <= true; end if; -- Mnemonic MOV_T ------------------------------------------------------- when MN_MOV_T => if clk_mstate_i = MSTATE3 then if opc_opcode_q(5) = '1' then alu_read_alu_o <= true; -- MOV T, A tim_write_timer_o <= true; else tim_read_timer_o <= true; -- MOV A, T alu_write_accu_o <= true; end if; end if; -- Mnemonic OUTD_PP_A --------------------------------------------------- when MN_OUTD_PP_A => clk_assert_prog_o <= true; if not clk_second_cycle_i then case clk_mstate_i is -- propagate expander port number to Port 2 when MSTATE3 => data_s(7 downto 4) <= (others => '0'); data_s(1 downto 0) <= opc_opcode_q(1 downto 0); -- decide which 8243 command to use case opc_opcode_q(7 downto 4) is when "1001" => data_s(3 downto 2) <= "11"; -- ANLD command when "1000" => data_s(3 downto 2) <= "10"; -- ORLD command when "0011" => data_s(3 downto 2) <= "01"; -- MOVD command when others => null; end case; read_dec_s <= true; p2_write_exp_o <= true; -- output expander port number on Port 2 while active edge of PROG -- write Accumulator to expander port when MSTATE4 => p2_output_exp_s <= true; alu_read_alu_o <= true; p2_write_exp_o <= true; when MSTATE5 => p2_output_exp_s <= true; when others => null; end case; else -- hold expander port until inactive edge of PROG if clk_mstate_i = MSTATE1 or clk_mstate_i = MSTATE2 then p2_output_exp_s <= true; end if; end if; -- Mnemonic MOVD_A_PP --------------------------------------------------- when MN_MOVD_A_PP => clk_assert_prog_o <= true; if not clk_second_cycle_i then case clk_mstate_i is -- propagate expander port number to Port 2 when MSTATE3 => data_s <= "0000" & "00" & -- 8243 command: read opc_opcode_q(1 downto 0); read_dec_s <= true; p2_write_exp_o <= true; -- output expander port number on Port 2 while active edge of PROG -- write 1's to expander port to set lower nibble of Port 2 to input when MSTATE4 => p2_output_exp_s <= true; data_s(nibble_t'range) <= (others => '1'); read_dec_s <= true; p2_write_exp_o <= true; when MSTATE5 => p2_output_exp_s <= true; when others => null; end case; else case clk_mstate_i is -- hold expander port until inactive edge of PROG when MSTATE1 => p2_output_exp_s <= true; -- hold expander port until inactive edge of PROG -- write Accumulator with nibble of expander port when MSTATE2 => p2_read_p2_o <= true; p2_output_exp_s <= true; p2_read_exp_o <= true; alu_write_accu_o <= true; when others => null; end case; end if; -- Mnemonic MOVP -------------------------------------------------------- when MN_MOVP => assert_psen_s <= true; if not clk_second_cycle_i then -- write Accumulator to Program Memory address -- (skip page offset update from Program Counter) if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; if opc_opcode_q(6) = '0' then pm_addr_type_o <= PM_PAGE; else pm_addr_type_o <= PM_PAGE3; end if; end if; else if clk_mstate_i = MSTATE1 then -- store data from Program Memory in Accumulator alu_write_accu_o <= true; -- trick & treat to prevent additional PC increment -- our branch target is the previously incremented PC! branch_taken_s <= true; end if; end if; -- Mnemonic MOVX -------------------------------------------------------- when MN_MOVX => bus_bidir_bus_o <= true; if opc_opcode_q(4) = '0' then clk_assert_rd_o <= true; else clk_assert_wr_o <= true; end if; if not clk_second_cycle_i then movx_first_cycle_s <= true; case clk_mstate_i is -- read dmem and put contents on BUS as external address when MSTATE3 => dm_read_dmem_o <= true; bus_write_bus_o <= true; -- store contents of Accumulator to BUS when MSTATE5 => if opc_opcode_q(4) = '1' then alu_read_alu_o <= true; bus_write_bus_o <= true; end if; when others => null; end case; else if clk_mstate_i = MSTATE2 then if opc_opcode_q(4) = '0' then -- store contents of BUS in Accumulator add_read_bus_s <= true; alu_write_accu_o <= true; else -- store contents of Accumulator to BUS -- to this to keep bus in output direction alu_read_alu_o <= true; bus_write_bus_o <= true; end if; end if; end if; -- Mnemonic NOP --------------------------------------------------------- when MN_NOP => -- nothing to do -- Mnemonic ORL --------------------------------------------------------- when MN_ORL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform OR and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_OR); when others => null; end case; -- Mnemonic ORL_A_DATA -------------------------------------------------- when MN_ORL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform OR and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_OR); when others => null; end case; end if; -- Mnemonic ORL_EXT ----------------------------------------------------- when MN_ORL_EXT => assert_psen_s <= true; if not clk_second_cycle_i then -- read port to Temp Reg if clk_mstate_i = MSTATE5 then if opc_opcode_q(1 downto 0) = "00" then add_read_bus_s <= true; elsif opc_opcode_q(1) = '0' then p1_read_p1_o <= true; p1_read_reg_o <= true; else p2_read_p2_o <= true; p2_read_reg_o <= true; end if; alu_write_temp_reg_o <= true; end if; else case clk_mstate_i is -- write shadow Accumulator when contents of Program Memory is -- on bus when MSTATE1 => alu_write_shadow_o <= true; -- loop shadow Accumulator through ALU to prevent update from -- real Accumulator when MSTATE2 => alu_read_alu_o <= true; alu_write_shadow_o <= true; -- write result of OR operation back to port when MSTATE3 => alu_op_o <= ALU_OR; alu_read_alu_o <= true; if opc_opcode_q(1 downto 0) = "00" then bus_write_bus_o <= true; elsif opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; when others => null; end case; end if; -- Mnemonic OUTL_EXT ---------------------------------------------------- when MN_OUTL_EXT => if opc_opcode_q(4) = '0' then clk_assert_wr_o <= true; end if; -- read Accumulator and store in Port/BUS output register if not clk_second_cycle_i and clk_mstate_i = MSTATE4 then alu_read_alu_o <= true; if opc_opcode_q(4) = '1' then if opc_opcode_q(1) = '0' then p1_write_p1_o <= true; else p2_write_p2_o <= true; end if; else bus_write_bus_o <= true; end if; end if; -- Mnemonic RET --------------------------------------------------------- when MN_RET => if not clk_second_cycle_i then case clk_mstate_i is -- decrement Stack Pointer when MSTATE3 => psw_dec_stackp_o <= true; -- read Stack Pointer and address Data Memory for low byte when MSTATE4 => psw_read_sp_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK; -- read Data Memory and store to Program Counter low -- prepare address to Data memory for high byte when MSTATE5 => dm_read_dmem_o <= true; pm_write_pcl_o <= true; dm_write_dmem_addr_o <= true; dm_addr_type_o <= DM_STACK_HIGH; when others => null; end case; else case clk_mstate_i is -- read Data Memory and store to Program Counter high and PSW when MSTATE1 => dm_read_dmem_o <= true; pm_write_pch_o <= true; if opc_opcode_q(4) = '1' then psw_write_psw_o <= true; retr_executed_s <= true; end if; when MSTATE2 => add_write_pmem_addr_s <= true; when others => null; end case; end if; -- Mnemonic RL ---------------------------------------------------------- when MN_RL => if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_RL; alu_read_alu_o <= true; alu_write_accu_o <= true; if opc_opcode_q(4) = '1' then psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; alu_use_carry_o <= true; end if; end if; -- Mnemonic RR ---------------------------------------------------------- when MN_RR => if clk_mstate_i = MSTATE3 then alu_op_o <= ALU_RR; alu_read_alu_o <= true; alu_write_accu_o <= true; if opc_opcode_q(4) = '0' then psw_special_data_o <= alu_carry_i; psw_write_carry_o <= true; alu_use_carry_o <= true; end if; end if; -- Mnemonic SEL_MB ------------------------------------------------------ when MN_SEL_MB => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then set_mb_s <= true; else clear_mb_s <= true; end if; end if; -- Mnemonic SEL_RB ------------------------------------------------------ when MN_SEL_RB => if clk_mstate_i = MSTATE3 then psw_special_data_o <= opc_opcode_q(4); psw_write_bs_o <= true; end if; -- Mnemonic STOP_TCNT --------------------------------------------------- when MN_STOP_TCNT => if clk_mstate_i = MSTATE3 then tim_stop_tcnt_o <= true; end if; -- Mnemonic STRT -------------------------------------------------------- when MN_STRT => if clk_mstate_i = MSTATE3 then if opc_opcode_q(4) = '1' then tim_start_t_o <= true; else tim_start_cnt_o <= true; end if; end if; -- Mnemonic SWAP -------------------------------------------------------- when MN_SWAP => alu_op_o <= ALU_SWAP; if clk_mstate_i = MSTATE3 then alu_read_alu_o <= true; alu_write_accu_o <= true; end if; -- Mnemonic XCH --------------------------------------------------------- when MN_XCH => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM in Accumulator and Temp Reg -- Accumulator is already shadowed! when MSTATE4 => dm_read_dmem_o <= true; alu_write_accu_o <= true; alu_write_temp_reg_o <= true; if opc_opcode_q(4) = '1' then -- XCHD -- only write lower nibble of Accumulator alu_accu_low_o <= true; end if; -- store data from shadow (previous) Accumulator to dmem when MSTATE5 => dm_write_dmem_s <= true; alu_read_alu_o <= true; if opc_opcode_q(4) = '1' then -- XCHD -- concatenate shadow Accumulator and Temp Reg alu_op_o <= ALU_CONCAT; end if; when others => null; end case; -- Mnemonic XRL --------------------------------------------------------- when MN_XRL => case clk_mstate_i is -- read RAM once for indirect address mode when MSTATE3 => if not enable_quartus_bugfix_c or opc_opcode_q(3) = '0' then address_indirect_3_f; end if; -- store data from RAM to Temp Reg when MSTATE4 => and_or_xor_add_4_f; -- perform XOR and store in Accumulator when MSTATE5 => and_or_xor_add_5_f(alu_op => ALU_XOR); when others => null; end case; -- Mnemonic XRL_A_DATA -------------------------------------------------- when MN_XRL_A_DATA => assert_psen_s <= true; if clk_second_cycle_i then case clk_mstate_i is -- write Temp Reg when contents of Program Memory is on bus when MSTATE1 => alu_write_temp_reg_o <= true; -- perform XOR and store in Accumulator when MSTATE3 => and_or_xor_add_5_f(alu_op => ALU_XOR); when others => null; end case; end if; -- Unimplemented mnemonic ----------------------------------------------- when others => -- this will behave like a NOP -- pragma translate_off assert false report "Mnemonic not yet implemented." severity warning; -- pragma translate_on end case; end process decode; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process regs -- -- Purpose: -- Implements the various registes. -- regs: process (res_i, clk_i) begin if res_i = res_active_c then branch_taken_q <= false; f1_q <= '0'; mb_q <= '0'; t0_dir_q <= '0'; -- pragma translate_off istrobe_res_q <= '1'; istrobe_q <= '0'; injected_int_q <= '0'; -- pragma translate_on elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- branch taken flag if branch_taken_s then branch_taken_q <= true; elsif clk_mstate_i = MSTATE5 then -- release flag when new instruction starts branch_taken_q <= false; end if; -- Flag 1 if clear_f1_s then f1_q <= '0'; elsif cpl_f1_s then f1_q <= not f1_q; end if; -- Memory Bank select if clear_mb_s then mb_q <= '0'; elsif set_mb_s then mb_q <= '1'; end if; -- T0 direction selection if ent0_clk_s then t0_dir_q <= '1'; end if; -- pragma translate_off -- Marker for injected instruction ------------------------------------ if opc_inj_int_s then injected_int_q <= '1'; elsif clk_mstate_i = MSTATE5 and last_cycle_s then injected_int_q <= '0'; end if; -- Remove istrobe after reset suppression ----------------------------- if clk_mstate_i = MSTATE5 and last_cycle_s then istrobe_res_q <= '0'; end if; -- pragma translate_on end if; -- pragma translate_off -- Instruction Strobe --------------------------------------------------- if clk_mstate_i = MSTATE5 and last_cycle_s and injected_int_q = '0' then if istrobe_res_q = '0' then istrobe_q <= '1'; end if; else istrobe_q <= '0'; end if; -- pragma translate_on end if; end process regs; -- ----------------------------------------------------------------------------- -- pragma translate_off -- assign to global signal for testbench tb_istrobe_s <= istrobe_q; -- pragma translate_on ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- clk_multi_cycle_o <= opc_multi_cycle_s; cnd_f1_o <= f1_q; cnd_tf_o <= tf_s; data_o <= data_s when read_dec_s else (others => bus_idle_level_c); dm_write_dmem_o <= dm_write_dmem_s and en_clk_i; pm_inc_pc_o <= pm_inc_pc_s or add_inc_pc_s; pm_write_pmem_addr_o <= pm_write_pmem_addr_s or add_write_pmem_addr_s; t0_dir_o <= t0_dir_q; bus_read_bus_o <= bus_read_bus_s or add_read_bus_s; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.26 2008/04/29 21:19:21 arniml -- better support for ISE/XST: -- opc_table and opc_decoder merged into decoder_pack and decoder -- -- Revision 1.25 2006/06/20 00:46:03 arniml -- new input xtal_en_i -- -- Revision 1.24 2005/11/14 21:12:29 arniml -- suppress p2_output_pch_o when MOVX operation is accessing the -- external memory -- -- Revision 1.23 2005/11/07 19:25:01 arniml -- fix sensitivity list -- -- Revision 1.22 2005/11/01 21:25:37 arniml -- * suppress p2_output_pch_o when p2_output_exp is active -- * wire xtal_i to interrupt module -- -- Revision 1.21 2005/10/31 10:08:33 arniml -- Suppress assertion of bus_read_bus_s when interrupt is pending. -- This should fix bug report -- "PROBLEM WHEN INT AND JMP" -- -- Revision 1.20 2005/09/13 21:08:34 arniml -- move check for int_pending_s into ea_i_='0' branch -- this fixes a glitch on PCH when an interrutp occurs -- during external program memory fetch -- -- Revision 1.19 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.18 2005/06/09 22:18:28 arniml -- Move latching of BUS to MSTATE2 -- -> sample BUS at the end of RD' -- -- Revision 1.17 2005/05/09 22:26:08 arniml -- remove obsolete output stack_high_o -- -- Revision 1.16 2004/10/25 19:39:24 arniml -- Fix bug report: -- "RD' and WR' not asserted for INS A, BUS and OUTL BUS, A" -- rd is asserted for INS A, BUS -- wr is asserted for OUTL BUS, A -- P1, P2 and BUS are written in first instruction cycle -- -- Revision 1.15 2004/09/12 00:35:44 arniml -- Fix bug report: -- "PSENn Timing" -- PSEN is now only asserted for the second cycle if explicitely -- requested by assert_psen_s. -- The previous implementation asserted PSEN together with RD or WR. -- -- Revision 1.14 2004/06/30 21:18:28 arniml -- Fix bug report: -- "Program Memory bank can be switched during interrupt" -- int module emits int_in_progress signal that is used inside the decoder -- to hold mb low for JMP and CALL during interrupts -- -- Revision 1.13 2004/05/20 21:51:40 arniml -- clean-up use of ea_i -- -- Revision 1.12 2004/05/17 14:40:09 arniml -- assert p2_read_p2_o when expander port is read -- -- Revision 1.11 2004/05/16 15:33:39 arniml -- work around bug in Quartus II 4.0 -- -- Revision 1.10 2004/04/25 16:22:03 arniml -- adjust external timing of BUS -- -- Revision 1.9 2004/04/24 11:22:55 arniml -- removed superfluous signal from sensitivity list -- -- Revision 1.8 2004/04/18 18:57:43 arniml -- + enhance instruction strobe generation -- + rework address output under EA=1 conditions -- -- Revision 1.7 2004/04/15 22:06:05 arniml -- + add marker for injected calls -- + suppress intstruction strobes for injected calls -- -- Revision 1.6 2004/04/14 20:53:33 arniml -- make istrobe visible through testbench package -- -- Revision 1.5 2004/04/07 22:09:03 arniml -- remove unused signals -- -- Revision 1.4 2004/04/04 14:18:53 arniml -- add measures to implement XCHD -- -- Revision 1.3 2004/03/28 21:15:48 arniml -- implemented mnemonic DA -- -- Revision 1.2 2004/03/28 13:06:32 arniml -- implement mnemonics: -- + MOVD_A_PP -- + OUTD_PP_A -> ANLD PP, A; MOVD PP, A; ORLD PP, A -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_synth.vhd
3
25975
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block I7to3F9VXwBiBDxdfzZs8DRQE7v9gjq+DzDuSqhe1nBYk35JOy9eZcxKaE28Z86HVOr9sUwsvLSp yZHTQbEonQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jVwK58zbG+YlnGrQDAFO91nOePjh6VirDhojcU4nKfOqYiQ+U0v0xABqEES7V8okYDTcx+Sgp3b/ ++iGNLqJDetPG0qg9H6wmz1v+8vhjlQ55YgQRTROUlI1l/b5GzCUivBuYz0jOTU3OysPKgtrlfY2 EyoiBBaiehIzhwjW6oY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kkA5Fr5R/uP/D14Y9Cr23tzbQvMqQJgBfAUp7gcwbFny7GKznme1EW2aTtifw6epIAedMEwX+tMY lZmC7Ac0cAJJjJqYSgQUDJIK1liX2AfCL5tRc32Cfy0ghYCNhVOODQ1Hrx5Hoa6UGIx5vZ/ZjZum Zp3wC1dn8GB9gGzWF9GSgTOiwqFnK5CSdwUdRLjTiPL/nMloxSUeoaoIXzbvrUH5D6uMilT2f2Ic d/dlU/Ks9ndVN4wq4ULgcQ8Wkp3/jwJdOTBo7qHozDSoylfWDxp381CGWlQr2ekBVoDXSnemksrV +EaP1DaY3EnzpBYDi69v+nYzHCe4x2ZSW5NSNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SpfynsUeHBVqWUDLRXXvcaarBfYtKZx+mmvJr0XOPLs6d+XdU6xHtIjOmdtAqrDt0BTUw4XE06fm O9aI/HhEIDZlJb6bEeyanPAuDzS3Mt4p34lQm2Qowpji3IFunJxf+JVQqbQrb1SYc2gVFg4SxvX7 gaT4rG5J/GfYpbDpqwE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sFf/sM8HbLyoLipWkainPQm4uGTgR5vyyfxB3N2jf4pMwDwWIm75T34bMAk7zdcxNN19ng8+Pgpw S5TcXUQ4oErcjgWljoePtBZ162lBb7bTm9ntA+IbEAmIHC8vcRPS1WE0Kyor13uChcxuw4JqcYaI VMHawqdzah6DAN4W2U4CoWrBCPuLH0pjSJmwY6Ccb/aVXKCxiLOi3JXO+NFUijerExGyrXlLo2vF +NQUq1Ux7eQhRa4HhakcMZWjvK3lBznll98tQyyPFm2dE9M8M63hkr1Nk8c2N4eEGSFFE6trgIUO KnyrAVFN7f3z0zcj3+VDCZ/FfVEVFqDyHtZO7g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17488) `protect data_block FCnPCM60pIuvCMbT5gycwSIbQdu9W2I9EoB5V+66Fx0ZZ58MqJVRXDlDjku5E9mMLvYO9B/LOFIB tnoFTRqLZsODZmqJXAsBWwId4QgWMAvQK1kTqvPwGI6+ps28rDTmQnHMzFJVMOWt+iHg3s1jUlM0 bZO5T+xdeAInKu0/VVEIe3nyzI83cd66ouYmeUFSVTSQR9NCiDqdHnsKuyt+smmYilvQIEz2vNa3 MUHxGUKJ3Ixfi+hZdGQq2ihunT8YwMyPd1GRRRe1fpn8owye4yT/jTx4P659GguLN6erqGGr/73r 5tRX4FYyxGM0AdLme3CCRnzR0aKcMBBx7kul2iwShIJDtDYj4sCJ5el9Ax5MWtEkomqTsDixJvXY 1OFAqsC2nchA1m7bzosYi/ZH3W3BtPRlkrYZ4AiheVfh+QWvv42jVZNKv1Csk8OnO6dv6/QNv1e6 Uq1/WH1i4b0PUxD22mcEQFWb1F6bf92AGMkkMdjuRBMLrKNlX+j3BQQNxbdyPFgJT+GIQdZqHwIG YsNy0QviHydJMjhJ52vk6DLvn1ydYwVXVs4nPhlkjaFvyvkoo56jhB5KOvivc4xRvQm9O8gmZu9w METzhR1PjyKvUul+2bmsS22L+ymcpnT4h3YxxbFxVJfd+lvlv0YLO9v2xXCFDhMt2f18yX87t+7s 1O2eGGknRRLOFNgDQqJJeVAAd3+kJNjCntSt/W+Kx6+B3K6i2F2Y8sOwluctfA1LGipBxZm0+5ej ib/CCwGS1wMtoseQPSWFlw2Vq50EFRAMQo7OT9KnLlTgsAZkByBcYNX/umByouhVa7Ux6XBcfnHa wKNfeCO7ZZJx42HJZRRs9gFRs0+pqhHkgrNi0f6UpOCki3Yg2VtiJ2iDyU0L/UygknNeiOmpx8Zb HD5ipqOQ+waxl+l7YLls+S1lOsF9TjmF6Pc8byg/uU3wBrSfZnh4ycS59ufVnjMuXkLyy8TFpAKO 3ik5640olxnZ9Y7UJF56wxAzmF2K1vVgYcxEMC/wdl2vEP5jAYiWGQjceozkZCH0hSN8vYTLJsqF 4oMee9cQ5WopL9QW+SeHK6YCeMVUFJAVteQ7vjyLbMoENXIoNUAGv4PCKmF8ubEvqX6brEvpREte Y8JZsnZxAaS3p7Ds8uXInhG41dzhydyeoV0N15H1uiYsrmNe+brmbcjej8b/N4/iv3PwgWjucxWb yyOd8P8pCZ28m1MXFcpnqZA0KGOKe23tgGkSSphG9x4kZR1HAn7z1WIYJ8KOUhFYH1EjzYUMWXhq kvyHCdKiCpILBu2fSK/j9erfRGHBkYzNcqCejfXTKDVor2TUSFt5EWfUx84eMv5lL7F5hL2V8UEu CHBK0ouhUHm+yg8WegW5gS9OjLibofSaIeaUuxhGSh+01z5p76utO8JAN34qIb50P/koiHosp2T3 +Urw417+LFa8/i6u5fRDsZrJ4kewS9OBiaqU9jQtkqx16VEJR6daZap+r6dl8hkkjd/eolmQoZ8M AX0FI/zQitlUxW2hsPOtaT60TgZQgOFR8JuEa7UdUyRvr30S4ykKZzqwTuSNE2G1lDM33Ho6eQYv v5f2FXuM8k+BvsbG1bTPtz6+isYp64o3Ii/NBIDubpY+H+g/ClaCJS73+hBlKIYg8W3/AyXP0YUt iFWMEYA6znwfxEfjWcAFsgky2pPP0UH2G6Z0+kzIynVOjJ02RS/6aDLbntntcoirxjx9CHoZGwAm DI5x9uZkqfTWAHboPSjZF3/Cd6Kh7sdGpbDNTq6MXOHewuklLqbDFOUGfuA76346x4VPKfHhgSOA QwWHnLcHZQ8eqATZ7r2c0KXaWNyqLkcQ2cvlltK1EppDnGuy74qSmzq1NgVU3ygMFpOjY/qNL1o/ qqtqyhS4LVXrm11udmX8uKpohxSl+4EQQ006+svwf6bmFj+jFDs/hoZHodksJ3Ncs08LuKMUyMkP KMDGWRu1E7bhfMzk5bJihurzomcTfbLtVWhmk+00SptjvNz5V2XKS6Hs88kmEImBZHOp5l7i4gi/ 33KqCcAIdt/cM8JvUQFc2G8iwmAo46tKxKK0a/ol6loR2E010uorrSrMkDDXsIAUwascPf0STrS4 F3Tu2DqAvaFeMl29KZlEpmAQI2kGXXHjHmrq50uidb6ieu9My3jk26BsjvysLy0OfB7lv+nBbZFJ dcKjOSkAVOTw2GxgIrxWWfIk0Rj/9ng3jBroKv2GO2yPRkUgdXb9B2wkx1co/PKVAYUIWdbhJqw8 SA5eXTbNB+mMmgSIlbuxDA34+Hl0Z7DuYiZ3E8W8oy2mv1LODa9MkKi6YUwG/ucuGvCiWjiKyueG orTl5BcdzcXeJ8KfFWu7sD+oPa/ndd1KCAZWY+Z0A69VRwIG3VZAwylkif2D66DBbPCW6NrN9FbZ qCvtYT4U77+wY7YCEDkZFQSfoEObknKx/Hh1GxZJ/EkndDdiq4lxA2jW3ijbvm6aXpjYEDXaoe0s UH+/PIfx1UkRgMWcjCZcFZU6C0q1X94Lbx17EAmaR9Nx4TjPzofikiO7W/BE9PffMF79IrBaKsMF fm4Eu0IIHTtvfWbK/hS+xBBOwX9y3iPcDf/zJJmnGj5iKME+KpbLgpJOCchmKvzjR/GSRFBUQghj b3wGcqyH3p6ApmRgVoLPXlD66xbNCuh6WD7gpJWRMFgcWvBxi04plPMDYiQ1k/UHa6qOfkorUzfx MNqIiH5h6JjkBd5/S71tH0jwuORonjjzcikRZ243dN1eoMYICcB0wR9hd5LbDp1r9ZZRx2bN5pQk FwjS+BBrhTl8cWVshAFzUeYNZ7PZqC8piLz1eE0ec10lOA4vVZNFpm1jYEmZPnbzgZ8j/sx3f83D 6wb0vlTCh0gBDLjS8c9Lqflemh0YrXu2BEXB2HO2cbRS1/BOswSfCuuscjdlom/O0cZgbxX98vA3 Q5NoBDkHmme/YuGruFWP+fyl3O8+edDaGQchKGs4+gnKToMjSrh+RqxdYbMmb7JbWfgQ93DCdZM5 EMQyQR7NyuHcpAWpRhE4+VcyxMpIA7ZT3Uz9PD24ZDn+DD8MQqkqjruUUf+TVYQr6SMT4dwpEk1v 9u06jLARr7Vt7/OmyWQNaDU53l4cyMkH/kU9DJuUTQaVWHyJnCRyQwdl4WmJ/USdgXnbItwhwayu 4wgfAx78tVk7F5iZiORpSIh9YbzYyA8pO2WJkC83C2PzBONLsftialvdWbR8FP8sgbt6pFFY9hxc yQWlKUHGHDIB0pPLp/9HV8RIjihsHOn1jdjG+A45kR0YY8DVJjjxd+jOhZopI7TqwirAqal/gala OFM04A3q/olaVtZ9ptBWgO9wbUI52EzMpwGGylVWxXU3vwyFSBKlXvqBTUKaOKX7sWOwGRbw4fUa 95asAKACq9PN8xvq2A01fRwbnKZGAT48my4j+Fazu7gYbYHUw5xZ5GLev/9ss2p048pxggmzFbjj qdPCYD3jNz9R6vaS4Bki/kJCnA2XhjPMXykjCzFhk8bBFh6UhisXxbRRPnYFTBDIY49STuiZ7VKS d4W2hVD+PVhVkcZeZXnvfiSsNAyZ8NSL9rNcn++t3GoJeZMxc2go1CFQzLJe3KLgIqPZ1KsZSSGh by9HWWUJcnwuduSg5gFJ0py3eFa68iHZ5Nvdv0mfuc+WPl8cOy6Wt8X0sRu2wkV4bOzwuon4d1S8 uKy01ZokgkfSg5YEEhAwgJ2nVCMoQO/frpCrmGNTZIZ1hzaVUm8ax+Wzp1nRrMlTQUmsNnEoMJeL a6R0VEHQUIWaWvs4o+ZzfBq0330R70UbuelzYfXYsRawhFCopRL5jDsmyq5AUnNXlfN2fVkebq/1 yO53gPSZYWAxqvhTMoS8RSdbUAyyZ+AugDD3ZGf1jcoMUIn7V6YGw8HhVcUeUWyCk8H2ADkWUWgm lV5gmAnqSPfnqSpohl2ODfKAwsVJKkxxO6UsY7+bANb7STBYVj09r6Ad8EsHILYuveSzAcmgvnQm rumuJMy8epTJHseIcJ1Fl0jJggREuI4ViuCQHHR1GkFhGQ2f37FbojBXTSbS+R36J3uEANA/yjXt 3nFWyLousN8YTpoIw+RkrJ4EgHaqd/VcReHmmMOkLXBunzb3ENFKw0CybGemCe+b9CjUvoPC0ogk ry+AS7nJvy1Faen7MRpIUts7xcpKuxRpiIVHkP6Zzkr2RnlUG1F2mJpYogltPECYfdWwubSVDiZB 7tF8Z+g72Xza4X0hgF95oZr6wfR1AX+JsdLU8Li4sQJ5G6Hjr17NE2uEbVwYhuci30PaiyVS4uKV DEbgG5Hs5LBd5CAkCZ13aH/y8Z7eDfGd1wGcMu4T6jqaiyLHD6ZdBr9PB2fstoxGu1mh7xCyZMNN 5EhqctTA14+B2UoIbnDG3MavrgOhqtmF6swYdvuh/e3siMtb7cbP6woI13dHPGCupTmkwdU56NzO F4GWOrVBGtTlP3fZhh3BSI5if72qklHTAWvBECqJDg5PGDI6BDaB4OfeRQnxiAhX2p4OBD93mWUe 0F1gTMnPc+GpXxb4SA1F5cHB2we1yIukYZCeFE0lFb0OVRqSFdDPWph78yiy9Y1Ngd6lceGW7bxr SH4P2ofwbJpFC4+4HCPCqrrpM1K3SowNgNHDBDHj/c3raMWP+RRIk5nqKDW9caFvpwU+whqOAerZ 22o2jJDTzRwkMt4jAsb1KfpkDPO4Zr51TH+ASs2Zg8hkNU6WAESdMiL/VVWpdRcADPoZzABnmJ/Y xLDP/QRRU3ft9NKz8whGaP8qi74JvbVIbHsT3cOg5DP7v8PF9KitauI69FIZ/xl027CdghwtCQ0z tS6aEMoUBYs1sQXCOacuXF1cJKhGis4/9amkH9hBKkByD8Kyve/kW5ZiA21SYzreGmvn9XE3q5Db 53tEGykZXstWaXSm6FjW1pOmR8fnrg2R+D1J/x501UniVbUmBbptlFkbQ0RiAJpdRkffVN+dWCVt /20zQDVE8Dq373xX0uYH86VbJHLC2NWxjdo8boxfQKPL677cF4JTzcjDmiXxsGJocD2cqh+t5Ban epWVL4N1B78tC/cIPWzjouLx2K4ylWf2aHDWXZ2+6kmZg6os8vgvK+UM5rPqr5bFKhFQ0BukF02N 4kqmdgPcnZyrEKYi1QeApmgtPtRvx9diTBuKCc+vlPhYmUJm39Ae4MWdaoB4cqJCMyKHlxJYiPOy taMDoJQSiwSXJz334kk+2mWrv+EPo4XVyh8aMZSD7VLojdL9Gwp17xHIq4g9xaMx2EA6gEcH7hLb d+Tm9KwWAylVD/bHEbNHfF5rmEG5DoiOVjECjrGkG94YVpRjZun4qPqzny+wbgBNff5COI52DjnY l+1mId9/BhKVvvtdHMBir/WjGzBFhhihjEUxJsJaHHpQVC68fYGoBcssPhYreN4TkDzAkGgkAoEo iDXQeZEJIEjOyh+v/nUzl8XOHU4YMfIXX7wHN31B93Ro0RJEWVri13ZCquxMbMzzYpmIshIIqgR+ ViS81muE22lOlhaxJBkCSsshjhoOqM6XccrVbiEfXg2lzhTDDubqGtKZuL8XVJThdXBcp/9dd8pv 7Fmv3f7oU+rccZka149p4JsFCC06mr7dGPjup6g3exJlwaaxwJcab1T1cZGI6TopIuidPeaBitzQ MAXk2HODDxDwcpzp9c0CD0xmKb7d/89H1F3pqc1g9B5SRsgOsSx+AJNRgNC16XWuzxlQcC3OqKEg 8IZNl5avN+Y5TKEnsNkhiggtg3P+k5DdUESkDBQUrSX9Gk/azOyYs5oVR09cmOsnVCefZVS6kAYD 0f/ODsy76HDBzj1ClWHuuvDcv87w0avpTbedn4OaowZqd7pL5m5H0DDp8+SeMobsBnj/7pU8AnVG +fd5/nhBf+Fq2dWMP0QZH5oHNuYWfl/dyY6tg7JJSMLugZmUPLYm+6sQNgnC14Ltz2pwre9g3G3v ib2R4xvnwZS7ITQTqZPJVbdlZqY7ZAm5gh0dh1dULvZJhtRXXyXPSGPZIe85KIxLvc7PX8MeSMtf B1mhgYxOkEiZPX+FvolOuSgxc8O16MnnttND3ZJpViJJX1KeWWEOY8ErJRMezMz2X1RKuUwFluDK xNmjOWXffRpFInIpxNv1YKdAtfsSRZB/z2iU5zNPwSjFZfX1U7U2lXQzgeGVwGCQ0I3j3KNkz6Kp JYeXZkecQCweYr2pvgHUu5LkrX4XTzen1FyCsfCrynx+9Ddz9BCsba4+Riut8PU5dT4DbHxdJA7c q6hHlR22Bj/CljrmmfkiSBzcsP2GUzRCb6GYi/+muM9bchXWY65i0L0DFGmJZFQVooxCn+iGU/gO iKwOsrDs1AwJxu/lNcZ6Gvbhuv3sRvSLitwVKhFuFq4dIX4/SOIixW1rYWHeNtz/xOWjGJgJrRLa vhEYqUsapwheLTbzjaVBEO7NYT35RIQi2DI4Wd/FgQkdNbd/bOgOIegJ80kb/a5dX4bmg3Nd01Nu 1p6SAS8MKTj6r1qFFeCterN4mFPCiMcAV/1swrPoXLuQ9SiFvbdpW5Pv8LWOL/WTCfOyHgW7zKNx /8k589uSLAuu4Bkg3P47A7lmKMFmbKbXmpq+jpA8UeO/xV23kOyL6meGm/1cEfGPZoLLBNYskbZm DqOU4li9Oq6dC0dIpr/fG/FmJbRF1XMV1t5EWUI4iLsDwpwMXottfGJguCuVFuugLszHVRF+DfXs TYjl6FrMVUXzzWD3NKW+84BVBrOxc8/VhroVvEUvA//79x1mx6R/9hemWWPRgdudPbBjbL/7t1gM oulA0AE+TMAAlTm1pJVNBV1ackjMjj1R5DIKuXsDBflRaYN4mW6avm7zwvVBMbGSxOHvS7aznhxD VfqAsB+8uFgHIR93jcyW5H+GcoknHlvVrpNkxmfOu8X3BHF8d7Zh7gMQOfxdqpAcAqTFxnGnlwjm aRTXNFxASCBzfZ12IJYlnk608jTqLNzr44LYNRLYPJTBk8fFWY4ODD7vl8mJyKGAvdPhCvXu2UL8 lgmbfy2QQUnsPzAPpkuojobOqLOWt4Zf/kbSMcpS8tAkhtbZ5iS+YStBt3KGpXJHr6PlDZ5C763u WCH4vYNrVn2++QItXdMqmEtTkvgphR8jTkKh+5hhElYDx0mxH/7a8OjIzYvCWsZSCnuSriXyYIo9 xaXrGIdfHtu+ddE0gNDTRZtcJEI9rYI9Pyq/EZz/c3o0sStfFWxhDp4HnpH+xx82Gm65IwUQ0p8v fEW29c92TxjKJedu8ikesu4IrNNYd9LE2RvbbpEfh59plmKT6iZvurZC72UQbb2AsWNC57U4eb46 EuAYNFvJaeoJRdvS5aGmtJRccyPFcEJ/TP6gwvW6R/PgVgGTuD6XjrtcNz5JneaDWOTEoS+Plr3g fWAuaqEF1buh7Lcn/Lm77G0km/pgBE6PbdDfKvdcxM5OA+iNw4xixxb5Fn7/xxgNf9tyHa9Ou2K5 i21IyTMpwpNSG+bm1aBwtpGGEwjPTFvD8WlzdqLo3bYV6M8TwFETnRcXen9JpEWgRVQaBQjtIs0s VriAERBIUjSuq/8wkbYzQp1TIPCQFvZlHVB9B1vtOeZQd2adteAUmvBoIK8/r+73CHWDvI+dwZ49 Uw/CgxZPBJifJhD8vg5bjgsIoj62LvXI3Itlep7wBqzFWQ+4Jb9zHf3Vl3WtG9PtE+mjOFfWcwxV E33O3otTv+6wyshe2HKtDbUFSvbYF0p5u21IrrbVHYERRQXaBdwQ5IEBfKw5/s88mijJREO5pHxi ajaXJ8S+rUbqjfgw79gMtxHtTD5vzCSSJdm3jNreZlz4pYjsojo3+djRCDSBxyIMKX8nWTFM6un2 m2EMgARpz2ae1WLrVzVSOWuRTV3omGiMmG+mC7E7ABeGscq74ECXZyo8fgAn7H/fEUuvgrEB7YnJ vITKcceXFz1c4Io0OMHq00x+OLhmswldM1/TpOjeWC1B8ygDtzE5VR302+W2psQIll9X/14pgH6b TR3yhwvly2D2SHgJciVhm43rbCjChmLHKdYjn9VdibAcBCjGzswqK1mWF8AnjjaXalZY5VhfnDq7 XG1KG8XDZpNbKAnOauKzAmOoJip+EBF4aancyh7e8X9nybGciEmdw1eAlrZy4HxLSvlz0Zxzg7kT HvocMp+pgkrVl0sYXzzGxtC9bi7P/AraZJoe3qM2ZeJL2XhAYXEzEA7ih+L6H8v9o0XBV86u3E+R aCfZGKf80ZwX4VtaItarEhQUS9qLoIrSCOB9FfOMjwStQSGFpySp7Iw3oyys98u/Se4LkURqND0h d7CdIE2lX5D4k27vFPk+ZOjva1TzTwEgikjLDa4jRJ2p4JCkfuWnOUjPjOq8o8iWrqF1cGztLgMm F4S0Fi0H1DA97tafglrIyMO/QXSw3sCrzBQZ0bxdRK2Of8s2EOmICPo8UV/u+47GdOA8Esw+wph7 83Lj4DBSBr2Q4VqujUeYvZgtC7LVnhV7+rESwxm5qQ6SOdriXAV0IvJWDPgyQPS3Gv7zy16bmVTr qMU7x8qSGj9bHml591kIPW5WqRN1jh8q5NVmMqYpToCKsbZR+JCYp6NIO59bxzzCswv6D7Ij7sWo 25e9qUJMUEl05vziGPLYo2vljsaudzy8GXCLTkm8MYe8hdewzo4tWGzPVMe3UMGoydedla35Ab0W QX3Bdm+hb2AXRNd7E0Fi4vWm8k5olbZe/6syHl7ETSZLcvgA0AcH0hFMO/tQqJiHO/PVDB+oSv8c T/K+LavdDGqOYIIyOplXpsC1wQCclU6rcH+u0om7gD03U9e1dnRoXCrbFI3hLw1jgsgdtBhwmNEq F99Wyx1v1VjWs4FbXPPjUp1WDaEaFRcS9xj+WDaSn9Qo+YZ5k2adXPL4D7c6Isetw2amxOWZ+gfu stgxpeXhs+fcMu4zzIvvagzUG6/2Wwx+bIH9M6/QmwbJ3lWPsXvr0HFT8ptqWWD34vQxaw8Tl+8Q gHBL8xmOwduQPnxLruRH8ccE39j/fcICJekSrk0bVWj7pNUhqt6Tg/uLGeDxbhfYkvgv2MVXlc4d xejmFz+itk4hVlvZVIYh+3XqPj/3sTF6K13MkMMLjNOvYFEwV21ovm1XWgBMpIlW+cQ7BPAadjha y0Cy3sqBHrUNNOhIPayYleXYGHfJ/K9O7xcIt+yRuvOlvqzCAB9A+2yxBkW2HO+/Plle0xQZoThd lSMt1RXcFfdoWzmoCFIky5UXJh1qi6m52EqwepBLHctFEcOmMg0yGDdUAues0VPj29bh6FTbRahM NIVQPIcD8lhnGa+5fGHvxxxG9LT5X+qIiANlJe3i7YoTMRKVoQTinlYYWf84dHqGRG+jjG7yKf+h Lh47GFnVXhwukorTvV4IqxY35E9jcdnWqy4j426iZWuHV7BeNOlxW7GpelM1mrWnkTa5AyuTkqkA iXh1rzHni2wb2jkMWTeuv0hDEe3wW+GoBenLAvM2x7O1kxgfSdPnfzy2QEFGkRlgaDLSAVZV4N3N fkuUdzMdU8gDRMhbvml3TCZiX8fqdKXvGR+OAOXw5Q68d3KYCtwNEcchAYIskYSYt3w4kNtKomHj ri0rWIas2f8NgF8eZf3ltP0omQQf5AdIIyo5NTzNjpWR3jhGlZ0wZTdZxpPB3i9nCnKzFY0b9WLl /nZ6d3+EqZ5Wl1vMg2NXer14uSzrwpF04/F/Ku/RaA1hso0AW648L+IuIItu6GEu9Idr/71p0q6r wXTSWFIjPjNiKXuMTbi2ISc7TKceDNcQqTXrAjAECmAp/OHlfhK0JgzpJlRwUL5CL/Kqz6aYOKHg 2oXspumpYGo48nO8Pbs9azB52RiwusQ50qE4WX7naQJpyer4T/dmeHD9nEZhth35hce3ukTpQz+q fuorKqJ7XVzsDYuBw0zlA29aicuB5SFTENvg07F/ZTBI3NsOV13gUtakmaV/gnXhUQmUtgjZyum7 zKSUbeglooEuDA6D/DMo16Y/QDmNa7uGJg+htmu6BkiWZWKsLC6UhgEcf8DiRAYLgMNe+KzS2W+Z h4Wy++08fmwAokT8ftaqBup/HzZiidDIXwjdTs4e5eht2S5/tLoCN65WWeUd0FgktQldk7sruG8M S6KQXCHERsBSNKsie9+TCUa7DKaAjgZx4z7ZcCYq5NwDAI/9J1XdD3GuKa2lSlu7yDFZ1QuHwEHA plicA37QgsIekP00D1guTHIykGxS9DV9Bq/p6uDC77atwDCDphn26ssfO0aLwej2XSkatTjnxLjK mrMI6zr1h2x4bQa7yvYfNQHkl2I9sf8OIyxSEH6Dq27txN3C3M0UOUl+IERB9naLH/WwADJrdhK4 aTmh9Tg0DXNYVtOTLZo1EGUTDTdYpbDH/enOD3lXx9jQCDjTpYR5cF+vDsTFmCkFoo/TWWuD+8G6 wS61WDAIvsO74KLQPpO3KgGKqlgGlKdP75SUw14+3o8yGYt6Gn407CIe7RMExcH5wcdnWtu9BulM 91HRjtEo7H9RLeiZJraG/+kauC6agquM7kY9BOtzWUP/JIDPaWq32M8VQPmsVXQLFIbDUZTzV/VL akHemUgTycH3yXnVjZv5P5MvPIj3NoklrwoRDGc0fHALrmRv44R+Wy/Z6MD6DXV6jDdO0HoDXOjj 87aV1HIX1TxoWUqriKtc4KZdfovXUZ/GSMR212A1zzlV+WPW0vsjWhFlZnXWwXXlIL99dJnvY1GL aFkWGom5mG8hW9OHOL45rc29CyKZIOksxxAj6tjKbOknfxVGAUqtPLOWSPy+d5V+/dnERaELGwdD Cmqr+cmL8tqVxv+dZZBRqns+Zd0MiUhjRuJE6UOf8Wpzwl4Xmin7diTGYkJDM1fBv/BXCC6B24es Zs4iMGXfONM1+BbLu2bwrz1TDjRH4Y3iAI7fWp2rt7YhZyyNktQ2ZH4AsEeJuqxk+WaABBP/d7v4 IqKq9JSB5xUlzMKlVpb4O8HhaCO350IUALBlwRW4yod3BuABOz0FdCxO/qvdIuN4pLOBl6K4yEjz bLBKnGQuLHEgA+OB4ozZBxV+I2ShgNAeoMJx8khIXW468My+WhSAAqdiY1fwMSF3NbtULSoPThsP XpEp6D6cGjrfgMn6mIN/NRWOfKjMhSQ/nMBqaqc4mjSwa5ZtZnceOUC3VkOErzamj4Ltl5EDd8GL HGkLRi6fpljzpNuTw+Eiz4ELqbTidiBZZaYUNX5d3CdkuAzvE5v8NuAVBFV94htTusd23/YYmHUG niZkKGtsHdVCdWHOMWavDeltODfqXhSQ9qMdO12ZIuIv7d86ZqTs1+eEt+lGJpny5G1wt0WTu3tm c24s3sFBAmjq11sxTYYeS9CUg0ubkkNmBzuuQTpb96d+Lxu2EDaUaOtUtdy21X950KOSymWBPZVz BhMdyZ8ElNjiuChrP6P3IGqH19Gr8VKyNjI1uFpi1qqDE9Myy7AYvnyJ2OmLwc+dnE9TXZ3S8HMm 53ehJHbDFJ/ybZaxVVECh7bhJL1yGRSTOoSVfvGT22m9BsfYzdl2ehyx8O083rynG2njX9po18Si 0mOsXFcdPPYf8/08rtaLGaUicvGktFDJXG7PmQ01u4gQyen6L3vBGyO5GhbR5VrEgs7/m7A3iYHh Ix8ShCF+PhQ4PdUHTO42u8ZN3vXTjI8Q8LXyjcULWofvNNDoZyeFQWjf9kBW9q1ezh4ha/XFUD7i 6YgP/vHooJTXKII6sorCATa8Om3aq+NWxMEOEYG2Au8O+Wm+DHbdlYSXlYX/hE3QAVyWsXQfHzVQ BAuCFMgmLOWgOS1zRv/JXM1wpHSWbQIAAlFh/uuy/yRo4FA+GBTdqF20Yqk5gGXLfSYjrO/JMeLk fQt/EnZLPzRPNKUSnvRKhGqq1s+GTaruVjTWJAVxhuOvFMttyXbiK8L9M6Jc7KZY3+qKFk6BTvj7 rT8E+JUNLy8ZlWkaYmJ1trubU0xtxOyy5dXj5SkfLXcA5Gj+chxOucuKKz3Y8COvVxmzXjKxHOdk JclKbU0o9pFx8D8SFq2exiQpGL6l+bcHHM4A4+1QoUViHrXbtFmddtIfA0N0r+nT7huRZbco0lAE 9gyIOHrvqhyead7GaIYzqD3UnR0RuTGQ19pvWbbYUYW2e0t6GOOx8UO9X9Ay+1x1FZFkreOOZv35 rMFweAwjP49tAAhIqn59/mTEJfEnDQuIYomAuLKiXKbKjoDd7R8CwPMabeWyebxNKY+5q9jV04xV /FGyx8/tQ7JibBK0txC+1wzvrUK8HHI9gNq4393tyuuvCQYAnJusj2+8xwz38o2JDGvNlE8yaIe3 5bn5Nnk4IEKMP8MYmyCmM+vNUWr/IuNpXqy8gqBST1IF3Q222alkRKEhM0p9Lv08n1yegnzIPTZP cClIF4Dvvy4DiDoagEdF2KLfU3/sNIh1DdrRXiMc9Tr0KAj0A+TIIObQfgLgjxzMeVI601NJO5kL Kz96DnGy9gWh7u7UW7e3rEihyB7kmpag9+mnq7LUYgLfFUVppTCOQ4axepDOgVRhjs63yNEu+r4V Z+bHv3+I6C5IWxPdkMo67SIc2F3HEi7u+YZ9aUPcIHRHdKDi/+BCLjEHmmIf8bBThijrEhpUsC1O IHq9NGrTH8ZAPk3LdNZyfEvjAWBSvYpv2mVHIlyrZu7KdIqi6HmX6AkcD8ZU7f8eJJ2kOhMqwo/H TFzM+e4uzyYWlxl2WsNaYKwqK3lM9I+ojuo+R9Ho/Te6t51LQ02lcZyGQuuPGFyAQpAVYGHoolF2 NyQHGH04wx9H5jHIts+Ep1yZr/HkpnVdqXm+dQ3zBvMTD90L5K8iOzzTmHxjz77EtrfZLuFWpRiI jl4NZWI7BopUtUmWjkHQ/Gpsmnce+iORiMrRN9U00wMQnydls12aC0npyPIZ42bn6idc9Pu0P17q pK1/aX3jzpMttTaz7SRkwaT9h5BITBvTB1nEaSy+fQN9KNQLHMNdxqI6Cd29E/WalCIA7X4jVI+G ok20EMthmfCbmD9JG2bzRtZCwQtbQ5vM4qzwN/uBYz02LN8Lcf/P71JQZegUJJlZ/8CZ3XJagDz/ RhYHTze2/8a3zSGu5v5zoNHpBQF5nWd4SCwWkrXUTKE07lrn8GGLDotEbRggY+DtoQn6y3iQMGEC 93ON4esItLA6QPwMB5yf8J/b1J2RkL7jNVprdyiJAl33RyZ8XCAdFJqYPihYgqW11tjevBh6i9Hp LhDBCFB37LaXqgd+IM4dbQidv5wHEnJ3BUbc4Xt+x5PwYix6uumvNV9g4Kci4rs7N05hHAmWyp21 fRRFAm+R08ppjmLxCUw9roRjRruEhPdGT0JRKcVOxVBArnsNVWgjWhhtDO/riYrwUfPHbQaJaPNl ZKm4giDbl6foCI9impBOKny6jWdwPFa/kroVfRKfS3pfxjb/xgj9wKe0dd8rFXkar0gjximwWqm1 PzGqg/ycG92EojK8hc+sfvIy+dgZKl9/PkbGBsSqLudnNjuNrSPzo6DlWVjYiEcjcSQwePOkt921 uYKmaB2CBULjjy5nOi7RwhKvKvzAKXcyQGnwExkK4FfrHh+2rsUJcX69VsKGupYt/zzQQcm4O/s3 HoBltwwwg3aJEI9GbvXrWhZEqC/tP8mFRZNZLr+SpOtMXLknPiTrR8rjRgDUHIgVwrxtIyv4n5+3 2/0aUQo83GmT6+YlvbY9e3QtM8IvHjcGgLkralSkEwueBojyusJePyuzNEHRp4JBUgDnLMqIDyFI 8z0jY2Q24pKISiPGjsVN2xrwFHg1/XLYw/QLS0PVgMopd+Pc/9eGCoBWlPe/Ya7Cm2pOObauqvKw pJCoDuu4nuuyGTgU4SvT8tHSfZlmQXHeRi+oYiESTccTIbY4NovY9ECyuk7L28vDaKj0abRWJH+N x3PZaW3QHnqW700SC3AWO5oULEDlGqWlnENyrNyfOfsQ/65QEBag+kBsVgCo3b20a58yLMQbWcJc jFOEoKEAbZW19wBj2prD2t60wTXf5GR4OdsDFK3vZMA9Fo0oMdIOIeGjzDnfovptA77HdnovorhL osB4+4LOVCnKPdECEfH450ZAK487kxAlhKLKDfZGmbD9NbEzuDxQUjOFaKaMQbfPMmjm8dlrwy4x ACq1RMgKJUQQL1+7ImgKwpAw7covy1fLD6u8HPQHnDl8/RiG2d7nHtL6dbpZ4MH5qF/x6/d4pNLQ mJkDacY9VP3LMM3L/FBfqsXDPNTLI5PxldqcmRl0Ep2JzxXKJi2ABEoDMoORzV7Cbl1pcOMI0yXt ONx+kyrEo8O6Et6zNkyGdvludkxUN7jlRe/0CSCEcIuDbh3ZVyC5rYdb5MZUdo5Car+ntfBi8DTt EC8hs6RW4/IJ8AtKoIPw+UvO2jAr5CV4Y9b75jFDv6rGGP9QV4bUEcDo03/SgtFzw488ylXs82na Y7BY32G04FIFkZZSEuQWUhnK6x2iPDcrFcOCiM7GgrwmYXaCeFgfO416eiknbWY4JklDL5JV8tol 0s4MvR/QLKJdmmfDUeu4RMHIMeFuke0e+M4LYT40IaSB6Dr3/FbRkYsy91s28xLlEDvc+orQtiCB r73POzIEPUsWSkF/bJMicq01kCW/bomcx5vvNBzqOVCMLkDCvhemVk96W+T97Isrn6ZJZzDsME2/ V9Ua7F6QUCpk8J4H4uSjop5g4wnbvBWyp+34FTjp5jPI6jXg/OthVrpGH9v4Jckr6U6PvmH1nUwh owyTok4QEygtrX+4w4E6n70L3XvOQkFuo9TjRnh1lREHOj5mc0oR1/I26URMBq6Vub/9n1ZcUaXX fQHukoDes+UTLyLPywt0HQTcERTNyl99hgtcbTYkp26bbXeUc6/PoeB0mfWDYUnaENB3HxuaiYWP Q+LEuV9FWbTqX4caF56h3l7bTJJy7fAe60x2w59EQQoFl2TEqs3GQ1gjuCAPc18pKuyq4Ckzd4bJ LI5YtUbRST6z/wE1GQmzOIfZu5bJwpvyFrHCf9kpb49YQ1PFFO5eax0nBjvTvAEj8Gr+akprP2ar gbxQ4Ri5PnhOqw6maitl6TXqcdyy5oRNiln+7CIv1UpiK3cEwNymS10cq0cPMuSNmBQ2riI1bS/a MZiISAfzzHLz4ZC0/OXFCe6Qtz/OFy6Fkcl7y+ScLx9tTMgdlUy7JARl7T+FJQbhnrUWNM3kSTNW RhjqfZ0rP1JoZ9ss+pqpOW13xQUU9cd6A//a24GJamSttdx78bnycA1fnoOlb3HXysh5N1CnM1XQ /vM14YxXjgmylqx6zv7h3+TnVzgYQu1267KnwD0nxu5VLKVk+PZqLgUSmxpT7ANYrigivVlvoNu6 bErsJughIiIgvk9YBp01MamTHuxmGoWkwP1w7DEtIOUCU0oHTMMVbRyFmZA6EuQPaEHpRzxucgtx 3GQbIYS807H3mXTi9BCikYmJWNFAaeP2RCtPrlUGVD33R1kf9bJB+4EWRHU88g9FRSExOLa389xH o0ocykb9iOaRFZZmw2NViuddiSOb+Z4ZFu2BJQzjxz1s1YLC0AOVh2YfXj3PaMf7pxFwBSN574Wo GeGzQIp7jpiS/MXYxbPhDz5bM1dte/O+aHhfOoS4nSnH61rpbWAIp35w51fdQvuiiA7r514JZ9RM LFVI+VoCqQ94uypuiFzLmjArsBaaQcHamlQgdIYPUbwpu/zYHCkQFJu5Yog7vt4oGd8xw6JoHgJe vfLNcg8RwiQEoLpcGJ9s6EVMC8diP99lhvHfCS9s72ZQVqFqxsleuKGLsCr2g+WibV8mVFgbVfWt GWwTBuhxaUlonMHP8gO96Fx6pHmuTSHxuQjpFoPPQwi+xlkeb6sxxRRpuh6lIqrIp97/BGTUU5sY x+ZFsMj0OvlmfdBkVUGosutnvqY/Em0sgk2IUaayDWBnjakPaw31RVSnUS/XYbHmC+p1M4ZRKorG txWD8SNwbn7xlhR4Kvid09iEXZSvB9hTicW0tauzhieCEdwditp3X5HFr+WAbKwROoWVmEjPQps5 2eyWuE/mK6v69r2o1iAqVVH1v3rKR2YAv4VI2sAoVbXsC6bKAaDRN+wu2NefrqfGNQl/FqEwN5s+ 4V8tsjigREylrscn8dlzEjO8QYN9c96t83X5KRbojhMMg6HkEBPSj8QNegxweczTC51dKSx1k2co vIr+/+IKvXo/uJRTPg2N+FDcoCZtCA9St0bsaolgiKy6DjoagHslDcHvabnFhAvNTyXl+0X8Q7VD HIv3Gjc5xTl4WlcJWFR9wqucDYEtRlpP9xqKrKTrQlOK/EHL2vju9WzacL0Jp37uZS7tnUAhrnJx 1uru2CVqjxWSiOywfdvDSy4bHlyCmSZpC9dhGALEzipRsomRu1QEcq+3B9kMOnR25zvP5/c8tpvQ uoI6ByczpLmJA7aa+Gvo3yrpuDFmb0bzAxe3556MFCzJ7U/vIpMEov8nlFxscjfZj7GSkmBL9wxv H+2DbDAgxf4eMquSuqSh0Rn2Ej0vDUc2k6B9A17D332UaCtn2XK1x+FNMIJ18YD20kKqtKcPz35l Vas/Y5/py5LUE3oYppRQ+8/nQaIrGzirwoCX1rhaGEh6jGQ9t1O8imGV+br5cJ8qa/aPf928TN0T kAf6YiQW0KN7mrJaLHG606UMVZ5XjV08+0LHcAmy3zi42YxtpYejz9u+etOSCoGFCSSwuFgVNYB7 6jLj/oZfKrbNsHXQMlHkY94SQ/j8oKD3lN4Y1MmdGvPh2pQStjAter3r1AWszWgBiK1vtIT99kgy WiSTDwxjPMTadEwBef4v0rthc8CjVDUEtRtL2ChVhCxlL8IGFgAavqRLj9naZo6nSw5u6y+VCZ7f L8gtDMeMpz0ZHcy1TUsY+XxAarKKk6yyPOd52AFhC24hACVDoX+cYM8Y3xrdDQ2bSqSnW1n2Z52S 6rSbCGWsflFginfgPNnjDrhC2D2G5eZzK1F4Vx+Qv1CQ/3zVm01OFk62f1PpvjeJ4yf7G6UboqhO CXcJISgXdDfvHQ8d2fFRa+qIc478bWxpgGgqw1wOBJe/D8qhep+cCNyhJAxRm0JhWvC+yXMOHhoy MVLT0lIr+PzWLo/FWjkOVNxqx1Iammp0h4O5fl/LLirV+b2eHG1rQgxqLJFN9q/so0qjEoHaMllX oKlcb6nO1OO1UUi48yCtTj/X15RE/NLoEKW3CM1/Ux+As4CshXkk6ufLs3R3xYz0ahRnYdfk4I/r 67nmSkY/sCegA19vOHy3YnkrsuqiIg9sQkx/ozBkETkY0AlIu+ry2X0Ce09kFGUWDVnfdoApDNeL FolOd4L6tqn64XOOEY4Uyab4IWR2fpOwAF9Erk7WMfZW4DoVm9C5ocVqCk4e80wB24Feni4yVdJa b9iU6lLrsvxhshJG70+KenpqQeHCXN1QdpuKXb2mR9KAQ57l5IWW7e09OO9dKFs17gb/GK2Q7rDA wsAfHpPhaVmZisUu2XcSdK+qQG9CNWoB2y4fD37mLNW6UCQZCbIJZuDCyW+2FAqACQCagbTi/35X sY5YT0/Gr4T1Z9vgFrh539NvnCh/Jmigpq522AV3Sny6Oy7vC6jUaHHsMZeh6HIw5Dn8TUZZ3GQQ c1IQT2gjP/6a7fAiCA9wUbVCqHp4dQKKdM0uCo7y025dm9TroXl39/uXiKlzXyhf4yp5v7uib2km iBeqluI88h+TN5HvacadqCl7RO/6DAcDPd8QtHtlzX1DU38QDh2+hZAcON0lMN1lrTZQ9vD/Uvjv lreP29plATwowyeodTWu4YXAw0ehQhuhVIezw4OxCV1S28Z/e65l3/R+y944AnCx7IoToIkNjMjX TgZ8zWKXyg/XS3fWB5ZNdhIoKhi4xPU/JVCxkV7eHXe3mxsPGjgvyTjNy5WHgQURq77JqC/9Jvbo D6ikAVTn7NWq6GmyCTwGSGmFOS9fermWRX2E1qqSntA3EghfHDlc+2UKBWWY4KgvlyGjt7l/gG/6 9evXk4+jCHS8UOmrIOSUcvaDEhldgSQPltKmZQkgJ5t1C6s3J79+O3fm9LbXPP2wCqXxF0zDiLma sQg9VsFV7fQrp8DSq9PoolpAguEvkhTYK6D9bSOaIW49X1m/6u1bkw1W9NTzA6Bzx8fFSmkxPAPF 5WYBr6xNvlE/vRLvO55g+VIJKMZJKo4Hso8HctG09nv6OBjmCNYH8iJdr2f6jqmxWsumNsFlWcs2 pJdZnwpdCGHDVB6SAAzHAvyhvTAZI8c4QJL3Sa2df2vBlEIcFBLADWwr20tSELaVOzQQgOd/rZM6 xeiB+Yy7t/94h0rNky0P0tJ+vYZr/i1jl9Fvs/DU7u3tatF4CGehWJeg5TgydoeSzqW2ye70MGBw Pi8N1tJ44bpnwHAqK1bkpVDaUdFGwZwOP3+DJV0n3SzpAMmmmmgPNbcMZQTpWXV4/I5EWbI9eSXr X3GkabA6GboG3So9DFc3rIwufGaxZGbfFRWALdsk+Ty56M1KejE2DgaA91RYXi4kOF2v8TDK70YF rOlrZ0BrUFvnQc3/GHERH5S314xn6UtrEEEIokFm3xHJWTD12em7WTdaBG55HZ8s05AtnhgimJT7 dLWFcl5Sxq+JF/dc/CJhtY5yuaxInHiD1AHlsu5IHPNvV8/ZS5oFh+3IxGBDv7ZYWCgpdBp/2LGU Nx7wnX1ku/F7mDAjELe1NRMGDcRzG1vpfK8nR0qgCVILKfgS/I4CLHJdKv24A8UEjSunoNbA8KkR MPEPlGOHZrvbhBBknhIdvdtcI/YihwLWv3zgZfZdP9Z5k2ZkxuE/+hu1UtZKYKu2YhFQ4TtgUH/3 1HqjKXbAxtd8/LssJtrCmo0xI/u4uSZmIPA0rsC9YTvOUGCijv4kd57AMOtJTegD8CBDQ1eR1LcW Sdj4xnq7TdccyRU3ITyVzguKyNythR1PRI7aF75xrHx7axS5WjVs9RM03qRQRVHQPXTnK4RFbVlT lvMwM77dYYOo9a2YiXTLfsKzGDWAPAgngzS2BNdFjsASfaI34dzd//fpHL4kKffT10NofmHigqkd pqXPjnDAZacj5yKWaOJm8UFQuR7jisdcVwazJkAxPLe0xtLFzETn0mPo/Ida4tQt0lI2+ZwZoYfo HmBXeFUl6MCUjhCe3TyQInYi4opyAPMIPdIvZvuLe7sQdp5DmjvNWdRQIiA5zyhdOZpcpyxCl1HM He9kH+espAqYqcH6l7Hyc5oWbrtEMzGo4Uq5nPeGQLztGkXUYJl6+UNPdI9G+4BBeZsKnqsULUGU elsvJnAgNXwo0Yt8+hfibUatqTtEN5qfOc/fRYhdnrghAmwJWnhRTVu+bckmnxy0fMwux3Q/fLIs UMbc3sAW0SO9R1aAnz8yKlQ8Jz6tHrxpTpsjEqrAklW/agrGIi2csSvJd3z6gFBY2AxW8QP6CFLF 12JnRNCh5XRyEYNJfqrNXEDYrA2XsFDJ3nkwU2EpuWLFx8hY/r34H9EPvM5Ci0LKw2zwWnvAMo8O yIdPRTa7spwoavIiQfmU3rj8CT402FHHxT4PuvAi4Q6p7tMI2gir4U8EmdQdoRuVs+j+sQaZEZW/ TzysiA+9ybtVLSHfl3yglOPQg+68KoNCB5JJhtXxnFe72yWzHlj538YS06UECZOD3SQ3n+eNMPPb HFDi6MynbFf6WyzKmr2nVxFBhQZik6J4bEI4vtOxjw71SrmX7HanM+dYqug5ZfmMYmvF6F2NI+Ic J3VEEkdI8otUnNG8/WkNMrBj90SOVGkSax6zEF+eKp3oFeZZR0jQHOdYbxWCwaoWHodVjggvNg+e /4cPTaxjJ91NzhhO5bsDsfkmXcPeyuuM91+FaC5lq3DwkdCb2Pjd63isrkykJf67GbGkvyuCNQgQ l5Wcsl8hKMrhdUlUMoCBCUWFMR7OckUGF3RZDBxYgcttDFBZwApyT0WMkRyOTqjLkGTwxNRSee/t Ua7t5566BoEfpekju55JmvPL8kBmqWAXIFzKgvopHxW8SYcWmbMJ3huMY7ky0u2cBOrMhAs00saC PuHQQGTk+cC7XRMBaS1AwHff51LKh0qHg1cEHZvQwj8UyIEi0KarAWD/3IPhYvCd70CqhADt6PdT 6Db00doUahTC/BWeBHJ8I0oUPM7lnilplsRVE8AmuPJmqVsKccBEVoFLtNNNwf5R/kEiqSZqof2T zj+aFzPh2COkNEicGBudqxmeSIpD4wSxqZcimWRmxHZtG3ln1JcWZFu6i2jo9KFiSBFWCk0nmE8v GPa8a8mHYLY0+lm1qNd+BLSbe5Xmjy7oBTDINIaiEgySyUnln7IolcC08b0kHYDvVMGCrv5jO25G ToA076lwB5qfMn1SRkQ9E68mr6hU1G5x6j15TmyVK9vIulWIMdiOq3cI8tngMzZUqmtGFUEkgnTh G6U7DYg1cnW0xp75v47vMeAExMD6ok7df4RCagTrGNL+m/vBQ1pGQq5ha56e4qk96VjTrjnW2aFl c2R9Fc/piijnN1Io0wwhRFTapc9MAMKKlxXYhv+X/8hhIN/8aTPdr8h6ggodneeCQiDosxaRyMPT oXRRaVF5Qq+tjqC8+yw4ZMUC0tUfmwpOKmFWlwHudOh2V6x2xJOBtA1Lfp5+HOrWN+6PrkpUXDXb TcHNP38vAJulA2QUt6DjisZ7peEPc6FHrTpRCsk424tIU82PEGLaJhxecMn6G1G0WCnKTXF/ffDK 5Cy5Go4a7LsLovN77+Y5NOf5lo7qM1P7hhojlC2HNXKVKOwXk7Dc2EHe1zr+FMRueHrqF7rIAPQq fXZmdBdPpii6o4YnkX+whw4sIlXidTutMoxus+KDfoS0qHvTPNj6n++XQhy3p3lbdThZCnt3easZ 79U/l6weujIcugfqvY773pfJdkjxG0yGvx6ATDRc7JcK9QHIw9XJVUKwdyNFLyQ8wtkL+u7y+ke4 egiYRrfXBGhkj/cbNdKP+Z6HeyJcsApBvtMd4lbdB1wNQPhwDSdJaaMvdk9JwSAtA7v/ako48i4R ZZ4SL1frzWb7fddHCpHOU+1DxC22vyjWFIzYwx7Z7sXHOFaAPFVzNQ03ymOmMfgdS+d8t5sIF1WW Q2bRxAJ3n2kFa7sVM9MJshFWLwi3Vfef2Xd8RCvyUAPnJkY3JvYU7UHxi8CiP4RpH5tFDMfo5Pvf 6fUKfewKFcBjGk1/QEW8rOlaq0qf8rKsCHBXSYu6lvr8mBUjV2OT2qmAJO8hLJVU8+dacOD426HX OQqeGurVm62g0U7ttaiSIf/vdRFsS4IcrxwJfMc/l6i2wJbynQ3MlJqYgpMz2BiyxZl7zbFBFn+B WW6ltfFJVjisFIkFxYeZEeml4afA2fs7bv6Li4DctLdMghAebyKrcUpT77bpRVZdbViswkzCqwUI +FZlmJw+qssBcNXkt+noQ+hMhsSKrbpNH2SEDoY63wi6HtYJ+ORFonmoNUI8h7X2MS77J7CCYrSq 3uVzvvH8jB+5IeCeWMph69VySoMNVPEBFpCm+I0I4RfIX22Huq3zofZWlyFUBWMe4cDjR//N5DdO AdU+0t1XYL3g7XE0p0XIHSbnIrIWs1dZ2aia5K3Bz7vz1crHKiWnNnUiYuP52WBtlJD5rFpB3chk +0/JnouxckV84hpmJpfg7/5FQXtPFPUhhE4jajeuARGw+TwjqTEWhS0zurwvT3VlUok5BBDLDHHQ 4oJFXpLAeyciYgWbyi62NO8tIQEc23Rw7NQl9WDrdFiyPIqu4A1betHplH0zoizKKnwAweOf7JSe VbHIaja7Z4lb3kp4l4BSsUi88C4Tfd71A17yxvbnBDUoF0O7UCntnO533VdGDI6JuaxvfQRRncwi qxocjVf53uQG8950ybv9iQKz9z0GZWLsoXnfwlgpPvT7spRAfH9x+LLizI/FElEP9HcPL6sGoBen wHxW5DSOp2pW1gxVT/U4U1PYopz2xgdGt+vWC5wrpMGAZZVyOxdBiOhhPSpHErHslGoIfBYfHAVb ghXvXS+9z+XbECCGmGZEcAS3ds/95rOjU5yE8wXL7dYMY3b6+823PVclfqru57sGNSGgsQ1wFpzi hFMuWwYWRFZedvzy07uP3Qq0fzzGV4gF0dHDKMZULSnjw+ewpR24eyoRbjuQbnlem6OOiD76NYst MJOkdyxrEkDBRn072VgPFmBswxj/ebLEoS+zwIqFVuoIxb54FA1cGfFvu3Y+juuXb2MQutSkuJUY o89Piyl53msIb5iW7wLealWqqvXlmwHt29ETMCtqPdR+dLj48JmG+gmdlSQVEF0/38KSzayXKgxe OoGqBwayFGxW/8NwFsrf7QdRA0ajcJTIJLH4uCgR7OqyAU+KFdjaeEx33vH1QvlP1PIMuwaGeZOT d/EmYEJrxmbon/UoKaXt/uPhClUqZUMsbwUA3Mx7vE7bn3oeSyF5+u1Z3x5gfU2toosbO+9ABNcQ zXUsax0nIhFTg/TBADd7XjMgXFIjrTDRPPfSgtzOvh8lxjHjRGsKka0GXQEohbGQtvsGlwp1NAzY +Yt3nOgoENGvIv6AT/DDkgH2AsYw6+ZPHgYFxTacONjJxeY2z23PDWaod85hAwFwpzZOpM7W2nsy YFOMAslmCbQI1EcvPstHZ79JuhxoA7n5c0Cwc/S5QYRxSH1DVfXieBT/6Sprp35M6XajnLQk1ngw n0TAC99RdpzaaB2/K0UXB5NIze35yVRn2oWMb21BdA8Q4EFZljmTm2YaLR/W+U9HVod1zKgka14R aS2Ly0iHNVBSYP01owdGzC3+o+OhE492ZBsG3y/oLI5dOEFK1yCPJnqRlVQisohmM0rUrqv4kTUX 9uGoEKkRir9NRWbJZH5PisQt1qm5UdG/C+6/e9BmLXsJJMPYJY69GpYfWhMOT0mgbAq4X78awnYL FWrijcXFWRUlNiNB1WnwIjsLmqGXkLiz9l5ovnKLzhZNdmrRScqsSKVgwPnDRr/mT3hr9or5C4Dx 8/8Ojirhvq8n+7ElPVQf4v0ke2OXbKncDR5c5iXTfAAeUxjC3fHcBMrIumJzut0Jj3yyKH+GYdIc +a3gvStZCEXqzCl4bKe3N5GizCTlOHFFPXDWhL6wCqRtT+jwAyxni6Luef/oS8a10QiYTUFXFonj jQCfdfhy98LFY7GHYUOLYFQxMQs6skiI9sdWPeJpd6Ji2UUpgApbhyXzuroZsA== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/mult_gen_v12_0/hdl/ccm_operation.vhd
12
214861
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l8Mm3uT6bf5K1wGbID3Q5kSYd5+xy2fhZX/Nv8oZT8y1S/Ad22SsU4vZRhFuJqL/nyC4p3y3Lth4 6M5+6CdVKA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HQSiZb/tOXsBCIGXz7zZ3qbVqe1wQnY7qnjESBe5HHywzg+HtAs6Tmb3hqv75H5py0vdvAVHDEZF pHukrgjn7a+NUmMmICaESWZFlhX4r3lFd2CvK6UYPnW4PY89l7zt+4UEi7iQYYXgnc+dmJQkxKyR czFH3ewJVCRq73U3rgw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wX0o3JwnbuVMV98ncE1DqqoDPH1GALbHifxbAtDIgSlbh7LVfKEVlDmNEwaoRiPg6Nzyu6IaPV1o tRn11RTA5coNDHw2t3WA35Vo48MPMVGSic+VmYhDy/ZyYvlcpBBiP6Xv45DRBuP8Pq0qarKrHWmh gtDWMv1TIlCZZkPtky0iEexTjqyl+o4QN81FaTkY0xUvqtatWToZb/sFBxggHCdrOKDtvmHdgf6w nPaREcYqdEf3M6gbK3AMYRNW6UxDiBEmDPKzzl1CfdjQ9dHw1yN9cxFdNDa9hN4e8h1J6rEFukI+ kktc2YpkvM4GQmA6hbbwHkTInaxwrxiaAeMXVg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W4VsVa8BcTl9eT8qdzHRDe+ckZ4I82pki+wvcNodjzkYHKkeAOstIXW+MaylRrPPSOhRW/VyObP0 lDqvu6u2d04AbflXMDF3NkxcaVwtkPbaVY2l2+HAZB65Y8JPgUSiSv5ETyA0SkOdG1xglgR/dlrq zUudXoFN9NDVP867A8k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HyVkAaBVS0MGm+kFNljOiigb1aU/hKRqYUxH1TYnpaE62cSIAQdoTEBbpGN4Wtkf5AdTqZ83so8e AspZF/2Iw9WQ+jss/fF/FpSjVnlNko7e5IrSxrDLj64zJLsoiwVpoHdMYOhhpVokbjQxaX2cL+9i WX47RiqhKQRRpS2Riw0u3fc46KDAcANfhYNXWNBan9dFDZpk+HFWfrWsjBxO7aXfxmFROZdwfm2c btLOsZeCPHCcMB3TXfvD0iSmjTPMVdwsWUUYafKY+8yskxKA0ZhohRB91+1ir8Y8/EF10S3nK9yx Eud3AVoWKk4TMyARjT+Hk8V8zmWsBIWLbZTThw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 157312) `protect data_block xr6xeI45PTSl8PuvvwSnEb0X/y/9GVjMrvfvsI8ljfjldk+OZ7a3hYlSlqWdTNKfABFe8wpzopOB TPG26er9mKgU2OPzBxP5vzvIwVu1MYN6mI/p0jVS7Mg9DC9WSLRxV7/+7ed6yTtC1E3X5exoM+/6 7vMuNINoVZA+uNVFVoxP2C8I6K2jpJK0xnKN6SZvQ2iOU2hsDng1AF0Ar/dSClLxDdClCnmhjReX I4gAyfeqi/4fvpgpLIOQ7qyOC45PgEDYPz7dYa3p83tXyTFTODA6nx4WVEiXnG8u9EcAXBcjgkkI AaXg6FgWKV3xq4yqCsho/oOGW2v8LinKZXlWe8Qvsfp/z4+lb2xrdmpGqTvQkiPJ+rX06s2WCXAn 1rje+qAYp4yY1Ds51CYQ0ru/eOUTDwwYZYSBVzcHHg71rYF+QxRYpBQvyMU8mRBWxIh5ew/jly73 7y0/tYRI7sqR7fJW47A6V1WX0utwX4RA32a21p52pU3cYhwe3yxtQd5C5SY00C3OsYAQY8l86JmC 5USYUIhtN3IIwmqB2t1vgTySrv2SivcE4lyL3antz6NasDdfBXdAOup+MWCAScTwYZZIbMWhYUJu idhhHTnNjhX4Lzc0P5nm5siPHoTMXRXADL48I7ZzDe+LFZjoyvH854U7Q66gxllZSg4ReDBh8y4X /NOy++5kWTzp2o4QGvJZX+74fR0LvlQ029Pyg8ciZzYYQiNXmSVJAcd5AxpTfcmFn7D8go6tYDfG lx/mFijMoURdmNxwBo5DZGqtFvZ4jg22u6lLxG9eIKONZsVmLj437wNC+Ijc3UycJniAtzE9S+Hp Fo+0L0lj35jWLeniHJ8oNs9Y/OoY3D/srBauB7/5OB6ye/S2NZZumhQqwDSfm3g9eBwL0Mp7gT4V tDLrNpfibDF0f76Pwj/5DMDzZpU7s+T94lWRSV9R8UZuC56T1qDPFU+mqygT4hDWF1dXhxTsSJJY jh7c7vPMtJ3kY+lPNEow+iQ3QeZTYhSkmHuQMoXEkhKSG8PaFAPzQ3KdrlP9ayBFZcggtcQRh4Zy HCKG0e0aH0xx9ZXwAQcQHcp8Z3HGJnAUcN6vuKSfweqNRGMHi5cZ3iYfgS+jQEqYYktwzlPFUafP UmDp7yANbgZ1XNQQihwojrtZLzzWdiBM1kXL18aYA4vWOYtn3Ee7zoYz1mdDlFxc1CI9qTP7WFjJ SMsamkQQXqVpqjD6J6H2+02hvfoKLI6B+kAo0i0ciLfMTSwZXv+Gxk/3sHIb4YAA37lNWtCEzB0I rxfASvBPwsixmMecGVhJ53090Pt0Y9X8auCz8HmgJ0d2wlsB2TnPqzuyOQ0lD8xr/MjHyJvRHvsO eukr+fFW1yFiYJrklP+7IO+DBGitWUEIB1Sm3m8kEemY819lci5nRT3eVGVSI91ye2eClCT5SHAF MZfk/hdbqzZmXMhtz5ta6vRaQL9bRclc70Zm94qjSkf5mARjVfW1zFTZdYX9YNTTI2o9wF2vnm5Z eBDXsaZoiXse4JJFNm/427sJfLhD9e5gvbifuji7M/Ubfw70rhzv7wJpOhMLgKUtvV1CfWxcYBFz WyihHNfWIJTBiqwqCam39cWI1gpcnO6SV/mUIru7G4Wg1TIrDQBrmn6OdLfdqpBI77bqlMhUq+OP yudyrUtXIVDXzqLd5laoKsMPc1swSxsNHqQLMJCQS0/U1qG1H+Vriabbg5RcdXVBqAb0zpb27J0i jQS10Dj76dn8q5iraqZxkcliebu5BUZmR6UgHXlefWNZahYmKWAXGU22eEtqx8g7pkzSWEVZ68Jx bT7LAEVJDll+vUUuezHLYaDjKgZlJjZKIN9rD0cdTd73YEk0E7ff+iFJGi0wZtQTVtKDHhT9lHCO ldMFzf6ky/fn2Pb3OnPiZdSa+S0aLMkhWme/YCISNbwrFpzHO+aRkZwb3AOw7boDi4yQWyjfVpgN YV3M+6bVzNLQffvhnSyEfO0CXKzyl+Ly0K6xrAeGGSP1JdiNCzq4xGhnbV/xND4plz5UK4z7txj8 pvsLPh5qh1XmS6L/BpRZ3fOYVwYpo6p6012AzIxXcqh/CHH5W9eEFI5uzAe/6mzibY5374cXJfbT pllK6XPqDGDbsjjKzHh+7RW3acejFzXR2l6u5yNQU4QJ1TJH7aVFwb7oRfmWhZ/y3yPPGUq/WMeJ SAI+pCV1FSacgpHY+CUW7vwrI6l7zE5OuUW0Gh+Of8uuUqiSbrcfrkOdyiVsO8upCnIS9PWv7f6Q qVFa6tRQm1FUyp0n1Q0RyGElLJX198P0TIaXyvovoYdrSp4ielU24H+eRU7ntAkk9lSNAyaw72zv j01V907Zrg6Fqebyqo7k2SqBbj0+UlLM46pWgYkNqbhRlV1ppfitC+j/7wM7NAiKuf3/c9Hl4NSe 9GGQjnF8omgJqcq2JxX7m5mENaHtIHvR/GPq997Lq+1QRMTtvcCyLGSOYvessfJTPXYYD1gSZRnH C2Bp2Td5pPj+f8proLieBezNM507ouTSlci8U7Oqq9/LXW8Wu3BacFbUL7XW+cvQd90sSrb6A085 AlsUsP0saIglAgOTOpy6awZltiqANO0OcH5/fgQlRTOqMaY345eR8+Dz/CqRR3YqkJq7fjoLRRKf I1+tCoFhbc/ryObuHUVXijstOA4BKRNpeXs9FCb24yJDDUc2puHk1Z7VpglV/vjLEcUeW3fQKlSY CeAtwTJMC4yREmaXmpeJIdFrBg8atZyQF/L7Z4kF2RDeHu8+ET6IsdrEeuPd2FbzUS1deBe7QHwQ B5LgJxMPvqtFmVl/ytjlKA+hvjhNZp8vM7EFRzBcj3d2eikM0mdJQB++o6dGTEiA9HbVmBQlwrPJ d+eMY8w3jO6Jb5c/4RM4YqKErvVBwNJGpZXJeEqBneG3zeEBsowqFJglrEBkWt5UKAzBjlKjPmY6 Nro3060/IfKmrxGjnnx2c1En2BXKmkd19/mZM93RR+QvDopfu8nFBDoZDRZML4Be9BBiwN5LaSqC sck1Zev6LeSc30z3HAar2M+GRqJ5I4A0LiRhC8YhBgn5Go91SKi4KwlUBXiOzyE+WfXDMN5tkiv+ FDnqNVNjsaNnWeh85MuCU8nWazxhc01lW2HtbihanNWtyQ4qXazdkUjYhmoqGEPojXlaaAtFEk/t rjiepW5s+IIiBvzvpXQ4lh7UEBh8UfI7rjKxZ34hzrJTBqJeL37oUkARI/xYxnDDFeY6YBIq0D9+ 61PPY6cflX5opzmFaj+Ej3Cy003ZmwivLWUT3QFoTrqoI+hweYMQ1yCqrKALP7BpJR7GDBMBZzds lzwOouILUXI1yWcEGGtqXAkRiKwdpQxT43hD1DVtQUKd3kTGWRifJV7lcR6NP5U20MGU3Xe9S1eL EKujnBv9HF72qWiS2HAfmZ/QlH0xiQhGiss2JxXtEYZc7Pi9/UGd0eT8cszh1nfw0zOP66EeXQls 27TVC6p5z7Ed4nAZ0+66HQMO/E06OOdR4vO+bFDZZcDkfAjhY5ggq+J1pNwCNB9gO4gx0kx0Vcee nTvRiLehVUIDr26saRwg0xH7fWP/Rl6KkDUU0oJwBa4MM5cMQBuvojEr0EO7bLDA0UmInfeaxaUo sLd9TAsthiT994tO1EZWbgXBq0wXaxCZgZPUTaubkzCEzbiQsLwAP23MdIc9ii7a0zaaYRleiMl/ ZFPC+YOCKoR8ES9cmFhiI2xshyDm6Q2UFu2P9qHcjzTt/E4QNmt4/aFLHvXEQogxmMLRXnJvyrwm 2dK1MnJ5WLhaLiqMeNlsNpeisT5enUIEveJ48QGdoxlssn/swM7APFeotAD90UKwNWtrreFPZbD+ neojphMz/l/K9/jEN7w7/76cXN3lTXY+VN4TwmhE92srCUtr+skZjdnDN+y8rzJVejTB5cMfFH6V xJhx8HJFUDzJnEO4PTK9DVYJUJA1WhERUnA0acN+xmjhjhj1Bc7VlMKYGbDi6QYo5PizvW0nWrd4 WZ1+MPqXYzjonmYl3ySiHojiOnU75yLBJjZMNJZ3U9oQYwsGleuMsOrkQfx7VhpUu7dhOlQcfRu8 QSliBEhb5n2qgb4r8GtGXI/CwrkwMRpaMqzcya/5mXM5b+CezeZWHRlk8a6AUc6Eb73fXUq0Gefk xh+LUNIppXmClCGrieL2w/hwvjU7bv18WO2K/IfHodI82YdkaQ0luG4YhCXJV/7LYbar7TQf9fM+ O5cmsr91NFB5lXZtvFuoLCTjP9FuaIPCF4NNXTS+8w0FwlvlTIVfyOhQ/4fEBs0BlEZaCRHCZUob e8rqAK38YFbnXag2nIrrnQCyhfx045gacczAoAnAdrjCRZvZyYTRsaRC+orGygufPiPDMpPM2r5Q 3TTHS8lRetvRn9vIqsE9fEDtKjnsILwNeQONty1zUVhualTRQlDBrbQQeGb9AqFDoln+aV/5INWK YeD0tIZ4uiuiwol9G+YhaL4Y4TSK8rt2NqYesCjX7PXPems68bWDUTYbNz/Agr0n6MKGtfQaFGIi LiojiUHs/KnKuFHWLne/LAG+I+b1nGcwVlNXnWA5K2WuN/wf39+d3WrOinoIYPgPoZ6iJ1G1V0M7 wqVjnCEXImsXXr46lQrbPoSl1KDIXdnONDMhxuWoW/JD2dyLpA1cPgVe1/MwtIVzfr3dSTKZN9dm pmM9zL7mgj5D1yLHW8/XCoDLHDhmnTH+TqWAFEUIEkKoFKZezNIdlYzuNrxZsWObAeUWddT9LbcR c4lZolXGVNCpFF8swdVhwTU2eHl9hw0espYh0eu8aI+H+rpoGZV3sGNFwZEABm3RyETX+R6iHpiZ 4mFec54z++SxeYyLuGWLQgsYNj7Y7QRoBab3cpPbfJUyCMh1KCxB8VafDbt1kfsBpxgx3IMtd/YS eVWh1RzNjLQXAc/cTybcjYDb6F0I2UEYIVW+vj5KfjIWbgUiDSoJFbKqIinrFXNlViFXDVLb/KH3 uxqHGD1tYOFavzSqb+pdXKgU9DOeV18OQCjv4w3hfp+sQa9uNPZFEckCXZIsNo9TMxBu7esSANGY rK/241cx2fwYxFr6z6ocIM0YRwdZRcIN/dAWGmlRv4bMKe8uY9Qy6ivwZb3lBpGdFslHSivMNtz1 194+uY2gqPyAfZnAlhcHVqVEVnXMbajE/6dZZeBdVn2x7VLT4eeJh/KQtxKPsAJ+5M9Maxx05zyY avpG8S1RPHEST3IW2rDUWrnc7bRYWaX4TQhUwm7u39xDJUyd6tKctnc3W5kc3cx7ykIk74yu6Qkn qaY2MIqnkEkeATN6Uhq6VJeg01DsDfv7SXqcSP+vn6K7Fxj3OSRfyMxcBqOBzVPmhbwCTBMR/iOM +RbIhidoQIXfccSzUFhcz1rrEu/sKAPM3EUu4fZBjP9OQKgFjsvd3CtoJ1EdDn1NDWB9/PVUFWYc QYDx69eGTYSFCj8eBwP+fKkFHhwf7pXk8eEDPdJzOcImZb3sDuNNDpdAJsYIA72UrZlCjAEcmAu6 ER7GXlBp9/NzQXDIm32tOgROpD7rkCeX8GuvU7QhL5xJ9l8y5/wr5AXarpAIX8l1oUOXm4uej079 uCID0MeGLELWHiY+tW1hJEVJn4jiqinZ5svKBw36PFkGQQodF71ZcchLXdPJUKKcuoGFhRp/uiqO oA3OGtwZb5xb9bwPS6ZhCKfelDBxEgcpc1ksYntJWVIVZixhq+fi4DmXalbTkfJlH6K/1rCI9ESU bXKR3zLqzHTi6R7C7fJSiw07epoGMQtIZzmcCsjSH2uWhFURnlDOINNopJWxuRBMJelG8CB7y72C fEBpl1mlveonETMDx7su1q4XHBlNWqcd81BtLDoB4U9ayLVDpQIGxEDh5sMps9zTuTXY5Ghgr7mN F0xG9U+f1CwHEUF68HILMYpwK7280uz4ncX1FP0spbPtsG/X/g9gxdqZ2W7FjCJnPP6KPM8y/JO1 QBspYTiUZZ1asQy1ioudNx6sfnBkvUtJAaMzRoYU/1dybRG9HSd7/5JVhKZm0OXD2EwnS/oEdA73 T7SQLfPNUiadP9NvNyFpnbEcW7EtoVSWmLI2eqY6+RJKB/K+VxvA62bs/b1jHSBRBLfosvJTlOzE a3nlTh01UeYJeAacpFsQC/n/ffReSQWiANYVQkr6krYFmA51NFe6tHPbB48IbD5e3rI8MLhseIrm oJJdxCP+yTN5/5VYq+V5eNn3wakUzib2uWdE63YFxBY/cZ2RbVFckWmR5FbXf5766vkJnrIdt9JS sqx7msTp/QPgJkgA0l6zuxFtm9zub1+vPjFLOWTZGgRXrQ5BBpFhelYM3AVd/YIqa9cEsWt5ziye vZKJ0J1oEnvkCEh4RtNSod7EogwBuWneaVHkGqlW6JfucXiiW4dabTeRruywn6b5Wh3W2Wc9uNvX UfZXnIbslI85brdBN3YvSwAiGwF6znoXMUQwXIdUh48W7mot6zkylfae5qkPaDGWlNWMbzQ38qxH jEOiVWuG9PpBKGUspg/6eEe007XY7Ex7UBmcDRKC4FgTsz+zEozGa2YtnT7z6zrnF1Hj9kLWKRYY EeKFwQy6aAlDlcSuIO8AJ0sQ/pB9Bhtdj7y/EdHw2MRXbQQ2leuxDPcuRDT28IvfbaIfqS8TJwtM MKDrHZZpeoJ+uN34gL22D+I8rJyCa5ZjrzqulnPAcyRHhpLm2IfyjbcA8bFvQ4UGS1IOEhqppYbm H/8uzXQGlgMWQyapg3z5qpmx3x9Sypph8cF/eR4HqIyBwKE8VJH1cGRHo0IVb5tD/Pd6HVXQFVYd 8FLm11jNEGBMqJTI1F/Ta4u8MPxntg3mR0Sqr0IcZNTmSX4zQUVE4iWmaxNAzUKEh/ykSEqpnbX7 4G/DOAAg6nvdcOIoghP3C21QRkgUZTUCCa64Wl+WPaqGso9lvYmSExt8o5tiNFYrlUcXslwZwtVz x08yM2fRJpIEQwDdmETH2IW/h+sX2BHkAv2eA0XUFqKDtCQrWKj7/5gnf5ykE496lvowfBMQIzh3 aQe52BzvmB2E5YX/V2Yf99f7juJRAoU07/hkLVIChW8Dokgg/HStAjS29tLFo5LFYcvvzYxtRrCQ xP7H9JMpvRo67KndIw4UsT+uaZamovDmOpR3zKq8lrfPpoqu+qEVaLy8xTPGMT5xbtrhmxa0Htzz 9f1koDWk9UKRDHwugo5K8CFc+5F8WAy9C7yj6L0H2QXAMcR9Ypg8R+NFjsQFgYKdINBuP1DLQEy3 ChakvWSoeer4/Qv+l0DUoi/XdDPdE0RnTu+lCvPJfS731YLfo8dUpGmw2TP0Ult7v/0jzXEJNUOx c/kVAC31E+gt38IsxkXLOMkjFWkqcfAhEXjXLK1YOsuVLnCQkZrjtYIqemNmA481hHQdHldfi7ev jQBUgIEGnpcwmqeaFQrDhldSPShhkco+94S+Oz4jid95NDuIqxllUvoLqQsEyX2eU838BI1tYRov pvIhz6ljoD43tpW4/W4l7nVmYFH/H49jfHr1tvxAQOAt/EvaHEaQhaZWG6zqWDqZ4mWHh1xDZrir 3ghYB8KZyv4p3rjB/xtfvcU2RQlTFrUnRSr6Rk98EkSVLJnCC5uW/LQ9dg/s9QGM0Sgk/WL8A3Ws pt86a1ziBRIwfZf9c9z4vsD5Spz4/vmCkhDTfhqekq7IpjAqGRmzDbUzx9PDMzmbgYAUswmo5V1N DNSsDtEKjtFvhpBHO8nDsc7m7xfyvMFS0qJM0vnlp5q/ZBx+Z9mjjFCZRL5KsXXxworjMWhW974o a1PGlkrbQCSA0XLJtSkVZCoteN9X91cnEW2LSEg9RmdLqXRBQOSa0dY8rYq34UVmfiSwDea4+U7B 9zOBU0EEw1xwfglv42FL4fQdTmM9ReWpG7JJJR/pXTLTZSyri1dr0c/SfZ5rsTTXE0oTIa1pKBb4 qkVzHlnPWXwlM1YuAi5vhDDIAcyN5GzyVJbERUWHwkFn7amfkZKwLC4wUhCrhtNBvsJ1M5Pl8j4N 6bTGJuaxjmlegJcFHYvAelHmNgReffQsgX6X/omXS5ICBIIAtI1T7haCcoq0jg6H7hsZfJGhFVXq JF9+Ukes9842CQ4xnUdzbghZJQuTJZXsfcG+EmxKuqnZW154Dw3lltG1NxkdUvsbHJj2SUIf39we jVEY1wsqxYpbmVYbYxUE3gsW3O/UWhj5lTuSIvv0uhcYufLiTmYZfddypy6BwTsK15ser71KE1LK 7uGyxy68UVlLtlW1mlqrpW8Kh+QaDs1hwf9ouDQHNHENreAq4z+EDTjGcfBDxZKE3wanj832Ahqf R9VeWGpDVHefhC8JhXSlZ4tP1pJVCLP+sDmnaxNDHn/RAaPFRqbFsILjYbW7qAEYv+YyXj+JUuoy d9C1vtEQ9ZjkehA/pznfCesxVXwCrL1VIVGKuS5FFh7kxd/+KsFm0jTGX5RVVa9sTvzCV1KTtrmo OoLRM/85pgav2rMp48dQ4ydwZ9DmBrSuv1Ogsh9JG5MHMQx1ShlrLEflF7XeKp+7VfpV3JQREeNM Le90qclOVVecjeTQ4obv3/tfOadBH+ymWr+cmRUd/jOCbuANJLrgRIyBOYONtSbhKOnJ141rF7vg 4pDQ6tnGmxbybUhku5XYMuWeysmUyVz+iUrfZrfm/5wDTJe8ypbzuuFfuxqeY0EI7kpU6dgjdQwq EwpdDDdJM3MhIXfZYBf1ylj6kF+iUnA0HVwUDHEOsDyk+Tx+/OGgelCgHFiYypVQB7umpK/9wE1j RcSrRJ7Hp9t0N4PkmmX/uum149Z3Hb5TEx165o2Wad3adkKkxmqhPrHS0IoqSdtkV5Za2SG5m11r NOrpoiZvX6uU1cE3MO4hhTvEFOtS/xYuLIOSeoKa0dlyAbPu5XqmkhM8WQjVMms7E7GkJU9gwuKO K2gJEpNDyUj2SAUAj/1ayk7uyflPedqYtq9JltDTgatVnvOO6qKlQBpC/nx+FUnN/ph6dQ5nEUe4 I2+pRkMx5FG6RhnqPgYY+daEduom7O/CIxV6TnUbgf4hTaGD4TScIhy8KBGUtJ3KOh3LtMjxUnDK cXYxiz98gopZX6+jTuGJ1lRHfUVsT3y9vwtSJx9V28WbKSY+fUWJ7iAr7NA2iFCcCLtIioX7BBMc sobB4ZQciMww7oCx5kHPiCFU6IBLLCR4ckXYTvUt3t1Pgxfj2sZLNqiHddomtu+MEbrEHAhTxP+u tlIpWhalAWU0IiTK3LIKFX578VGkirocJXNRWvsX8Ts1xMiE0I5rCreWMDDjAk9gACJYbOfFDt9a ZUlC8E7OgSLzsbIsnv5cnmMK1/ntPe9enee3pQzsbnf8UhTFv1NeaIuG4y/OUx2k8nEAg4OVkjTc hd5O/KQyFZ/PeCN1g9ElRB0kkg8M3jj6TkKtEAJRHg7B+qp2rB7SbRsWrcWTTvLd+yWrogfzp2ay VeyxBmC/fHAydhBYVAJTJTArjw1sPVGexI5y8OtlnA7b5mWITv1+Ndq3DB70kNbwk6HckwJYU6RV 6XXC8gkxE4Bs4JEdfLRd6WWdm6fQhr34k+H/A+nESo63F60KNO9sFG2mgS8/CBBLKHa7CqPZhp1y yzo//K1LyAJrFMAhFLUO+Ji38lAONXkb9xzHdUUAX1lp6Fl+067vJ3v1fxVBzOrJB2DbIT15kPiM VWlo6Kvu0rIEGk+O/7cVzC3bCN83xYGe1jwAiz0GHyYcMTqqEdrLkWhBMWCBEDKMWmec/It+GYCQ VM5ssBeQGk8zDZMbkKl5VrkeNOsC4i+oyMs5iiP8B96QgUAvLxf1FoaIexc1tVtYwWfTV7D6LpHG V2LlhTWzh9jtl7/09XI12yPCEfs8q2s4OE8dBIapxuMPEnUKRPPAWc1oQQhUncPl8fKyIDHi9QDe wbKZyoAVxs4Uq1CzJQqFMARwPt3nVRBHTGsAJKJDejUaj0Bz0JXH8dA6b8sT3ZtipugxPq0ymJRO BRP36tBcTC/rDlEWlxDt48lMQXIYVa+AtN/9hp+o02AiAQx+st4+wuTrGToetURD4tEzpkbUxsXP mKvJtzmNtsrV4dDHvXGBnYBUDp5nEQavx9ybK7NZ9nblpTV+rXZ2dygGjf7EP9pZyjIGGADZsi1S SZQ95oA7JbYTGUz0cJplkiPC0SLzo9/wKiPsh0E4cT0W84sb/7zPcppO9cceU8gTLLYMLOrQlSYm 7IqIAQaKaIghZiw2toFzOyOv0QLpEgpQaWB6ztMKpEoGsuaAe74vCuFSe/ZFy6hrHIXJsxLI8GRK q60Sot/YIHQdTCiuZoUut9Klk7Xo3B3BIUS0h6jqppWPK8g9OWlSb3EEBClji3LDACEr0abJTEBI ha6ZupGoXTxJnTtiyx2lUGw2z0FK2KU46HrKsPPeAn5Z+gzu2ExjgrUha/q2XNxlOxDnS/7V4f4x Z83oBNjKlUzKyhHm0dP5bS487+U4jBBEUJvsMOSAp8ZGkZVUKOen0m2kLbDMSd6XMJk+jFum4AD1 0ioAtWQqN+EqahmgiDJBcvfiJ2QwK/xOT+dy3K9gcCkShXKGyoAKLzfwFhwRey/KKSuwXG7/C6i+ j4C4ZbhxUrHH0uAw5SUp5TqT5aIb1JONdL2ffMS7yVure99DX6oFEe09fwZvgANkbNisGCuxqdD2 y7ziUyl9EexOgOhFjjeK+3KhjEXiKK7JhRPwJGcB3mHYunbvnFlbauqPgwKEpykXhLeKJvh8GAnD UUSMk+ykB5WFLYiSO3EnFR8RLVszrJcVqmkVi6g+wLsQif8C2j6TqtOVZEDHgjMZ8IKpDgNvFvHU 4zalZjR1RPxiW37SXr/TU2Co2azccd6OXj8GKDGHfzxvLw777QOAqTh7c3YwdzHiQzohmL8x4MhT UF9vl9rysP33iuCwwf9CfjBcVvU8zsYYRAuiHZeGTtfXtVsVDml5fF+YPAnkDIKPf3iZFWL46SlM w785nEVDCcVf/LorOCJ6deV9QjG6vn95lJ+9MF2k3fOBkSvrm+MnSHg1PDb3vS6sEZF+W1o2B/Td bUSpwVLerIF8QquPBhi93xmRtfEe8oosggD+4r/TqfIgefaZxbk4mkBoJ+0G4Kg68rc8MANepWfU UvWRa9n/fxSlMLf6QFFFVKzZ+A5GhpsJ0gbIxWba7ZW8RMiwWBlJdvwGDNDXbJ2qFnQHj8dd/KnH gQHMCDnaryQmAq8wXs8LXQ10FDDs71u2uFbRY9Re1UCaYW8MVumVYoDlpx9ePvx4nVJCZoH3toyF V+zgLm5WNeX1ZmznbebGF6VHd16q7bflWmeFv8JBBbWBqDaWosG8mU4cYj6/HW4EBpE3b5izYJ6M BnIhGnvEZmqbP7FBhZZtuVCE7Null0Pve6k3ZoySRWEckEfaldNrC0k8LtO5IYzxPVWXBDjdFtOD clTsntj08qEkkbX7EUbE7ueo/L5U5yrDfHAjLEnc5gYlc1OQrm6ENMPwntvN93NS+cqe6GvolpbJ sdMsTRx/4AqnBXXp/lnPF3alFHEZ34LGE5GERdmYLCDLru3Pf6f/WlyqvyevPThKxGL5aPhof0RR vnXvikQpsXeOxmnhsBJhQEWjv4zldiaROeY8MbfHsQ9ln7b1DvpKjYT+LoeH4XfhNQbI7WeIxb38 7I5kdipC2bKhufd9uD/DgJEdBSezkFRmuKqRp0S5daUlBxSbeY99DEuM66h6F0eTdtPEMPHrMuIv OSVgre6dEmVL+VmA+rNtaHm6/YfuCR614wF/ssHYIfcH1JA4dBw/G6XHGUrfzXJ5ynasDcPiVhWM CzB83HkMcmivzZdObTClieujVBSBOvFxQDL6Yvndfnkp8I9BWuWHcHxqzWC0pa3iEmg39OIs3XRW Tr9TLStyExNSF3Lv/sArQ3u3+TMtHwKB/rKdcg53/J03ccKxj+QteZzGqVPUW6Gcf/fIq8P2qkC3 YfRPjZA96a3QcEVtJFdGj8WU+N3Bezoc7Qu+/0M93tJWDwv/LepGZxtEw7KBviQ1F+FZi9lw4ZZY 5Qevap7BDS5WOqcXoDwu80MKKHIPLF+U+xrdzFjS4P1M5TtBMmSxWegRhGZQUiTW7TIlxB5jSMzZ 6otp3RvxuctCGYGo+tCVyMOhLmr+SgvAongP6Eo8cRmpmgffvS6Uey2Pu9KPb09OZVboNACogR/l 4OoaIxgHz8C/xGG/p51MWVpKrQIEp1Cv3c1bkRpGnrahdEEH39uYWHo/BN3qN9Ydx4T3mmpyHEJ9 xlOWQJ6MHIaVgiOZAPTAkmBTvvqXfj6NkMVgLSsTiI3Fdh2F3CClq/dE7jQGGYLWbzAAViTn1lNs ni30gl3SlYKLJ5v8maOEItXAckOphWJpL23oOh6oLFWBhZkLBIPFX0aoE5a/RDb+NR22ts50FSC0 9AYSRSI0CPT64eBiTlUz5SUvNoNZ6aEOaiDQwJDW7WHaqcAJY9fGgixLzfp7xZVAwkzLyBg+KQzO TY/MFPT3r2XEvmYWLC3amjEDQC35rOr2cFiub699IaNF+NwiRCxmGhjwKrzTqCiMju4+HYRgBFln +K0qSUftdwgCcLHJUt/bD05usYPEqDN+IV6bU9hYxFllPpO9tN/UY1Vfa8mb05FRWjTp1qyeZFmh 6ZXdn3V9EvmX77ufBcjy1xmR8wzuz7ltx8nhU2esWSdo3oFj0eqoqRY7FxkoxEjWmeSXmLIrt2BK XY34t4f/dolsdcLCZleSkoZY5UHQhFymgX+U89sOB/tDTupY/W6tyFowP/RABGbrVVJE61ODzAOz H/i4DcCFGKbKoIaVRvtkiE9qLNpArWMZuqRoQeJtckwjKHtibOnDz4CfBUwEpgRNfAaeLZTBc19r JN+MJ6srWXtM62JkH7WUQ5Vjj16xeQlAP/ZxB+MEP6UNI7Uit7Y5beyp+2DB8aTPyfe0B92DUKtJ iFV85zeSqzlXj3xyAIvM/xXjeBFZGWmkB/VO9faRyFCGjN/OLn0n051Do3LBV1dGXExPQJRqbQRz Rbc05omQO4XDMeG9+JpQ12XoYfSWPt0fiie3CykznXS40N5oQvx4Nfg9UG/A0KLejz0u6Q020iAr c+dD5y4Y2nrU38sDR0n2np6mEPuO52wU35YwKycHoD8peVaEvQ172FgDFi8JRai+gAuBFo1RrtBz 7Xut7yH8OXNxdtwPJeA8JfTHc+cmYOfSYpsIDj6n1QpJXa5Dqui80z2E8+xOgSvqsYcoqUoCdeDp P1luQ0jdf5eDmvHor3AB12mV06p6D3NNvkKIgH1tc5K5p8c+T+sYJ2owR9qcbK36ySZvKWoJTWKg za6EIGPglycYbjOjq0UtyWvtDE4GtYyUGOKfMyLFMuwsjeej9oRODBaEe5qx9ARgj6wDgLCMhoLC QBhIu7Z4Xv+wdsDqa63pJ1LsIt+sQXm680iZY/02cvrCUTS+nkEli8Q34bl3YLfXmJ9x8N4Kht8H 0u/h1+WeD2CGZvVoeBNDQdQ1K4fQR79e3CvXYnZzwCiRBw9QY9eP3dh2sruUbkLI1HlTloBDAvkf yR54dAjxRU2VXe6b+zxosmiqxFBdS9EOvcaXNY+wDCf1q4/SBlTHbfimgCfqpMeROGRJUoFy/WzZ JcHJVrcoC0PRF/eIFSpxXntpA2T3vafnNVgs4jRRfdUaMDLtR6iZIrPO92hB/aaYnecG0rWWVP8N 8LvZEZR+fmNpTcv5+HPTjKNmnVTMUmqT63jS+EB0/j/4Xe1Ct0GZFARvnLNqE0rGNGdpDAIatl/i HAoxCZL0kwWAIlv5mjXuDTqrfP4WOoCEJJ8HmABtC1g3xy4Z+L+eHr8JSudkPfEowKy+VDdX/H0y XmruSyi7BOR8sJKsCENVNYkSCBWaOlKL3DTqt5MT9MMSdm/cscyCiuUIa7K2XUlJF55E8YHdvlqb u5K2if/0yn81y1dcertuqWMId5YbhvAmvieWvr+6mO2T/fETn7ySq9G2Iv9pYuhWdFwAzGqkltUO cZ1bLkd7svm17Lo4oSjwa9FF4j8MQ8KquSGSfBrZqf8eG0QUY4X2nS5Ba3Et57EJg2ebKgWioSNb AWcVBJw7vkJe7jPH4TPO36ksf2lqQobwS+SMtWCF9UglEW54hsBkcIHk7tfIYluQoBoOFxjq2Ei8 u59QZw/mNR4xoFPgS3k/zm0R8a7GMpGQzK0oPd4zVAPEiaUvbqxcgnvWBzv++2BEroyeHANBFuZG ky8rAaxBmIAJj9RemnGVLq753jW6r0V/P0CG6ZWFY/Liz4WciVk7Rq8vtRjWnNv5V89Qcy1tmsoK ayk/OdbYZFKHoyQjXqBhZ8RXPRs53e6AkZqefayf/zYhul6lJzB7WTl/RHOdVgJq0E0boQ5BHGOx eYbcXfIM2hzgEbWtSWqkgUctwJntnoEI8WZWg+DM/xgWtZlTqevvej1rygiyyduPUBxuPqEcuf86 YCt9hhoXU4gyrnT6HnsVMBcXmIRu3TW2flZ56C9Ydy/ctw4gu3MtKWbsGZgGLYNp1UTqxu9WFCi9 eA7lWw6KKOUXIhpqPq3OskYcIQBrH8m4PaOWodREFkN+8DFUl7ZTSX9TIdR1A8zj3DnAzFk9QQDw WtCAqwtiQgJFBP3yhJUHdbzAoFMh3u5DqqjMb/78+srgzezknxw0TQiwNrf3q7KTbaEsp3HMLWuz mVjseXi4Q+H6Te1tecSGIOCv3VrfhUOpPx3qooKHUI19OS2cuhI23YgC5XxJTFP/QLBfkb8ZSrFF q1acLxEYXS5k6kns1GEkr+Z0lSHLtGMGeSBDEGzzjpVVgJ2mYyk89+pG5tyQu6Y5U0rNjCUSma87 DxX7EeUNCkivBOc2X5QRu9oVvEQGaW85f37wUg/h4Smm7dbrJOWuNR3unDyGy72+zRf3hQOAF96K ccxLc3LhNSLJs7iW4VZ59HzOLL3nyOPr7eeCi0bb1LnD67EjdBQt0/mkcuKnIPfyH1cXUxGu3Z3Y Ep6DGyqHJ0w2s/RaDOD1mWTeU0bOuU9/b1uuNgI0s4/yV82jdLlOLfpTsYgROX9Zv/fd6okQaH0S ot3GfDKsu0c48VsduUDFxEAKygitNiHQWz2ki4tO//ijMkPWP5NcgYspOzYNOIRXzS133d5YcVtp V0CjeiOVbvIbQsN8g1ewDtDYON0uKvDg0BXj4JBuQwbnSbTqjXP8ub+T32oIaJTSMRWfp5e0Dpfv qwGCOUUTzv5XkMXYdfGzaf5WzI+GiJoJQLzSyOebrvjIe1QiY6ShIYrJft/9neqNIELndqBH4KTP 1e3vptD70hNAkm19tlbtLdTPmbb1zGDfTu/ukzyezj3NfDBRVwxpsxnUOcZSvfw9UhRA9VxR+Sxp A+zUZKlYxWdL5XhRIo9SJTLwbXVJG2F7Nkn4B64FeRLvCGAjoOpw/QkvXGQA5dzsx9YapUq/OVq2 q66xef+1Fn/joNOPrxnazEDmiAgrPYLxvmuRhEe4+vjUjmKFEmbd9t1XoTzT/dJG/0JsU1gA6Syn F/OguhmW0Z7z3/5uCU7BLz7lw2Lk5MhaelY5sZytnGpSB8+2JZXfDqOpzquW6skffaxSi+ingwGP /ZWLFZ7UX2rPHI5/7emetghOSNWhS370vmv80nN3c8O52C2OUrt7x5m88vw3fIF0N1GBxbo3Itzu nDfPcNYFB0BIQc0efUtGjL1qpgOsTUwpTJSOuHjcjmkTJ7bT4jnaym6/w0JJ0KEWJrst5fPCsYTx oxmN2rsGXaH/OrA834auBvay4eShUB3zZ8CpMW3LPxrYTq2DUghxWgxmFDKG4scCkHwJrPwcxsMS 2Jt2ebI/mFZtXJzDkHIdMMJZdhUbIZJB+L50NW2pUoUlSJtBu2t2dF/3954Qatb6DP+s6EfKZCTx oxn3uMULwR2YyiR7Qq5tq06fz6stV3BcScvOxVIaV2x5bPRaPvzLCanK4WmpiOSAFW52MovVxyXd khdgapCv3wDNFaLnfZVcU2K8ez9sR6IQWRlsbFOJ2DQaGaMT887NFPbnQO64RgX83er80l1GHf6m 6fnmtcOw71bboO6cnzqUNSVvcgHAIuZ5xQ09Cn9oQc+yQeTbxO4WsQASwJwVyTkfwNSPKcwYniF1 mqc3vPW56QFi3FqllydrCwqlWzHOB9X02CUrQcLWGUr74UGipX9n9sVjLpOE/fx6Xv2E6OhluVtv 01v+LJs2hZF8pHtcE1BERaK08BLyb+bBqW9VSGQJzuT4uE0/3Im+bHCbixGL+05PvNFAkoOAlfXP xiUlQ6Vx2VHXp8vDaCE7I8Wy9qHxAhnhpUXk2zMAoNHWWFjvbVlibwmEUMMoJ+h2+hRInJSINxXo aZRkOsbsdxd+E6hYu+x5LKtox+LD/l5PnIA6zjm09zcacyv/92DMrvQR3iI0TZg9hPtVijJ4cyiF axn1/owToR2jpSGjOwOYRJ3M/xbC3uMq7o8TT/G4ZYmwGbj5eHpy4GA2G3lTGkpUHlzuC2hGWk1+ R2kOcsoDjVY52awuf++JsjXL+7sIvTck3KdhpL3q9+Wnhb8rIVtWIDRYyIBcTB4KghO729wfYzWU EW7RlV100C2k76M/lD0WGe6yreX7X5JFresHebFgMhaSIsDnphYqgggdvzTo/t6+JFQieRtKrChd hMcOhT7VADlqb+YVYoi55YwqqBdebQE9PMQRbJhB9pIhgDEZNhU/pfHN/ph+ZZcsSQKQU48tYhC/ VqtHduKkFMXDskDUC2dhaxtU7VrxZfLIuaMnM25mGHxePPTOdT36ZUY55hz7HARpvP6139jg8cvQ YZxfvwcpfzzHk+DHIO+M+Dw56taDuVSV9q3sQWOpY1ekSlgLm2SrcjVWaulHpBQZyMn+u+HCoHBg BIZffsNEIM4uRTcWVmYA9C4p3DMrR3j6kt18zcOHCAOhaAFE2tCl50tpOrTWv77nVX82QDNUqrUv i5h9XK6krR0ucgBIV8PcgEV8TTq4ddGQjyv/f/cBf2VJpwzFYeYIzu4meYezBHmr+kL5Sb3Xk/HH If55znNDY7E85H9eGrHYVMj/ZcsYSLKdEf2xdokORstB9NlN5pLl16Z+SpS6JkUiQF+LKT+DDW74 NSjJ7uO/uoRXLNq42AgOv8E3Oy9qGV6ZO38vgMdC3ujz4+AEHBjaxaZFY/uhXpis8WHAYxULbAke w7yjO1xOlz3ymrVdM5DQHrDXfyOlkHX/oc6EVWiJO4BfAO2UFT8L5JlQ8ncCpoSdWYa37Fl0wAcT FqJyjJaxdANnefbw9blRjtn5RIn4R2zUGw/budzMZeGZ3KQdHborB4GFeOupXIvljEEp+o0Wzro+ QGAb/TEQGWqCCKBr534X/qElPWitzvKnvVVvNJXJXnqslVBLDE9ww5d8BIqWm37XfXwlqFx6Vp+R 6z9L2IQ2hfVaEwnMKdhdax7QsbpNLUXCKT6IuUXp11EKuVKhC4nMmXh6CrYW2Gg+NxlqOHEPpZzR 7VhKcWM/smPMpKeRNY3hUpUiT7Vy2pJn4yXhQ1MQVFWGRhxnG6O+6XAA8G/qgMxN9HFyVNAnwx23 gu1UkPKu5adVJc2VKZaz6o8McbpUEmbTcArwGqG81JTqGlraIdFRlE0s3INKs0SOSm7B5dhHnSmp AJ4S9kXQQ6tKioFKVgrAcoqX5VmCaxGDqv9D8DFXaRjdHbBUHc8pVdvPEnOqlagJx1ZMnshVBw4C 5L2Z6z4eyXCvnURyqdfh/7N17mgVvV0kVafNLIp6yygNd0Rx6m2sxVmDvWy4nEWP6nft6pwmjFJr 5P4z7xkpEXWV+/LJIfFfvmyxOtuaRHEDFq6MCqg/RPu9fSKjiwfyn3mH6GMA+7EHJ9VrlmnCRlvK OEpJIzobw1MLudx4r9cfFBnUjl6RbJgmBUisnSR3I9tH/9iPVfbDaxPxskf4FEEz7iZ+P61ycvR3 awWC23Ps0o5rosTSF2ZhXeH4kgBspcJvA8jacqkcBrzkc2yl1kN0z1mZgkJVPR/ePNeq+mZVTD5h 4RWySftDuAUvcMS3Tb08+Y36ON0gg+ix/L9ne2W0XTgoxyGuQTFG+RnGOEuo6kGx/Kc3+ex7a+r7 H4rGffykEJH+0vDuFac8Z5WsMIJEs7eTOztX9xXdBOQGdTBKNTwOt3mG33oLlXV7uhB5Vv3IW/bk LLRS1Kfxu69B7R6Bqx9gUE7815Kx37+iS96d6Co/XvlgKZnLvBThlleQQGiRimSrQTEVT6MQSnF3 qkkkwDs5qpDSgRTPfywaOtZnQtsvRknO7KChQq2X/CtGwa3ZkF0GKu2khSwIN2V/cthO1oW+9yP6 QeI2VnTr6GmoYaz0IN0rQvmQAHudzKR88lCk+2ziYmBBJNPvFnhKiFzz9HqK0pD3mCWZ91hr6ef6 RSafuzFiyoYf1F55dzLrQyq97hYXBUYcZW0z1B9GoDPu9D8GBf24EPFm8ipywvhxljQXn6X8+K9V NOqYMAmC6bC5pPe1Qm/8FjDGUXWt38r+UPLOR+7AGli3ZrmZfWcMSly6v6CiywYqfhd/86W9IQNI T8ytofAjysLGZ4ej2zSMtopxR8afFnn9mtLxPyr2ogU4eOYG2AxswwLKDIV7i2jQLqFh3Y68XR0k 434lZB9mecivJmG8DbrBIqlDzA39fD0Fyhx/QEzAdc3LZCZYnEnjeeFyKGyK3mYmx8lzqKQQu0M/ oNmB6yqcfU9PUb2khw6jIM4YwTv7RRRJP4DdVMVtkOfSrIR15S3TGj4Nop9EGMdt63+hlMnjFdVu cImDoA2IucTFIzBfosfW8Mdi7Vfy2fSg8If4pQD9O65zRVysKJUv1d9MIrhr8IYby4tji+vj7J0F yvn93oRredKWT+87sDbXKzEe7Y8jW+ZeBFD0oM7YWRXMEqMjJPiUvGxLz50B3bzuLLWido5pbJId wZg9ypV6fdimscY/4ktnCsh01vYyjC7WJ1pS3v5HhbTfEqmz5eKfvi4ks/UVbOBIIJB/3Y2KZuOJ YtliiVMHubnxaKZFpqr3lCGEzGg57OCt/982133HSvz6YiR34An89jjvgJOk60KnB+H+Qay+KEb4 t8bmTEp1IrpBfkisCBKQ1nWXsX0Bj1mQ5GJwCSJcwD7hdFwqSC4ap5dv4YUp13NcXCQKNmJHmdji HJUKR9nLt9nxki8LZYXNOSs6Pi6Eiruwd2m81v8gWUGBmygLQqUgSGblBRVBrrBe+HrXjNnJ44eW QHh6X8Mh56DGtPN/9AUyc8ZySEFtADhhGxH2ni31NC+HFkJUyuV3JGoGx8PsQQlk1Lr3fi4pyBpd fp4prh0nrWIVgKqz0q503R3IVj36NPmwKN+/BOEw0vMtZ8WVaY7i1SCLDkSdPvq1USP2IdPN2Um+ ADBJlwFTxbCNgknF/NpjZd/XxeBuyhcpajuQXn71nqLjGhMkO0j/M7qvcLlyOAIfU+I7KFvI+qyZ uwb3f/qi5P8ADx0Gc7qW2hSfkt1P8oQp8veIa5TBiLr9HPaKLszCieHK8Ed1rwsFnTxDGM121Pg1 F4y719a4u7NoWaA7lAyy6Zr8lcKhIGswafdSXz/unnq/VBpzDJAeHzW5QRLzX0WaMUJoJcUiErqZ 6JdaRwTyOpYjrVVwqgjJRlf89n1um9zkAHMT7ilCvoYWwANAGvHvqSzFpZR1iwqEdjx8HZ7kfA0p yUUlahxHWHMFytMrmZe35Ol2QkvX8t16daw+Rn5vTX+bQ/B5pktolevfM88XDExXyp2QZmbunqsx 9dWe6tbYOz9MQEghUch0q7vH+znLl5cNK/FnYxYpg7jAIkIZO5VvhFUIq8ViI8jyNGM1wmE0K7ok ojJ4v75HVKehhd3STaPxV4rc93kFRdDpsCvQbS6eXufHYPr4jWyawFq+TlFXnwPzsXzEqhwhsbBJ tE3tIM7yqtmwjndnFOm1BRRtIJKgnWnb6UMrZ8ajeagm5nZIKwrGe+o3AINZLjtz+5FGl+sOcSHJ HtiCGzFNSP/cD+IPaHR5Qs9BWketXGDWq8nWNdFA3NrHUNsZSjPvSbAG6vk9DU0jYsxdbcOuE3cZ gYefi/WbWFko672k6VC9chajDCZqg4daA3Y6a3L67ZYJJHW7DOqvlX1zJb1K/U+V0alDA73LDNA8 A1EHkHJX82IQVGpAG8RmnfBwjFJ+4EpreHAhLRhSlTK1ZwFXtKO0Z5+9Sm8DHJdYx0lJVKqEl7go ZvPwWalPch/qBFazUobJh5+/GmJCAcjJ10sQ59rMxtWIeUhGXUOKaMc0olv5DIhIPsDRr0EqMWJ9 ia7GbR1pFs5S73LlitzDY+WpRdCtTSiSQm40Hg8A2B1xi4eBAYFwcZG2cLz857b2HcIU4OIsf9s7 IcRDC7j0PB/XpX9rmFbi8aafQar9DPpstgEt5M8C560ao1sjtoMNi1DatlOcJLIvXwzKJ0nt5yTw qlULZ77d9GZVhmD4R5nYVAsUdQOn+9/lmea7cpyjspsJZclYMRoO0otfyJboYC7PsSurhXHqh0G2 wn2DPQkNu2d2JyRVQU0SkJYksolWSu/BGLyufBSixhREh5zoeb9Czf+5hwaHOwVfxEkIywCNpN+d K6RhlBv0ZYh+Z2z06K/YUU19O+X48S56+Vu05mj5Zw/KIQECMfVQzlkS/egkfmtsbb14eh4zkRyN /T/iaCzJ9NNvm0xCsRxZQseYDkvl/BXza7UCuE1jhLG6M5JThs88Yk94YtOYmC9J887wdhIGFvw/ RUk15IGI4Zp5lBL0JtSKmm1RS222NK6t05uiRPda3d+mTj+FnANcgupJAnr069BIamt2oXak+WwF eueyc3MFzoanGSQjBYX+mG59N8RRDvcPtju6JhqkrzBMVfp7gYrz412lUtHdQ9JODXRCOe+Kc5hd A2RPjPfleZqpTXZQIajFAJprHFGd99Q4eSyuiPVH+a9ZEolY0D6oJhYJ2mlwzB/Lyy3R50l1FZTi aJuzN9/8Rk4GqjY/tWbGezsUaBc3BRt2FshjSJ6WJ+lXALEFhQJOE/FRLcihYrDzVFWBmGa5S54N SRd93Rf+oOhHGl39X9SZJSdY5B3yVx3DCgO5/D4jGO/YMLGVrxz76HROhdk9/5z8rt2U2+otHlkH GlzH1hFJlxp8nX56pSaOFEYj4DwFQXDrK+1otYBmhwxfpEzi+GrfPPLinSjxBR2A/cvyuLpyfy7x 7FLaG5hRQHWRNxXaHB13Wvss6tmZF8kD+Sl81DJAVls/vA0mwUhCMkzuDQLf5CUhJs57OskQIt0k FxWD8gFPc6e7nqmQxsXJzpL14r3JAn709hCNwtLvkK0nkQ8N1eWDbsr9gwDPoe1Bdai4kXovlwB9 gV6VzaX70F/AgC/eSPFEps26Bs6WbxtrIW1H6ygkOpJOihoe8lpDJY+MAN2Jw7HNbQ93qW9cfC21 4lpBFfT2KMf7fi/918Mp8wYuQrHfmwbdQhWS2mD4w/jaYtnDgafLnWh7iQ4akwgOfkjsKQOft/1i y3vp1ITMhJSheTx9FIR/bRmVLVVdvfkWl9GBzsgUHCQCSyvp8n5WitkjqfIJEbzZlFseSRn9+9lu 9oBVToc2Wxe3KfE3M0OR+OoKSK1fRXJzF9vIWHU3H84ZCV+OtnZvb8E5cm4budjC+ZsKvh2p4xkb qnP+aNsy/hnTjtXf8inoWvOtpgSoTd7zpKUv5MGkHCYwkbG5dBDnk3XHIFXOZAd/+mlgmMnDOzq9 RiysS02VaggfPVaIQhWsYjpbE4TuOt+ZOLXOcdPgnR9sODWcweB+rABPsLOzKPvS8xVJXYqs878B Z6OzVBrMn8KCmsELtjlpCJODtvQqj0VtxP3kUiVlEbbv59ELftF19mHRBR5BCXOCQEfUPIRF1bav ar/fR4pswXZlNmsdq7BcaRjwGxr8ZSBwOJZGD9Fjw11gPiFogLG7vcfQCiDx3p5B92XlFfVi09vL lR5Snuvjpr5TAQbEh2OJaiSXKUDuEv19gJQreW7XSlruT8R7ljqWeEK3twtaz88Pb2wG7a89i+nw EduYrl9iGOJdkS9wHS+znNX/avs6x5ZI1eAJ87/5BvOy5IpAiMl6nj6rsQgmENvvgvl82Y9P3JJx E4GLmPaZd0q08StQyt4BE8GuAC1jSlxdg6jKu9HBYdJvRZHs5YlcjQbjz0ODOZnU5HYDEoV1Ng+x uVSvrBw4WlK2aJ9ZpPTozjjUbr8rUrWR8t1Y3iRfqvMhGsxUBy8RbMAQHt/021mQ8pqP+A5aeGtN /8uDbz9x8rACmNiGbutka0qlf/+5FGIkSMs8J+1B0T3KEFkXKTWc9mAVEcRy4LsXpLnHxfhAyVKA 11Ee/35MQoCsdZjAix72/+c27sf27ON9776G//mFz4YZvDaiszhDUQvr5zrOubUcmtcLElBgTsxc 7rKmd0DabYyyBMeYuyVOaaBqnq9sXCFqFspMsSvg10lZDQfQiPTmMARac/vt/Jer4xbmUvreDrsN p1tfZxvFXv3J/9ykZazSqjxKAfZ9Tkc/GfmKcRc0EsI4G/ofS0f5SEpkxzTTjCjUiKxVLBoBRoNS g0Yr2dE4SxKQXzWmps/0va8aShIgoyMAOja4IXU0S8EHVjA57+ijCQfrGmOU/bHDQzPFISCqqs2R lmbyZlFR8EWt4X8LSCto9+P55RSE7LFEVamI7svO1qtdXVUPYfibN0FaAW2lanq8g9+MZoZrxR8c l9VUlumrg9nEpT109OmUgKElS7PlcxC94SuHRGAu4eml2+s9Rr6bClArNrlDmw32x9sKX3ZbkdeV nkp0QDjPe2RlklCxnlO6SQxlGtTH+KLJSi1vmp2DzWW7XKJnXnefF6L5Frf1TGyd2y1Yd67T+o4w yW/5lH4E6UJ3X859gtlQMDXEHemMTD+1dp3fqcIXpiODBa4mbkGxKoK+kxqFpfYjfGHldkPCB2Fk xhe64Kv3BZdFws2C1pRnnZIulB8rxWz0kqRJjMkUTGOPDV94zPm06NLKZI2oA/T7UfI8XX9Gc0DB 1G7xFkuoGHUSUBnsfcLyKDi5ln4kDV5HT/BJoPS++iOA9b7ap8UNMIPR2omvBsJOhC7WorwKeiDE 8s9Eu2TlEu6RH3KS41KV1ubCGzvtrpHRGrreegEtVU2px76hprMJrIQfxCDMA/V5y8xfOxk0jmjQ z8NRCE1qThdYcviKyjghwCASu5EO1ym3n8sevPwgReQMXnaJazUi3L5wrY88p2rvwcWRWS3X3Rw6 xYxtFMg1lVOTxUljRUMnCivVhYL3OW3oDuQs1U6b5aOZ04oe0uA1KaL4f5qUHawM/uYVtzRC8qAJ J/t930p9Jpv62tL206nDBQS4ZpHvuDJ0FgHaIGcp2XY36gyDH0dihe1bfhHC1YescpiR80GefRFM dWaonDN2HKyEJACXjyiKb/Vd/gCUGFKvt+jUKkJyMjv53LjUnxI5MBfrfNepWZLWhh3ZMmf/iNeY elhz7cQKP05JS6p6Ioemute3eJ8BzdGXyWQPReSOZINoTpsPEgK/erdxqtO2iy9LWuPT7uIxAYno kdKsL5JiP+oq8ATVrOOhcI7lqRg1dtnqdUI65JbEHSzfn+dA8ftV9JpXicKJnzADF4kKtCVfy8yT /6SbKlwbtgtCJkdsJeutEp1YaaM+0xLK/Tr6yeFljSrM8D8uuEvWdK5cw8tErodXR5ehdyan9rHf HG5LUOD1clork85fYyWKEKlUDgKBeR+fSEwn3LO/iNuTolswu4fAXgLy8A7fcD5J3Fp1U/Vv1H0w T5mA6AcwQOP30jGTLfs34e7dLqrjXhfzc+o6nOvuHgywRcHpgn3qtvHBebXp5XF56F97wtBIsrys MsTKzQErHn2obMboK4BCu1hC35brqgBZHUXnUL8tkLYpdYMx36hBSn8xDJRqZEydE9PoPJYyCztE lbLqXnlYIhq2RORsfJJV2Z7Rw+38spP+Jp3AU0cCTiVXoiF2kQDc80mJM/d5LGTa4e7WD3C3qP1V RtkR5arfV3RervGH/CiVNaguWDDyXBjQNVYhLog6zf6klz9j1dXcRJQOGOhCmma5vu3zd08MkSWz fcduyowtBaW4fcxhNxJRFJ6IuMU45yI74DfmERjsY4I/voXYKGvqTxbbGbYgNIttHfOKjN7GsMS8 XhSTObVFTVbDbpnmxDn+zQDmatmy40PhDEdhnyINQP+XjiH0RduNvavwbio8dbbf1DrbOan36Ywf 3yxmABTgTZlcev6Ey2jVe/f3X4w9zsmPqa9rzj8I1c9skueld5ry6kUHEwt+Ar4Gjx62vsF751g5 ofisQ9cMvmAXZjmfoCnP1akRXWRBIZ9S/k2cQvAX0AoZIDN20u9TRjBiDWBFWr9Bu+TSo98k8HGs /NAuUuDbq9cq/Z4ToEqksDvVEng1q7AjA5Wf7cgEvuUripw6K8v09MCmcQ7MmiWI8AihDCpTnLP6 GCeZISIwm7TezAzdAVDkl6GBXX+ONb40xgHnkBFo1bKchPt8d4hYubc3VYXO13Qyt1AuzxInOQk/ SOY51e7hBsCTSi93M7Q0DhnVZtWW8ueNtv8hYSFvJx4IMTBgkscPxXefRDZ/r5siLPYRMGAOAosO 5u17n1GYu818N/72K0l7VRP2PnQtG2BZRTDnyCb+co+UGbtyvDh0dIh6LcKG7pPML3ZS7RzxPLgn T/IokueHLiSJ5smkwD571ltgbvlnMSjuSGxfWxO+BrA0jLikGDeLzReW6SMDAzeA6PMzBrADn7/q +RkKRM3+H+6msiPAFiq+B48FCaRnkEK31w3vcmQHRQwhD7Vw3VppnHAx+254Sp92jkl9cAsvrXkd FgFBz792HNtyDo+7Aaa2jn2knu4B/FyFqnf4EE51nTJjCRSSs++L5GeduRpmVsp20HvFodlUZVEG cFzOI+SQj+X6PPOqOcwPDQbIwdwt2MLYSASOtzWwdrN0q4WKfi1GG/Rwr/PkFHvfaY/18F/Om6Hy 1jwj11vvwz9TVJGSSLpgQozXwbLGnpCc9mvh/l4M88EXBzZ4Zg58wOyJsty/atRWEY2kyrH7LfqW zPMtNSMo3JV1m8bIlYzeAdi9vct9xdl92Rj4R6o1JH4OrzIq7684twcAzr5xVUfrR+3/6whGCQSa eS2vsdc10dGDyz5CGojCQPdnvp+acSx1SUFymp0Gm2nw9a+iKnY9CxmAGHlWjBlmtARgt/VTFvoK vwpI870Yt/0vUPWtvTBPSoXMw2R4cVftNG7nyoRHcUrD5VtQSTXA6cuAJCvLIKbuI6BXDZyTjIPw fPGyznGibAgAEEbhP7waI2Mgu5XCFnctLiZvPwOzptbBBFmHtJezpb+vB7NpoWkq31jwrHR0we10 dXMs3OjOPytQMXx4mgnjVzd/JUSk4dixbmWgmpl+DTAWSUcE+ureVrKZ06GUkXRAZLBLvwbj32FU hQ+UZol6CWBTqT8t8vEvfuSWMNLFGTjKCsTuOX5sZ6pdDVc/760b9hh5Y8dRx8kqHABeYkqHUbUE RhqbbqKggLnedV9G3Zbxx6BN8T2qBlfknflv9wOMpL76JNmvICaGhe5TxLwbKD8WWZYGLcFQdJgY GAVP4aGRYPFPBlRT6GPcVjIaYbVhzdiVNgQAryeJ3pPlVS4rNoBHMPKaZBTQWJqQesnc0VbqkyQn G+z9uMB9mFnBw0mY+VmcWIpI59e/hJoBScmQjyrka04echBwjziRjyQ7OaxoYNuoUFdlE8YadgJm /flzh4DWppGrUu0xJDqr1bzSKvqwS9CJuT1ROo6MHExpVVPJex7PQPN0+XXItrxgGCQkoSMphxEM gNDHM+iR5bB2lIb6v14LIdMESx+9x5v3chVrQBiuGt4L3ako3nywHZXr9BBaDNw1F7KaR/M7KOwv Wa7bfvEhv6eTkX77XJEjtANm+/1Sl140NOV0aXseoNsZrc0XGkzaDzXt40tKDn52IdMaCdQqOOrO 5m03EbzFTLIyCfift/QEI5JZbbK/0tdbiw0/BXK79EIKutXBOrAtQo4yGGZSzEP5Lb2cY5kN/S7h 0TKYlwC1vYFPMc6L6OzZsrHNi67OHWEi0hhKK2hmyTCTIS+XTo7VZBXXXUOe8rJhadZzt5HHjRtJ KJiMpekRNcHmPeVOJZIZDQOn2UdmtB9w002Iiyl+uLN0SUwumsAf0Lt+W5jG8sEUzetSteydapt/ o2H9E6F+99XDzrota8stEHsyKp6JDddZXcw3Ix1JFepHI80gMqKr9Yh75c+19/XJHujwgwBZ22T9 aeFGsMto2ppKOclk0n5gUZqxuBWuFkgRWvk+lB+LxPBNzvMoiVJctrqAs/Uiaj3s4ZgFfaSqhZRm /RsZG5dc+X7KdbeRiM6Bsv2zwbTkavy7xGXf4QYq8YlnOmVSgYbOH1g/CiS7uMH9mZFUWYz+HvdG EBJcdD07ufVwZxlk7PCdf7bJW9s9Ej+EggzNrtWYAsJ69lLMoGvU8vaKK+xH8GXfuQPO/urBEJI6 roKadoUGiCMdWWOFRdwOe2bi0u6GmMy6nOqNYo0o7LGz/wpbUPcjS6AwK0xNaUzcG76axB4d5Jtt ZLO+avJnfK0lhASBMbrvcTYxo0I4DSKmXbQTrUV4KvajXyKJHLcKLysmrGdBAirsqVzI0ACTLezS P0/wog4cEB0GQQePjGqiO8+o8dwp9ZNQ1H/BSta9G7dwMxuX/DiBOlKCV9lubsy5krtaqG/aI8KX +/mlqia3d47jQZ35+dL9p2DOS0snsDIKSgILrT/aeUycpEWVmus4LsPpFbvVzDoIGo4agThnrnDA zVPiAyBWgvg1jl1XwfiEKxVqOAuZ9Y3lp66DET73VDgY6ecMiXyVgQ13U9iXsoe0JyH3/KpSKPC4 VIIsBZkDjZ1TnqCxQ7zymVMNVSuQcqBhEbVFQDCxkFJL0IkQHltVaP/Ls9gh/1pCAo3VcS6833vw Cnsrh4NaAQbHLnlScSXYOmTFUiCrgV1F/F/5227AQCAnGiOs2hOk4aIWQ6jGH+DwcoShOJJ95gf4 Zj1O+JHimDqZ0NTJz7y2DM2r0BaKW51Vjk6ECuZhDGkGp/pHPXMMidEY/HzQDPy9363dhPBkgQi4 EGV3wdzja7Kib65HLbBScErB7r6Sepuq+/P7rkKA78i9cUoCmzdWFt7b73jEKwnh2QqgLhfiINYE 6GbiJJPLCq27sIiRuJQ49vHHD6bqXYdEo1w/M3S8DyUW/HAtBpDdKQ8OQV7wb+MC2Xk3D2hd+unU qn8b71v2j8QfaL+yioM0M/JXFCJHOJfJ23C43sOL8pJgZr6zXcOA/nMJ/2JBVQGrXvuC2B4PkP+y JaHsPv3urX7n4KZK8B1ZpYebydzyEj/bHFiCEg8ErSw1mpOyy0h0dDpraAeAZUaushlCUhFIzsWS jCEUmMfJH+zk7rOtY8Su3ZbNVwibQVOrcjHRGy9ri8zW1jvATe2D42xpgUX/z6LI649EdJBxOjDV XiG9Rc2/iOWHUHWojJToPuIkGPdcxRZnfQmR7/FRXJs+K15mLor5rEkqnaBnXcFlBwrFfhmmX7fD +rqUJ9baCCubWnj5JreGT3yqFgf/iaEG54/+R9hu+bGuXW4mCo84VluZq7J+/JIFOm+8fgJpwBW4 Z5cgrWPFVMp5laCVe+J9UZo3JkR4H1YEe3Ps9Zv/3w+pEwGAw0lqiVsOIYThzk2X6UDCSUG2zsiB KDxfUzQyzIbmxUtx6WcShMCkFvq8CgKWZpS2bAruVngv/uOQklrB83xaR2YR8eftkpPHZV9fvm0P /wUr7Y4WPlXxfXYWNL84w3QuGErwmzoRGrBOtDLHaIjG22NusX2GILcdxcxoSJAI0qdYTw0Cat6x apdKqk4xwuqSbZm+XIfedQ3xNFqAlpn/0JsFOK72jXuPKmH8VAHsHKQBNNFKv1jrawXC1k46hxyk GzPKebaFZ4bHVDQ/UvagNhNanaV90JmEhH9cTBH4fMGxU3uWLNCbH4qRTF45UQM+XWeJM3G9Ac4o k6yhemEYSXCxCdptD4u4mxqzkuxgQB0tU2+ZKdlshlbOmSMQ3ZOmzF2c2Xdz1OX4HA8frgeJu8ZE p6ZO/G0KRvJixZdIcyiLarTq15c6aoZJd476n6WW8YT1jMl8A/egxGWA/XJD+wiOBjozFUQDV1b1 7wZS20YKlfLAkMziE7r1+t/QCTJ/Ajp8fs2yTePVXi2biKC3jiDxGiA9zyVz8BoTPmISIXP/+pQc 9FCnWGek1iN7iGYp8BFKBxJzu6mn7FMQAKyZxy+shu0zdBPhO8xM8hi3g8i85KC5OTnuYfT7Zzua NpeUVrJpvmxTY5HGvyGaXIzIh0hJRZAPgEmirsPS/hgo1IRu25RO10Z38GKxpInM7+DgZspR9h3E 8XCZ/hi7bQuNNTm0MYm81hBSZkgL+g0d13t4scfrTQyGScwZHBH+oYoHGI3SWES+zPBOEVUOVNhq dod4hUNsDjb+OnG07AN9ux7z3JrHKw5zhM6zz15eAQUe7mhs6/sb6Z92ygaKBDQ5mE0AwJ/8bENy 6rfsOFh/ByWzFMRUu2oUHCRFRzw12q4UClxbkmb/zZgZ+6swGJXun0at9nrlqy/dyi1SO270rwfW 2xrWNHa1HOojIsAEah80oXDfA8bUFkRABEK1FJPPJwhnJGE4CTwVJlQ6JARsJU2gLUVJXpyufN20 Xfr3el8pIavrRx2HF/KQwzAal27zNKJlkREodQBGhqGVx1JWW8f/vxp+pY0uI23FyWaCnnVFJwD8 n/Pzwi/nCGJACw2s51nsdbqHcMzkD//tR4+bVnsSl318IdfOitfmOR66Rcx4a80CyEueW05KGfhs xRgU28X6Um7aHX1+jWhDyZjhbOr3BPh73XxvjgWUQhdSEPW30PdGfPT+l7xRWvM9Y8TsLpnht5ZE b2uVZiAGv3PKjh04avL+fIKcecA54uOOu8RWdceczMsdZkaeREQmOnmZRr0jB4hsueklAFG9jvkp 5wPpvlS/nlCzYZdUY22rVjWWmzZNG1egV2Orx6FvB8rvXnqIIonYHUrDUXue6BcQgBAjg1yoV34K yDDCPd7WMCfDFgAaCKpNW9fPjm+YZzSO1im8IoSDyv5GOszu5761PNI+tBhagGp/4j+nwh+om+iI BUGkyvDzpot8FSbEbt+sxTq7v0TV+DYaGZBHw6T3ASFIH9YQk6/R5o+MuPaxeO2nQK9g9GSpiCwL W0AZZZG8AIJXO1ogpE4xBN7Y5Y1Av6PktOCJS0gIf6iZLSJdrGp2fygcwSFodrcavITmCQ8Vc1YZ e6EJB8/PDQLCfYpCmRB5Ts3fjeNnV0gO72Vb1zjMyyvEVi2xASgGWqxtMAZC1f0EhNCVRbkEsndh dgnc3YHcBg1E9jW98iV2h7EEr3j8IjkPCn/8UlRuqYvBFx5VYGutW+RIiVoMuwB/aA7MDtqfT1nM +3Jw6iwot8geWQURTuFxtKo2NnWQOptioUAfMtNGCB+dDDohoxN0lAToQYsk/EVBuRaWAjOhbc5z TNACa4KwSGYXWPUZV3MHIFA7ntSX6KLwGHjNYuuFZskXpwQz6RT1OV30g81czKZ3+5eR11X582hG PFJi9ylVpx4FXIPDS4CsBSPFok0816w9H511dXES6HQFcBEaRGN7u2r02Rqab6syHfN+MewEoIIZ NjW8J5RNUsCSCsfBE8TicLs8fp4jKGALeYNU2K2/C3DsNEErTcX5tntpRFLm5bACzdDe3n+qOHUT E/junLI/RVj+AI7L995sV1T2yO62NGV4eE9wH3izDS5gNSg/IvFodtGy5B7b2uYEfTUqLspxVcp8 f+ykE4ssMhgTZYxdMO2yLe1twUa/GGh50In82wVLlVMj/Q4im95T7t0lzAkg3CHTkJu6QKPkROdn jKpR2bu+f7OJ2cvdFy6oItex2I9yLQLBErmhoaaYnWau9qu8OA6IM266GbhvlHjj1j90cgZL3HKB veiYPdhBSvpOm+I4nDXjE1ye5toB9gwOF8A7chjb/xQuZYsNDGcZTzVpzpMw2AYkzhU3p4/eFZK+ 3lsTO1eLXVR2wBt3fYZ16s8dyKHCVMEMj7G2CRUFCVrMLpgL4jdnaiG/2otMv+e+6zghFzDjqDXY IuapBT4w97z+TbGIQU88/UoTTTw7J/KTnfrkw7e/Pbrhp1/g6p+I8ApS0gFLIRVSV7K0zaO/SSW7 2n/XDcKCWGgeWUB923V8LLRODHmiaXIeAAtONc60Mr/6duKILTJrD33a3XqBedzyMSECIs3hDKEq cd87/eYmsvb08BwqBp8J1hbm2/YmW8p+YUyJNDpnTk8ijIKYhRXeM3gPrL+hh5j/3h4P5asddgCP nFvafJkqaHOoXAI+z3FPNUUZYjai9iTjJ34Wg0tMs5zqbOLSutAy6qcuhdqGH8N/oE99OsMwHhN+ derJMBHExYuhLByPWjup/RHwkZYJ0v8uca7413E2tlGdFBEQkpBByBu0dHaZBt/j5rv/lWPm7KHJ V1lojiVw9G9mgHVfo/956XokwKNeHPdh7n1WqMybWEoQIKnh8Rbvz6FzMFSEQw2FS/he7GWu8Cc0 bJ0Vkdo6RFHqHFyKwIxnCKtIDhybnQEVv5rkfr6jpgGdMbHgIFopgDNBCXSL626gBeIg5vvBcVT1 RlFoEtRtcP8853qn+ImZLnLFffEPwc6oPAPBoSNr9m329wTBS+Drjv0cQLpIY5l14FesVGF6z1qJ Fk9yLIASC7pcQmNldpGIAN1SDRBLMtuL3WryfDiSP31TSrXo2k6sHNRsi+4jyMVc5eLhQORVZ+h8 dv3S35lnURlR1GkwUePTRyLcbR9hwko3PlPyolfIc2FKUm5C2JOsD0N8rFIJC17o1Wn8qv6mxNDL /r6hM3U8HGVYVfKheVxi3XQ2/4puJspx2jhK+yMNFICmoczoqwkXFrYDSLeMy7iI21JNSiGrFFwb 8zkrbLKN+WNsOBoQ5kOmUl/K0HHdMG3a/pVV+yBDjGTdtV6GFYxINeF72UFgHn/4vHrRSHWPS/rV tFHGc+aq8roDocoPGW+QIxSYOVdesSL+foyan7x8OQafek91HcCoGgpI9ZiMg4p3H5eJZEQZ2QIw e7PMtz0aIiar4EYvmIMZe5IOoHyRzBT6/nYZHVeoorZ+OaXm39ICjy4bE66ZyGB+M8EU8ENDCLZ6 15A40HpH6uY8T/r+k4TG1k/H59xk8bZwCnj6oC2j9jAPcHVR+M1fWE25U2eY6U7IyDj5vei1bTfI grvWwW9L9i0JOSDLALC1+8/SW4fUgRfqEPlaYNQE6Tj4FPaWvggYxxwZa1m6rjUifG4D9oG89Uvc rkhGNbC7FtQftxrEvPLLDz9kiHi32kY5bfcbO9oOvSbbV9ENy8t5bpoalVwSVuZ5xqLsLZfDUpN/ Y8hMnBQe/SD7rqTajpThpDeH8LqA/wqER/aGT+36l9an3KulE1MfKR1qXeq6VEPnijl/vVQTxEYG UHIQTiAzggjTfvj+detvGQUL30YGuMzXtbSF2SnkUMIbH8lzDhj6TY7urd8HIU+SbyuPp6g5h7oC O6DUTghTm1CCcuiuHmytwuE4IdDx3lK+4YHdpWnyqz6YJkjLfVBFsHJnyNfNwdU9CTCPEda5qhVe 78LgOjMcLy3Gi/PayMvFj6JCPmvezwj63qb6bWgp0YrNGcwO1OlYWIigv3M48mwPBKRdBL2r619S 35XsuQ7GJDokU1YLOx7I3ZO+qxVNQFLY6CE/y2R3tzASw/I+hMNsredYE7GNarYjIPH73fVPqgA6 gtxXSBXTAA1KwAKhM5Ae5WjFGmIyUujy/84WC9QQJsRekBmjuR/Ui0jZ0tFh0nBh6xsRdiZSYdf/ S1lIHMhabZW+18nQbDg3stmA0an1NG2LlEOGb6PG0cBHIM9ob4DNjL4u79j9Ee76SlvTJQOKE9Bz 53AydfX1tOLua3diSs16EoBRPJNHC1pjpKeWoIMJb7MNDs7isnW7rTJKMyc/Z/eDUdzhJMQ2vqWa DDeTNC6j8xI41KmPGyPvlARMEt084ZWigQ47S0D1WSOcQq8eXU0pBPGppQf3Puh5iE0jyLcw95qG jPHSEYfwUVzBgj7VwFaBSQ6IWKIixs8Gy6mwshsyA5wN9ihqXp3EiR7d6NRZ7B1951XsgRbl6KJT rZQAypRjP6uAmVRQsrHaQLv17g+0Rsfug36P+NYXuh79W70jC6RkeTkPEz4lhlXdtfV+d1sjTIwW s5BtcYnstkyTIZTuSNX6pd0ODkcfsNbZ4wtINfaorS6QpGr0hCGW9b6tdwQGmbItnjr2MPCP0unM ERbut33BoABDXYucHl9jaIFiCpaxj74mTnMXPyj3ALpXLjCkj62uGijRBsocqPRkUumu6k7tjUqx kiyBKS2sWNZ2/E4mU+SXGwZgQtY3IT8359j7KfCLwO/XYXFCeF+CUf5TwspSqbnM7pmQ/hH+jjNv bGCOgNPY5WwTCAqeQFGR4CYmqKq3YbQm7jjekkuHLnZLtllXEOG5Hz4wmuSGfqCeXLpt/c523yot 96lDCxvpYCNcfxUxSw8NC/sIjNou5RnkitmOMsjKbsBTJE00MqGDL1L7zIwpYZ3pCf48evFokBvN TkMRx/cN7s8wwnDNrLK/v2N/K7fPUHeUouaClzRfhQaeBgMVxhmgzRY3pCyueC2/doz8ZYSt5/AM NeDbYOehxoSBJRO+wiKu2pp/W6EXo1Zbdvdek8+8dnHQZmTY6HLwkE4DiiUlznuoKSbVWBgHyNzr oXqsVATYhBEjrDukWpYTa9Th8Q5xl9d/ooxPgWepGIliNmMGlyCDYsc9FAIicwJtxLy7q/aiaMQs ntjMzvJmpeyO+DSO496/EPbvJ95dchui02DZuv3pVicuxOnBWF/Mw3Nzr/hFkkVOcOHvF3r28MPO URTya4hdIw8uk86jJa51SCMSi8KWhWTTqFFBV82bNNHSq2U65ViqlKl33gl4bpYLXsHmH2n25oQw maz84MDpn8BoMb+IKq8lyw/iUE1QF7n2E2e7zBUcJifvPq3vmeeFDP6Oyd4BJje+nGQPlnnbph4y /aaDwthUuvNeotWvTr7VIC7GBUdt3FvxRRbo56TyYJz0vH6CM6c3vj3lxlopPhH+llsT/8JxV4yd 3IqtnBld1xIhWVtNC8dTJ7Lr+UP9maMsJmLTZvNAfPkuuvGqF8Jjk1SPWfYAbxyv06kH4uRbfjuc edoJn/lE5n16PvK3oY4c/M3ihh5ZY2yqJHysSU3MXP88GIUjzJwJ0mbvPgMwNyvvPIVwo/2uhgEL ZdDQH5sh2Iy+2ul2rVu6yZIsBrSvFl14LsV0Y2dQLON3nlJxLpRxOjmEkMfZ8u/gjTQT+IeuZZvY kn2S9hQo5uMpM87drwATdp5f1k09R5UHmm9BpYsOGhDyzpNI1JCK+fmtDdyKgjRamOIzzY22cfa6 UWBMpWp+daW+r2zWelkioSZoMzpZ7bb2BuAmYoiV1Eis5e1o8gaviB+SyO8uxcA8NnTq2YcxurR9 u8IOvxGqH75zrUELxOZcYz3LMoTxHms/JxwHFVehwoa0QrKRko9S4eVZ5k7ScwzJqYaV78hyoJ/D cA47rdvoQrEDJAsFeD+gG3CcP9+MKMxvygk0O4giiCSmUmgWANnHUsRQZ6piiDffWJC6pF/xob2v In2qQk7K3ILRaGrzCVOurnqsBYpslxsy0Kg2E32avNmPKAsfPEoeFGmA8RY0IlBso6o2OUT5eCoN vXyAlCpt4pkkhwxsf2x2E+hCtuFK+E3hriD7O3PkwsRiJeCxU5nmgncCF8U1YivBHhSQxYMeMt3U dBnvxRGcC1Ux4xOTuMuDOyg7cynGcsUuTM+sDL6LFpvF9V4fmTetcjfdetpzFEezjLD22pN4Pzog AFFqj64iRIcd9t8aQWjaA9D0VjfSOiJ72sHlf09ubTAwpVWf6DKbpXlPZzpDhbJjy99M+B9pQgWd xUIOzF9xekw5K7Hrl70HMVtyTCxsNEM2ZrrT7o9lzYW8l3qDG8SVdR/+f6F8MOyiGz1C7GQfH9kP ruVAX/qgkByXUJs6rBTf7Y5wkVA1xHMMxHgjl/RNqAsLuzY55QdU0eSDmd/YW3qACaQCMZZ2fK3M 1raI0COgFNZoN0X/3lo/+m/+3JhySWCxmrsC4rfEUniM/hBZsE9lWQBbRMMf1GFCkiYcspcz6114 JuHzDiI6WyAXTlUcs5ds5K4uiguZM3uDKO9ncckVfZQm1f6DYVvr0dDjTKhxSPEhVQN90EnD90uh HPIgC5vsO7dMm0BTd8O3oupSjQNSrIvf5a8F75FevNsvIUEZfQITaSLqfsLUunfdtIK2eAIXKmOi P5RaEgQWNjGEtp1jijKsCPA2km1cuwKj46aa/QozRJq/zdwEa4K95NUpwuPlTid9iMYpV7Yi/4y6 nGDJiVUdybZFnZcw3HK+eQB4ACdkfep0f+bS9H4l4mhEICHoVUSzi/OuBaSSzleICJY2SVr4BGA0 YuFr8IG/zY5zGHFSC4M7kfzow0hIGuiQtZ2nsCLPuaojANI6hYdd9AjNaVYk9oGEpbYsTGbwabUy t8nO6MvFT8koOpMTy1LkK3t6TrFFIos72ssHAqpvUqq2tRoS+laX3xhDn+ZbUnKa/drMaMDiNfXw nC0A9r1L4e8O9Q/YCk4jCC1BLAQ/IcGtbsYDIUpHhc8HU+XxswqAQ5Whd0smtkyU0/cxLTV9zvQu Mts2LRd9H5oz6+j6daoe6Fn/Hrha4DjB07RUPiDUnYLMTg8tAoEkWPo8AcByZR3hvNy5pBlnBPmM 6d0czQwuyxBZM+JCXCLFzY9SPaeyg3KPbznzyo8V6LP6QPu/1xwTtZeIh8SOhDMX/Pl35sMFK4nG 4x7/WRz8lVqfTQiZtV9CNkNdDvD+xF7BZwBuF7I6ow6q7eslDENLMHFrwAhl5ByFSLhp95Dc40Dd CZci656om5WMhwgQsPrR/zjbQFXp5HOcocqsGdj/Pl2JjLzAnQ1PKRccuNZPoamWIA60fc4UG8WV n6DUXl+vzsLM3EYTLOIl4YXbcprMt1PL//302b7MgWKb1UOszq88TLrU/WQ2R+oCxVKlVlnbcK4p ghJa/Txw5lxAqfHb5j9fAUDVY/B0/6hwUYxeuCVI4VXO+VsPnlyjz0IVZNnsN+xcWZVZfxoRAuTE 1bcFsiv2tobAnzCCfSNTzbC3S0REQvavjcmVGH1AylR0jXQAWH8BAgMkQ0f50a9Nh+Z3JvMQEN91 G92WtueXanvAzuhi9F85ms0Enrgn7D8AZsOJW/XTMkOuxy7UFsmE0uoxKt5fHdcnWxDX9+6vpFh2 pV7iExw9j1BkM9wNM9lRm92lRbU1uF+sdGavJ4LUov3NxxlHLhN3/im23lkXspztYQVp2/yjG1AR fe/XI521FbUlTgCVvEFQ2uKze0dax3oya3ZehhZWbiCJNsOjK2lFRyrEUKcojm75Upg6rJ6itTzT yRFGD85RW55QZVSJqgM2TlH6pDgACtFTBa+KWaGzKnqkHs8Ovl/HXjSqCOzwfmWS7upBxO7jcVgt jXSYDZwsaRonmyl3ooEauDrC/rcv0SAfYpCqhLLVEnWry3mD1Q95Sija5if0KoEDhfIHPMkgUijj rfCwQLVlZxNZlB/wR9QqSqHgRNLNjhFVobot5379XR3EwziSxqkopT3CenzS3gAuQ2WLSR7Y61Q0 v8G9afes/cAPbLRUkML9Ufj333jFjf7q6N7nsiswDKhmrDFt/V7aP0S/CIotBp9Eia82ISF+rR4k 8JSCDYawD0S+9A+C7vjaK5tyMGUCqYeb1oGP41QcPtonwm3m3EAAhxgTORUOYq4yddwX1T7YYOLX K0s4OMsJPSdBq3alakxdcTi5HesLW834BTSRqEkUnXp62d7/bjjNPw0FxwSqxM5m6TuzdaJ6XTAs nKGiCgEi1sanHbinTbMZTXUz9C5f7xXyTdVsrsMZG2qyGS1Htq8RQS5UbVX/dgpf5dJ1xYmXKT8y fNEzV8KG4wldDLy4zOy4PBW+P2lXmMK8F0DAZZUQIFbY1AGOS84mscJ+jciGD292n9Lr/NwrzbYD VGciPCoN8BkWgju29M4g/CcQVX7BlXG7QHszRFH83ExI0n3OF32hWTIhLWzttkFiA63IUBtXYFEy hpXBGDj1He+2rX7ih/WVKkE+fzPEP/27b848Kyj6fjeWh7qP3mjKnnHvGcW41WU4yOwkt0RD50A8 +w1hyjquzBP4plnSHWcDmxb1R6an5v9iwox5UpGclb9U5HVNSRz8/rVkEv2ITjDPmCBaISEQaOk7 vsnbTBLf9xjJ1XI+6uZ2lDfQZBA2xhqcjoC2AyeUVMjOx2/KiypNCNbY0iDluQvgS41jjuhly0f6 QcixpkrqjhP2jo0BdIwEiOSGOOKSp5wo66o7P/qgiE2Iq5tbJIgUdnJL0AwfJ0O8A/JxHW1ms0m6 gsTXh8r1zmirovpO6M6vskynGUqMNRXOStm9OSs2WCeTfznXq3lYsMSorXr3L+wp1aTETgnqIZIy fHaC5s8tHvJzhSinSJX/YTYuxPuqToWC602Oets3dlWZoKFbzatekHlKPbQ4aL2aQE4z6231d3jq 50GkGJnNg5qxkD0cYPVJQXhc1ccHRCXES9SbpXqa9svNt2gQiraqyCXU6TdKHckJfdvE5p7XL95a phroc20RXI99NwqBRcvTqKAxLyH2IKOf6i2XWsbYjTS402114HDk4QboNB6+igiKxE1BdZFuYBvt 0QMTw+pUCZrpG9Kc/eh0tujjGBjYsjQ2eYRyYLWUWgPwpYy1NsQ0ESBwLmb30e/HKkwVrKnc2/ei 9ZX8XKw1sI74PfHYcctUdrJCaohYZJvqrXVhgJzpgpToc/In2lq83CkXrgqbh6LJeOFBjFaQpTJD fqLFd3wGfegO5fpMmENZSof3HPI5MBvQQY6uW+SIe/xYS5jYh7/jkbBG0/mSwVYaqsk5wMclPK0l f/XLyv1A15KGT+m6VgvYQa6ydtonzCdb0DVGDbA4rtGuLdV2L5DeoM/v4o5r73apHZl746Rdw5X2 CrDwic6WOpQBDlnj6ThuRL1pzbXeDjI0YxIhlrOPx1PwAXO2IOjOdA0QWVqXunVwDbYyI9Q9u01U 7zUjfoKoUJQT7YvcmsiRH3AVNhrTt/AfgG01dH6FayQboukKIPKG7JNDSoaiZrnJOQmX9F4bP9sA ihMjrH3Erywjy37HAE1O0A1iQicvlrDiic1YVqqYwGWkB2mSxpOM0QPb24CrswElSLwNfiHV60/r 76Sw8sL7/+KwgqmQi7t0t2MZV/G5EzOm5Db082N3Hzmxh4cSt6eQcVHcSOX1R6VleR4bBdaR4OOF EcRj10sOL7igcwcNSnTfYFUFm5t2UvYVTQMLVLWiJhZvi+TybtvTfzh/gwgV3ZuOG/vTcPsg8HeB /iCTHAlTK+gESZjL7JFGLXroxoFkzJD4wfUrsqoYBf9CGym0T1OAc/1Kl58rPu25iXLQDRdfAHmf c0V0oMzAtfNBAUiJd+zXB2183amy+VBUtNrLsXquJ5tHeefvIGt5hT+eSgRwgom8vpdb1Ou8x7aT QitzoS3Wl6Kq8dOzZHsTJmnqKWR6OXLKeKenKfLblFpySIdNu44i7tgbJ3r2CN2eesBS6MUEN2UZ 29Qi1aybM5z/dSrE8rgbAEkgfM5Em9v0G/59H+z0XoWTNjCK7l2Uq7mVlXXY0JE3MMI6zHC6kuj4 t2rgFH1nCu0KoU2wlQ7qbkbR5oIYPFUw0m9aylZbJN99UcEwH/RpLW0AkeAN8ZOVIhcCayCKpmeR joYHItGBRVklkMIC07LxZrS6bsLi7Ob0BLlQVdMbEAbWetRHk6sqtAmeWCnV479Aw1ouzENsNxNQ sFZKBqA0xABgBNgmImHqqxfXUEC7CzJAuZ7iXPtdneqobBpSBogo2YponmwCjQOPCqxRlvzU5q7F ATxoC1QUMTgsVSwTOgEvR3Mj10IkYcJ3m8VKweMFODPBu+6f5bD/4VSFImH8dVJ3W9ps2FAB1aed p0FOVVosP4IpKynbJ6KvJa098pYQ1nunP5c97OfTAnj2zYGpDUfO4bmTWJFGWYiQV8zzdFAdyEAO p+Tn6VvhYtpnP2PmRHGW6uyOMJjbjglxNCfH9YjcLtnXOWQG2Ax5P4n27JHcRmKFMEmZXhLUsdn2 THvJ/GTp4WaQ80Wqihq+bi2zoWX1jbnSu31gfOG41ZlyEvoZIP3nfASoDgx68NnNfXaRffIkkcTt XfZbMrSzxG/iw+MNKwUb+dQSfaE6pJsz6jF+3L28TUVr00hF+I2FLX77d/06xQfXDDdz0zHNO54q WnrVRAhZNftZLR0Nxa6TT1HkfTbTMJzmAlSFEtUR1UC/OeieD16hBijsfNIw/s+JuHkeWz57CEjl SHTLTKzYQbXCWjqXQqZESPUBqvAlv0/GIFJSO0X8LKdoaJC6456AV/hdmXnfbzq5dzcxnazlZTAh CGqVMvtTUXaMM9Pn3UwhsiPNrS1A9uWNHZRftL++5v+2WNHIr2vLAF3BopwSXQ2pBxitX1NAV2Kf nlD4aV8soQnZWyiBoQTIMwVhA3FHrcO7zoss3gdTprnX/jbaD3216LJqt2zug989Ih00f2Qb1/FN KiH31GnOpBJiTpc/ApxZimYMBdKq/OsqsBgyjpYcXotyOP7+wO5zLuG4wLK7zEdbqSu5oFPNTHfA pjLnalk7jXEcoA5CUqCdc6lxPhHdak5Al/3No1QNKMkbrAyKK9cyFZtpFDjEvKWHwN1y2rTRnSCj LdwTQJXs/WRnf7MenvESPtwNZfWETD8IktrlNNXA2kXA7wDE7DVVvxxA1ax0qhDPwN0lGMri/9lt jGKEsMm23TvtkX3FmZhnS7zntUaiZ3PvxFXkp2HKlchwXhL5s/rqQcgLLFmAN1tnyBPxZIXPZcLM n/x7hVnyDgOsI3rb18DViW45mlGVawgZZ1LZiMxBpNphIceHRIiVgDpEL2JeFdvWt/ln2IVXN47N 3Js8Ey1K8895vKO/KZPFbrwSSe495BJtMTVV5kSiwmMhdmgU+Itm2HGrTz4e2Cq16b/D6sJx53mN wUtMvc29J1ZgIOzUQ9B2pOuC0xNJMqf3uEygosDyt2TJR1d7+QSkG/+M2QNz/ZYDQEVPgy7SF8aO 2p4ftGYViOfysZTniJO8W7hsRxWvTpsmlAz5JOVIpO7PLYr+NCD/xl/emnjcxM8mSBVdk4Z8jCiF MpqHdc8XlIygaeKokPQqL5A3NpqtB3o4tJkODKvtLe1jQGuKeghS96nBvhqnhwDGAjpwZrXNJ2mp GjebQW8UvBw4clMRaQlYYAHa46cL95ALiZsG/X1WlzcfMS3wnAd4P7YKBjQv0v54GwqKQZEWJbbH pEy8W5bScCJA5ABnyKyKA2cMRLuR4exmD0QV+8msCyVNq9UAT42wPRB7GSaGmy41sOUKK2L1RZed eYpKaPcwPxwcvpzrFr+TXEHSs/jzyFtjvBRtYa9teJP9Y8HdCVAmuJaewv1bYQVXlVT8SgW+8a+G U28yxBA48RLC2nwraLZqiyCE0kKFJzxRsZu2pQJQbsS6Q6UgNWXf84krNXVjxpjJ402+/8XQ7OPj uDR0Lm6px9tuhw7OnFgdLn3AEnf0VuulgF/zaHB9zXgKFz2B+LQY8WfhoAZRx1AsdMdYtI1zU3O+ XMDMcSdSze0fgiF9I4Z/fOflsDKLkUkhOcIGFiC30ezo4DIyEOCqDhanjDm+bqpwcgtzj7TODWqF hn2l4rpioYB3m9WJ36chPyMlbnzSPPdBfWvPPotzZmjK2vIE1sAlDdPYkJ4l3D48eX0tVWOxZIuF MlaPPn6Oms4C0GK8JayPcKduHx+i8JWeeYs6kYjsWg7BQ5g/C9UwqdfXmIIMRi80v0uqVpAb8+gQ BxKBvmu4MRWHH7B90P3XzxchhFzQPp3bL4n/QCw9eefYb0tWzpTbHlpSHCKwMyxvD7sRD/ZbgwyJ X/JLMpVG1e2fBg02lqaY/dOrMQRuBmkYtFf9IfPus+n/FokKHhn4GI7IyG2UkiwXoi5sDFbsVAk+ COobEseoplYW1xdTrgcAcmsx24lD0k5bsw36xhp3dKTZLtVgKaB11F4GqZV0iqOTMkM1DqcF0UD2 waIluTA8+hb8MX3bvdtZndwuAM9assPW98ByqPRIzH3kG/EBOveJy2oz4uAtINuEQ4adpQgxxDJd jdkkhfsbUI6sEtBBgw4dVBrOkCUIWB5NtxeILC3uIqR90cAiHf8rAZGHLN35NBKICQiNKe8tT8Fe 5UB1luUSNqx2JU11j4+4vZ7UujnEXQgKsDhkKgt2vcsuOhnoNX8d897G8p32KkzPFWOx0xReD8Rk p1gwntSCPOtgAyw/huwOhlNErRt2elSqmPZ3FN9WU+G9eLJcdToAcgLRDPjcbipYcmFxbOf5uuvx tO5GEVD7Kssn9VWgYkhzCkyEdwgI3Dcv64dg9gJbsMGvKADbVChuHlXKRwTJ3ILlFtVNNCvnrzLe 5vbOvTJE7k1rccqueDkz+DWca+R46dvwbcx6iLdIQVmmZcGno8Wt0iXX80kTMiTBIjhvxak4Rf5y ns0okGUYPqIf2zXVC0VAcwgSH3fdFGPkj9+cVgel3VvP7j5qv3YDaK4Hehc/eNVqYJGvgglAlnp2 z/2ojyHsPX3Q4+AAMD5fi2CQ89YddY8eHAvrh4G4GnHQd8+ssdu1WbG7JHjE4XKVcKkn25mRdthb 29vA6Mk37MtA65vol0EYxU6oW7hWE/fa6+NrIhGJGAiAOk9ttdeyN4JWoWNRH8Kn2M7OKdu1YJRY ogjX2ovLHHqAjkwFDIwgfew8X4NEySBwHYbVT6PEUMk2NaLzOvrlgkuqa/hQIkoYmjLPXA2+Hhnx WC3I4Z9ursVowLT8yiChkGThtq8zK+Vmz6YtVCRlZEcqdFmZZcJYqBNjSqhxGKlopXEaOpQ56nCn m5uRkymYGsVfuIbo24Y83spDvX0ERVjp7TDs25+1QHOkVm9lWxrPg3wyL6PWFXf/pPJYLObXK0E8 TiHJ9IF26lxDL1MaSLGFkx/8oGwPahPY8ziq+NVGkgo/oiGToTlOze06oOz5N7VKHZgrwhLjv8Ci DOn8gpk9xiEIKMjUb7kHpWmH+OLnk93rvjvRlTn0uxjBvV4r1nmtdaKki/qdg1rpR8G59WDK9Zjj Eyyms3Fql7AbtCU5LSeZGytehRWS3GRPawsU+o9xloriEQkxHFcoSc0a/C0JC6NYz+WiSCxqp6l0 zEeWRvO/1oY5H00B+j0M+yBdXRe8VowVCOfqhVdv5nUAV+EsJSGiPAmLVq2Ns9nhiJnTap67PakG btLVEmYTsc6Nt9CyCVYjntR0Axo1cOdL9zOgButfGNFuEHsW8f/5dxeaYVEAPVg0EoSPV4DspOiY VoevbRXGk111t3GJpdY16ucWEAlf9ZdU8TFTgYaHcEgKKEkSlXTVo+Iu201xSPhMPFWaQhCx/WT3 roBHtPUEb4MfzyPxTELLitHqJF8QvSwfR7fI6Tkq8uEI/LcZ+aXceWzBQ4sNx+TB+hXWxrYlrib2 qmTUg6bvNBaso+4yl2/gY+e2jPdxz7HN1809rEfp35oCAgUmJswoudC5h9iLKgrJHU+oPhO1EknA Qbu0ne7+y4qnOXjENZMH/X+tTZtjhoT3ZGgW/xMPh4YdqXxqtZsq9HcJAaAyS80KfFqWLnPkDz+g wtoxB2b/7RC+gQaVgaqShoSLVT3/MPskpKmpkY7ZbOhLm2JSUaG8wxOZgk7XbH50dot6Va3jSd3l 2IliK8cODzuKHh51gEISkULVY228RwKbCtjkTxmHgGQ2orJdSp2VO4RL3hY1N5OVuw6/JeuVL1uA 2b+K1gjOX2FketYp/0VxBn1PaOGKBDEPwFu0FRjoE6kC6pRkcGJfPUXC4LSEUz1ugj+5FQ6Jgz+Y jea20Grnz/qF24sx9NxqJG20VpVJd7lmWsPSdm7m7S2hRf5BxomIrzWxANJ0pxGTJo6N3lMJxdv/ Jf0oQ2D63sWufsRtwP3iw/xkSwmcjwxn9D8X/Mv3onRzNJJEfH2QvEZ/tRcMRHyK104ZafmaFLFS SZnGzBm/LbAokiaL/rl1mkVdTBVxrP71V6uPvCdZ2AYQ/N/bL8ZO93miYw/I74DcrBp0geiXP4pC rWBc3NhfZEszdi+Xt2ACR2JGSV3FhqMwZDODiO3mMYpT+GJ+r++OpRGk6020KgpCW83BA91wHowu qqnvdDTXeVZcOgNqDUmvVUV9yrZ1/mq2R9Ysbxeij82JOiNeCgA9nWQXSewe+njMQ6B8kLmc+7wn qKheh7ZeG0lBVZcJhMPPRsgPQb9JKiw2vf6S+ov2E1OArbUv5Z2C4HrLdKdY0plYAuUj0YK8N/wa 35jjIzCuDRoIB4hDuyPq4F6cVv3Y1++5i/GdzxKGjAnPF3jko0If2VXc1giQraMEAT8qJ6QxIW0i kZij0t+MC0JRPI4Gz4gNVQC6BIUAH7IBqJrQjWH7IEL9jfHdNN5vvZjkkb9g66MqdW0iEkEFRmci vjj3EChT6sCApFyFkWPpd9CjfTbIbyY3vC5Djq3ayQKuG9l/ngs7nfDxbWdlaJe/recIiFPTpXKz NhqYVWOYvfniRpc3PPVV4PNREN3EFVXxSXWVegTopTRuuW40fxsZvy7xjmTEmfx5y3K+hRVxbEKP OzXtKOwgc34PSFGIIA/qTBf2pN55zNe+IElnrXH45rSBiMZrBXdhCRKmDBE0qnywsKpMqGVwmywq ACnKj8TclB76alR0KZrBULksNHLKe76zhZhHWc8elNuN+K3RpGSgjIZ9AtvcFYb3awU0Xe0hc+xP LVa9KtWNMdgXbTmxilDe04VHo9TXqfRX/wwEw8wLPaNgB3QdtKweoIBG6RtIqcemM4spYuEwyolC nrgOxuWDD4ItTbYA/Vypt+l2TERUCE+BaQX064OmH+Bfx1jbgBFzggdXAd30Lje0f9iwGfhGfN6q VRiicvaNNXs90quuuic0Zo32hxjcHjG3ub67DnwmL5NceA0z1aN6vfsOlhvRZayxvow853C51GMH VZyCTJblmL+ROa5LtEIkouc56OFa59eHDEjRKsJh3bIJwY48JGKDtwVM1ujXwLW4J1sWHVQFF0K3 3UBCTD8Zo57+4jmpR/921Wy8hUwOX1w6rq66bqFzzG8EkWFFebwNe7S1DSbHCw0+GS5I9HlHsKCN MTlwnCVwodHpHAn5qFYjvZxxIFUdWZlL7xSEncKGHzIGBbsWdGHHhCYMSLyp1dJ+f9JALcpq28TV 85xLwoIilv2eZb8tUNQfCpOTO6EVtQH1FhoE+x0z+KG4TYdp9MFC+hswE0nwqYqxbC8ac7kU9BrK AcSYEd+JY+AnEmI0r1uEDI3jiPFv5v2CHpoT00OIoyHqOIkFL4ckndZVxMjiymElJpfw095W7IGw tiFISNcO7pZkAdfK+8upnHVJCDNXQ1bXcWF5AU5OxRdF4bAL5Xl7Xe35waRk28KH8LYwKw8BI9iY aL+basrGv+OZ6mrUlFeYckID2VKF3tJdGbpMJnKuEUx3dwUTSs7c5K0zIZY7hppxBSe71GN/3lkQ 0RhsaB5qxPb5dUJT6qX8cag7tpki7OR/q9UV8CRFPeVZfcUtvF5JBZJtcOFCjXrEIrb2QKWBFDVD /qm3eW+vLzsU1ju5WAOv0Cwpgcu5VcteGK5K04FnFQU/EQKGTPTccT8LWZkZYQqUrhCxXTQodWcp OaZ0p4+3VKfWAH10j9GZamYmNI9OIGPLSdCxRra5cRgXaP2AqbgbxoNHzaOdn6pQ+9XeZcSTAHJP 4QFi1W41YLnMUBeJK69qcxgGzxS7GJYpHFghoPmtaDWW42HB8AsMSb8Hv4JL7FBMjGdSV78dEnO3 Sj3iUejpBDVN4L560Q9sZvbli/tlFI5tzCb99Kqo2xo3Mvt4oXIHpUpf2y5McY5Zap3Du7od+1z/ 8KRylWR5ojDCZd3zezGWyyIS4Ky0hpJwOkbhfkRgRdH2dS7/aMT5jflgkLj8yoyr2txwiaMZRZxW LVd1odWtdhNU2SRJnT1IuDZg4x6VEzMYNGE6sUaP9sucgVTHjNauT5KzNhkjlsMW3/7YEKHt9PQx UgaCaHK7nZc9LcqrQM8tblQG7/MFcp09GCUIIlkIu4VknJ0zBt8j554Bd0mFoCRPeKptLjWMjgxn HelOImm7uPOgE0SphjcBTz62sX2dihzcffEnpPatu+YkDn3Ltc8dM34bDQEmkDeGIMVUzXL6Sshp hlnSpwHbkNOXmephAyyZ0Y0sI+/nG37Q8uST12O+IkiU9pVR14pAnaOyMVXwiLLPz/NNX8x0CJNQ eUFQktjfv7RM55IzeyCFhsX3+9xvh8UUCoo2M6d9+TLv6hSBjjfk/w11T9h+THJF/mVxAvOGnZSb OFa+1bvGzwPRWC5mzxtdcUgdyQdqolKhr8982eLFlVG1fdo7gncGgy80IsxaAtBDCY6bUxDLJJjA q3ZtQPUc0MvAQj2NnQCHRYGsvfKt//F4vxnKRzENk3rgjQSk5cHGNEiMCWk3+RtYJVFIWviElzH4 CjSAZepYNF6BWxW2cMXbyp8Z0Pz5mMecp402j3MFQjkdVWezLYNXK7HnwtMEuH5z1Aqw7YeveC8f P9fsY+g+vMtOjhiR0HXxtucxX0bTFF0PJZ2YvG7GYRv9DLx1+cbEpToyZfx+Uh9j8SOdWTKs6vUw TK1/bpxAsxSzsTjgwfBaOlPqwSaED+PnzSSsEjEtKJ+M/9yDNvFjCgQWUC7IK3ag5UIUr++6ESqU HJKO7CJjNK7xppqOWb7ELxdW3KMkYhes/Wn3DKMA6wNl19irNGS1gHNlJffvflaO6toAOZmyY8wi D9y5ac8lRmAHFIGsbVYcLT1usoyNJg1wPaRIfWRllsFjpZSBfMoMtrMXvp1J371dxfL0+vXcs7rO dXGVLqSARwIV1fE8bSch6yGUXeiq1885ORkLvrUNDaEZZhInrNDjo+ydrBRLtxdAFg6HZPwWKYho nN6f8aMWerrsmDrPIykYWe9nMvpbbzyd0H3ZF59yCShn5K1dCv72V+3F9aNB1D/BT/iKwndico+T a9ZnjgzHDrpqDkVXyF48CcAivmDuWyXn/2QYTz6DpMSKeS+RXtL+/eacKJLBwtXcyMVeVVTbSS+K yCdQCmA6wG9PFSiWuiJaXjYxSaqTZNF540esZm5+PTLmQ2NQsmoYYPa+Dr+IW0MmZUYpRmqc95ez PHz1Cy3Rz+y971E5KcBNTRe5OdrjeU2tcSlSAo5cMXwDsL0izBKn2ZFEl7xqaluMcyEY9akwTD1H xHoQRJRyfcYtZAEt5Mb2VPd0MaJa1symXtlOcqr5yt/x3IRCmzQ4WdpsP2fMZrc4qusaMbwFRc7l R+hs4eiAxPWaCFU6gke33VW7ey6veTiUQFm9+5gXI8sI5/Iz/qiS0nO61X/kXfQsCsUhorX0HCEh eQJ2ZDrVwN0ARtT/22v0X3ZQKcvJ3YSHPwjR3SVaNIpQqix46+ThNy7s0se7x4D2QRGDBoyUn89y 7Q7L7TH70j3F8kiRCLjwr0ok37mtAmHgwoCcvnb8dtXK9D1QJGf+vAqf8p25Mm0uen3Lg5ngYMch POeuwOyB0xtULmJOhNfkMFau3lmWdqw9VGDD/00anaFe0KR7nsQ2ekTLvP7Fn2Qm7JH3vUy0d8tF SHXKA8MkR6RQEWmKnlHRGviS9YNesIkd9xd7GzCFqn7t4v9xx6AlfJtn6lxVh8tRKJzuEj2L22+d G7uBJTNmvDPvu3PRPYGPoIsS5sib938Btdr+z2X6uOK6PKQWYHItgW6KxiH0r2tqNr+qoWJBaO7C kodtHzDDvwMxgxwjoVfW/1E7kOkGPAOZ4WtplAvOpDAeQ9Jj6WJqXCdTTrB6jjgMosc1ZsvVw7pQ so6Lmyabji1s2FxxUiHvRkgSjafwIlGnGXEt9ODEZm7HXwh+L6Z+Wv3xX4wfNk8YbLdMyjAUFsyd dk0EYG/dQWVGKi98zeT1ZEmtMkzQCiAOu6F9Hu6Z4CDZGVhi9iR7SkxEULAhwpllWdYcoU0n5hdV ZmdtkcjdkriuL009DnPUcAI6v0yuKp3oe9+PKGEyWi8xTttxZLNfNWA5pq2KCgotAGvY45C9a95J H+RJHzLaKunnSAqigpwennDQqvLxBx88GAtGthQk6YhMQJC0oVT3CZ7If/2qyncRXicDE+VFSBpB lHyl4k4EeT3ivB6fqB+oWiu14nI0cOAS+gOey+CEcAGd0hCKEZt0CjGTO/vXszefh/iBGI9Ubmva 40MZHgTwcAbJxYhgeDn00FhA04XAL6PYRVMi9Yy4uAERYwCID2YZywhbfVtcnqWOn3goO6guz+p+ YC7Z0y9ag84BGWB+62rYLjg368kw4St3lIHyOFibkHD5UuGl5Dd8yB9VNAMSNxeTNWcxv35R4iFy TWWdncFvmoGgLAfZk7nKhGxuFol0BzS6D1eHv/CCLQOtM8QNo1cnd0FRoRWc/uwFjHl4LHdSPhTI cxAXyc9AB2EqNk9bQLZJNki9jlFNZ+zlabc6Wf3YmEu916u4FO1ynPEgHWiYAjJDJogMwk8Bdxyd uNHA8hYN4U+blwf69xxZ7xcQfYA/Da+bh6VObK/LoIJkr13nsqdDIhZv+HtfJbzbnRFfBA4f8NZe eRI62WnsA+h6gPPNEXS1SkGGc+7P/+l4J4tvzymb0o16GWotIX+GPxMxNywU1BRic+aXOZVLiMua sGwLsk+UEtUs4khLPZKssgmU4ZJlIKsJs7owtsC7h9pWzE3adP42gC7Jl44sNRwHoTNn/XeMkQp6 KjPUiUkqBeUXm7l5/XJsQOBRhEjKpmxBLXVtvuc4l8dxg/DcMf/k+vvvxvqIHi2zaA9I3p0+Hubb W5cyxdMlLb6Cv8+RWFQWzo2BJDavWY4fQzTsVLZX6AVNdmgXW2ixpzBCpMLMu3iDkf0LdAT3vR9r Md4mcu45duwEZg+HLKnucwUQ0z0WG+GNV6tIdyQXHQcmKyTHTB9iU+5K4+4l854pJinJpl1hGxrd Ta0/ndwXTpE0CrwgraZ6Lot2miwTAQz9qYKxVxvne0yZ6PQtQjjql0oJrsfLmYE7jcWUEfTaObY0 YYWLxdDcWBGhzYoR+d25J34DgMUTZUUBajcJEI38aZwzlTE3xLGc1snU3HYySZn06QJlNx9dHYNq n7tyhHAc3YExBhD0E5u0+hN/cWAeRTOJjSf3qKHdtFq9Z7j0TyuHEt0s58YF8bWgfoAiFTH1l9qi tD3GGXAIdAy5Re3xfhYHchdczG76JBbg/u3JPahJtJq71KAMF93Tw46UzMunkp1raTt/9k/neCOL zMpRebz8x5s+9FmuRYpKXytCpYfhYoqTt9rIaOD0jznSLUAIGEklzSQ8SEcE2z1Hlf0ANLn8Dsb7 loxdsoDOAOsjAdEfSZiVLQCj0iFl/R8ztHVqT4xpkFSbH1gm4KV/TS1TEy/Pk1IW77MAf7jORT+Z lT1jdgnpzHV8HiYegtUvrNBkUdVv0qjwNbXOe/Cfv3NrbjVSOSAX1irLWz1RZqnPhek86+z3XbbW cuWeDoBqKyMvJ5u0TM3LGcPntdh5tLGXuMW55FoVAyYTNB6uGojE15xmfvuXMVPGhZXOMVKVwAEk fJUn1djamSjSTIBZpKXzngzsQN8iL4N+csjRs8pIKg2xDnkzqdZgCwwBOMDNiMpF2ErJ2/X3JJYn L3C5iuUZUKmf3FZ/xX8Ql7p72IBs4Pu0LRDM5PyceJyc4pPta6Fw787bdihVSQMt+XRgaTiq26Nn YYWqvCb8nwOBQDnsOIEt7xHSip9lflUJ1ZCEjJDUqDLFVmGlIfuIX1kQyrhrg6rumyevSG3BE07j jM2qigzZ8DjsJoA5Nwr+OFJwukU95zFw5ew+sm8oNGs4Cckst8XgYVqNH5b5q3HaxwS0VTnH3En3 eluKl7vnDsEEJusTLJMde/tTYyvN209KLvheS6C2vjia8uZE0Y8imZ1T5lrsoJLm0YQyperQPOiA ytskL/Oy8GB+yeppnChpaQLfn/NUmtRZWZLiA8wKbAYn/U5fxrOdH8ZVj5U/GgWI0V1Xzy5huOJo L7DFP2pd/tHevWwBXHKPGYDb12hNSP3K6CUeEOb4uxijtdRfSoz+E/hbcODlBzusYya+3fHM3NHe jbrhWMYPoIbyI73cwXy9B9P5sNpm9UwYwt/Gv/4NCA0fTPhQBkaGJqdbkoB47lYvJSGVRu/Z0yzt bpDV7LBlhMz1mDwIskTRfVsekS5+GUmXUOcrQeKUCxgCT4pdclIM0+ujYqGyZszCaaymW1ti0znt A37phQoSZ1a+bMicVb6OdmvzMShY98Hn2/5laFC6ytnadlkavO7xMav5uUwk2iyHxLAM8VAH2Jm7 158hA4mgttUsFFFtQJM/NzEhy8Hy+DpwaQd5e/LMcZh94hbAQDccf24W2X6aVLbfGcWL0R5ybrV3 sMEVx/vSyzo6eTLy2Yqx/1L0+vwfGsF4zo2lLKH+RtdRxh70zs/i+mGLkZ0MGf7Rs+pFJi4JbNP3 iy8Ia2qK6Rh/dP3gbEz+zb6jOyjvuPt1D5zLQa19Cyna6emBglAaQ1svEcTUxWxyotb1/Lr/zzwc UPnB+8hAZOtC0Nww4R7Q2LEsFUgNduY30w9WmDVTiOXHuR6KAWdoDF/tBaz6wXB7JBJQZaTO//hd ywXtNki7WaoZ0Mz3vH5G+KW4tHS2as3G7DDf2hdaWPXqSPld3H30hnxc3tFuyRsa/mnaOLM5rN/9 Vzfjn8Swo7yZPKpJDAgzQ03eRlYLSbIPPVJz1v4ePQtjWUcJEHz02EnSf6QgAsw9udexCz1V2jg/ BtuIwIkjzVWHHEwm3h5Jla5oS/f2hkLnUpBYdKMaYy7ooYOVudLhMY5Fb/nk+ADv332Ancv1a5rp 0kovZhs1bU/akEpRlwOsjW4+EkCMNqEp+H06gicRnYIPufqWalUyAPuuSIJYB3jOlWnPwBqxTt0+ zty/DjK8cK9I4iruFvmrEaUVo0lDIjnQsjZNsyc/aOE18rMIr9FY+jLYmnlzXQasfVgB3vBx0ZsL ce1aFp3o8ecWpI7rjcPAiJ+jOxUjG7by7RAubeTBFvvDudGlruVyrtyS6iAibEdL6JlUUDa9LEl7 imYpih+sOh+WU+RLQSZa1JiO0ybgYbrMhAFbEo3IhonuvAN9yuHPZPePsDSlWxWIk3BbnJgOBp5K 6gaFFrl+G8s90vcWQcV+nbU4AjgE+kbD4KBmaUv5prtnCW6ZGui/H5YxLzdo3ZMs06MNiSiiCeH3 tvSR6TcWVtq+sO4KWwbwvIntNBq1A+pwtyhZ8vT2Xum+c09sC9UOzyF6OYW0jQQu4DtKU9Dm+gMk hQzlPsNOrtroP2idSw7OgYjgZ3TXjZNZtK/PGYwjGVUf7GK1DHHwjJMftMwYLUkotg1ebTwDpUi+ miX5abBMjHSfEfNYnsFVyAs4YssIpW8ELH71NnXnfQ7G4KLMi0smyTdOEXzNLAiN/vsrA21qPCPp Bg1viZUoX5v8IbtgiLFfjVCof5mkHsPh+JzDjKiSpv7ur5c9sNVLyWPHwxzAL3mbiWW2rXDapmfu t7E1azVc9zmqzFwZNSMj/6afWiJLLsAjrHzKrbqiIrEg/76tAFVSQ7OaNRiMfwx7sLIKOEjHof2q 8fNhNQcymKfL5hOKUzDUvf0gylyy8a4Lu8czOqAxX6BngJLODoJaI8Ul6yXb4xYa3exTrEhaeNOG ZgGdcxoEJD6hAz17EShGpmL0155NE9uN8LLePdHv1XkTdBr95/vFG4D/7Qz14EfH8MsR9Vifsq76 o5KHrlz0JJKpyuThtmxsMsl40CbCCYEe+rXaGY9frAKW/xSlsmTmygrHUPV3Khpg6sdA2KjVEx0l OjEbUoYWMvCCqSmkPbth1Uj/juE/cGRbHb65eBJi1KS5lsMoG9obM2VcjQ3hiI0lIa/6q1Yrzg23 jWcmbzre3McHVu4My75tYY5+S7XqUuVCQVxobZtYf3Xk0Oy77McBGKC3G/akSSO0bUVG2tFiwb4A VTTKgdiVp3UT80ZkVUCtSWC82mW2Cuxg2r/X86NWKmKPdvHHm4AEAUZbMmt+Iq1gipdP8IzTb69J ZK2uBAIVGho+YoXxbiTE6aQ4I2PE+0zVdbVz7MUYqP8cZ5KVuQcdYyIXEg12tQKfz5JXqvesDyYg uVr7sB5igStCfAFtzY5//BICJs3/kQqVOSwWW6DYXL8m1kIH5PvSFugqlRCvSPVgYm+dy9jTLHh1 9WCrhytjG+NcLSg0c7XiEW0vCzX0VMzL4bj9CA0rXae8KCNp9tjngNdhfYDXITBKNYIzc12eh3lT Z5R6XHQnP0kYbfQz/Vogjw0mckrEwJ5+8xnRUYE3BS/SkwfjFPS1EUF/syC/eYVyQ0svkAUeiVMZ VdSMpjwk4Hf45BB6ot9pBQqaTxy5iBUBEpbrSivLGZtGTV0bhFUpVPichsBJN9wBATCQyUsmXum4 xOSwp4B49u9qac2nb5PF9fBUsDJ4VQaHe6NkLPIWi7oWmbW/nLduFwgRLys0kXw5VQP+LNnAdGYX SbGbb2eK4ndh86QsyRHkjwXGI9eK9ckXHeV25mahFb2qnJeDOEWPzscODUoKQIT/NK4q0Byy47pY yCgn8OuSRG3oDd6Mpy9F8rS5cdKdIIxLRe9VY5TR/1y0dHcXrOJxo+VJSeirpmGuh4hQE9MPcA80 MAE4NMb7WRd3iC7wwervaJAr3NB1vpC98BzbcE8aPsi9ECMdbhQNd/ZwqtmnpAkuiKbWFge7imlt BQQ88FbdOO095baHQnFFopn27YA2nDfADorVe6lfIKMXW7IPnpktr9S2GXGLBQ/qQSty4aQ1UFG7 fcRCAzhlTvV3ivi2okd8JbFRAMBbH0DvsVa5dD4x5dNvONNpB0SvLqWZEBIT17Loa/AADoW+UJOc Wj+jX4Yez7W2lGj3rQ85IuGoVr1q6Tt/5wscy9kzQoDom37Ey4Tsojyb4uony9X11dIB2Vmed546 2ze5a4u8VAXZMye3xAhvG/8ve1ad1hepYxL/pwYQrDFIIcJYzGDwfaFxIC/Fn9XPFsEv8WrgcruQ vbtS9Ye69ykxDB2XyKOJXu4S71dhFmK1rm8pcpNG4iJQCKYAhl15hi8YIUPUsZgxgsS7DfaU9fOv J4gsP+wpMGQqtC96fMs/6utVcRYm6VyO/Bb0lheJDQ46ejzAK2mORJZrEVhXFrSsIiJYIc9gmWKR Y4JcyxZBWgeI2RapfPYNF0YDvBDtA5KR1D4kRaQlFWJGvuSuSIwC68TLyH+6lqZqmtvom/5VSf5c aElm5fmAd8qExd/YmxJ7REEG5dJDyjZlA09H0qqXWSvK5IjHA2pbyRvTCeoLgNKvoTfJH5LUb50M Itp2Z4sfi6h6T+Cm1BamqSsmwmf67o4umDrDqTLrr1sFFFAvsAxklMUH1F9ud/MwvVMLJsPtXtXx 9bkFmBoFpp6R0FZS/rEheLGZewj3dtoaTJ9ec4e2IGBlXyF4nrxEKRfqC3diehTUq1FHmvkvOcDt S0cysRA9LCcrYtc+kUk/EF9TJ8hNpWgGyLBoosqVx4RyqFxo+Q+QAx3RnVPZiqgZGRtOFf+J5/9g aMfYUQupX3XVJa5WwC+szxJ0Y35ahd51eQ7IY5XAX9yepcVP96KUowCOmOQa0fjcUPqE+RPY0VKo y1jV08vM/fJMuOznM7UvXKkEpLp+lyuaE6VW9dpf0GVM8VWlgp4oiUBSAA9BxT354NCmNuzzmQzx JpvBEewxrG/VI7f3oLNB0ArWpjrQt10BMKe97Lf9IYwoz362ry4mswY1CHjmzLsqXQRd8BWtMMUY teaXE+ZlfqSy/Y9e3PpNdTSUeTmJ9kRHsi/+dUTFl36MS4Dzx3xm9vF6Bg54l3IiIzUu7iymAJUF 3REXlfLcpYClS/dMmuE66C0za2jNh+SA+kXM7YqL9VD3ZUNYF1qwORBpkOAqhHhrLfeFrtr0Rn/H cehvI8fYOvaUk4SuhBkHIUqI3vmACnJ6V/MM+Pn88nosRDfKx3cbIwJTdoFdSceD2CnmY9WEftnz wYVypy6dDva1KXhlhAXOceDkIsdK90wiD3PFKOunVZQtC/bblTPUdDxvnt3KLRea14U2DayWhcv7 3Wms5hZS8Q/7UEwPj7AkTdbi4rsQYp7vPgsdoKIczmagDbZXqymrVjZosYa6j7e/2FOO+RpTHWLr XHqUdtoicwCFyH+BxFnX4+V8ziR8cjizS0nPMk/6RKhL5/QEJ4lS9O0cFMh+WgwZ2Lstk8eAfZhK 67TEkMgmV4lEAzq9Bn9JTFF7k9c/8M+lX+xbIseqgsks9rKkzDRvpkIZJNKFHggFMALdqoI3HzKn +iy9WohfbF0pP6SCa59Ym2xwVUt+a3/xiVBSxvQBppONaoESIXIEqbhgRQWBuDVfP2zQ/qOEU03y QTfk34GkJyTIom+lpwQvrCxgm8T/UXQ11Ulw/n4wRUGQw3NXqJS3vENHQfraVM/2NAHcs4loH8Fc VB69bkNAhnANmGNRa00sOsld6wbe5Fz2oaNUZWVa9j/ABfhw5uKjPchMom/d/V3T+CmWLPOQNiO7 drQBnn00I4KDpsxtQdvnppDuq8ujRFX8pi2qmvzcxGSBp/9Vbo0WP6sMmXWtcIbJdvtsD5MRH7F2 NXvwNQxyqFGzvIq6omPEcxy/PWLf3HstHbYoa2+LUeuSj9c9h1S/UJ99i4yiwpdTwyo+XwE6Uu9Q Zg7SOYzj2Ul2xKk164ISdYsIQxa8sgG5xj31VvebuaZbb+l8uis7O75J7ZOZUJU/Pk5mMLGkIiAw khDJre6Z5d9nYHgp0fd0ZWhgyEVVwY6LCcyzO5uWZM9L4Qw8OlIER/CTs56RQDMMy/3frU9czneQ K5UNWbzNjG0UjpSi0Ajt47OMy2y5kdunmdwLu5tSb7hvuuVkO8g1z+NqLukllpGZwQUeWQSUjOml hyzCf3Dz2wb82gwWwdDPfn0awbO/P8LMWd/NkcNO4u5MbXBgRqOHq8wRrw+a+VgIxAzY006Ugm9+ 1krp9MBU2M9TsmvjIqYJV9Cv5Alj0nAwmHbLSgHSsKD7qBONh99jeY3yRoMzaEd5u2Dy54da3/tZ e7WdXRdI91fo9EdPXv9X6x6jowtdWgFfX8+iA1M8MolWZzK44pZQaj2q3dYxukLnFey2eCBzCjr3 arm0BtfOPjPWjLs037kl7zXA8cER5R2WLNeQqhzxhRconxXapeSB1nIV87gr1n57rCx7hczajtRz o4YPaQ90iDYi5ctPVcp83WKzDXM71hUGJKIfDU5B2JhH2xfoKDE/mtHHx+qLLp9ha0VNGz9hJAts bMZBbE51BqtKF4cY9UORrRut7VW48IkKpwYMvr406GBtBQGv/llG+I2O3UVFw8dyiJmqyeJtn9/u oXpJhR/KsfCWm3qwTozr231ZJKDL6XF39iinCPHFvVY3iYxZ2T8gntev1dyvXiDYcka5J/9VthQX RDKCo53iPXqxVEEGnSy1N6e11/UL1bAluNXzQmjLcNdw1m6FVrmwfZJzaIgA7OrXUGqn3ha8jB6p Cn/GpILL2VfBqgtdBOJ1HR/8dAQCoBjK60YNJqby1/N+cZcJvb6X09h6o9SgfjSIsgjve+qaUvm1 AuLX/6OhbAqi4kUGMySRbZ91KdmZ+NBRwis/PJyLrGM7YodHXkZEBJk1qodsDJmb8Y4InLkVOBJ1 tVTcnmMDZVMSyRfkZmFGFaKEQgH/J/63zGzbsI88Mg/z/X4SWsIb9K1O2LApNhRsz0m+sS1FV85q gDEr4zS6FtHmIvbyHyjoYLHyPerfTU5zb1FEDAE05Z+sRx+3WfhEgoG5CXDifR/7HCF1ebZAgPyp inF5I0CmrAn8fEmSJg0CwHma2viMwNUrJRvxK7SINE90+nal6XYbWA3zzOOH72ZvOCTVuXIlEyyu ZA1hjGHxVUrEK/ewNqREBNhEtdZakhaBe/ZV4MRlpmBAjt0S46+kLJ4VBwHH1UA9+Nk5DJvO7ORt DqM931WLdcxSgQb2NSMF2cYLE98x95Pe1KXeTzRT+0gkkbgqHSNfP3lX7xUbrqRUeRf8X6Cvf1S4 vLWwSWxzFxuVGq73RajABvyl++Mi4mPU7bxQ2N7BN2d+A2W/C0GMzTCwGAPisBza82mYjX4ivxLl d28mRBw6yirrJGmJYjD4R/iTiKW+JwJ3QlcxYQp/7WaA4tZ91Nhn4tCDWdMnpLPMFQRNUEnupRnS Lr8/GJ7WKwJaEzIMduz6p2iZQ++4La9ShK1xPLhsaCQG9BsdyhHrl2LOcs9JjabX+xMboF55kRuO YavdintCAhuAa92LWLw8pjqXK8Zw+PaJ3kIrhc+DW8d5bN3ZmSufvpq25Gc97yKo1fijjPK7ab85 uIACckK2rt9u2s0llAbp4R+vwPTJpAZ5r3GalpWqUNy1v1VqUqiFVNQJx8e07dnz+PY3w8rbDWHp OAbdeStplcvIqqMUoSSR+XVUr4jc8J/0jAuAquX6e9aGMcLVY6i8fxMb3RsLmgONKO5Sxun4IybZ TMn3zd8ksogZ5F95ZlfXkJ9KVkNjbaqofDJAbXvsfp5MT88ZGXtKd1Y+dGZMzC4tkaFB3j1LU3yz jB7ePav8z9ng/LIyX0lejKrG7y0J16xqCo8SLNOF74T/o7T5bGWZ+wK3maIMiLDrpDaYNfr1GSkA WNtKAiXdTBZ0wADcvPfkWUhU60KLwEEchUwHF/Hqf1L+z9HSn3AbXWM3R7ALLtFYqKwyRw8V0K/b XDT9Ze84IeYpc3n2BaYTZYOekJ7IL/SNMW9ZLj3m7S8b8WTNQsvFTmhM+JPeSgzGjXFCuqk0JEuI NJfoAJcVZeXIlTYQ6eQwohuBuB23WPYCEAIfMDHEgOvBAxG2KGgrok9k1s2Y8FLA8P6Woqv9qDCd JcwCbJVLOAFQoTjW/Jtg2iBW4yKn3K24PEwNaa+u2t4v4BY1yN5Mt6skqYAkJkZwvgYkHCZHUUxE +HHBnIiHEUF0GrAqtdwW5pvjLMqpS4yHt+MkJ7ohp33NNFxHgbV0GQilnI3kVtvc0cJ5j/nUiFfA SjDGA+jRJLJrHbe9wtcSkdOr7A2uwAonuzlZCbzuUIYyzC2VWl90VCBaENuIubXP04m5w07jfKgT 9h1P2ljW3skUlb+uKpzuTwrBMoiPFucb/NYdGga4FbKsYbHeuOApyw7F6wV3bxaaNJbuK+4Pcwwz JE3HFfyEdd4PCAs/QRROu6s3jAPFHQFDB2jV6hGIBZkBjqc/jNrbBJDKMca8HRI0i5r6OjPKviCE ISG3xw77cRHhLlCowjQyDiczuDCVUXhzPodUarguz+ITWQ+VR08bTBdptdaKmm7jIrRujhXhoT1j kDNoI9HFZVel5+kCl0qQicyv/ZM7HQxTIJYJvMqGYjZi9w7QSwj5TuI8L5uv28daaYiWe0VgEQxs lS+FjI7U/iypGgX15bAq3Wl8p8UwF2JnVNrR/JVHwnrohqhqsWw+G286o05V6ToUlpE/5N3+KrOU zTmQmcve3PB0/kkuDz2zgdMQ9HcEQMZm3hnyfh3Ef+oPZPUvUa/efz8Ahxo9JuGoYCtK1rmtVCn/ yszzqMD6E8Vea9eoJSTQi22VAhi3EAao32WRA25BitdmrQuLfOID+19a19MNF212cJ6bTc6o+7JI jM+NjLGunCN0sCNrHsI3bvpKJR8GOoQHrvQYxL6QifvNiRHyLs/3ZXrn+lqV4Gcs3AceBJ9SQH6U pwl5V7FNH36tDx0u61p2oSbjzLv+w08HhqsBLWpLIAeHbADKaAZu5AOV+fGMXzStgD46LpJeCIOn WW4QsMYKG1x0EX97PPz/eT76ZQlrqJVzwiF3OAiKOvE3v1LjcvCDTgwx589oIoAqhSn36C/YdsXq 0r0UhuweCsr6bPTpoxBHO1O2+oAqPRmu46Vrlf98TP5pKRUC5gh1ERq+AqTEq+QsMALc2tunZs6e 7B250Jg4Kr4u+JVEXBtaaaM78jkLn0kwm0tTeY5NWuIXakOndR81hTbY+hgt6c621Ov1LFP3JSVx FLxQGCsquwa+W1bfUakI9uGpgEwrDnC7biwzWfWSPYeSX94k8TcFOQZt1pk9IiceYn3W6FNhbb3v 5Ry/dzFaaNs+G1lVzikfJXTPjjTPT9Y8PiE0nLTU25corx+d8IuZZFNiLs+qvGouVw8cSLNGxg+Y 8kn3zzPdjT7CCjjfCCBuO6EMcFjHKOABvOh6Rb3C4oO2UqeTEYFleLJHs43W+RLQkY0ZCF0gXPQ6 y6Szak5CopZEsUIu1ihoOtepyXCiOlhoNaZAdY2iF+EL1KAaiSDi4VH1rKc0RnvXu+H7kZhELchu UBbZwyv3w9zPZdglOpcMKkCrMOUgqQJcjeSYm4TvZ787+110CjbGIBSOO1T8HXipIProsSArs3Ru FB5iNNlVtj7vmD72zeELOXRgmggMGzkXNMwYsOBAGclZIxDcqI2QaALgBeO68w5oQPs5zlXLjQPm 2Sb/qReubZeQPVW6qt/wzxoMtEB4USEh3EjrkybM/0SOyrNT7uAMEoBJV5sMBj0M33njomkIkwMc g6OtkrT6aLkP094mB6xYxb9lGl85/SL9gCJkzg9yoIqMgknFwgS8VAoSPuHgCWlwMIJXowAddeoz kKxVDNBaVaTyez9u0D0+2qnh27wq3XrK4amgooKGg/qVLaIb91fDk8jNQ7WsnggDlNNLavhYYc9P Z/qXKZYLSRwJeP46AbWmtYJSQLlWev48WPOWDphVe3LZ5QId8T4XuvnEIiFlohMNHntSh9g9DVSe lHVzIngeOGBPOO3eWkMfi6ULuoJnlZcG1iEzZF8nN4ve0dwpLq/gHM/T1KOZCBimfJFGyIbrahF5 JRMr+b2ueuJ9ENwkDxqn3LYVWISgjVz1DOE4co4EkFJWEnHJ5FavTODqBZOxepvSW3SFZ6xoaIOk qw7W7gWsSDUb5paklg5KmwdFNOnmPnjjTAQRfwqs1GgNLryqdynGIT/lyER0jbZxbaIWBzrdNpLw 6PuuyaKpWFvIc64io9G8Qmoup67XdFkZQ4mW5JzfpC3+TnbQUNMT16L8fVdI7K083YUfMwWxeLxb pdMGyMy9uz8v+gQTMlR7b1OfAxGCQnYFQ8raluYAZ1mo31HBiRazs5pc4FN+nM6XrEPUrSnGW29h p0OtgicLVakQ6h0E5u7cj62HOXRMPGuHfTaCWNBmzIVTXPq8pZm6T4NLVzdLEZQztxED4CAc4Bl+ JJM281EsexJ53ciqzGyxr1J5UF0jcjT0KPBvnrqLp2ANBskr2HvR4QqbEu2FNef7L9ETbvW0auPC LSxrsJOQpsGs7cgMUoCxNHS5o+oRo2oTeBjuma2J4/+8xk8U85xDn7UK4AJ/Y3WHy2zkEXZtCG4V wLZKw7U2V2xTD0d4+3QV74WClOlyWNqJW172O2fMZHhyQ7jAYjGbxEusxO9W6IZtXAco7zn8kPFa IvrZfJe+TQjOID9qrdOVNvXQ8eQSG7bVa8IIEnfQME6V0nHr/dlKeYeVroNAR36u45Yj7l436+dy qHOj4xcziqo2YEVbNaiIRm/LwbyXJ93WyzFm7ukx+BM1kx8dHMy+0lGNIKCD50+2UwKGc7Mutfo8 A9KrQENFBlhu5aD36Hedifa8XBH3vgVOk2z5z6m0nDtE44AQ5ghlyrpcefkFLiu1mLU5xmXlMN5D FeE7PGgvjxjEWzUDXedKZ8q23m7tmU2HCC+QffEEO5D2Xc1/opBU1jyJvFhlUlZ0EXq0aLA082s9 joUBoLCK1FGhc9DNsWvYFbXV6t4pAoP4iQ/HzT5CzoFtfvKIRD4oIOdjhv6czyYqUTX+dFstA3nn HAl/0p8OVF0orGfkWSwHy1KEUQRUD+0k8JKN2rGuZpWKdjG2SKB1b1Z05FZbK+qU7ZD3fl4ZBI8c HqCkof7wMchJKBNvTvI9H/jiQv9nczt9ct1MQmA2ZO4lFO8W+UMZGfFKksLrYR++1JrPbUa5QPAl 9ydWBpzx8Sku/HO67Zu9rDFE5K+OTV4/bwNUs1sMNozo+p0Vcw1vGDgPeARn1LXg0OvbwwzfliQ6 TwZ8h1YWbAhySIidxgcdR7H2sGT+Uv3GVcfvu2Js8NdrnTNDaVxJJKtUHntMDSTBJIKakaKVa8O+ CWMwBCPVGM18ec4rHH4B7P0OHdWq9saeTKaJGog9F+HsKcZDuIsAB3iEth2X0xuApu64E7FD8BaS /UM47wWYKmpx2BtkOag8ejJhRTDzVjQz6GH9f95BchmbZ9cx4fJGzfk+RiE2U3LIbtaqKwzes3D/ xlWcBgpVqn0nxYEw+xiblZqBO+98If0KcJlPAvcGlARuMKrtN7CHFqewe93uRKSCINddOGxxqOfM VRLgiz0fG3ZlBn2kD1ITzGthPOZLDDoF4eJSjqwJsa/Hkeq8sUa2y9opPgcMbr+3NxkDjaQsY/NR 4/pialDtMNaaNDM2I/Zamdc6HgGZMYU7x0fHQa75L4ZwBCq2jZGyyciMPBCuEQsa9n3/JImdd6Rz bKzkq5msGCrc6dz+xp+HjddqgxZNz+fCKvQqKr7jj/jGIFetH4kdWGIAOnuY0iNwv2N+Q4h2a2tl /q/UwVEgHCPWJ7IPNPUJWMBd4hRevNoFFPjq56l7xEhZqmIdkyHI60HfMnsTvH3HvSgGPgYn11Aj jemRMUVH57vFQ7cd1s63I4sNG2SgZAVDTzpccGVHO2PD/nuxGiOe7jmONYcdELbp14zR16OuZr3Y qSlbybsmJOYRmighRNbaCHmILmAytrwCqTUVJ6pUtqiqkPZRzTTwVMyyurWlGeqoIIeyO/r5mteK T3ZUavqeEo3NyGjscb62mngg6as5vAhDrbCoSbXg+QZ5oX3kbaAY4CR2JVqYeHxlpfeelFenZS/D TY/tO9HK2KUsMkk/Ql2nIm62yEk/eQ8OkvvDP3wdSSe6SdP9pzkkRB7HeZ7/y1TkdV/bTEJuFCIE V3Rts701n6FGQQYVKEWmgcf2GyeevEuMyVnO5sAGkSyeSqVHrf0y2s6A2qd2E1XzRObgS6rXPPWi G+3v5E9gOCXQzrT74Ottbv3/qIbWtTSc64JALJgy+mTpVUqL/XgMV5crg8GqgX0wgBlxcd4/lGsO Fs6/a1wOfFvTL2gQMyo0hknNoi8553H0XmCHyfKw6bZOzEwIMvS49NcV4/Oo+t7VPCWNmsO2xd51 LwTCzRGdhd8x13In65cR3noLfhIr33bNo2/IM65hHQxU7XXucr0o7QK8wLywf4fmitxpHbKv8X0b hir0MR8rN13podBALmvacxyP7qtbPOX8Ywr+/T76uFUwxRk1Hqu38dcCBNNROhpeDs3zXe9Ov1Ye 2cLjRMYHPqSxuzEsvJewMZFJQGI02j7mAiXiRPnxDorVSZcPiME8ZAjgvq/1hDdx8nayvUhMW855 aoNe3toNqJoqOYF8oPHiVg5Lie/1Z9AVquP+yDU+fX2gOgcvM+wsVcebesayiOFS3xjZYUect0hB Ncw2mZjqsEIHLf15HzTKvaAmQbO7GqqqanEpUM5VQ7UdsQQMkP6M9E5BSgU/C1sRWRWFiA5hi8oZ eG+PJexTAF99JNDR1YkjbkenhJi210EYt3hulazqngZi1wmEPV/sp4u/3eZS36ggv53+2oe4cKoo iY+qx7oLlZ9fx4RRmpPqtRGInZtY9KawWrIY0KkdD08bmCtWH8fUd0sd28a3D5z9JoCBMytpHJ7C elgoPkPVV3j0KlQ0tBjLKeRrc2JY90bCMi6NmXfc1A9WYfIFxLEgRiokX5ikdduOuF6oen+6/cDR w2PwitqFIhbwYyXNwUDzp6uYkFLCGrm7eDp3zoqOQRutdTQTn/czbrlGTLEptK/fG2fvfD38alyI ELacTatP2bzBZD56sCdYeRlpqxvYdsRVAoOmbus37j7alKnO5y0qqimjOYFoM/g8WrHvG6mV7J6y U2RNdkY81W52ts9veCbJxFRuvW39N9A5DOqg0NSHcOLBmoqgSSPxZziL5shHB/B8dugxhDA+cssZ WisHtARmvMK2x9Ml7fUf8EFRiRGp6RK0BdqertgNQ1bSG9upGj6TADbDlxI/Wew9W75Pjh/fJpyt IWjUIU7xZvbdPSHjYfx12/9x0RU9XebzpY98c8gAiEVLvpl+yaoUi94cVNz63DNm6XVWG1GbkIvD 7JFnxjczT2TljmVyFI27C9xGgfa+fFes2sBjzw66GU3m1yHi/9hI2yY7Uxy7SuF8wRwnfiVpHyuh bBwSmu3w/9TJi08IsHuw3Gdu0vfF4Q677etszS6GRZTYOnH/n4kTPog5iSQw74WryF61zjAFsPCD 7PpAqv/xhYbeV1mqxw4fec0OgRya9LkphqjUABbdYIdvxXgJaJPujEONzM7Dip3rATwSjZTktYP/ CMuxNdZPUvd3pELrkNzwvHgoG2R2knQggToJw0oRNWlMRfmjzXOcuvIEQMu6KhMpXs1rbL0GrYD6 8EyuABfXyR51kmHvQ146sV9cgppDuiswKAwyOCY2OZhrVw1H47gbklh2y0y787ECq2H+6+x12kQA J0D6sZScNzsZ6UtVZwPAYpCjdjEHZ8Lnqfj31pb+3LzYPUVVrlHhob+W3BNzncO/8dUb5+/U5bGd 1pLH8LN47KZFIOWF/L6IhfO3q6u9XaIcOsmymyVx2m4PjBoyIhEGGgilL9yyR/wwe51C3CvGzCbQ zp43OSWyfTxzqRMrrUO1X78UZSWx7PgkuSTsTMUW44DUGb4Bg9NVz9pZn6H/s2rePhqz4YIp8hjr bvS0ZEQkBV/TRSaVPWX3BqlEvM97CCklAvBvFrk+6GNi0Uvzl960Py+WlGWC60j0Eoi0iUE8Faxi 4yhFrAnzQwwYDCZT1V5J2vXDWVk9oh8GBslIfiOFnGe999gvTYexQTKickfdYa9Dky7NvG60lDSy YH3KSS1IY/aZQBsA8FnI+kBc2R6YB0ElkUIlv6uvRTS77iFEaUE5YTE6HY38vAQoV8AhOJhex/6Z mEnzP4f8rxRCYHiPTyBH4+N+3CGDFYgIP7wAy6aLBXUHmtEB/qpxM+9O81YaREvT4PlzW8NDtx6O pr8L+VAoHQhf7yzhuY9qF8k44wrT8U6mNq/OMI4Zbj2jRhCVcPKsH5SfJYmUjmU1jJvatyKsYiSZ Sp1A6UjDojMxlXD+5dvnu7E6gIvcnA1zP+HoJYJlFdOoJPWMIf6DP2C5mNEmDj2AlBOsp6k0oy7K k233BjRMfBBRmqoDipinpo2b0a2PC3QpKEG29jBcTVnwbEFKeX5mt+VSNr0a3aZzcse8lLN689nR 9EAJs6g31ahq60amzMMQKG7FhrJV0XmfiNdm1dxudAbrJa9xx4UEvzddxZRfMKnfkCRx0UcX4NJ8 zkWZMz7SShPiJWAdDf1BjjiWCNSO2BtFIVwBrOczAYSC2Z+G0euMIfMJPASM3YcEBQn68NtwS2+G CTp608IqZ/kBKxhNyufLBdUdvATskXikcVeyD6zroH39yyRcs45ht3x02y0QyQwVNJyOvoyqz+63 PsQ7Up0/8HG9IVLgH89lPiGyVwTs5lKvALWM+0hpszTtOEDwz13KsyFCn3+twm6b7r//3BPStgfw 7fn+6BqUwBFT+79e+DeJ8eRSWBENe2Waj4+GJwUeDhDMQVVokaWS+KsegEG6q69YHWX0quDcHFN+ F94Ao83lE/QGLonIZgOLbYY7WsWN5PvrE8ff282bakzazQfcdLrGE11zQu84hVG9JA5pw4hAvjfi BcbjSRMlGtmcYJvCzxLXNzKCVrWY5w+AMRuuEl+12Lfttdh0V5/88hgeBpSkjoMJJR4fTODgj80q 2zfgZCq+HV/OfrEbRs8orJEgI7a5NkBsWqIYEsWak6ckyyKrYVIPPz8ygO+1QgHJhUcwe/U+0wVG l5bXvEmGj7KthQAUN6YlFKXp4uN+lUft9Y94w3Es1V0HLJtR2dBKSQzIO9ClxaPZtwEaVKjL49I4 iomSiBnkHVG93qM05L88yb0MpiYOFb8TV4adZt9ZaLQxcXz1X2JzaGodD64RIBaA2wX4Nu02k716 bMvHAaJTl3Y5WRkwPtTPx3c1dioHrduueTqiWVhT1ZcBYGwmY9AW9CewLGxvYXexWhLzduNtfdSd wk6odsq4dM5kTi1F0zd6x7UVTW+/uYL03HJLOl/yg/hW8A8i09MM0HY4rNpwJa1dS5rnjzJVRju/ eLqSaqP9Z9NOsc9GRDfQrYFjFk1q4X8CEzFhDJi6/fOksY3zJfJkZqygKD/PF5c8A3zC/l5Ce+Hm rkJW55bmA99zdb5so6NsSO0dC4vocxVMnHnWz5NeubTrVDdQRR1c3/Xt7bhXxrt4XVHxu0+5oGbz 0fUUiZ0lpVdFUieZkYzvtzT6ezDCJ8JBi5Fylr43uQIS2i02jWMC9exvPTwHtV5cGmb2BnIdp31/ Tn73ufOCK8HjU4MqI3iIv2h7zEhlhmLBh5JUlDzqUuubQI+RH1RIOU40XWM3xWiOCerprU5EuPD5 7b8Z+wdvaMyoTQ1GanAiKOJdhE9Mz6CLROAoJKQHMX46lzImTfJ6maxtjEOmUPiH7+ulgd1Z1MWY hN/wwaY8/zBTsLhIkiUl6q8xmMuRm76FbVRB5ovGk0T5ZJTNMICY6lbOpZiR8o7AV8PQzUe4EavX J0v73i5R9mV25UMin7gFQO1mp6HHTmnRRfLD3Vfph/Rusu2XW8GjBX/X0oz91/lnM0PlP48yza9+ Vm+ht7LQThg400K1HmGzsIez1LpnwYLH1/MFSwX4rqjPcdfhMCrcovs8tkem8SDhMuEfLuqBrFzi kkVqLU0wGtvaL5pzBvzh8UY+CAVWu9TmiNuKuDBsCImqLnhUA+aQkgCL+1FAlgS7sDuBLoptj68s kszHiSQsh6rAIjwkPwlLrw4MeXYfoiT15ERqp0MWt7zMNMPOue65/EJIvG6WyVOf+EtjSNrONwb5 v/8u1WWJMFFBR1nO0xKfciqtNmqXKiXB+lK+w6LkfKd0YTdDoqEJy8X9Jc5GMGdYuKNx5Z+bsu9h S6JXhpB5lL741HQPW8mK11xouDW9C+c0l8/rY654lLfXjJkEFEO+24H4VFmDOnkKylGRUD5IEwWX yJo7W/tP7lpRwYZ4ffepNUM9FqtfZ5+mi5uZF7w8BaFAJp9deIYwicD9Q4LJys9OZCw/QiWezPWz 8MF7jCCjQGFWkNXSLi8cgTFlUtzDNF2eOVlOFgVaiWzFt9Te8ZHHCHtPywyzjcXAUhohjMIZmwhW GzCHnx+cT9oTiTymxLhnWQtMp2P9M5VRBU7ajFAa5Y+44TCeNuolU+loUZPkif7+/zPAsVzTIfzX 4daKrPZvk/nCA0KA6dLeSB4BMpzG+zNMkHW/SnnbYkFi6I9tkv45dLtKeiJ46JXIFLRmetogGEH2 bPlXPUNXklAtQJ+OhP3OMcdiyKOBaQl1yTyi7F1nEvhkwHXvvcOsS+n+Y1nuF9A/h84DDaNnRYaS 7rbLDM24qr7El22z4E+WeZveN3PFgoYSAEYDY39hd/pf7UIbXUEweLBXmR8AW8potnZykyYEtJzX pukduK2pdtY6Bvd0rf6kR0+H8nYY9Uvr7/a4NLBbdud545bKi7m9AXIHuui5Xij7tuS/rKDXw0KL YeGp9ZXf6w9wiQKxs0TQNv+LY8H0xS9YZug3uF+IShH9On6UxtQ7mzpG8I0JR3Jz6w86jEBWCtnI KT4uMFEwelwXleE3apEnO/NsiFKY/BzPqpOiLv6LsDgc73pEqzCuZnYvki+1zflGXrjVe2D067l+ eHU0wluJSrsgGFk/PMdbY9TYhabM8ssCPOUBV9Lo77x3Q4IasDJzBVYiIiYHrbfno9L3Q00RNfwR Ze8vYmeUvB5taWn+YBVK+yhNrlzHxuIMjakeHydNcucRRDnuwtqGpDswbNlzXrm4aghCCZZpITf6 mEk1Jrgkh/OlMRuGI+VUnSEGUPVaY1MB10w9Uv6Pvpt1l2osHhe/WYnlsk6L+4SOQfNgxoG6jZ2w 0he2jousZxMgrho7BUmLmhKyaeCJ+F05WP6qwxNjS++urIoY5Bo+W0X2aIWJ3Zb4BeLnKdcK0SY6 zic/Zq6UnumMFfZjJA5mKF9NnIjkD7nra60VWaS1c+kLaMUI3TmMU5HTTfl1WrPwDsrImD38wQ8l Hvdw0lHd4mxWMp5QNqrxvVrr2gyDOjuWGEEARaHtr36cgRg6ytb01LjB+1vrrGU/Lxd7VaW7W3jC koHuTihcr70G/bVG7YWlAkuwKl/idTSY4Fhu1aLZF8FOFUbYVUdjn9DFBph+Ps6dnuPOA1lpmYzu ykbGF+mKXF8IlPrb/ZfKJ0J83HgtmPHm2L1eHloNZ0j3MsoK0Fbxkp/itSdSunmMvbxK8m3WScs1 S6rYdq6dRQzbJgHXBg01yzepwMYYlpLTT01gijQSw9nZskY+wkvrhNFRdoR5ap/IOFP2QPGtEztu AaFBKQdofsz6Wg+So8J7XpwC3AcbLFpiXrXoCmaY8At8vG7bVyFPl39r8DSwgxZ+T6dYVKH0kANx 1n/XMpPwTzoKl24KZMXtZAafubRRJJOURA8uDHJDgPcDR9uWh9znaYJ24PY+4hsFIJ2Lml9oDJrJ oPJLH4YmmvfR4FNcsHSw1tb8t6Re1HtBcKy0VDVyJCPzpg2Nks7OCTIj/i25u6BZb0FMIeJUWqQA Mz3qWjO9in5oCutmU5PcIlBW2wY1AeYfGJJg/j7F9qGYpYOHRvGQDvfGm1Ug5QE24wrI8PicEdrO XwNyyAqSVfI+8Hr26E8/L6yq7teSqem858p+leF698dftKQv5Als24v2jAPrUxXjiHhlUu/A35U5 7eMEwgGtBFvCqBLUmSOsJcnS8RZ6DDRHgXrzLKlRFFcWOqgO3pj9E6IfGC8Z+mU/hmF3lFuleNiv 7wmfcrorat5ADN7M0njYh0u1CjFlh74my5yfaB27q1r9Y/LmHuJXM0ldthFo+TLMzL5nbKgmLrED h6MevkgNdWA3hWeU//MWmHTHlQfTNd/aGUCakHC5yUqSRN2RltI9lj7rSBOdyikZHQHyR3lzau0F 8aJPgQ7e4BAtQuafnSzYHHRViHrp1z7PCyIPUWt1JoOVfpv3342qo7HEcXctiGzG+3vEQfybi9ed NAp0cSRVTqXjINKYtTWeIAbBemTWXSKRHt7htmoVM3C6H/jIscKEToQpcK3Jm+RjtH5/SK+Qi+1K 91bUp+cbuQh7IgPczTqIU/kWK7bbNhH2FhqZqk+JI6Jf6naqe1ZIvp1Z/fNFNcbhxloIbhs2OOF0 RQWa+vDCYYHfNJP+JImCbnm8XrF2YCw+r+clihLs1AF09B0/7YYjwSAc+c5EFfO4k5BFA7XvG/0Y A0lOn217T3b6Lc5jmp5Ar2873EzSHJj4cChDr2zrSOHt8SbCPgEIkxL1DdTB/D6GNQLKZAuXou5+ mH8k+/YDQew7OC4VhUjPUuF4NhiTKLnmLm0W54YnD1GlCVr7noZt3gfLPJudf0YITvvhs7dX8jeL iIHUHJYqpx6Y8mft+Cl9HlBaMSUhSeVGPClGF0J6NNXZGUczDGsWbVoWc3KpPLumYOlJPj1+vXjc zlalyTcTB6dST9Fu3FZmyXipnPaa1hCMn1U+ph8tZsWwa36IbrDNL8heq3I4dtI7q/K2Hu+lHCm0 Kx4nCwPM6CgD9X4xMHNYkBSQmORK8OBuqiHeY0+aycmb87VoIAQzFTfQYnVIqC2YNhEUlggfzTmb 1d3m0TY9zqt4YaHz/4W0YOtPWAOV2k3CPfFJ7X8iUq7XEpoG+s+uxYw/TrVj+1Etw3H+2OyJil6A TRbTVLgb7zKXyv58JMCNt4cgaNfz7ULtABLOO18AQQ5qVgNSu+9UmJ11kzoSlRJ7JntGub4u+V8M kQO5D6QlwYoqL0u4ri4VrBHyeQEezExWey/xmqFwWrNwc0YRNcyLXhduXKcXWbnujY2J8bFSnfsT Bmjg2OvS4+olg43uG2YqUe8umC7Kd8diDwgY8Qw2HMQREl8e1SQFG7Y32NC+DeriyZDfAkHfPsAj 1F6nZkWS9T20leELmvAWHNzq2r3KhlsecER1a2i4nKBpNWJ1xLVsJtPACmLKZ2xFG0tPoIMZr3/4 Q8RzVNYalGS+xeq92gVw3aCFzEufwWSMwBgMLeEOpdIw79l5nStV+PkSfNLHTgZlV9zfrfu49+3N Unwdt/RnqgxsS5EVEzfmN4K23DoBtqHNLHBJ8tI/e9pmByHcqoW3lXJwXfJJt9MufXrDGk5iRwxL JoEpFtU4GGCWkFKNCSA9v9zJKVMza5IJF1giiZyb29Yua6u1GBitBiH4PipyGE6KQbRXAuCPtdGY /u5iDeXiD6KDr5Ry/pzd3KuJqmYIIDn51ZH/yKJQV43zOh51EABL3Mx01Y8fCYsZjwIp5oNjoeOb OhqeeHd9G+3UICpFoT5Mq7agszWJcUiq6x1XUPls1VGcKMNnLXDxVJqcXVPBZml0xiolCyryu0Zi uuuuP8igoQElRuVCquBw34YpzLlHTTnGEn0Ryki8W9+6FpyLJJOJHihz++d/jcw9Ff0H/jy+0fq5 cMfXJMxQEPzwO6H3gUfAvj+tz/YsnsmzDRRuZuSYKJB0JHw9F6U+4BLztzs4KAXzKzINtoJJgb/M 0asS5ISh3IBAIi02GTx1wp//+Y7ybcURWrDs+VmDxhQNm+haAsSdj3ds3iaqJ/PPdNABGwe8w/2J ydnkG1hG96D3QP+UABdzeFquYUaBZ8EWqmSlvxI/3fEJAFEWlzWw3Kr63ZgMxg+xHhtrgC6G0KCK uvThIA/+9kuVYAiKDHci0Z/ZfNhX2nyi3itdMuScU9EdNnvI7rgPlq+KK/KIbkSfDU83Ex44xt4k rjVGe3fNc38C4aTQwna1cXnHcRLo/eTf/ZG8KyyOJ5Bp0BKT5bJYfIutSHg/5TZA/LoLr5efvD0L RZxLB+OQeE4U9gPWMB1m7BFaeY/iWIvpAPv4LScM+V2bzsmVqVAClpMa8zaM/3crhwnlVBMHRJCa A1XIf3wEVwJvROIYjDk10LLz7N5NrE6sGIa4IZIjWWUPl5JggV/lsss7GJz997vwrBOHheKkk6MX 6VXf6Kgtxo/ovwjaezA/T8Fa9WaiOOo6yitlvmY98r/6JuKHZ6NFuLLziZSERtS4s3x5AQBrgyew N/LtYIZ5wig2ymCEOud2JJ8zn8qa9VWl8A6jaARrnoEaI1VSsEo7rw5tutAkYtyT626+Ambvui1X MX+HjK7wPd1Xv6hj4FuoSOy+D0WMAN8hUxvFpOLmmRG9M5Mdc6VzSaxzludIv9meuydHWt2MFLUS TnbPtVKnI00Kp9wwPeLdC2wCXIR9UH23T3pBzUIaMsby55qbW5GtDbI9z2GZAu2rNDccTvy3R/Od biBjQTysHmi6qobHxp87N+bhBO6sC5XUoI3u1asgyDp7IqOerEmpRKhubSFC4RccjKEokz19cOBO 3mQDG6y51rfRSMTMdQGH//LMggxS4EaTh75Hky86DKTCUgy85AwQw+/GXrS+gfeS/AfRQb8ZoF7I RFKBOMLGFhCYpJ3AYr77hyE0WtvyvDz49flZFzL8T0YZawMD+YB28qG8+62x1BPtTR9TW58rYwbh ssKFnjbp+QBf54+DdDPqCeSDaSaAIf1qCkK8Qdc78cxhjDg8k+o1rLq5IGUKhRBu+vedHV0XehAn v+H/Tn6/VHFm4YvfvbEOATgSue3ZjmE+YV1V0Jg0kq6d0y2RIQtvu/WXBN4rYMbf2nOOGgDiHK+5 DIpyvJYJ1IPd3YyxUbY8y6Nfedpu9nt5vyEKw5VD0ioex7GuujcSRU6vgivoixRip0CyIK7yPS00 AbRKayCObA8oANwJ6GYCfe2TnuuNxwG6Jym4nsUziQyj7MWM+D2Oh7NX8rNFcORMShmsSMskauAV 09ijgUxNyrh8JIkdxzuH2YUqCzayC0qzlxqJa/tf7EctVwVyw8Q+7GxSDWxsGtU/36OoHCIkJdE/ DQHg1LwkwV3/MuDKH/cSnyQlnthXwBE2Vy3MTbSILDRE5oqnbrhdG3kwLB4uaJrgsb2VvB2EAnsN 59MozPoTp3ObcD7ObP89Yj6waAJ7qFH0PHp+nt6hEN3CH1leYMs/OPHoF5dKBCryl2nR0Fsjh8QO n2TU1uEm95HJIYIlE/64Nb441lJhuCEMVvy+0J/FSicnqYn8jeGpcuWkOfKqsslwGsiM6DrY4JJS Q3rdpNtkeR5NruJDrH19KynMdbfsvTVfUQNbqIboWxCY2D8LUXyfl+YVNvDaSVL1vZFucu4SkRfo zZULYMruvJt2ysi+XMU//raYQRXl/hYsmRIc+1Hs0BImp1KBhNd4itjURSZdzcLEb6jCHldGVk6c 4gl+6nLP1ofBtU8OJuVT7cM6xTEOBoEzQmXpyZ3kKgkgtXdmtXui4AT5U8Qmt6zpwJPBhQgHokqb uV+XxVOgKYaK3hRoxMEEsLl1lnGoXB9Ycolf2kUowNQ3hKgUeVjWhS2YB8tN4XakuyVHYzqCgeFm 29L6qcftv0KF0ZRUUifB29FPaouvc2VM2Iu1G5I4btQrQ3Bf9ire3G6ZK3LIeDy5jppAA/39f/EP J1EwKoUGDEHf+KGaXVfRclhTVSaCn92hpgQ1yzLKCAfUmY0cpBcAQxdNUjHbBrxSaXM5vz+gn9vV LHpvdI8s2WqVuiWbsJ0Ama7NE47ClNqls6jL5UYi/V4pIjpUdMv4V+iuinVpW/SGi6cRLkNTtLaM ZRIGeatP8oJv7bp16tuDdYPLIdX/Dx+gxbZTdyGQMqcLOJb6zpuaACzUyC7F/UjzQ3hRKZZtTdoO Lfzm80di+mTufjyaV4gBpVUeknLxcBzqU0Pd9C5AhcflZETK0k1F5qywN0qA2E8xMW08b0ZtENC7 zRdue7rBsRYdbnC7yeRuKRcQ/2cmwwfG8NbByoAhrBdph//7WzzQQD5p+oWgEA/mlNNteMhoeEtY lxzKxU3is+e4knx/KASsmVEb88mXwQq4PRmelzMprQXKEeo9Yw47lhPxG8mWxMOpX+FsZOzbyPyL z40i429ywdDIflVbmxi+N8T89iVBbW1K8fpe8rxnAhCRy1OmxIy1b4NNT3TqmDGICiGxG+3tT1XF /SBDv74vZl/84lk/1EttaWHJcq9mM6JlwGMvjhxt4+mjZQsjstNb1XjQvou4gAetPfE5NKbAe/Ue hX9BKOPzufK/B7trE2qmmMuj8zKOfPzWcq72SsEECh5vbjyR3q+VDoi2zsyMdeCLiqoJgqReV97Y 3x9zl/LigNW/coS9Lc1clj5QAzWtEoi5bF+2TPKNwLoIQLLXTL+7jHlwJAe+pmBk5W7BIbCxwPhb DtbiK543Qaoe2xLrE92ZWQ8hmNdh3CKvr1s1YFU+KGqnFFVMx04WZ3CiFo7jmCFuhdowzilYqcs7 eVU0Jr3/2V+EElfxqmns63gEyTTCtKUEFfdVJAitS3bh8U8e6N+tIp52njCuQ9e6vNMkqCq2/nWN Q47NuyqTZZvWch03Vqy2Vb9UiZoRUDmv9UOK0qSKPQN7Jw2HnP3zfeqmpgfDv1tUBQT1xeGWGzoD gTZNBIX65ikHcqicYGaJJv8znGCehTuRCMLbzo1DC+ev9HzMXM8ULDCyQzOatOSbF3jfwVZE+hVK hn/cEBoEY1OKVRLhGnRTY7njOJXXvm0TQbgnMFGKBJoPdQ8qf/1naqLljRqAvwxSQc1tPGPcZ488 IuiBf9RPViCNvepZkeV1GkK8TKOy9F4+cqEBfOk9xwQIH6jsnK8+CxvP5zNvFwuxj9GL3yMsT/r9 chJQCBw1J6vFwNifA3NhrgkLtp+kvXfPZrli4kMCdkt2mAxa2FP/0tmNeC4yc7fJrlAA4pY/lvLB 4WURc9nGR8YiH8N3FNpLWl5jB+pvM3tqrrX58Mt+HJnjYe9L2UR7ThNSt1KFTF5ZgKEaJXcsS/1V 9vSKitLuoLEV2rvJqBbAnjM5f1Oaq5RLlotn4/a6u8kzsHb2rBZC1q4JxH+0i+UhyJVo6I1EPi3Z Dr4dSpaa75br6cNQHMJ+W7sgmzNb9+tfOjMuaXAi8oEz55L5VibZjFoqv+L7rIFsI61PrOq6IBiY x5nrOhEY2ND+vkEvb/NEMdQa34Ic65JuQFXsnNJUxgNYXu1L+yfFi1jsH5VvyWJ7t74DSQ8mG/hp n7GndxqGnr3JCAccVfgIxy5W40frINFajiIXLqgosEvkUElRc/zhnwJfIJ0lLVseAG0BhegiRbLt PObGJOYTxmgUqF02GWlr1lvdOqcnVWIk2pzF21YAmUqDlaaBqE1PZUaJJlV/RDCQRyroz1uPgul6 0lMdQ7cnvRt8LfMN+C42gKFVpVBcjTanMKlIcCFh0hoPDMRVjnsZc+5kJuWy+FKgsThbjv8TlXbM gdzzyNeawhOhtj/X3TFjYmccuG8NibUZBBLnXq/o1xCiLbv+VKVtNzMRLKy7+oeRwUPMHPC6yj9k lzZYQQTQVSDD6SvuJCajGArc+CC8960MZW70VWjNFkKTe/x8nl783A6TfQ7pgMBDBbPCFb79qVd6 pCgztnnu4pmI8tGe8wH5A6ncQimWH+Uq5ufeD2rMw9Fb4glag2emtTG0P47bElgE7pVxsWO4OfZL pSJ7pMrkFP+q8lY8RXVMP8SLxJZEpHBBDLDX84QgvKDkJtDpO8EQp7u3FOUXEQQBtLDlODaRlgj1 h0trONzVruK8n2GKmcnvQwdOSERLg0jJWNzttVVc2QVxAUgPTYKeqt+SSfNfmChCCLEDD324IXgP PXvWEFBroo5MCAITLpcvTponGOl8ZEJy5vQPbHN1DiKGnJhmkuGML7MwA7lzMaMhcuut7UuZNqMq 7sSsyKa/AfOdHBvrxowxYt9UOgnVkCoPJ89eC9LCDYH55asMNHIhOlo1dr845Sb194+fxyOsFyKP zTtKANiSkRiYtNQ0liOUAVPEzWvysEydKuHvXz4+785tttqme3m0OykwNUTy4i8F9fN+HDsULN3l LRHTmRcj1ivIVBhnQNBOlx08pwdFhI5fbt/4s4AMFWBPEfnJU2wSL6ektQWg71caOKDeXjJyZ3Lu BYOhYLpvkiPaOZfCf4RlR03eyZ5Iupq3v/Q2lat2UTt7Do1vtvDOgop7Ebrbofr1Wxu69GVVf8Aw K1jK+lIXd4wegoWcpySCfUtuH+FfdD0idu+El1IDm//G3nI0hOSurmAHMBPGyBDBYeCdZXbKd6H6 7Ojq7st5xz5YkOT0ChgUe7bZre6ks8aUtNbpd43JH2roNIHKg48PAUZ9QgJBiJflHGJ1kiOZtwCo QKxafdfXnQ+MRKErsnnGB3geLfXEaYJM3dmfNoMF2xNk7tHoF9D5cIwSwwWFbYOJt6vn2apSVBXG 3m2ir8EUb6EHyg6k0PrmEeegsOfrW1LFH/Civwkg7YDnfEZAfLU2qtXmM/Tn8vC/PE3Qy3VII5iF yAH42l3CBVe6EwyN75MV+I0g4sEKkvF0yuBIAD5Tq9Q/hWkslwurCb6QPwlcmLZAnRW0aNLgVR52 vF40f3FfopHGt3Yh/H4GEmv6IQHprEwehHp3wbHH6hRbAyXSUblcQ1rzBjr0bjpuY6pXtShG6R/0 kk8kmyQU5ISMd/DNUg3sLeRO+OKULfwyieeL7c36N9Qsu9Ymf6ZkKOxPISyGkePI7gbM5DXiKkG/ nGHPeIjiEy3EDFCu5UVULMON5YuI+NccYeWNTtfgG4lBfdSK3veeUlA9cfwzVt982Oq5pPKk8DCv cmUFJKEbeHM76e4/0Fglr1hnVOngzV/fePNUhPiAPKi3oMREXXuYEjKwjfhtT3a8yj1OiMkwWhlq UBf8CiMQsoqHxNHzZQAge04ld6f16oR/2XW9JtQCZbcx7UmT1Syy/vp73OSAz0Z5vetjcoqx8SO6 fyfEUQk2K6znVaUdlDYbZHCNAq/Q8sNS92w7sNaQlNklMNEMy9+Ox3ubpSeqWWJq1pBl7v5hsbya zs7doqzAogoQsinYL4YgpwGV8f/Wg4eUoZLVcTamwn0RfhLbaI4KDaWtGNrBoiXq5MhBGPF2Ncdq Kh+sT9moBzeryQRMl+1P+tIQsL5ULd2pildGVkR1jlWDr2juf6ltU7Wy33JOPcXwH9E4+8yNsy8T mMouRfb0K1ZKImw9DwM0LFd0NiYPq+lDun7KBJHX7nXdbXCQMxIEtGFj/eaPMqHNa0IwX4kR2aJR f8MlYhf7iqFnCdoSVk2YL121yP+Q0Cn6iuc1jXA9+WIENVZrTcbfUEQ2TEmRVKqW5mGBzl07JuWY bbLzFfw1R/lDgTIAkOR3u4skb4l8LAQUgj9nD+p04RGOWddYWvVWxcdR+O85FlkybImz7kYTZHGe V+ys66KB+xMQlMXpnZZLieXbuzPZi7DtPk6ixnF8Wbkr3koEfx6p2RUl/rEIUAt+mjIKFMY+60qx Mk9jfkqd3sgVzOAjJ4n4qMGskBhUUHIn8falx4P9VupreTWLVVRT1FWxyGW16frrd6ypWLeFs240 au2gdJZrQECiD/QoJonXvDyjlnqHqaLc25IaL+42hvbtVi1o7YEl+7t896ap3dNR3eXMKhx7x/ew bOsF1tQVKGOEBE8gWKRPpUU8l5oY4IJpvPEzv3qKpuiniU0+BuC+zAJtWyVF2A4yFe8OUKkEUQ2h xrNqAK+wI8kux+hwuu+WKYvYoFABI0wVl/+T+5RNPLViTKoYjugPSN4/PcR6vnfY1wUW28pPiB1n q15OSk+jK9uEuwOXMndZTrV6E8ms2n05TrX5EeBerSpUNjnp3+WyZPEnmcj26YQ1/B4rdlLV76xG nzxn2fF/h5ZsjDHF53ei2LaTGqdxlYEEoCtK3j5pjw12MFICTblIGHPg7XwjbQqHRkxNDO08TIRm rT6+oFRgvSd7BzBqgaNsvFRelWGG2NptBXSY2Lpu97u/BTImFrdhovm6z2nk4mOBqWYak7RaBL3t QT2QfuxRVVCsTIobLAuSSC/u02QGSOoiwTRBkliDJF8T2wZ5Hi3x5Rd8D0FQRuMM0rPWwiiHPq0G j9glHnm5rxvNZJRO1joWH2g1Bx2yr7oxBkftuyXGNGU54H6iuTCZ9FK7uSYdwAKCqqVZ+291y0F0 /ZxBQ2NMNgJmQKXBIPGof8yxGdVOsXyjPjETUAoqu/vTuB2O1bolKCdx//WTDDhO33hM7vk3yz1x m4I7GqavkOf0tTVY9E+DLXeFDHVkmEqTAxUqJ21JA+4RcF6BCVwtNt8uzYvtqJlhOPMibwieWnMd Qfmwn/JZXr82VPueIatGmtZy/Q08swoX1bOMzsYCDNqHnQeOILBiH5wu+aE6PDtRFf+vneK20I/Z LXchB/HdFb1NUz9flHHJ3NR8N9FSrPVqdTeM4L49tGmIBf7adQgtdnnQFGqfn4Yq8olAudOBgsP3 rZ5JeqowIENYrrbr7pHPpLVF7jFOGmJ7TXK1s2Bh4Q2Ijyo8W1MqE9waXeS2+4ldGIVFxzOnFoPL EuoiUrhtpQCZySwi2Y9lx9S0IyydIuVhCSKX9OCJ7sc8FXdvzq2JdCRP8Jp9Rl/ybMKfHL7cR2SD 0iH7u5nDdkEgjLyGjSY0eLBX76LPTveBiU/z5LVukPtVQrWHBcIWBv6JVdIbMsns4b7qSl408/Ds X/7M5cpeNpaOhK64xplpnyHD2YPiBqdohnGio+YvYltFQBU30ClcGwq2WkHWVHFd7Ed2NuhDJDQm cuY3a57BqczKh/4nCdOT2U5gUC0weKVTGA3i3nFGV8DdpPcGIYwu1Z5uTVKLW8hedZA9/Gkv/8fp bhGKbq5KtI7RNwjEmEIo+0woOtBqxrLi33dgs6Yjqku9xfI0jPknKZgwwMdO5AmdDvzbiJQY/iFW ryfU4WtHV/klEc6GvrteWqLQitv+t+cDbXPFR78ZE4umpiICUIRmELlXB5sm0uogr6XJBGJidlaP s41QVxJ1N4/nQIk/YjMXU6bP1SSVw2Opc2iUilJ4f8Rhsqins4jZxLoPpMuzze0bsBI2S/k7ToYK XnuFdWWUL/wM9dMZkzVTmO1QsbEraiNox8AQot4WCyOHCcDQmEqJ+Cw4G7gsuOuHKWdWYfCMadks aKWEB6WzVndEMsAH8cOglid8d7ZcIQHoznYGaNltPhtl5UVcj/lPHwD3xtXxWY6u3RGETbsBAquD ptfWtw6Qet/dXnvWF30iy0b6mmeBzFzpua/qzzXrt9CA9OkjUv3nUGNnvOre7bAhY5e53Qi1y1Oe G1lmNBCuNxVxYxhb2V77YOrkYlO/SqvHid4irB7+V80h/xwEFkK8rZ6mQqgBu5h1zKlsNPFv7NWg qUAIdWvxLw25ZmkF0H9YhsJOv3dccQBtLweg3uL4x5XcaCAX6mWQi5s4+cTSerW4kDDLH188Gy0u IB/pwLf+iYIZapjL5Afw5khqGgITe1MESK8XzEtGWNR3M7ANkiwTN8VuFQuGVzl2yjHzAL5ELWxY mGStvxtLipTCe6yYcXVltnGBjAOvYaPVIlH4S2BOfQaBVz4M53ENGjV9mIhDOahJ5V0772Bj534e lgFnSHlMatTWp7P2zqcQ3hueYxAX9ClaV0bosb9kM/ZdUlKr/w5vH7LYioVNSTUozXCmJVxopGgG gcu42bSz+G6hDtMDEN4A0eDY5Shc3TcFJYSCMU7BX+loWCN2ZoOEu4YmjEtd1+L1aQ6hDjsqh/Gv irsKUhzlsls8NxbDMHe2SULjADQX7SHLzP8e/40puyBjo3mE8vlW2MUz2KF0mdIBqQp7BDP81eO8 dGF++K6okgiK+3u+ATBX7QuxtrFw6N5vd3Ov9hf75pmmpH2rhgwOnymuHfg/om/uJxqbL/p4LPGZ MnWNMp2/BuYSCGXYnWmKXVv4rSVy8mpjBF/GSMf+580ykFgUHzaQnQ8sB0ZqQXp0/erquvBowGQb V50cVy1aQAwPzBHGEXMDLkbK+F4faDVlAvlliibz+CdFzelxcigBfofww0hJw8cjZ3o0Lbn6GaNG t7BEHVN+UlSU/zjaH3SM+FP4Lk2oHG49B8Kv5Kl7qw4Ju/YUFAda1Ucl0Dqo/F4YbRlYgxqyJxZ6 6oPQkSbibOwqDXf1xA7mgo3G0cuMpqmzkKBaXFjRURw26iUsujzpZjBFOu4THTV+tgsEV8u59jka yMAECS/RhfGeOIdUwxodsygN5z+H6ciUQVyMVPyWVyAmVP2WWG496D2JQaEwCVkbf5QdXv5yz/qc Yu39IdxmaHcjfbE2WYOCRqBSPzBPo8Awywks0obwdIOheSEKYkuIC4GYVWoj7Gdbps5zdg/KTuxJ d5E5Y5filfPJvf1dcevZf0vkteENqSK5RRgtYIbvwuijw0LDFZGe/zfIXYzVUqr4mfonM7Q5iG4a 0kO32SsXDVzYsiMAs9VGFUZ6ZvaG+yipq4OO2XfHbDFVOyvQFuWt0L2t/fUb7A758HNfj+yjbo7Q yFZEhxwg40lQqtz2I6Z9nfm4KaE+OrVfw4o6xi2aNX+tx7vYjDJiUqLCy4U09nnpAovpB4r/TMYm srqsi+pZSSGnSnq7Dzb5UFQUpOsU3jLta0mgMv63dQ1nWXqSo1DGzkGUIeRAI2nCcVHkClxAZqFZ k7vQ2xAh7qiL20SHpR/eO+x+YJWXNPfhDG7Ab5jb3I4g7nc4Il2E1J9xH7vpa82X3aSyNYSAL/cP poi6mK1Usf42u9hVHjAz4pwL5zhlwpS0Tz/V6uNWJgyju31bKoZ3g1egbELV7cMLLsS1G0kUsyGa 3Ex7NLSUukHt1OrNUIlNnwzV4Y6cJJr++15pzz8vRw/egF3cJY7Po3/0+R3wQf/q7Pmc3nkn6wMu EgdpTkUB2z4wow+uHG7MNMA+UQoxFZoD/aUC9TnS2bDQ63T5qe48942FRjcii9jQvLKQp/Tczmyl ihHQUNpuIHrtKWKVoVd8jxuENahPUCxnRZH5SWu/xTMixnhi0n5IBRYdHkaVxUDspWFAtUF55y7l VBzhJjLUD0wWSQXSWsKm6+lJIpgoU7bVJXBWhty2EP9Et5fAYzXcuyOzrqSqCfH4yrH1pq9rv/vC Nu/0BFeIdYIYkJMIjbaeOaokQQ8oHgH5jGpmaKVfwTDpilV7vKPKskwslbDwPjMTonuYL3tXHBi7 +PmKbsMH5/c1uZSIxatXJ9lqodnL07NcdW1vQA6QV8QZBYt1iMa4g3sS2Gfxx8HOdwnN6xxHiUeV ZoJClmC9j24LnWOZ9zNv1zipx15dmFmx0u+Eio4CjzbLor4Y1V+pgx1u4RpHT3zi8jvzT2GiSGgX XVsfcaP7olRi3YGYiDMj1SIw2wg+8e4nTTccveJyKn7CEe0iRinTf6W3mAiqkkmuq8IiwnQXiGmd /Oqzjg2f5I5c0fl8Ghfx76xWsq+Uvz7CZXDBSQPl4MytrcRhyj4n4tkWad3tjOhBLXCZkS0QTagd aS6tTqi/UdBBOs20afmIP8avVDzFu/muS2ePFrP7TEISRBeYtyNjjbdDaTFA23mAMsnsQRzspiog p9lPC8OGvDF0Mjw1UwXvh16dfg/M2LBOyJ6k3lP20fLl7lL5RC++zsxRP54+49d9AeNEN3h9YotJ OkxHVEWzChZhoFV19z2lYm8Kn8Sjnpbjbe+Xl5t8DQXMK770/0pPErAh2fYv1V2ASNUf1IgeGkOe y/q+uk0s0zLI8m9c3WCcYlftYWCOYOXR0CpGjVVpmQLrC0KqUYlw5BcF7r8GA+G/+LEv+4MCXuY+ 4R/spPvxVcCBGn1NQRXQuMKgFxUy8TESOhP4OpfOZIU3cX3MlHcYf++HjXw89ir94euiuZcBcd7w NKABXylCbF3A7/eJW23pqecgTJDezF0mfhKpjNxBV4V+Z1plvtIeJXVzpoD0qJQ20HxWuEftjKqA DZ+JVyuyX36Nb/T/NXaxpWut85A1HESwgBPmph6Wf8Aq69nll3wOMaWY8XkfFq9V17h0juHArkne Pa8WteTdY/HcJRpLZy5tOUprQaNKjLcKenIEtzz/5+sf8mEEwxJ31+mHX2ltpJabI/1ij8HYhYSH pLmwwP4T06w6BL1qthGutqHJTHwf4vc4BO6V2FTtbn/WBQE6NZedyc2Mh3mjd9ZdylF4K/u40+tO otCQNjIQLKbYeKa1ypeAG/Uo9jz3gKr8GGvrHd3DsaUzRWhXuqfF89GTYzsCK2S+UL0K8XHlSAOs K/q3TwZtfNPF1mCaG1KukzRvMW3V8YbRGfZ4cAQw3lpiyk/aDArPbRje3Npe1LJ3Dhx0K3olmrni vHkEPPR3Bva1wjIB6ZUJh+FOG9LrPFmsKh1jRDJ123qa+3ZhKkDRfESi4nIqboCNh3KUt80157gj jKys2G9MEIG8dPkw99ERf0WwypNVwjfut8x9Yv15sZIGV9YhOav2rsBielIM0bAIOIYbwHknAeoL uqp/T7c2IMhTA5Px2A8RTBNiRd61XGVhejoJZ+qX3+stDLNuo6gz+Oey27LBxY1Kyfmdm6d+5GW8 TQlZ+Vlm00oXviDbTjP7YMYxce2QZl1oPV27RdeqwHj87R+aC1PsD6wgxTkmxhAwXmtywcO6fZ1x nJEAQUs7tlAJyUWok7hOv1vbwRXYHHeWVbqOb7yqUInpP/JqfLlMySBtk82koi4/D9auze2i83F0 PCEAICN3Q2tyCxL0DhTvwtRAYTMUiHpn3Pc2avEvWGO9JhCA8LlEV1mc7eodZQuBD23+4i9sT0CO nRPh0ClhwmkMIltcngEqOLGhHkluuGz6DPKCFZ8ALLItaG67dF46Lj2mtJqFVpYCFVla/d3El/vJ JoEoCGy8LxLWsdqfLcMZ1sj9dbRGH6k4PlEgUEJRrNguvFF6mO7rz/sSOVsW1GZJSJVfUsa0DSJP e0ZdmULz1U4zDrCyKJ6ZXgVwVD2Y7+YtD1dBy4bUBDSbzKkDDFW9hpRF9lyg0//5fpc4gl2JQ3Za 4rhJxShMdyqK7vR66JL5m+RuHAU81OExVuMtrTjsx9rlbcNbBKPnE1lm4UG7DPokq9UWEOa0RXGn 5TzFfHUFgbRzc374yfdcTkqetaCcho2Bnfm4Lxbj94826l2sPS/Vi+pUowvGiLqaxrYKKS4u3JcO B4AZa2Bo6mRpBOkb/WzzFvNmdD/x8wTH+uer0Ab4pFdIHuxaDK+KW0i3B2ROluVjoWyZtlpDUidS M/jBoE7E9tkO3d9p6KI+9doTs5FnB2vTJXBXssNElfN43uwwMEUTKM0Xh1InCFC41xbKwe4HLqn+ dUhgC9chpuTc++lMmv59Kbcg9zX68Y25SwfnifuqaYkxYlxsuxW9aFlmp0dcVUjD2IeJDVkqbNBm kRW7ZuUYs+IABnxESntzj4x3YgUPNEPA8/r2queZJ1LpyrSUMJ0M/vJhfYUeqljN1iswxl+I7UUU TzCVgFlydUNoTC6NDrGfiNT/FkUbFTaihhG3GEJ8V3s9oehjpkFlBEYW7Tp6VMGciURGxZutgazN H4wNbZnqr8UF6ZqEltNTKLeUxXzRJki3PtAz0TPM7VEBYGOfnnJQmm28XdkkJ5tS5SSedsvgSrto RR/ha2uqMOFX5SyUxhPKQJUUNkoSMSHUxhHYZBbSPGNKSmCq2VKC/M9Z3mo4T0KhznYms5Wb9rDm zlibgkzdEbisg5djbZcLl6ifh0lSORtSsDjuIN68k+bqgJZM5FvVKJcltaPt70e5lPTNqkUSY9tB 7Sd0LGQru82m5qAfzBbez2ryAcrRvJ6+0p73ANBc60RbsNoPeLWnze0cTVPtzUO4Ik6MhFfAcIoy 8m9Yp57Re+04PF82x/WLDttKI+fRpk4S3r/ZNSj1UmtlKoMXpjtvDKLFhd1NdfcX7fkS57AT2G2x LHYAD3OykcoE+rsk0z+T60+e9D8e5bIINrBqV11edFMPcxHEzP+33UYIQjKaWvDr1sR/tzAABObc Px4mitn5vp6MmAR+AHZNnQqKFRaseGxnE5gXsg1T8aduXohOKAmLzphZ80xiA+CnE+NrO061bwhR 60975Q60UrTtG7aOIYkFiZ1uFyOP41ApYcQlNvdBidOmDNlCKKHUAxxyiKISeLAyy7YT2dBTGjQg 2NcuOCMS3RxtsYaeouEcFRNqWu8Ejj8K9GjWScyRkyKlbC8nn2Ukx2yIwJf4GVSQcyTlpoeRChy0 aCqL3RFWk9W8fZsb4NKkj/mvlfQUMWF2KMHseyONI4y7qxS6/ZKfwIsVAZU4Gwot4sfxWPOHQLI+ TnSsW72sJXjeUoJI+exs4qikHU/4GHAb6H5c011ZOw3e+It+FvzBA9sJoTuG+rxqDSuDkzhlvf8t Jni0BgHRdB9gq3IvkBCALd/UOvrVqzrXw6XTe3VqDiswVXpGZQUoOgbHm3P78/wioYkWvzcc+mr8 i4F/pQpF2s8ObQt8XS0hcfrq0DD8ZP2jU1zkLsp9crrSY1BDdW/zhADbmorctF9/IaWlTicy2i+B gwCIw85Y3ezC/rR5qhmnDtOs+1AmVwrxQNFq6q6PZ9HVVfn1ROKyZQ8ZdytmsSE6QhhcjXzsQJ/h n/s1Pa2zhkgt/ErXTBVwco6Te1gpXrfhf8/ffDzJ85rRVNciiX/4J7k8fDEVaxjuIFvtDc90yets vW9b377t4rVh4TUuq4YU3VSuC31ZCa1+6Qb+hXHjNNIBOl8fUDpCmECNJpepNMaJq1TFKmiKdsE6 sAGEL3QrZyjcqzckpqmeFjXUegm7OpZFQtiNFzwzM6+MdKxgN9lmM/Ludsfnn64ewdMEANW8VxGk qQDmMadV/FhWSDbcsxK5X+juo4SqQnq4As3f1lH3Rb+Vqs6DkGPeSDwR7GTsFjGyof7QhB8Wp0dx r7HAq4ey9P/Fo6m7372ymzlFzN7Z62oS0iZUhWrTCLaCEb4Bk1ubLzMZ1n1ooGc1tfbmAoX58G3D 3LEJdc0y79UGfxwDu5ocKNMWWPesNAdf2ahzte0Ns3kM8Bxrpun6B50zJR+5bWdfNozY3M/qQ5xq mZind2zBl2H9a/jnaQ1TuRUjcbRRMAimXaKPavlafi68/9pr1OWfPIx3LIN7DCHPKhbOnYLHgbT1 jAsQHPzLD4o/sPJIY2AwXxt7X0fdc4sTDKnGWm1LSoD44fJQzo4dm2/daAtbXWdn8UcIl4ZW/fVL NxAvxIrhcL/SXyTfCL2dkQqdJfGd1huQsImBl9ZXmIq1S9B7H2o+zNeAIFRLlxrWaR20Emlmzvg5 XZ60I7zhzDU933D5gsLYSsBX7pBWD6/IuQu2Y7gJGqdw6CAMONGDsb9azu/gGVnHAob+zFfa3E40 vdBV7JUs9TDGCvX0byjpV1fwWGldVSL9kkx0y+Eifa5dLW83lgCncyKcEHVl6tnn7f7IkBRYvzpI zAUUD8E7VzQsIYyItWjwzb2r4YGinRgFI2Sv2RVO1/ZGBwhrOYvg9fXDKgsYcmQLHkrTuNSXgHvb soKegvEL7nl9fFN+K2qi5jm+Fk57iaCFM/FTU+rZOCQbF338Ou8QsYrcCjd2K/4A2cucfA6m/QpB i8jHMlfzeAcZGvCPC3h3+YUYHXa5OXLHJTznV0Jij/2PUwZ6KGQ6kTc+4J8NVL6hjBqf3KnzC4jq byK7C4B8X1TW8eZSdo6EyyoPYQB3yz/yRqiYDk4QcLUeP4qC3pCRRzwaShASTAipX4nkMbXHZAQc FJKfTSUc5bH522rYW/tWijI3Gwk1aRx/T+R3asZBTdPPmG6ns69B9/XKCb15jCRMNyGve4ZO880S TzjTMH84t73lDouPT2yTupJl3UIBTd8mPFbh/BTXT32Xuj60sYWSRaCSfMcydwsuGgbL6zmI55K5 bN8IxX58ECXqYmgrEO8tI/38jANLuSY2ssdndJeCfAzrbYKdWvRVEfomJxCv6F9OgOZaqrOjRSUl i3TK1Mrui5+vBUOavXNDXT8Sd8y9PmW7+B0t2q6BzO541LQcK+IYm7XJJDAt8LrZUMFGuaTh29gX tUwsQPz7mOt4ZiLY38ROYTVqFaB9eb9tPTEAOB0boajVpbLkIlxGRkq4Df3XiTYvMrvZCRFWYsFX x0yf/mK9ixahSJnX7g3fgqBJ5xMX/Ze/WGNSkWm7JDIs56wXDZ/uqYpH9ZW2CMdPDy4uDYzm9JND AbY2uY3dTJvq7knwf0BpwkD/EBpPHmVaJ5r/8hIcPHZHZbvxgCLKtjvKSw406Wp2eJYM3sZgE5Qd /rIuvmQyaGblgBGbhHVTLtRLPU5eG9ZJaDgSMWa17HnwwxEvnmK8eicImGcpAEe6VHmv3EwhnXCy 4f3dWa2XnwirRpDDuh1jHlcp9QYrF7hv7tQY7ipk3F9i8VNxEdemWtdBAjbW4yrcgFxtRMrhMaqT gfWAcyEFAec6EC3OFCqhyhZTy1shZfiRPgnivkviLn+d1JhJpaJc9EUQXTQZaa4GAGSg4gossZ6M O9Dtzef+Vyqn2DRwONbfG6WlDvgZkEuNlWcIBZwQrpEmh8lv0S8sJgu17voo6+sJdscn7C9687+F VibGShlyrQZHnMnDSXr2wRwE2cgne26lZ/BSCtrTlE5+j0BhGJxSjfCBcLxoyTXScWvcB3AIpVFm hRiACm/4gZ0EDgSe5VO+9jM6iFpko0bxwUWNW2DfF1e8zRzV8y69+2QxfAgWTDAdJpGp7kp0YK+n iK3rEAJXYbobjMHKFZ58j9Z9eYn+n5Bx16qom3bh1+UZyf+bt1gs6A33q5yU1UucS/ehEd+QOMJB QZUJCKb1bbn2g/PSneaS45p26ite8ly0szw9QyEoJ2uSJ2kD10mATKd9p5xlENG7ouahh1LMr4v3 s3PcE9/6ma1QbjjhjOgTYe2lPamtBVkWZcIgWWJRVsHI8BwL/Eok4PShrsqn/6FrW1VxVD+NfSEE nqSf9IYWxRYtMonEBxplcBdF7laXPMlbexL7NogIeyIP+SXxnYD56yjcJQ//+vrtwetDAk4T7cbf nqzCLLB7312Qa1tjDOEWMA+QigOyhnI01yBKx56RlKDE9V8ogOmBvAKq/ufEqOX9dwmcbdI6CQ8F CP3OFeT/3WUzpg9xXdu0C5mpgT8s6ZcNoZsDalj5e6F9Or6vllI/oI8IjYj5KTDO/VtQ8ZPmEUsZ vEN9jJ8eNETUQ/++7jQNeErrFb3r4/ckh+jnTEtcMTGUj6FPJi8gQo3uCjs8Q7KGm5+GQ34n7gGe IKwxI9gN1AGVUJAZ5HklFjwe0erz/RlOGVqmAGE8MNVhHgib+RPm/NKVp4ZzNgXKkt0OuY7PEv83 MkZQZLeMETq9cSUoFBUHvVpwfploxBnMEwXJSE1iyoD9TQhc6+cayrjHGEP2XqYNsXSmQfag7LZE nEBozG5+5hv2ki5oNWaDbaVt1vJ4qVJseb5eiELOrAG84smlBCCao0jsYgM3ZEfXYfwIIwPi+tVL P/7vCTZCF8cB2tl3KgrttVP3RqWocYRRO5Pp7RLZQob29o6u/B5sAQYcQI7c8jEDP33MpSP98y17 UwRUtqsqnjQkDw44zx/5tsvK12PNeLy0SU3guDfymnGgP8EWOTnU1ip46CXmOU/pkH3qCiedV96k SAgHOiIQu33tQP+faq2Pt5YdzlFMQ31hSUyXEB2+mcL1J07OuebH0/G6Q1RgoOmU5OND7U2ChA6m avL4pEH2aA3EQ/Caq6t236ANqkav9DCCjC+Zb67qikIeIwav16bY2afogQoIl4+iqRZt4FkXubTT oItyMKFzingbsbK6TTWfARX4Q36w2k/HhphqEmDqkG2Q5Ca4BLPjvHCO0dI57+cKjp90/FYRVge6 EhRmQKp5/tv0dMm+8upn37F82FyJmbaMshMt1CDY2B7ONCZOMN60h5S/z49lRRWhkLciImkTmTwk 6WVxu2nGbHsA4AP5V9yzt0UWMz9dxdWfTdkpqvWRr1K+ni+xnepZEIL8NWXThQ7acHaRO4XOWUCy 3H8a1RMD8ESVrzIv9M+8puIb16KxoHfbV6xxHbEn6mmdhRWf2nAW1XgTT46EcO3KwvwyuLSw3Tue g1lFxv/WGGEtw7emcfkSa9E8WvYM9kx9ORxXNcy2917d1tDG7kBjqW3N2nHc0Xl9qhnkVTjzprw0 7LUrgaHizULMQtq4csvm88Ts/S0H4+HosrcmmNYOUA1sZS5dqx18fg81iAjfMWqIa7viq9Yf7PWb gI3AV5ZZfPSSbyJ2qaMMj/SKxu8tzkATrS4pNxjmtLRjhToR03ZCBI02Gqm8oTCwajScoWq6Wp7G DGfdQr+ulv5sKuIKtiWJLtUBLzR00XgqeLoQrp6/t7xLgBZcohQv0GapRcj/vF81UJCgJeB3Xzsa XJiMm+ZsmK6+w+mnuJVzByQU90tAbQG3qYr3F61YBw6guzu61LlsIYSQDO59cNKUhHwECjmiH9Zb Qlj6z51KCyojcYNKdUcDDRATPAjGRrRVzG5fbYinM9JnuVxV/socqCEnzNK3G/zVyGP7bryzWWHn 2UuiYTdnvSLy34WXEh2lEtQ91/k/YRUNojuflDFNDJefaRWKsLY6xTUVSzHSre/btW+9LPxj6ChD /F/ITZYFBsgdDZaJ+cz3etkmlBNYZLYgiYN7u6pvwvkDCyhqBRnCXu6/Qrf/nMioInoe0BwpOsD2 JfT/6UAXlhwqKUcSEDrL8fQuxA2VWzBu4VIPbOihZzhs3T6+mPz+q+CWDCjVZRMEwyd7QMADB13F a8EHOV5m0UMWJNIMYjX3smnFEo6X0kXqAgsSXr5K+R7JVUs8LUFzRUOM6fbOOcUs+Cmgx6tg3+NI KV18tbpEKE79ZnII1ND4rOQqqcCZav6SlC8sg516wpWMkh1aQ29i11UYaAkTkf6jiR6DFsOu6rlR YN2gfQ9hdKuVPYxiNX/OmkagBVoaQlvUEqn0OotJ5L9K+MLAMXvz6MiLiGgmGuMXj7F4FU0dTKAc woNzcO6DaR9XlV1/2RPk+p4d95ULz49HWK1CsBREkS9kyjcMNdp0AyhV4v71TB3KT5TTmpVyiOpP tsC3HJsZIZRs2tE06tRS1AhDf4cGyEl7uXKrFLZuM9SrKlx7g+Poe9h9phV22hslBrMbKQ5RW+Od mIXrBLAp5514zXhwcNHB8Y44bFpcZmUXdUv3nlt7w+1IFXDDF0XJEmmdEa7raP3JDBAwcyHy8Z2Z jDIv8t75W1S6qZxbNiREMbW2afuTpnNIXAbgH4Uvyt0LJl3O2qPS7jzwuNjgWuUpXnWKoHa1cF/F eh4UdZ3nK2vww3Vvn7Yz07vCUvbKUWZxkTyPwezgeWkBqkmAwX9VY3WpupAvmy2gixT5taYYXNfN eWiXbo3o/C9S/lRSN7egnRWf5r4BQZ8y/Pi9Porhr34ZXxQiuNBrx/XJqvN/dIQCsP1R3WWNwGeB aO1N/OM59b5porBIn60OA+m5APs+peKwhZVFr3ClJTTeHsLEHe4ejPbXJuPErmMD69G8rSg401gR kNeTD9A1McALbm07ApjwCYRvpv9lhg3Sfv51OFdx5qggUF2HSXlxzNiToP9YiPEWSxYcb46E67yu 92Ao5QmiBIC1ky/hZb21b19hc3GoE86xguWd1Wn9wcA7uvWwjSx/hAheTtEAV1xARv3SlBunXFyB 1VGVAIzGnOcy+8dF+Mu4qHx35FonB5dsOnhcdOpwTMn3lxthC5Xsobcv1OEXyDxkqZQeMJ+kivgs tccoe6YPVyHouWLHv5NuX9rxIwj/0z9t54kwzG8IdzbN1JO0zNbJXsx7lxH3WhWhrzr5Q4uifRPk q5lAhcnM9U4PFOdTIc2g6XZTPLZfJoXUn9SVOmr2DU6l9763VZYKdyFePjQ1P5Q68OmDOQyP7w7o KW6daX4cQFUkXhrY4smxVZ0D3Qc456UNorb7+seSnYVASl4lv0ofRrCT4DLJkuVGC+ousNVWy2MW egTdKNdLFPDkVU69D1Z1ib+ZPWZL37JOiC9PgwRVagWtD1Z6evit4jsj596eQ/K48JkN/Y7NXwv0 jPyHNiv+VsWV9zE8/xHDxmGdZ2alZxhNAVi4lwHQEhWD9d5KT5dDj67S7rmx+wE/8buv+jc5DX2O 7ZsLHTiCvHuO95//xh5RSufzo5VumzbhzzrLAx2lgmjlTyrMsrOIPQAmNaJVtFyt3QuBAvih/++u qeoGYZe/2PVblp3y5vGqUDSJwputWQv3IrO7/lFqF54LKS2T9vx73sTPdoTvBQx2oZR6XlLVgZFG Ctg2TSJj3+Bng6CzuNhy34MqTiTq5ex368EqdouIa0O+jvEMzUSDKgtxXFXjwzZHFD9B9tOxygX4 USTRMwnL8hV8IjBePGpMC6PlHiECQ519f8wEbPDPXXl/IMPnGVfPMEhXSH/R3DeOgdmchZ+I/eUW 6EdIIN/mo7tq0HvQ72evYKeg3dedPeGP6KZZ5XPPqJp7eUqssm/7vHlSvyHQhJnX02wcO+06jn2O TnrBD9Et1rXNPyQGxCOJgyBXXxaw6CwbXE/rlNAn7CtQ6A8neqsGRRGQa6n02PSvVst2TdB+8uMf fW9w4sLK7+0NnLLFejjQOCHVzRdpefZBx1nsoU0EjkSkGHRCcQPNki/UGThJ3vyU0UDP5f9O9AF2 pfCg3TbfQiSBh+rd6WZieGQe/KVmFwMnz+whmhGaQvD43lymMox21aea2Z87pPNEMYT2QP9crHb6 G4+GGKShsA1n5V5+CEpjmM6LGj+Iy9MrWcCGKZl7p+UJ4qN5cHlhmcrH6JyWz0boP2KSxONOOvlI aj/j5ndeLNRUEs9nezEZhPiTm40eQ6pUobX7gLN4Tupxoie7qCQncuXXHzggfhtNzmGPqnufKY3/ 21RBDK4dgLXFRxLKVD4VjUkT+cLtWjPmX7Pxs3uPBhNXo6MM46KvAkX7xP2v//GRiQbLN/i68z4C g/Yl8u3d7Hk29+S+BWYsYQ+7ZIDG2RbYlhT3CFb4t2uu1eX8mNVvERer/uq5w3qZjzOJYbfNd2EA 3crMEQA75BulwJgMxpGJCn8zSK5RdYIbLxnMOpoPfJim9BmlcxOow96xlP8rJrnhnXMlu4eWBIXz fn6SniGuVz6oEwz1HOfaur+XHFNM8et5arsjKHb+y9dPvONBtdNtPk4F7LWCpySMCk5vCkXJnG8N HcX6YbZnuBLAgGZA5OWXWZyWX3aaDVLQr+tcZ6NThb4EXMCyCM7JXjoYp9BuiKxppycRpJ1u5hB1 m+PmAGxuO2XxjChwBaTPgk+bg2Vx+CX7WLvzY7fynLR4WrAdkQCejkAMidwu2ZxFsn2aZf/P2tpT 4SVREOy7X5xv6UMBnazVsmDz69rZlNNF9n9389kP8uwk1baC/SSXkhOvNeF/sFK60J6pZ1jUAMVI qG35TieDfsBE0T5Dpj9G7M62Iz+oZx2sCwiQ5t01lZC8nF3s6uvFKkWurBwnG3dr8xgNjp9Nc3Sq hupjbHPqUmrn2CgL3r1qJKcYyv44k1FVepjH0Arw1KCWe1MkXN34fCwqxfRaTAcr3YmEQpjCn1XH vwRKzcC+D0/6L0fN5i48QE5O8j/p0e+4GybYD3aaS9oHBnw3naLUNVembEYeGtDLLwslsmblMxQo R73QZDGR6KIw7+bnn2puG9VQS4Ki0F5zSUPcyek0Hp0P+yLPHSy3vJk7ir4nZ5irD3yjqEg8jNYn aFgJEDixivZAS9NjDvoJRI9gQNKFbtgPhWDrOYaqTZxDcN5YDpUxyKV0c7/n/p0DGrum8lrsvx8p QM3G34HzNT6Z1P7G8JzE1xHPpcPOX8UEPhcb5w9c95BZYetc+6gp3qc5yxh6E/vSZ4guQuEmYowN FvQfciGhsjGTxLg3cOyZOzTcK0g9rhAaYLjTzPjbE5PWvmMfUNlBya5N3iolnqx2+apZaDdgwVy7 8u/AHrSGDs/3g55ndcLJlYJzN34ZRDn6i6e8cg3iZ9XWKhj3tUIMHE1pdJ+08ZefW+EtFMzNalSN zeIu4uEfpZyBLxHOpfgMBP7lIRATrAiSVOdBVBRUTHdVw9L2/Bym7pNAu/MujVXSLpQwcwHA5Z6+ BJSvHADDGzjdjXvRFEnnI1x0XG/U00VtE6fB2CC9V+1JHlMc8v42WM2G57yLHDCGHxuCbyq6UiJU VjPhBVqkbnZ1qdaIGG274Ski7F0Kjxszsf4vcwn4tIP2uIFuDpTjwbx7edL6ULA6ET+MvHUA/vwF iREy2kOdW74Ixb5Y2yYN1imZgIciMwK3fGsS72HKqeSVSmR6PRscSnHvePbvYnO1CHw39XYcfKmP +VR9zs2R+b64nuMSW1VroU+tA7ZabrtT91S5OgwVVwdI70szLLXR1lq0OOo+IjwedQS6ioUA6pRv TvR3C7cb1KXIL6sEyYab0Z4AUMf3wOcWYMejpAEJPmMC8gEtrJPqyKW6VJSAKF7YmEY53hGNIRFc NE0k9zJDXtt1jbBKmdfaUxT/k03d+1jB+liqIRsFMJg/X4IGRCgEGa0IZF5uuBDx+px2UwvMZzjK /jdmNHfnnLRzC/psPvMFK1ltlMHNYlGCviGjyq4HkiAAbdTp6GeTD2XUXiDfZnHakO7XuJmOtgmK LMoy/u69cfDKB3rxxSOokKz/xWczfspNdEAHL2rbWEG9zgg9rFVyjWqjkdvHaIHdfWWVtJfS9MFS FGDHXgPadz3mB+BqnggVhwkrSRgTpcyp/sfqVBNIqc/WcZiWqmhLxes5VoDiJ5M3poXjx1EkTfvt N+y63o59+q4asuphp2trEZnviA80H6x72F+zaHOax9QtUNn2em+umFatyQ5w/3HCSAvCdNA8yA+L ipIJ6IjuugqRoDvM8+JCkT4FDSh8FhrgkMbEbVKsXfS75M3G8cQForeKVPH24tyyfx/KhYcHj4Um Akz6bI2ZvAhxQAi75DnRDQLHhjGJUUd2cw+Q6un+2hnQGghYplCMDHM4eeIZgD7+7y/64SaUr9+K xi2HYBwDute9vhdND8zUvn7TXSBgt0DYUzVqJ6uTf7X2maIwiVWanTJH+m9urg2MVpdnUcItZ7xM e15Aie7cD0SHHsPeXKuIaJ5A4uximmjg/VAxv8XhDHFsI/CKzgQq4GsO39PS+63Fsu7TAuBrTUYr 8zOUE1ihAxUCBRTNT4Xqjji/RIB01s44ALnUljc87xL5KnnPx4lAKKptT6DOCZ4iNv/LB8/gwT3r sR/dQV4HL2uocvGxKm+u2efCP5XgCJoB6k8N3esmczhPW+nN4nKyGYv4K+45FQSSXeI6V0uQfURb bPuV40iu3UDLRHwFC56O5CAahSwqoz5G72tedZdcCAmoCQ2Gbg1EY3z+a5Vhg6PqcqMNfkOI4VgS 2i9/HtMLk5xyv+ej7zsyrQGswit6IC0+2oFjzCReCcZfOE+SpR5UyKqwPDxRu+iafZyUa9uH1k3n mrqFGKULebZtAs4Dla31Fyx9eSJtFcxQddnJMz6P7gt5teFtb7IS0BlRa/JnDrEQL7/Hh4fj7vAH anN2Jeq9ulQpwdQYESA98hw6vdvNyeJw/EeaR5ujR8IBLO2o1Q3rCN6fr53zIOx8isxeBs6zQvY4 0//+NAQRC7bhEiEdUlSAf0YNiwxbfC1LNCCVo2OW9hjS2NFTpCuXL9NLTByWnwVh+TG3zMHfzCO6 NyiifwDYiLRAjNBXqxG7xeRwRqo7szYRELP6f+mWOG17Ii9zlqioPsRP/boiORV4eiWa6yrL5hs6 Gnv96F4ExjcPQ9gLXS03sAyWVXrKhUkrw35Kih4I/4K0nMuXctDMmurIV2E2GmbYN0rPD3etPIz4 6Gcd1n8/DctDeWG1tRRjoB3MfJr6EkKdQSxR54Jiksa2M9M4jfianyxV9p3QApKc/nDrzpUcUMhg bE9tW9DCNLrPFdJmphPCcNA88x0NAwQgcnEMZAtJyqFy3j3oc3J8xM9LlyUlzQR/Kpj8ncHk3z4p s5TditbQFZqZND0SlKzZcUyphGe9fVBmvwqMrS4OklJ6XDbfM4yDptd4awmTKzBsWR155HImIejU P6cP5fQg8HrHEPJS3fu58FVr4bqV0K7YESE+awPaQJZ/vE3GSi8e9nilGjTZvVX5Tei94dAFLmfz clwfl0pP5GOG8EZHoRIL8MhSkYxvXE69PK03QpNMTf51Bb83UhqGpo8bBCZoPX6g9AHMPn2l/0bu ng1hytE2sTXQys5ocB/NsYOyAKbGSDCbEIe3jaCYsHT5aKx0jKfaqdrIRfVgVH4sF/v23OEsR5ZX hpUCTe4EJ4+Kxyz/AB2ZcOdLX0n/EMJO6z9AEM01xXCLttf4N8uFRZFelq6J736KpzEhcG0Xoepe PHAOb7F74Vr3R7+44jotZdg9QIDaQIYGmRYHCYfAJTPjQIss4CzY8tZ4KuhXwD4exmqmUHEYnIb1 YzQIUyWkkdr+OsLc7AwfEEBUCN3W2GLnKCsLRjPOLxBU8W1fAwMnkIyESxRjvSy4NmEmptDihu+M WoWeSvoGELHu6jiTYHTkDhRD7fEO10O+csboY5vPtJVQTR5x4kQ0/PUGh09F4CdzZs8Q4QijxzWt knTnrpo1z32IbXldpR3L7mOvoFuvwbwwyvd+aDJXkwHLArYelLD8ar2nzby1eEsf+UZ5bHFeZsE3 HsSh7H5pACC3jrhcdgcW1Nkqj7PV+KI7qso2mjzgXvhbXfnrGshRqxxP28R5GHiCZ59KNw+Hjx2H 6vVb8y99vHUHOa4sG2XzLlXGSUX36HZ0oJ2Ot2LlXtJItcZptquvsQu25B3hIt4FwOmh9eXnUrpL UmPARxyrr8ZJmaiJNrBHbTKrK5kY0vJoJjhLFVZfLUg6+nMW6taLhojrPOvGEX+4mwhyBm/wvoC4 0XCKKymet2mHxCLqqbOqAYG2fuAM0RfXDHYTWakEGaYQsqvJs21e/GkAZ+4Sn1tAl8XW+3imADff tIGjoI14OGLs30dd8F3TIXvRtlJ9I4dbI17BWMvsnFbEIMMigHy15+wwdTuQrLcznXfxtT9Pms+l NPVyj+3vBHFOoU1EmM1UGFjOyXkxY8AiVSoK+SZIPEVUtaeJGfXrJieg3cU1EWvjwed4g53mdOHK EdnpJxpmN/cnaBQ63NTzHw3AnmzjGlOg3DyladxWw18n7jp2CK1+uS/JzEsFBllFurrRvtoNdPqF tTCnU3/ODZbaIZvKkY8uUgxox62KHLmxvqsCApfTFHR40mb0vgmcVuLrZXmQ8a18Dzwp7xqRkaBw vIFn8TRwT3lVdqsmfSMJsSVHToyVC5BALZWIimHWQJjTJAQ8mDFCtKxLi+O/9Bi6fPfuY8jKsD9L SFMjJqAKpVjz7UbvIrEYCEPj6Bpe5QFZkMtvYCKzadIuj7dDCTBJfXsSeCNLExKizXDW7SRpmJ+C Orw3uN/DNR8Ey+C16G666CF56voBNTsiFjecA4llKEne/XOIv2f+wGcODooF7JPF1izoK/cnv4Cw Tf1m1ym/ufd8uxIwJav490/Aglj9trxruZg7ILe4W2nA9UtoCSktaU6YeGl9y4W+vJ7UD9nmu1bI /kE+k+UUBbYuB+9fX3BdruTO0Kww9MaQ+CT7uz5xvdjanabuyBkov+eKrS4l+q4rxaOnlggjyo3V pI5u6eTNNdHpAXpx5q7mAjNiuCd5qak+RuCuUAWaDiVZjA7fMVJxzOu7ogZJOBqm0dcd88FnUc2L S00myNw2eYSEnd1V+CowyqjePZn1GggMi/TECjs0fthRcJ5ZH21xPacDNN9vziD9C2RrAtXwkm9+ g1lnEkl37ubRek+eBIFfabgVim0/qVyoCw41AP6hEQwRx1t1BTvWvo3aLY+cMFOcIKz/r0TGrnGU FYL5IwS5pffpEl/TD7Nr8n4IaNf703D8DS22Sphnokj9MU7KPr3pWgsLxNEg1XLbiN7vwFS1uCZx 8rxWfmcpCZwDDRCQ/CADy6b+i7mtTFwOpC9qxgr0GNvCv6eQoLqSyHvp9TKRAegFvafBeXgf0+YI wlyxc8Z2AQv8j12N708+wgmglOFb+fbL+vsD/UAz0xmgb0UAmJNv4zBdZLExMHMsjfsISmakp4zW PhvMGt6fwlcj+Ql5/+EsQS2efiBEYV8aNNO/kmelj14El8d24IHPrDfm/hiTplS2GoyfC86VtgeH zk/kHb1DAevEBL5FyNd9G33VMFmq7qksCPCcyTFhBenaoOAdmdLBWRwXlkKt5NhzyoSlysyyZedS gX5Oel2XhykLYaHcYCWBOdP0fFMiNnlv1d9J+Vh5W58x79CAkjdHk8+xnVdDxEPsp6emhv78LUBG c059XQ3b1hJkZGGiIYPc+Xi4vJQJYau/w4yFap2HaSBQpMuv2JaAy98IcRBdgE2IMxnSnnGud98E XtoqwattCb8UOLrGGl/7qgzN9z+jZLTSsjlhpNf6xB9dPw9wMwwElnXaDAz/vbQxQ8f+ITAIhCLu kbRFSSPYz/c6suEVceo9p4kOm16PsdHSLthr9eOrU/aVl5jIs1toKdetC+8SwGNhuaOcLAZMEbnu b6nCa3V5VBVhfJTBmCkNA23JGPHiB0SgAFczruToNEGZdF58dDfDqkfLtz+Nf8e1BX7TNXPIw2N0 nMjyK641J/lGOkb9wbrQbIoFFmya+N0tK5cgzOHbHUT5o8LAWqzLDxf32UR/wKQBrJHIjtfQAfGa tZzv5xubbkyIYKCdJNqeKBFTrnh+PM4Gd8Sg130ns2gY3565M+J/sr8b7GEdy0IcJZA5GbJVFUSR T3X15dNr2xar68i6M4d5IP1oNP2aZKjwJiB2KqSw0R3QfgB9A1c3d5PukS3Qrbb1T+zDLVlNRY2C nd7ixvd5P4Ooxth/7P2roJaBS0KQfewjXmKL/lQaTzGS6nRejSBLakx42D5LO+wj64wbL3bee95u P1v+FldijsYhGDF5Kw9wncPdbnLYdMxYBKVrluAAfBH0Jv0k2IomSoL4Y2mqrIKWPdqHsCpm44MM WdGgEYwqgpeE1yfs41TX4ki+rMFx0XlhwcaltcScfFLiEpikd913IhvVlo70qTClXYt1LriZsFsW PZslypplhFkbT2qHi1CD9Jm9507r44i36a4F+/c1yPnqShkl5uTfr7s4OSDxn34FfZh3S42M4hnw XtAPGUk/e/JO9QQXTq06tD31RPlI5W7o2rKynCgJQm1rct91Oy4ZSEtzUm0/jianrbiFzN5rxzDk ZqePTwx2+/z29FkxnTSHPIIlKhGcjeTayeRdq4EX/e2+9aWowoKrJiBm8ONua0yMzx+lJPgpOd26 QgKdWMaeC5dbMGiHAkyfVaKJ8x4iGjSIUVdqhL64WSMEM1hGSx786vOqgv97ymbkawpX82JuVqiX WMRXdDoAhJo6u/atacK5kUYeNG9IT9JQ9Vvee2NEGI+iRDgdXpBZmTrEgfi/BHgBX4nrkm0Ml6H7 rSKXiXPVKyIWYCy8LoOYyrRkAeb9PxLZvooUoyGo5wpDL/NpocIFsEiOM8re3VROcM0VvXjfEtA+ QbJUF8QWdDW+rl8dwjJifuIEE3MdTRHDvDh8HgxZbBy+F1L2yJY/FvbmWwwZi4er1jtZTb3QSZTI VtHuDTBIuarAYHHZitYLSeBfDrPIcnCLOhL5YuYqOAyDCcBnvaRTAxvpS2YuCYUvLH00MDn3L+kl K75Q2b4MzJktNARquy6qQelN9sidv6mO57mrG4B/re44egFjWh8210gpeXXn2YHFPP+O2Gi2Gy/p MziwII4tdXyRQz3wo8zk1EoV2JYiSiHUrrGIZhsH4maUkhwXDc51bwPSt3MTzTVvrINYhIT4YORy BokAjNIMtxpBg2cjC59Y0JcRFMWH+YaseoZBZwS3/zRIbGZ0bB7wwppoYQrDSFsF6oXKjWN52JQd 2h6lZ+aGkiwta/dxpbI+jMXB1DFjx46DeVsK6PoqfPxXAqnTPyLVFPRnE1Dso7YFpZFawEoFt1+D IZU5vQh3utVs2jaeHoS2rn8aK5BZTUbccQJwhACDCPwmapl0pzoUwIseR2Ob9zC3DxNPAy7hhn8a 4K3JAuLCULQgp5Pg7D6iAm2qAyQCH370sHiz+Q7dduzT8AK7bmQRHK0oHULr6hI8kzB+z8Do4Cji Pv+rfm8WqCT5bRyX2Sg/F508z1WHNSUPIgJ1a3Uk7rScsDQgMUrk2lubTmhcqUR8olJ8egACW6SZ kOOSMABM5jiX75PyfeN6j0UnjLcvt73BBLQJhPr8I6AJ0mw9u8cNQ4E27NPwwMq0EAenyFjPwjSk VfD8oXSEwWKRxU7Ap5vfU2ZH/jHlaxKTv8eWoOOI6iwd0Q5Xl1g9K2V7xfecDW8ttmCm49Eeg3Wf qprZ6nS7Lpqc58I/DJokjNvjTJMJ6QFvuWhA5gl+6Ho66sn7xfYaI/Nndhb86PUfkbLanXsho1Zk ZZsSlUBXQqmXgqeUJ7GKyGpXudUTM9al/TLtwTkfEFEfK1bIGpLsQpIf7/7M0cftUcpWDJ1VwF1r cvFy/OC1Y4F8jM9b09kyLwBJ7RnrT7Qd2WsCczXESI713jeGEwvwYEdJHfb/AHEboIRJy6ukg4SJ rdcPavg0/BR0gJ35mW/Vv6x2kCVvA4/H/LiOskXbEAXdfO9YaLi3zFm+LoK6rWsjC+KiERNlDHVg Y0kCADFYI1e5ex5ajYhd5ioGMW0sZ67z6RQfQ0Cb2TM9sRisBs5otD0HfT/EeIfcds6NeJ/cCsoK 5l27pm22A24FcsMu1l+QBgtZnIgG1Nvge00lJ868/dJeGW4U896W1Mxqjfdp9x2zKf9LElUG8x7w E0fSINmo4gHBRCHh2iTmTBCBBA5exdTuNMbTqcjlSAz8sMWACK3k/dl+XPpE8fAgjtV8vW/T825W Sr2fYQWGaaIj6SpQebcpvl0umtPZFlLlOoEaWeSWK6TBz3+7+kq/a1GhN60VRqUB3khynqax02aE 1DMdTZ9MfnOj/mALGlZI25xm4dI89455/epESr7GkIU0tqNSh9KYLdDIparHFsoJJW0h4s7OySqq DFOXIKkJRZ292x80TW9Oy9K5a/pdudSXI/qAQNu29tn0QmOv4P4rxaJA2kfu5X3ysOrBZ0gdQsbf Ss6Ktzy40iCsPGiAXZUAeWBUZao/bipt9iLTISa6h3WZMPlxv15S+lbmkdPBihkKG/rI92qKTdNQ wtdv5U1QUm+DZXXHNj55imsrdcse7GDXcn0EBMrH1eT0XlahGmXnu5g16IyxVL8+uB3ytcsDfRKz vjYvzvmwBNtO9rV1UxBhbKLB3XnNDF82iWrTgbq24x4gLWGtRRoPVEhlV0S0f5UMnh/Ma4zVpRq3 gE2BodZmqZwIWZpb6muenN4Osle3a6LQIQHPi7bV2OFcgf4/PF97sAgNyozoAZr8nYTdUXXYHEpX bjb/pq+zXPS8T5RQ6ScJpiTOOUUfIWr3ZSOAEh7qNnpaEbzP7ZPQ2mWvMWnCPOJ4zYSZd6TwTkbW cTrj20kz8T37WuMamb7XZJrCjVSuAomliGDxnsmnXLJoVhcRu9B4eppscPnzVLLV6SJ7uIdzWjyD Sf6ZkpjKQbwGyx5Buhm3HoxpySuyUif1K8gtDst/yYary9Sy3J0rhzP5SRSzWeUl7UFXSu+Bop+i xeswosFZWQOYFUlo/8/iCwYtMv4u4iP4AQvwOg71EDak9tcVrBasqcitjSnFyrYaRpMRuE4ED9jC ZboCHE89nE2d9UJ1HlBgWayjIqBxnUKQ3RSwTZ23ay/owD0GvV2agteCAw1E37JvYA32H2NZyNaB HGbEH4Ii91SNznRVNbAptqT9lRcv2m9kiBv4unA5z5oMYKJbhAcyY2CAR16T8NlwP60PA415nwdI mu5LNxrxjguNA+WwykUW+jqhkWu90RGt1xEoUkcyQM8ZBaM+B6Kl9hmA5wPxT5FIyJVvDThlZu6b Ehn+JjTeIYWNsuYoYKE4D3RVtxsabGcUR3J/4Cofomto3NmkKzSTRAyUpTNlxaxsp9FM8pjUPmqE pW4rEysivOe2qQJQDloZoLTv2qVGccXqiKd4VR4BERfpWuDGuqnNRK2gI9Vx8e5B+TmEA7map+O+ UokNGGub3XFGn0Cg8Joe75RZDn5ofLJksFIC+wHcn2BSmx/kvCkxhnL3YUqyO13TKYwZMggiMK2M JhCHfoW3mm3yp+KDvwbbJ+oArzHNv4G05YkbhSkMKocu00Uo1TH+CuwR6oMQAupkyl5f8yeXXkql MJYbOAs2U2P3PCMF/Fih6E8X/v2OagIyAqSQOZOLVMW1P/MvvSowXV+pyvQ1gzRKxjXfIcaf0ZDJ Qj51N2eLwhp9amSdCkM/HTzuWkb44ZbJendTv63Y+UL0wL1Gkh8JVr82VKcNsz5wgdX0HUEVJFWx 3XSNZVJNjrBx8MkqI8CJB1eSpJxp0ENkmRDFW+a2tx8HPP1PEGX/504z/03OT+P7FGXlKIVzq5HS Fmv1DywWTeKl1wtvJY9P7qoS+DYgm1QhWuQNs5o8lOQy4VyT9Y/zuNQIf8yF95fLUKbgt0EyxOEo Ib6MWiQhZ2NGYOMwvu5qwROq7zZB6qs790IwOy14NVhEmHoYX7Ts45nY0OAz3IKO6Ry1XtLQsHmH WmBJ35H1bAouprEx+VoN2EIIY8UT6JIe18rNDAZBl48XExobbUDNPGa9w++ed11BkfnHxnXF1+2z B5b4Pdwqgj+M1gtvt1DCA0ssEeGdSWzCIpWnewgbH7L/hmQPN3w8PB6EwtrENBXV87syalf4dmY8 pqvxi7A9z2KSFqEUEWbAkmXRHeLSOpeA2QCxpnaBCNr4r1WBIRqckb+i0dSqkNuhxJOcVlaKkgVF ufVyqfZdwm39SP7SfuJjrc3vXPlO7mmvc+iB2lCVYYH65gPZfe9EwNJyLexlV+J+iL9G+xDvr2hR N1nxLLM44xLm1puNMlforZsKVsi4sAjVS7yNVuf7GSCNEvSI+b92mbhlgYjtEmGbe6ulUixAtecW K6Mmqh5aQdXm0Ktr9NfQMNizEiUXLzsxK2d6WZxbn1czJtsK4rmxSU0j8OCg42+LhiDtx6oOknGB x4k99ENKeNMeFQCCyar/kia+YGpSdndTihv6au5HB8gQvYjoLy/0zshTK7Izx5FvOl9+OZOUUey8 o1ns0m/CCu/8enbYkWLe4aQXvH8JGOJattj4KndUcljZpnjqeE7ceGZBLgOhoK+Kwu8nYEuwD8d5 zV0Ijw0PIv7AkZyw8ldSXNcY+WHcSoFAYqBE4W90F39QhzF8FAVhfdmN677CUiIxW4uKSgFPe5VF 5C9Q4dpfz1ebwjLEKbvGc97pkV1LUhWqiycuNF6mMexLWVuNVlPes/qq/CdPwokCeYrMxzAZzFhu o1xOPIodyyBGL1LITVwrjJR21O8rXrSJuml0EXS8a1fC8kXqxPmfjGI0onV53pi2aKt+7qz1GWrV 9FH/0F19tb8V+96A/6JsgtVnvVJDb5geQ1wa+kjm3dVy2ppxX3WP5V79BDz/XaeNgYeYODL1Gxn+ lLFOht93W6Br+ltm8hCzQtpl+9W8YXSJwaCVCt9UxGFD/ybeaJ04T+xu3Nl1w4JiukuURzDMgsTd oQ4023R97qMb2PxGrZpUrg5BEUG1T2F0a5vxwCqg2ydbuz/BvimDzhbJXgF5KFsSSb9ue8tPJW38 2BJB6hctWuf3nSVuHQDqAeT6wo2dqmlwd0JvBNFxJzfhGVwNA4E93/87vlbdLLOJ/xpUYbvtx5kc rZfJ7CBcHgSK1OROxTJk8AmGuSJjdphwTHaZrLnxtCwGTCJZzm1VVzq01Zv6qKVeWkqWUpArWndt nvqs41JqhYPXOMqr8v3uO7fpzDydpN+7p67B49AKL5x3hP8iKc/qF5+eiccW1R7SbGkRPfj5Khdj 2JgtyKEM3S0kj+Ys7dhlRBlXPsec4sj2LKIOp5bb1jUIQ8tAdoOP8Ae8P0P7/tnH29GFd/LTJ07l ah3funO698BKkSlRKX1lP/uVm5Da7w+RltJOtf5X9Ox7QV2C+6bAbrADO8WVmTOdMvGBuOigYsAr gi4y2JmyQc2deOjMvq31B8QygykIuo6C40Uh7A15IFJU3DWaxj6VE/hUUeb7MH2WGLEurqMKfIt0 9VhoCWM1sUjzCgnb+n1mkyuOz6GkgbrnJPCSEnxKUp/JklOzSttACTssNXZWY4M6xf5W65h2RiEO d07uLMLg5SnCF7oStrVlJnqLWMqY+EQUIUyK5UsI7f+JZqX3J/i9BK16ojZW2eYDoI+LmeEL5N9w aCpwpdM5HXcNfK6KVrHVwyR5JFaFpi1TlYNcuH51IgLZW0ul/Zzvv7ZHuK2Yq/oj3DHVBcQNNvpP nw1V/VRjv2pByZrTRQnvnez0FJK91AtyESVXbO/4xdWp1+bI9h8k7aSeapbqHP7WJJE15vGYchn6 x0LsoAzTXG44B+ghsgcli5eTG7WvXFrxwGOdhkAZP8dQEb1MD/poJUfcUWiNINV1CXqqVfsWMZEU L8g+r/7ntsKOS1d5T7yMD2oMoEOj+mYOpuGPsIxZUi5fbsiob32PUlv3Xk6WQCuKxVEwIlCPnTdK Et9sQkFDVQEQoT5xViMKx0ntGJo0ZPOJnujVbqqpMt9eSCFmv3wBxzt/inpR6LZKrhQkRFCJNjlq 8Ntc+X3cnEg0NFFjBzkE6tPr8GXLj6NUYcmMW2Nr4fruAvwRq1Bhu8jIWI4smjgoibrxQFUlnA6+ VgIIzRTUAR35TDADRg4FpCNEb72zP9iQ8OM3yIAGncUNAUR5CxzAqiKytDLJ5PFdD3237elk48aJ nBFIbe5vBfxzrG+vjCOWZ8GpBWCA6tQMVAHEtUxYw2D6hQVAi1BpBLw9GPfS/Cq3Dta5Bat1P6xJ uwalZPd0lmaQMPUayYvr9RJ/zxfLvS/Pvvft6CgByKXqwwwD7V+yzSQlGh/OLNjoh/znJlWmVK3t +Na0gkbZfr87v4CSoH3cGmd4Z3UWEZaVHSu0Yh/LiSBZ/hHY4RUM5XpGrKz64fUXEqoT+G1Ul5LV eQhLLpQ1wpiWPnI3k+qC0hYkL56lwlhTalHKf3DmHlqdiQl2uKH6pS3EMHekEAnfOMIEhuX9470K zwMfXJBRMS60/JZakbl4Z6sYkSjLGnoFuDQsyD0Mzro/cf7lnEdBIw6I7/VHVkvL8ZLsC6iRm1j9 dObu16F1STWOPH9+tFF9j/fOYBSbpnQwWPWrKKOBqUnHHbv/Gg9mfOMv0MSVxAJVmdINPiTD8djN 6s0fPt5V2cCF+NOFPxsOaSghZDKbKdDVGobj2el9kHKhVYBYXtG+PjYH/HzWrwaFMdBC4I9Ppjg4 4m9NFu1VMWapFUC1q+cazKfEoFka+AjxQVLyiR87pkMWfwmGvDd/zg0qJU8iUnnhsJezzlI9PAB9 1H+fIIWOzfkb0pzIjFfjpEiooDCXvy0hCpILgPPFBxxNbnNKf5fAkFywQWbkKF3r6C18+4W9oKTN 0ynUg9vfsIsxmxknU23c37jt6ZSQgXAPRnBJV7TixXrZiWOLqc7eBNVrW4E+4Cs20zGa+ILqlg0B krhNWWZaLd1SW4UW+H3lmuafvZHXhlkkjC8sxGnGp7AVYX/xh+bwLgvAcfK+5u/SGhqO+6x1AYqU UQFJW+vnRfVU0NXrmB1CbTPxx6x36wZxaKW7lU4dz2f8HXVJabexT00E58RGSvohNJ1aRC/EyFto 7bFsjoBnzo68mIdRf+6wWjSH187ZmspoXx0ceVJ1E7e9UPWiHSRa3K/RQhTbfmev6Njc30QGWPDW O3+HDzd717marVtNl6bp0UgiR+CupFWzYB7DK30AgKVNDNpwErBRrrG1pdIio3joKC5lFDHXdc9q AdrY2q2x5b0MTBoFtyForckfCnuYgAafEZgBXJ7Mki7xaQryc16dYZ+6Vjd63EznieYQ2y4E8ZKw ZUsMoVusXNA0lI6xTx4nLqSbi3XR9E9JtOAiv23bnwkJM3qtr1/THMALwRWwQrVR8h0QLvsUyIhc wyrPzpaccprtS2E9yXSdOPEDBX+DuCvTM3hRDYah0sCfTEz9shB250MMKJFAybmCd/aM2LzL5Qde i+BQxsGa+QRJNhaCGuvFStxIpYOpEZUabRc2kvOUz2fp7369h/UtexUkCsSgu3A5GNtnMVqIE9bO T0p4LSaLciR9jIS8SciHOqEGm2P2l+9vW4TMSDY8YgaWB1zC2kU13rqzDejONULOQqTN0hnVcU5Y 90bQkbr6/6rbOz/QdmP/wF/tZiKYZk5OHau3j4D3yoyekqEZXds7D85DrqdMzRR5KDiJUI9CUE9D xPsw4oqufGuoSTQqoFXKBLHXU4aqY+nCzfPk7rowFWHZIU0WY1sk1dJjxiag4ig9uBRyrkQOe048 qJqmhZeSGI/SCRdsQtEm5TjsKEsuOUt2HaMoEMzNZJr3NIwgIjHa1JlvrpVFVIJc2WPBTDF+PEs4 J6iY+I3neHY5b8rJVla0kUeDXNmMfRj6dRvgi5E8VGl6iWteavKn7CzwpULXnqfj3svnK5pB5JWm dzCnllNo7nAZ3XsaWTQ7EPEQ5KQ55Wg5jmfKjz6P0mK7mSHWikTbneQUNsBY59ddg3Huy9mEyynH qDp3RpyHKJSVU4W0x40rn+jJYBYa47IjMxDPdwgMTCk0NRjJxE4hEN1l/7EGwXIjHN2MICoRiHRy DHlgaHIr5gqfMrNhY+vhB09dTmvPCOTMquIUgjym90UySdqeilJn4w0Nxha9lppeQYDPnSWEwMni +8yJKXNtZDdeVytsNJD0z9JAQxLtjYrIN49DK/19jUtYAXnmv6hedph5SYUGydxpmTrkSdAdg6pe SXlsjwX0arTNMey5zHlXIm5Z6oZCrfKDVmSznt9cWcbBsUxLXE/FpZreJuwds5VmQoJfd36d14eG Fqzmag0j2iJ0dO73BU+xJ/n68qFKj0yV/NB6RBhCnx9mVs+PT5+UE4sf9BFwKCt0lGU51yATSV7n E1u5CEwjiF29Rg2zVUJgjk9BVaCTNTp0sJxM0TBoaQQV/lBrlecCfkRL+lf0nOr+Xma2SPGZdBTJ jddvu2E4Ss4+ge0ICqwVidLotuyZwSv2XRgLNZB2qe5L2sHtiWrYgN9Uzp9nnugOE50MEWmsryw9 QKN3yHpsPZjatQY0wO1EG1pUkSi17LI1XsmNfuDXHilxHNsmdxYui/uBOQg/YDZ/ii/KrrMeuMV5 J2kqi4WMonDPBQbXQ+U2nFegVtzNuLWiuhVPv/tYOl3RQm/BadM+rTYHVG5jhl4wCAlBX0Aqbytv ZSz6whWdMHbXdbNnw1AHEEs/S9HEiw2eZSCnfGxHxT0Yvj+7G25MCq4YRbKT2lpLlWY/ve7IcRE5 mIHU4pKQ6SQ4e6rMj1E4BfJyCiDLuKM0V1qKcXux2Ns5lftnVQ24Lv98ngD+6ANltlWhlPuaujTQ H5H9G7fk6ka/JHDAtBs3FqpFLjIbUglgYUEtzKKoHXmahu69XzqDJMVcY/vgdmlPSFOJkUwrB5cs qDfAmFK2AeD7u3DTuNJRudGx15TF8GZBhnMdH747+LGb792mPw7jHUOOZlJTmv/nBc2XRqX6tmK5 jR0mPwKKxR59uXmW0lhF3xcQ37U+cpppGHNogLeOKCjjw5B86UZqU0VYz1+EgIUzmR2Si+iWO6cV 7SxmYtbJdralzDxplEhNNfvY6i7opcL3VNQpGI5BWeHvXEZi3B2Y5EzmJj7+HKHipGyYJsKBBIyN Gq8IXHmR/THuWPZgSPbNg0j6eg8vXYoYcWXmBuVIYDcG4M0qAir5Y6cVWYnAJ90cN9DctxwVCWA9 JalHOgJHvxyL8sVRl1MFPkcloKuR+YbGCjnYxjGRWUS6TOls+fqi69XarIvalLF4TmRWlu6i8ViJ SBwiBUTkO2TpKs3/G/lMcq9s+dwnLPUkGJ70oEblSGtJMY4og0SIuqTAFPcYudYFFdBQNBXJI4dL 90mZHzYWspm7iV3lIOsvtYfUGjxngl20L0JvHVsbWt2WxNo8vp1yc26SXeJSawjyTXgFJLdHMs8J KM15KLNCP1ztWAhgC7zRU1tVQoek749EB3jdijZg8mxb9hHqt3frd618wjoQXRYAo3XJ2yJV7feQ r61rz2q65rjSxYDxeP6OKsFlq/YdtpsroUFlFfV5kg2RRkJO9pM55CmCp9IDLQFjOSjTzUJ1Zacg 5t598XDGHQJlOZZ0iStCXontp7epql91l1WHeFKoYDxrJx6N1TEKYXyVKWrzzwMcCSevT2V+FqQl 9ZoMrRyHbCR02qyuFdnUZBKl98EQvvFkhPNOjpkSWD34c0IzbPI6muw/UTiM+hUCaeZVWqPbvTUS NhSSH2AK4w9WQJEKgNcDF8HW4JvhuEd8KWh7c96+prKAENOS4OQ85yoidYFRyciP9WrJnGEW0DRK AwyELI/9lwHjD0dJ1/E0HOBcI09Hj+o9xxEQhkWdmDPrgcS9RHfxS5ezwCh15f6EpDUxpjNySO73 sGpoTgVMlV4qUAsrix4mUjJgZp6dRkMtNQ2FzKyG1z88M29sRW1M/vQJEb4vDmnUBT0RpHStoCQG GQxaoHpN7o/eArsuK3QrkZ9Y58I687qw3iQ2X35v2kGiUefxpeyJ3l245etBF95F09qq0ETb/mRU CQuY3hqqKeKgjLSAUgM4Ae99GFWnq00yYCksWCfd+yzCPLC2bILYlU1LGPGITcZB11vHaenPcB8q fcumHMflP1fXxNhaHhcM7U1+qqXkGUWvUwwT7c68daZJMeDL3vVTLHevh44mGqvgcbMyAeHmYo4j buw3gOKYrcSCbwkmC37h/zwM+oEzCh3L99zxvkURHOpw+wKvZ7exZAs7m1p29FRre7lXlp3yrXW8 taMa0hAM7PW67PPobS+qMwV85rdDxcXHzpb+GwocsmR1xc9xzPjfrYi4tfFSzjqFay/3HsiQkmfY 715PL0dUJY/+SIwQ6VDoVb6uwnyj6eghvUDo1JLSTf0y7SJ1Qp8WBSNReimHJGXyX7rGKtcxT39+ FBoKjr+4pGWPkn2TKLDQdN9J9M0hyB9fOp7/ZSpBOAsrV3CVjzoTdV60rdMWaGqPP1+FF+kOR/sd cUBZwZwH1t/ErvMlVNbLnk/3eA31IyEmDabCOflkRvV1qDnkUoQi3quDbJDQ+vovdoSpe65F0ZGR 1IUmSaEn/nNgyauqpn7qbaa4v6vp3DUPyLfzKMvoehZbYFlya79a6WvFMK8ZFB4od0rwV5/U7XeU +ZpWJ+qxgFR3AbLJQgNFag8gj4Btv7PHNrm2bjqlQkc51Cg0HUv5C+Ci4aM7co8yl7AUiE2WTGd9 X/eG+LBRXsJEDPqKr32x78EjwoMgEFEZxC+912WBl0qt3fLZzfMa+K7iXZiRc7kNFCIuNxRLg+pI JHplYXy94eJQdmReFpp93jDDINxh6VswP68RelwNdVedW8t9TG34cdReM4jjmjFC3d8bOSqMax0H KxHqMpj7xBlBeti62JQyTn7xopQlfa+kVAUIb0i4auqK0kSv2YDmtSB4N6sICucx5Qkgo59yRZK3 K2nizp6K0rzXy2wgmWhvZDTF/70yAbK8ADH49Tj6qgJjPyZqvco1+rtSiv/67GKuVwAJlwnKAGK3 ZWSGShKvp6dwLqwFL0MGdIAgxkj5gWCrWXYlfhm1E5ZmgXXeDnZtf5GYfvifBxMPUlYvHs4cbp1v gFRt5dbHkwFeNFD7d9dJTB6I6HhojzYYIKouZAev1ApJx+xHnpZNjLdFa7D6U2WIZf9qOy2BkHWp TsUoI++0hSKASx2xDfGOZuREVqPNaWkZTawu8LEI/0doApqZrOpGVMII8HthmeYGSIkVkAXxnqvU 16ZvENXYk5SXDiYckYXbFkkFFwv9bx/lFToXYMRRzCoaYKMSH60h+yDGbCfO04SBLuo2MLLHVhjd 2/5LCJOPSlfsjrwmzvudyCfo2E/mnezSYcFtAvYK1vT5aJ+tqD/0KrFgPn2fU4QyM4kAvsW21mM9 FhRw8E1mhHMsqH3HZQjRMMQpJZGoOlq0QYBTGNC4OGO3vy946KJRWpouvnz5b8F2IeESIuF9Cqun i0jcoUKePXuY7UdYH0GtV2o3h11RdqhsFWpDTqgJI3Foz/rz1DEYWMKlQSfVix9mqb5uDnXr29tk gcNnpciHbj+m9qBhMwQIJSEokC4eXA01O5rpifLjdvMnGmFHqiZ0QMxX15QvsWdy+xpKQtM3dbvS MflS395iq2eaxWs94fHw9XIk8Fts/LDcyHeYm2mLh4TOzVwteIh0HCWb3I8PgJRiSDFASkuMGeF2 MIzm8GKsWDFe0/sKlnLDRpT4gl4IfOm6scqcjFpoOa8sAjxb/TcjW7O8SxS9ETnAXNHfFa8Q87+z t+YdkLtnZsrkkCQfk2Oi0zdDxysRX6rHLmPFduUqhHbOW9zQqg7XcsvXPAXI0RRGpivMpPaTRrVZ N2MkHB4Ossly3ahHyNZvSkdGs/WohRv+dp8yrbGGYxSbSpI7xKLLERgCTFSe1uB9i0B4/bwOvi1Y ru5+1z19/hmVb3Pgfyu43qn/BVV/aWVbKGB2bj2NmndJThiR+ErXz7fSky2fc4pAAVgh0ad0Aewk h8JaHO1IsPzL7rwfEop1P9tAk/8y69dAU1xPqey6dyfBFMGpo97F0nMUufPgCqi+kv34ZZOvgz/l u0A4Q5XuTtatcWZhMs7JAWN2u5HtzlYsq7tWMRjWJYIs6OwTwkNOT5SEQMBJxfnX9qGR6JmhGVGq CyYJgRGE2Zt+h5FJ9VX+nDf/L7Zh8Q+zGO8bOgSyoa75/KIfqtGH+bLJTWGRE7+DpwpmnTWALji3 cZsNk99RtEE+W9cURC2ICNhEHyliw7wzr56gNk7p38YMtUryYSUUNRxroATMCBLcU6qmcKk1Loaq LmJKxkf7LvSLC8nENP3U106OL3tyUvx44OSX1rlb5xk6kfAHiWS3u65FpSGqwXxzhDGYC1jLUdCN TDv/t2/BcWCoE7iDpWxKlPBR/maDJFXG0ShnemipwrslH+eoGhjuiQjijr0ikTIIKtcQVASFp3X0 K8kIHH3lnx0hem3itKqHyITxEtcNp9xnbeKH3hT4HenYN18oeGu8vQgFo5Hyu0deu7ei3WQ7LhHw qrgT/6reLTdaaifAez/2+0ojxPWFufVhPgcercSn3kH2dxoQs1PAj4HH9zTzAG4YFBQRqWNJ2zhn LnmakhW3CmkiW0vJTDI+dh87vfkXqGAttk5t7QIHzLKcI/MVyI0Z2wO5ubs1XGd6JPshsbDzIIG9 aW2K845AMb9Lby8n7anktixu8vu25dLmKzzpTA7nazemIsGsGunC2N2CY9k99mNMzUkknAvnFMtz FnG53NvrdWL1mBhh19PUSlTe3bV5rQV83SEkjse9T9QlK6ydOj/C1dLVoXe74unatUTazZNGtK/+ cWvQnuO+w3TW3ZOeHBeeU2m/rEp9QEJRbxUSb6D3LPAvQZyyXDNexexe45WWNnACjTOJ0hDEgEU6 xse+SS7VVFqN+6eyF4WamYLXfNudw0W2omEn8LfYN7ZKDUwlsPZWCKjR5i+KxFa3HGosvIrPAv5l TtqfeOqYgy40TZ6ddEKhQuj9KMFZ9kXBHesMrGsnjvuXFp+M0NGXS8lDarRG0sYjdJW1bpj/UYv/ JvdFOmZITOUuIxUo1tiKFOwj1FHVVLqdLOdIxdzPG+v8h/LgIjabhv5gkwvoPufUW3eyIVeoeJfq 7dCk/OAyNblHuuyZWkDQg3xuERmxWnYFVIiHist/Deh2Oke8BnCwKBZSG8gdr8AaR3FXYudbg9vc 9E+yOjrteA4Nb5ZqPdV5piqV/bU5cvVFA/t6XICEkU5oglaL8X1GvtGRBBGm0kOyAy5gF7sslmNu 1pRgIRTXA41xhnwV9U8TiHEJHH+AJ4Q0UnBSfVE0LOVFdnHsy4pQa/zcMvfgwsJtdPGKxMcgiJgg Fhfa+eedMo9O21wns+4bFAU+AxOW51N5HASnhmvtcfTERMg5pwitBF+ij4tVaIRNUQyKCs9n+6K7 oZ7Hiqkd45oyv6yP90nsCyDJjhcHs4OznK7afGLdvBTrRlANMYUYqVt2ULv+g/lMIingqhGIJJfd 1bmaqJWIKnrLZ4wPIuZ3D/Nin59G/Yc+qUpheqnLVEzLNOAzoKrpIUDimQ/FdHVAol70LbhwHrtE W1qyPQwzO93oBerNhYrW4jSrVHHPzhCGN2xK7a10lomk66KRW/Dbj/7Rj9xF+xU3aR6u3RZ9vyex UaRfRonCFBpanPbDARrU63smH9eMrjtZbpTYVErF7BxD8IYAWnaLthvDsrpNVbCwKbCs4XyzdjFj IOeq+3ZfjuTjcKcvgjsW9JoWxQhhvp7EOx2o9CBQN3mQeU/MT6VNPwApUQc0yqud9vhU5qyhBBOA Dx571eRWhHWmeJRgqfB6pMaWKb0PNwFi8QfmLwYNItmpu1y6edFTikCc4RR+1Z7fSRAM0d9orymJ SFxpLXVpLP6aPrRgXSYHsjRjNIfwuWLNeQC7AQEJP2MJvaALpMa61cKiejqQNT2YnM5fRsW2+x82 /Hae354FdCzURFAuyX+w2hCN6z2S89pOONKFOlNdL0RyAUpKLxSvdn+iNPmHSMYV0F8Kh0JqTuXm xy7Bn7X6RQ9Y0qNuCpNwlcjPyfzNgtcYNT9Vhb1UAHx6mEx2u740zZienxsFQbwwZku370NPMOun DEXy5ILgTAyfr4B2P7hWi0kae12DoR59b7+mLrWfrjsIOeNY2QVPVu+7WpXoYdxGQlZLmQxwHFNU nY2BhoiH5B03tZKkiNU+aJvyddZA4wLQdHiNTkKveHEyWP0IyHz66kGPrzf+xEQKLg/OH+zeTkqB NQw7kZt96HzxZbpTyPJeellDcdjF6h+n9utjkDsrl668GeJAWLx10OmBL+5bDZm9ygHpf9aSHPYx B7Jq5k7Kvzexx2R8tEXg2DykvKr3A0yi7YBkZNtXNSIAbgSQ2Mltt7UEolmrLmaY2s6ld6ylHPqa xNRpM7laUI15kU9+oAQZw3U3xbIy+mfsslCNYOUwGo2jX0nAPpzSwBkbmAIGXvqDo2lwF4becwCb EOZhDbexYeiSy9Dc/b/bjBIU2uj2l3inUXjfGhzMYjR/ZmiWtWOOU8EkaF2Fo5TfS9TtVBCM1lJ1 3293l/8A1cM+IHqthKOwPGB5QHE5+sNkKgDuPH11kNRAjaBRHyubx5DawiHuQu8ehLCzmhu2/8Kn rK710/bQE0bVzMs2qNpi3/tn47yBGvAu95XAn4vziRAzB2aQCyujYOLlWbJFHJ8R566LpE+s9ijZ GBbTHESGFxXuJXcf6VyPmtSAuFDUlTxL1D35XtPB3MQH1B6EITcJGgjZ/wSPwVPbOsTLYiwtCAAH b/XpGW1EVR46Ui1xo0IC1W5AdDbf4vW4DwxNpfV0Bvh0xS39bnXDGfBnBTxOfUXSwGCOv5thA1lS g9eXh5/xQcWGnpUqgBJbBIRuKjaF5iDGYre85mVcBwSnD4ZNPU9H1BCDei7XZHHCSxbajEvhYs9/ Et65R4m+v9im5Ls8vfUIBllWRp4tUptIyUs8eTxQqjp+XnLxAus9X+F5XJaxipcNZUvPeNBX6xG0 e4OvEsphv9C31Ythx8Qyvf/c2chpxkSar7PUoIORt7HZECJAEXaDLJd1KU8wQgBPWQvTDdU6pvzS 9+MSlEjPB2eUmtqAaT0UW6KtPJByVDwmSOm3iKrMQ5FcdfInBldfJkuf76SEdJuM6m4bEYTT+pt+ xh1FdmwxqoEiBRm8b6qaRk7nrDBzukj9AL/UbbOQkNdmprCW9g2qrYL+vhGKfp75thtBi9HPqtsX nCfDNuv8611XIYVLqSgxY6sv0sacw4YqvvPD1IBBgQXszXKnTHW3xlE+PXn8KMGt4+AyAkvYYPKc eFNaD4JmA4/RYXw5tYccNe6xXjpzVn0s8z/gmiNzWhQUyZkXScYQv5lcLB6C+WVHwZaWX2b4bx15 HWmvtT71qyxjqdod1Iu7UCqoxZ9hiIVsOhbgMJ2jhuphIefeqD6YDO0FD1tvpPAU6jPX6NzFRAqU JS/1o8PXZQ+1daVbkjW5p92IlMlnOQanYBYdfrjJBeaPXgcNELskk7zWdbquI26PfiTxeWoA3t8M NnvpI3IhLu1KF0kya/7x1kssSKQI2Lt1Div2yifG0UiRJLa5XpD4g6iAaO5NnjoQHvve6FSY7WMM lcAUecFc2SudWlo6WVTTAIHBoxhJ4W40wqyPspGAySZkeIIgZ1qUAoFPosizXYTdY0Zd7WQqdwgb +5CkQwgg0hlATXtI5V5eBuOEy43gQfOUOmLd0uVFuSx0hTl1FQl6Y1dtfhZ/Oe/RXRuwjt+zFYUM xOsqD4hiQT+jE8fZwG0D02cFiijhegwTpUh3aHHQJVr5b/AaQaBOrI8AYmEezvCFa/yTL/2vANS0 /fXxvh8GcEqbUpeSmbzWA7s48YCno6+zU324cE396DpyBTXMloQg81RNEzC68POnoVTcajhFbGn3 cZb3HrizJ5jb/RaZbwGoMONUrNBlgwzBpNB9V013I6OQsqGeP6d4eSmpl4o2vBLKgvWATPwAyrh4 MuM1y7/d102UXKaP7XvKyuK9rOGRL4pCg8VcQXYvoSoWvWEG7S6o9PjOKCrKCI5mAESbFM/Hhkue G/vo1BcvDoN+r3znZbdfWNgC7uCBWqfd/dMCiUGx8R/rWJZfF49JoCdljciJpUmtcrq8KhB3S/H6 6zCz6GghUxImJDf6yjKVoV1ULkFYgo4SwJlkw6TT2qI7kY9HQr1wyqRfacF2CY+BJSLlFylOIfLn +ijwcIrUks+AzXTKlmGBDLY9AOzzWD4OXEqS2HiDOqxExTgQ+0o71zG0oq0ch2Tl9ezr/Nwp31c2 FdL5frBxfLoI3HPhCkSmeZMkJf9YO/oGBGkSffu43mJ3GPGcXdThWNrVVmYsgj9Dt0w5YkLz9n9R aDno1d7QupOrbQXwXE5XOhlzswfYGlhy0G0vcBtk1rDNpLS4M49HAGTdKB2+30Tn/iQiYzCKwvK2 OXf3D3iPCmaWxE2k/Kqq1fdHMYq/oJEHWQhPyP2CfwUKX6wE5E1QYHfr9PtzdjlI9dT9Wq8++Jjo aq+qS6Sf0vG8cC/LrM9ECug0zx5PwJKN6BPROpsr5NCemcAFYzo3C05MgC1q8RJwteFvqoVKcyrS axcFx7jGL6/nakcOuzn81vnTWnGkcdxMIKiadCl4YEsgSgN9dlGKuyLZ0Vq8m7Z2zNJhRH/u9JWs boGW2CkMxTw0ox2mFQNGNY3kViqNgWNbiCU8BVX5vT6Ds9R7XKHJvOZbQo9q/ja48WNcL6SBSyQS R2mWdUMWJm3cQkRaMj4rweuEEDPNPi1wTzm+tAEdC1RPkrdKuzU9nMUYvzTYk7mnumhWrctqN2f0 kW6l5rSGADuGD+zEJy96RnOVM4LpyYNQeYgFomdRLk63l2R5VbpJjJFVSz05hMgxn4Hz5n0JAOZS VrCP0G2j0v1hic7klKh2XWmrdoKAUjcC2FB2waPEoM/N1sA1taDPg28vSuVwZsJVQdbEpg+HpE39 RYoIIgn0NMh1Qo8N5ZmBDwjvzkmYdxlTJ7MRrC+5ZLFoupr4+8fTXEaIQzBeVrn1momDjSlNI7sL ooiaxuJuf5fla+SDi+9RooduveQcaydhWRCHsFYP+55YJKEnb4stsz+C62liK2UjhWnauw8eGDQl nJLHEukSkr98o4KJptasWec86tXeUhvLrIOvL1utYR1MBbqHN5ExNPIO4hvSbU0/m8m6cc3B5qXd n3INtv6uPlVr8BYfyRekdNGuaRJqp2ikbhUDMxsgWzedFY/a+Yld/2kC/AuI/9S/0fJCJ/c625V2 yS9VCxfd1sewGiGgZonPSrrsIrE0Qmdk8Fzd0QS3ZSCR1/5oJ0TP4jps8hzHF2OD+riUbQTIBLGl 9udJ3qPmFeMFiJRJRCjfr2AImJSBvln28kE9963bVVbDjJeu8c4/1CK+8fLIZgzi+xRS7fDaG+Ep eaFQxUMJ/ylnWt9R0Qi4qMUIBmE9nEMLxwLj6eGLfoVkU+fXIxeIYKzgL2M+nugyjegaEDUqPQI/ VkUoYaN8salOHOcU3JQKuF8i6VKEEDvLT4iunfghE5yM0z9ZAkHLitQMvpFHWJEY0kMhaSRqwCL6 s186pv8TH3zzaIyK3f0nAy3lwnDNjtnm5FLEIZTmrv6YU4flFP2BWBVkEE1I7IE+q2KCQTMeUtPq UafXPco1sNV1bk5J5r+wQcVGZS2lkV7k9/mOUB6fdWDHsoQGpilKjTZXjJhZzJwTrD7/WlJA9R1X DMtUQDw4y+zTVRe+dCBaHVZxhtRuw2WsK0z48gvotuHQbwHD9er5GlCHVtyK4VPDiNZ/vHhx1KJx bFIuDuYWFpT6UyX6M+kWpJWjloQ6KeenKV/1IX9TxyLCKxa/wPZ9ILJCcHxn40E9QfFic+ZUChnf v3yvrpEk2GG2tC64RRHFp7tIHTnEsmVPbIV6/bU6HP0F1cq2kBTkZ7iI2KklBE3JXVLQQY7b7s/o csOiefvw4wTWSS6ExxuhTrVrH6BUINYEskQ9VaOQ4KjCv8VjfcScNnW64DnLHNIbRTbo0yIbdORU sZVQ4xXB+eaHfbhVWjr+nJWniTj6g6PIoXSfRxoN0VhsfgyztD3JjUvUkZTTZoKYkX8kR4+Tf39Q bOh5HRUldMyizrBnfoLF7ktXotqjCaUlcwKKThAlsfSldg1xrBypECuyi3Daqk6k9uGoJrHqPH4e C/idcytoO9w+Hsoakb/V7IEF/VsWoshDjtwGnGk/G/Tg4Xw+TGSfhg+5hx4wXL8qYzeg+NuLz/+W RVh7JXureGpmYFcMqCaTzBlzeIDlPevoON362ZfE3Zps2arBAJ9DCab01Q59HyoDqIuKIwWqmMdG DHSRAdDGAOIMFccdGBPJFcVSz4S+IwZrZZ+7G+THMo+mlloBwBDJDAjtUs9P0BM5S+MSDrJfcSfU BdH8/SkEJOzABR+FDFlVLby4XhDmOmfmTGFpx9+FjKGt0yGiwTjy5y88P8LTnpLAa8xLoWB27jlG IbaKsqV6WpMraBF8S2ATNx7YUlW2lJcULZm107AVNeZ+JTa7U1gCkvBl0S7ao3mWx4Q+0EIcdHSq XIK2Qe3uq/IzVEyOHyiHC5a3OCN4vcT66T7Lw76aJ+wakjATx2mPnfnEZu20rhZf5tvCLAdxzCfG K7SNg0AvH0Jns3j5mcxc0IaXQkHowmcT86Sd+VSoGCokcyNc8+ne/To9EDLJ6l3LwjVtUpja74mj 66hTvl5IWCXhurQAOjzrn2yzCJ2w54dcSHxh4W4oxWi0gfqP9bJYetHvK0do8WNXMhIDqNYYQ2yU t3Tl8L/bc8UCfD7c0sFdgZD3uglvcnQQJahCXjuaNw4BZTdhpbiQI9guwpM1TQJTonw4r5i2U2gU 0zEUBOG5E1obgFbFOb+sS6RGhq3/cGVEPLALIOlEz3tjVwinG7Nsn7Y9EiDW22XpUcIXFdThUvCz +t9qEEHafcrRIUuTotaIAcVLmudP1pcVJ2ycYmDDVcG2Stwyf3NcoC5fl/oXR/xMzECstq3YahGM emTmFHQ2QwuZaHkop9RIFfvrn/ku1J57exkLANY9Qu+Ag2iiTNYZOLpcPbE78fvEQQjJYhJ6HZNU ROKENA1FcZwNmnSttVyw30WNCCeQsRvzoRipOaI0hFGS0qPy/ineIXHVnKd5GtX9WfzO9pflMT3W NFbBZmqxPynMmqiHHekV9cJ8Cne9V9GZmI2Aai6jeHzfo6nALTFvszV8cY+sdNLinfg/YZGVO+DK yMyhsYK46IvJnzsFOlJxnh0PHBAuLYGKVep+V86mV0FNN5gDmWZa2lN8QH577x4SmtFCgQl7drBb 4kSO46GCt4BPmBgQIw85K3vW189FasYDXzzmIBx4dzf/YrFOn4Z7noLHzUbYh7i1/DqHOgcs/KvX q4tOAJfxKVAehgXFgMZ8rTFizmhuuFU8AJJ6A6i+CjBmwGkEONvmmY+0ItwM/VBWUwUnfgDzIHw+ +QBG24ROPqMLMDb3HAorIfqKl49KzUTVhOaZqSVobcaV2wmOAxocmosJG6wqimRlRs+ZAcI7iQ+N ccmMj/4/Y75iulPi1OtqrKppDCim1oLxwZoRpZURP/6H+FyEyRR3piih5SewVIe252uK/MwP6GcB ahOJbuQtbgOH2hSpvSC8tJWpo0cVigDEqRleCXNpgCtA5/gbcr43MVZP1COUf5RP0FWLyhjTFrop bjjoLI0qI3sB6MvezLD038IfilwW9HjKp6lNrfTam+bLbvEHVOXvvn5fNe7TaPUFGQjrVELLmwGZ LzauSjbZ88aHKwSe1G95f6Jy7ZmY5nmFtCKY+e9WqxmHStyETNe0x61ZFnHC5XJ1z50bYjxZnYB2 98o5WxNvFtw7zwWm3yC0/MZHM1nPIVhSPgAD3QLJwoSeQP/YnVwd5hwN6q33I0ZptAQ2lSpGKAUe 4zJ/ff0sKiXuJBQ8tOdRDJQSUv/ljptnEf69ZRmzmfm07Akpc0qXxKtNr+blk2Q3umBL6ycIn+8o qY0yggAnes/TJtTgrmhUTDiE6n2p5tyf9Lhb1U+YdcLpfCaoOopLQ12e9PKlDwuFLZd4J+Wau4fN uY0DwfSicobsYuQmAZUEATDNVTaA4pkcMlkNawaEFWfJVjO2Mrej8lrZFm9bI1lmLQvohr7C+AjF U+16JdJuf6YnnkDjm5kQwZ2PhSRdm+N8V22NXHSjouYB6kWuHfQm27i4g95cUE17D35VxhgkZYaM NUGQQNS0JzV1Q+cRD9kPwuyVoJxiFuXry9NeCMkXifqk1ckjMSGjbeQ2ObHy/0kF5M6TMVLyIc4A hGp/MnyYkQQ1493P+u22DBdgRrhQaY2SPZd7saSexcgfu5T/ub2KP3ug8xjRckiuYXxrTIG5un+D bUUlcfYXQy6G9WTpLNlrkVjgssXG0IXskERUKOg4rKspsam/WqmsIdaQaeSAoLCLQjRERT2h61P7 hvddUNDN1LY+ZB4B2i4IAskalip3js20ZjrttuMhk5ccLCwIzPYrnrsByop813afiLTfutn/MaC/ uv8TZxXfYohLCi31rOoSobc4fxmopHd392XxahOffqM1pGchFFGR5a2yeLdszQNtdwsi6DV9kgBE fUnIMCf7oNY7YY8rFAntp/jzBbx5ZjuvBSchki8GunOi8/CTA+tVvjFIpGei5s581L7Zw961ebT2 hA7F6SztMYLbA+eqHJoCbFBkyLIyIjFcuKvmMGnK7b8wXQ0YqT5M7QCDH3UyxNTRyv6oFrulc0sC R+obM6ZkOSCeXrnCNIXGWA5hqYa6WXD9iGpW7t27IIozZFa01gW3dfjq7tWozyaoa4nU0HG1PhyL ogA98nBQn1Ar59T3/yFqvs5SNp8U8bxfNNwA+h2OkMacKBgG1AVpLSZOxQZ4xs88CaR6ccTdAvNe gM/QpGoFK1vodm0TdamNU7KDsPwR1KlUCMViG5gU1t5PZsv0MEByfB0rOidfYyAZsI+bl3OqdiPh GOZrDL6vhZefouhItBo//BwBSQK65B8E2q4PDaPK9ELVm3zqZRyc3iJlQRXIzNyfhaNmrHho9BfO I6xzDj2Dx+4O2mSRZAJc/gbxHQf+/GPkvcNdFXxVKUcwH97WZ7ELMpAq2xsQlUU+OjiV3BskPxa7 vk8WkZeMORPXvKp5jz5CzLWXpxfDJRCIoB6OaRtZxtPKsbu3etuQr+YBVJ+r4aM9avMGg1h/bDbL MJt7a9+zZxU6j3wNi1V9/OQ8bMfESc6pqIP1C/G+tk6VsaVSU9RScNZOXFyb+tX4rNyjgQpIYFgm knqPmf73SfZrU25cfiRcwst8fMqOvJKsjBGvAlneFMwNnvasf9933SJU2jtdzsl+dIDERoKVQQUK /NlYJxfy5UgZw/Nvyfaeg6Kc6E5l3CFJOiaKzLwHzU+3xt07sv64tsIhLdrFkl3c6O9VNai8Q+zG jjlRHj/nTKz/o8B8cvV51WkGVufUj81oFbswy4pkNVyK5FBkVqrltFiSS7YDPdtIJSyMfHlZOvby m6dsK2U2ypU243WHWbAkc+Zfqg8jWpxhmssBO7tleqZMzFFcIRcluXkcTtWTfaC1ReTU3BJsZ6eS i+q/PxxJXGq+cGs/nPDhIksi+05MLY/6IsGezUhnGoaYT4j0hNDPB1HzMbLL+IBbLXdH4GgAr+71 lEnnAOr0HX+ubWNGA50EH8sa8M1X6zcHU+z/VaF9x+OapaR+Weg2Z4mnfUQImyz8ZAwUkn5P8lAh hcNlNisPQDMLAFf6YFs7LcpBfzEa7b5bMM7nIFp5MKpMRmKE48NP6Sk7hLXqTdWgExUBfoh5S10a SoF/mbXIotM6xuuTFbMiU2JBb6XVFq0nQ+5IOgDlAqO/mnDKwRX8Vl1GqTTSmOnS94gVW4YzyDnq XuLT88MyQ+tEfkDa5z3D/QUvOv0QkMnfYPidpXOz5eXTFfJmLlNuW0WMjCUD6WGhHYUQoQoVy6/q nh6/xx6S4Mc61e3G+z1f2LXt2lg3wuXQ+33FZdfBMhh4OQBvcgRGKDkQpTXWYxYd6ELPtKBdwP0J cxC3bHNdWqW38LNGpIEH2GsUjBOneieVlzdtFYcyTfxcxd6b5Y8RqSmU0E3cWiGR38JCYeizf+hn N2UOxQJHPbLxOyp5ILAOP3RElBNr9VfDrLDqvTHoEKgS8ju35u/r0nnzhc3I+pvFQrELk3F7g9Ff KqWHL2Lh6u9Knq7m99irVP31n5YRLTqGBFdfugSyNYUK2EbsqsC5waFVhrSNVSxEFloB1B1MWuI6 TctkClV7yBGYtaKmlfQGP7B8py0MZMmqfXCF/7hiUnsvFP+NfX657hsIlt4c5daH/n2Htc+vFzin ydhIqJBlrIkAk7xr5FKHr1UUKhGPzfQe+Te44uLlz253QPKNr3kASGb9gpCCZ0PiqZqNASnaUiwN 8hLSI8Djf81Ac9KUqInyT4NKnWqWQHKFxZJXxd6rFm8+GAplJ0eBYiOXPktF7yHyM2rQ4SqzDxYP w5SDwTZ5Z181I5kcVDrNeT8C0OXU6GcW26kpnxYDvJydC+rtRtVSA4Axv/8cKFap2amnm48SGQob pjB84LM/AwLNf9v3kEkFR9DI37ttS1eJDGc7Q9hrSGQU3SLTydWHg53AhzLwXo7avniY47CURZ6y 9veWJOmq6B4f3IxcQpR+WG1YGKDPReBs+GbnaioGeQ2yii/ZCgnyQ1Icf4CHR7JHGVYU38Pv67us VPQsq0Vub9Mw95b98fhNFr2jbwmD9BPmMWcsKUUJSft1cdkBkuA3B1l5F8co8ugmcGT8Eex0W+Ij HStivy9WRACdHIg3RVMkyNb4JqG+pw8uF6gZ+7jK/ydpXPyWn2rP1HfKo9vCUFZ+xU1c8MU/fuqQ DSiG2BcN5yRBbPFRO+u+9e3rxt30G1W+M7oFbEtXEMbS7zR3dfauTlJuWNyb4JXOm3+MMgFqOYkY 760xgnDBTxmdjiSkO9yA8LVVVaqErFOBLxryGH88jDPZX/PO3l76G4Ic6x/4s+p4a2E41tU+xfBb pIAQbN5JMfOGtG+Rbb+9vANsLD/Ydotmg8SpXXZtSIpISPMAbq/qWNy+xVSho6VvaWiPp4fuRvlP X5K2cKjsSJo16ZefZnG5uZLKVRBEgW7K04j58eG2coo5GnifGKahl9ShvVkYg75FWmZFNndTtAlm 490Y4hMq/vH6lcxHOH2LkUAe0670H6juSY+MNEiC42IRU2wktlCPhi3kPYKhYiOMR4ia/wwHo7W2 9CJy3+5GeA2coXRmNVuVpQ2nYRj31qstiKh8P9TPWgVmpq2LZNvbWbcm113kUi2caL46SdmJQc8K iRMF5dX2JTVciREmRKdHeVKZiosxvDLt9kjZbiJ0aAx4+wz+OsDiv+01K5JoSV4HBZnZbWu6VSvy tR8sIVHa+3s9qXmDyXLY7sT7KulRxpOJV7d/5C2ILMvFhWoWaGFH8/GDWLrewPcxO3QImOcW57Os krH2S89qZ3jr2nYLhnyzQTjZBG//xC4jQVps2DH1mPPPdnsBvsmQ5XAYthx/stF0EFaLWoHqmXKq YEgSvYeZmiBcG8geDZp+yLuxRvcrnTWRD38rPkfQpIRmDJDBDwmZx0rQ0DY5TruDqUi4Vi6KKJn0 mIX6spqC3XI5TZWdLHOYECL9m3am19332JkJJkeuD2DbZxlMBoGPxMlcAI8mqBZil3MRrHOr12/u 2VH2cE1YhVVGvsb/IjyceH1UftDSP/AFViqyTbV64bbfstFNQNwczeuwRJnilAoYOl6YWeiEnlEd MG8q9OetlQIaAiB+OKyaaSETIfB/8TEIuD9OusdlSQWnv/y6eF0hEhzO+ts3WZdm70MmsJnpWRTB SskBZzkLyHNvS8pE5KRA25Xd2C97mnR4UEDtn4SL6b6mFg5yNLcuh1XlQ1kpezv3+up5xNwJE5Gd zJPTRcTtoqmnbE2naYWVv7IUc/Xgcly0UPAe2PKzGGNKlLWenODEMaL75wCedA4UXlcXmVTuzm2Q cdDuI5SgB0fjpF4+0bW/SVlTZVAZX5dUObsWVzFgkqEcoLBCu2B8jqBfW9rCOly50dYDAbsf801i QyXePvbtOTTJIasBkZBpnfte+pwoS/uNBnc44nz5ofG/sndPNv1TWkemd58ORLUzP8nz7HMth9fD w/cVqRjfinWcp1sTl89dTcuvppto/VSNlzRiTYGZiEosY8b0DpamDE45qIATaA9iIqgwkGZ7Chp2 Cs3ysKN5KkIgj270IDyOJvxNZ74Q5pFxDXrLiVJRV3aHWEujUZC4tSiPpXqLFQOf9MEb9056BD1J vwLwMocaQ9N6ByXx15FNhntLZ5J4ertLPt338WcIeMgsPd2LAP/nntsXYBORb5q8QW05TOdVFUtK vh8rBcs+HXUwU4rXkHYiPYa6YY3/snhmj2vzQwcdVu8zYkGUdkasYRb7/lNMgaZYQMsQZMstqLSl qkxcKn9vCNKa5CrKrg0Te2vPng4ZbURHXkHpHkjCp79Bfj5de78SENGJcHjjnPGk3CCOTEYPmlwP N0Qc6pF8y36qyrkedmOf0+MXIOscihvaNNvuaPokEzsOaGGC6QJBUsBc6/myZ1LJ2jj2DUxpuuxY Szt29GbJaNKWdYts2B4qQu0lRyt6gEPKePz6wIoKbOdCttPK9el/WagSZyCIn+kitYVN5pKBSnGQ Vt/efXKv7tvvrcDcSvdVk3WZcgWwOSyVpJXay+0pS+LB/R597PbvmwofSAJQSmZZvmzMSPvoUlFG UjmeWl1GHb97964Yi00spGKZghNmT1bw5XFHSU99hShYgOgSy0MJCgLRKcD5eY/2XR9FUHvL/L17 NBq5vE95NQ20idvMmE6vlNVUBlPFCZxUr5MEoNVgREbZ7V3+3hzhHB66bRLB7ACKE1Yl44jil5sc wZbh4KjQA80yZtrksuIUEqjFZxjdtawpVXBHji6aJ5ffKzfY9DOVHPaiezdkUAJWer+AlTTfhwaV vGXfqIkeuFE3ItcZ3n4cAbJnDKdGtlC1cpg0XMaav5gXWMPYT+hD9xxQ1o/+tuzLEl1D/YqWGjWJ eEe7jF1JxUONLrfRyovX/El8mmhCXAObnM5PjJN+jYH/ZoFYh5SbdWffwHu4DfETxVyZwXRCDOE9 mgKNV+yxLnvnJhM/F/L8YjsVkoLnMA1GaMIhi9kDifCWKaKyiobyeaSEKqKqnuddNDONGo1JiU3O lgh4QKd9ZCQLvl/qV4JV1OPGeGRtYoD1RsvIiFAeDLjbgXKjhZDYS5wVFG9EcgZ9kovHEdExtIqU 2vzs1tJ9sj2kg1L9OrgvEpCCtBP7Uz+NP4Q6/LSP2YxhtU3JHy5a9YTt2ufUsIzBPkWA9kPl0yRi 4FZFkkTE8c2gnI47l7VH2ZEzcnJu0V5j8/R9KVSyYvoraJamX27HdNbjqk66FdINBqwCXNm0nPc8 7B5X8YSdQiPKuPtX+56VT+OCwi8ugYillRzM3q3kmdKRucRcrM1G4VGQ3DnnFlDBAKo6VJwwuBay 7LmYeNi1d5lncWKN4mm0FI5f5Y6y28z9HtYjvQp+OHVxidOB/3pS54bZy79y4cVuZCWqKP+F6tt0 JVZWG2pFcbPNJ5Y6KIATNFvhtRQjSw/n1bTgdunFCRo66VFpX24plp1un5IZh/6213tkNKT6hnWq DigAe+Eax1vutcvOwhN2wN5/s6IVHUolqLLmWwU3/REqWeFlglg8zkFQQCzTEKM8aVUti5/66yWU 9k7SoW8tPOg4XhpVUEExtfJDGrcRECWv3UC8j0u+H6uAoGgYfPxAfuhZV+XdoMG4SKltx6VjJ71q H9OTq68ORnZyopJrvtIeH3Cx2PQAkhpaFcQlJO3N4id/rEqngeWMg//knfiWAPnzuN3pF5d/4RUt Av2cW7fgJowdv1z1/VbWGcoHx0WmeEUreEn4Ja2Xfum9ABl0pqCjGernBGWaEz4ig8hwniCkcA8J 8Mho9p0b9/FPcPJD5E7qEpBLChlSQgQOQocwGSlF0MER5ee8fqNJM72TaKF06UrwhC9oG7PdSu47 CizF6bsaE9GAUDfmHP06S+429sFxutiC9mHCRSyQdjJUU3QNbufsC7duMkX14FQ9Di8gUGnFOqdO PBu8xBOtEk0yiDy7CKbfYzICJ+0POQTu5O2p9M1AD2+BahVQnz+4geB8a8YTx1y6nDPktvqWPNDe C23QePlAUpl1z09YSUFhqWxxza/orRtUoYYjYW8AWfpUpvyZb+SkGEfklbr/ukc144Pd0xfQqlGz lgIljyp07Hi0nxQimeBp0dWY/1+nlD/5kIIQIF+8XGj2r2DLUsRCPGqMDTw4uRYnJuSKkdn2K0ak IsVrtAM8I/KoRPD/hf2aLwxAfHL1lXwH7qJZJbC3/K0z+obW1Mqm0RZLfDbgudXMaZClVcEfkN2T nktoG/gXykzwgLR9cxq0Gt7F6A2E4+C8o/sCMJE1R5NYFwWKCnpOyYHjiLWfad55py7H40/JJ5um VOpwEe0VjBMexxBYoTCAKPg0bKq0Pj9N5Pv9vQE9WVh2sy9MMXsd3ZcNl1hIvvDzrwJ3VZIfFU1D qSMxJMgBHqm9XVu8PR/ELlE6iQ+zdQAPN87sCzwFf2Kd+cmn2h8ZFCw2GCsgewOw8qVSlWpHjExs Faea942MZlqxY1baC7oBF0sI9GhsEDW+UPPqoGAanE/noLZoCod2oTlzqDqSMgMJHNPP0s0mKtnW fM0VxlxfTJN3+ehVY4ZCScheHRXku7/OPSrPRNjAAGLt566768HitnFVzVjzy1piXQpDbDAnvjRk RzAhZKE/D4NFKcCpFO2e879S8AaZwXPURvrsfOiXtEszSKirkXwn+a2yNv68SCKcPkqkXn42l+Ae 63ZHtqQPQW1UtOrMFCsbf8nFqJMS70MdhyBx3UJHk/ig/wuBnWvcVzuazYZeYJ2eTYL9MNJKeMLb DLFN/Uc5FIrMJ8qF4OLGE/TQgE0DI3SCi4h6PsDBE9/VzT2ZDOzjdTzfki2GtHg/+EOIqf1OtbKD 8Lac0d2qql3GGYuvjlWy1vXLG4MV/x7UreR5Kv4uinPaKtZRaofR+16Fr5gruvgvD8a+dM9APOtN 3PmFwYTxk4F4LepS59Z0c1QRpDlzC9a2N9ApPx47W/CpOIwZiWHiPnpxsi1fGJU6YfMAvxGe41cz /8pKIv4pJNw2AaCHqDhCVcsmJ3DvLBiqo2xkFQA39HJR2abNZP5K+XihbBuDWdS5lmubnLBwtDVs EyOW8LMQSsSjo7RidsxwvglJhPIFudlY03zoR8FdWZRS8mR924Eejg+2E1YPQZXZVoZ6b9T5JEBH SPt0B2KIF1Hfp5Y5BahIeZyokY0lX62u9H8lgfxh8lVw9m7dMuo6N/cI8jKkZq+JYDMcZgnvmnAi 9bepVAcQwMjNl5oXsZlyEreCJP/nSyAL9I+MFWZ4AzgRelnFtK9xI6uqaFAt3d5rNTn6u2lYwleX FyoLiPffLV/4rcBg+Q2K2d3EP8W7CmzOc31xpA/w7ayWTbyJiGBQ1XGsSwtL2r4nFvfE0OMt0mP/ WK42cY4ZX06Wk5p6F4OXx/wcKkzdBOhgbGxim6dHO8Y7N3Ua6XUV5kVxp3BRV/LilLcA8NyCBKR6 oj5zW4OGPSzfIw9hcpCzdRWGrIxKxlNjx3MqoDZukHNG2tm/FiZTU8avx/xnYxidX8rLGpkTCkTU PwIqmYhPMQCmRjobUsZhr5zsJvRnvE2p9OJVwEelRgBgI1YLLqc626Xr1YEWdYwlG34sikHQan2r UrgmGIMSWKAUwLNWfctnu5qaShCI4rY7cxGuQgSD4YcAW5m5gxjcslKQTMZaBqsbk1Vhe4qVfbP1 9Cs60EpScVQQWU/bsi9QLN21yUUHXs5XhHHimFrQUKrZ3d9IxdunME5BTLtK+w6T/AAe7MDRWuRw dgwnovfxUCM/pOut5eB60hc6RVAzs8mODvoPRVx0n3mC7DaYAxIQWSaSRN5D88Psd4WUQ3lW/3/+ ndLTgVFDQq7te9hIm76wvxtAUAT09cXEzNVbQvgYd9xZC9WkNFpYeQhsIr384gx2IU82sItYBoFj PiK49HG1Al3LBKGy93in1T8Z6lXA6NWtcvIyQMXuZHHYiWGEGToAApwkTfnI3uVOlX7fxXXOLki+ nrXZgLyQzBFeCymf6itNf3VEmCpymb2L1fGOSyDSZmpul5qjvqkzetM1z3dz4UhXrqsmFdiDRlaa lnN/wlzdGn0VuMcqbdNAR0mwD+lkJfx+DjUXjGgEcmwl3bB+A/MVKn2ysdV4DZcvKSdbHxQG0wXC 9qPRGM/T49pKYVTXlGojjwtQg9O44hv70lmSpz3WEZPmaP0ttXLqTtgBLagL58YfP6xvUf+PN98h 4nfge03Z8eGXSqYnnGX8OlMlk7SCm8LYO91hflxa9bl96CF/SqCPDocdQXQCy48+1aUbRH4yWTRl ZdGFCOK+92n1GaHIvGl8YOdHvFKStrAP3ZVCFUzMi3qCXlGd8N4ywcstr8lL+3RAwz0sne/b+cST g/Mx2t5Qnu6Ycrwevm1eBVCkNKmTR5XsJQozVAKylePhovPvWruzSOs3CbZtHS9FcNvZyFJFrQj5 EpPwtcv/oyPGVUW/6V+HyQ0F4RSXlC86BQsahGElyCotRaskLXAo7PD1V5OsL0FQh2MaDn+ceN/v 2R2ycIkXsm07nKaQGmo+hnbLWjT6aP19CReGSQE90yUZ8v1ZTzkPhoGdir0HEV0zCMObcAye2eHG HwcBwjUF+AI1NKCsPC4+VfjY2E+uTEaNmdo6HPbS1fN9KDcjRE4Coef64wJs7+W2p/Ob1iytiuj4 kX0blriBICpNfnCT6cviKpnbLz/nSkIDQ2IUxlctiDh27JujqJ0tksVX8x0rh3HRaXtIzOtFT7ZM 8pvJ5RbZr0HfwcH/3BxyLTGDJfCHwWfJ/VFUNU7lONxSYmMcMdcNTDm/PZkCpNSu3fTCD3sO2XCm kk33jhlZequElgh7+5iRGpR0HseRr6b/rUs+vaMM5Or31yGMHqnERTmjXlAeV4bi2MjGm1FH5r5P g40SiUWgoCj5risNyv4B/yHq8O+Q7QiWAL5QTIbWD4TeHHFuikerb3UW5qt+okwIH484q45CP+Tb hwdKEBynRI1/UqNZ3gn1Na1sz7xBDcMQYa8OGx8wMMaTJxM/QNd2NS9YJjbsLVJBmD8iT3Ks1/2A xSQwfmuJjLSgYRZRXkdAPY/6byQSxZ6+PXnmQyo92YZ3yw9WfVEn8vckny3bpoxG1raASO8nc9RL QUMmdbssrivHkdmdEZMHPmUo1ysZZaOeDlTIRvBmHAs0lO8q1k6XE+1nBorLdZAEZ85nVZM8PXRQ L3/EMi4rimjKHsyIgy+mgKQuqMYRmKVqYMAqoCHDDs1ls3LKRve/cney0jyBW6ALjpP8RAkg2+F9 ncRtEuEhfqdy0M4l2iZqTAktdj2u+6UQIPL2xBOCELY3QdgOuZYwfejFH1q7oNhcGov73nWaGfQF 5FOX9XuFr1xVbOwk6nKjgxytyU6xHicNj+vKG8AqtKcpX4TictvmumlKalJGVFxKoJtPJYxdSv2W +EtIF8/b9INqrE6Jj0wmmbMrW2fMTulEf+A7dmcT5p2KdapQSNa48WiZ8F6azY+1+ugKkC+ibnV3 gBcnWPC+yEB1JMMq9kSdoTWZ7ZkVtPMd26pl+h9ynKstZiPzU2v1fuZgjE7EDjhWZLG2+6qBS3tW U9Jbl1KGnIJtl5W5E0q9tiVW1f3cNeO4riVyMmQIILTjFD5aO20HoEaRAcgBR6gNSMuUAAWPwPas 5JN8La2FGuazzi4x/aOEwnND9UG3Ns8R0K/Su1hIhfL93KNnADzd9pH2EtDo3Q7XZiBoVdsQ9wS1 Wa3DLws6mux204aNBPbuavQIzfdR815M0j6hIjbX9JWmbpFwJLTi+1Fti+OD/bot2hlvHCVYszqP BfyVMc2aabXZjnBd3YDSN9FCVRldt3n/68dB0jSm5gBOUT0qf53z5ogPx7gbo9hwOUg5K3L1IFHg JoS+Mc9fdCiay6COAzQJg9frcsJjrTM+xfNn3c5dZuc2YfTlXVWvJpJOH/OVBreeMR0SERLRM1Fn oWiHpl2XuLYUKKYm/bLnmnEeTrZlyukGliMXokxOprnlpUrF//miakaLZGtioqw7mfNBEZZsI27h TUWv+DuTyWGqKwpVZbS1EJbe88Dv4F6l86U+KbIGfYCHVaxWG2c8HkiVKMOs5HeY8EDAg9suxB2G ShWmkXx91GeY4/0GoflicqZARrFaLfh0b+yKBodjEhomjAhcVvIsdlW4yD89reiPpTFG3xsuGurf 8a9NCC3V1nhKQ5yXdOzAxcDxSrz++hm9pjypcWMZq5EZeLsGEI18jhphusPvFdJh3TSh0GBhT7m5 lFvyQRMnE6C7MNhU11q2sOG4PCQY/oeiblxE1Ql6YaOLXSkXBcGvxztkyYkhnu/4CUyy5xflfyGZ ck8RJ7zksRXQ/t4/mxH/scvSoWF+TNbG5jVmOECRgfIfbmRnMwBQZHwuNvs/Km5BZ6lFHGnmHzWq 4uqqt8iQxuZLJhBE7w9rcWaNdy7BHVpYuhafc3XOatlMFgPj2NmRQdcoWjGdaVszCiI1IkZIhpo1 80al/l6mTi4hLVArIH466YVmByizXmhhHjqhTiF2g8P2JK57Uw7BFO8QxmBMvV1i2wK8q7heuLxc UmTHVjGoaFKeY6dtTbgyPXmlK+wWvER1i07N4suugHTIOHWVxdKEtVc4RkJNCiH8kvAMtM0emW56 HjL60h0lhu4IAPYapsDja7wJn43COLA1HP69bxeJ4SZCpllSnQC+LXpdBJvCeORauzYCodTaOERQ LmofkOMbV/vZHxg0eEtORnG7N5T6YPCL7hZUnMuVy7WW6tFrDmURyCfEYbwxTnbYRTLuz22Md3vf WhlYmxZ6lWovKDB6uonUecn4Cst5NOAEBrY8nTYMRNVCb7zmgipEHvGWdLeoyI++v1NSehn+YiDB kFETDthFvpwQpxet89HGLlZXgv/7YN/mQ4H9Ly/e0dUtoqeEa2A4qRGU7hcGL4Wl57l6HO1LAZms 5zB2EJ/w4FHbJONR8uk90OJX2dsFapu/0J6wUqyr4r3m/A5BeWe8fbUm0IEfc265PH+0weXmFGie Dkxndu8fD02AhRCpcKuK4WH2qYxF+fPXF9ijAvmQXg9G/1ZoYpkUCwX40ogCNLWfKzSQiNEOqs9b pFB8hEgUj+mPCKQ5GAKAwOoh/Koczj+QikHbcn0YbEQBFUx+FoIxvXEDv0HLezleokmLPm/hGZJV 7QLxaWHA9DGHfmp3GFbJ7AJzKeppDxHdkhDo/brSEDYoFT1le0IGxQ0CDNa1gadnZGN3I6zIdryd OhDbxMPBxBrLtEMu0R1il+nhRHmIHWJIzm3TuItcvkazTbSw4fw6QdWBvi5i0SzTYnronetUDWBi Ts+h2YE0D+P59Stwt/Zi9FKavPdjBSUnl6otbJjeIFXVmRL+39SnAtKQdv0DMcHQo42GsOgG/4ZB Zxu4Fn3VwPhdvYh397zdgF4FYEgkPtWwriSq8ovlsEYa288iG+h1b/L3wvjizC117l8/iBxJP4av wzLbI/G6jE17UICpQhWCRKPAVPXdNnnKuveRXilKeOGnCwSelLW2cbBPTXhPFzVYw8G6o+9BMlMc aJN+GKtTBCLergzIeK6IHyxv/znDyTAzmPk2zF5TGa0SwJLFiIwIAIojLPjtFwLF5onNACxjKaHA RH1N4bZxvUHgMBXjTLvtnHKb6hi+oRbyZN+tNh8HaQxI523N4C5q5e1PUtFOubzWrtuiXSoFwhB8 YK8Q4Xn00LLuC+UJKVQLsIXC4FQY43TiszoMgMvgvOqZRY9HlUfs6p7j9zvKV86+81PI2p86ORgK nlY+NhTfhkokkUBxDqJu3fFXzPBKb5hAMmYp6pNZoutnRUYrYmk+3rvwQTmAvk+FS00erzTJLGx6 /CJ6GluvrNZ1U/f1OyRfzFDlmk/y0FDAKOk+p9w/4tGPM0STdj+SWFZrnp9rwugUG7p9EOvKyset kl95xlHZxZ8qdP1i3zt5B2wdudY8pOxx3ivJDD95hz25KJwd7gTcwKw3CAuI5bLqD2eKm3DdilEq D86gc3VOU7DuWpm7RWXu2vHuYo+TSl1Ampcr4Mguw5p2lf/XvogkD+y4JJx5nzOtxoWBnvYBcJWH 0MbODAbhnRbNGqO44ZhyJNp0MyhzxALTthiXdikVUtmxafZ5ZjuWDAsF93stG7p6+eWrXP9nyOq5 VdgsDIVNp02OORQ6pNleeXDkL5xJPlUCwyGCyBJm7zb4EdrOxixPz8KeqdidxStC31e4qChHlcCn ncOKg6Ee23/ShkRv+fVczc3cIBWO1xD+J/CW11cgdZ8Z+mdioKfncDDRPCBvrWcTbe6qVcqZkAKf 5FWAphIhnsa+6kwtGa1jpL83ql0piAA8+Kq6rqqWzt+x6CZGK7z1kqfWWNXWVmA0L+IJpC7ZSwEp yLkXVcZt6UE4bHRanyMk7w8h9pqdeuOStvIWs5/nV1GOIMABW5H9LQ2AEbXUy1myNgCPYWWES1Jb sUu2mErSHC7HkPlxHgDBVu640SXeBJxXVlVjjV9rZtAzMkmLXUDzT8QciQ28TqsgVIBEIbcnchaW K+kairzRJpjQn9U99y4H1/6lu43MK6baCoOdOA5RoHMRkiLu+baCdm9uPyThMo+qe/C/bhnr43Ww u4pnJJX8AIVnLpVMYvzs1iuewJAC+STGRpbI57FXxHi3Ptt/7iM9fjx5pGbqIjE7fEspn8pUOulr OSSIksvItRN/xcx0gud1fmNrHYV7ZRJjy17QoeTQ1UenmPn0uRQCDViBod6COrILlYV5QMmemPtk JyR7hR5B0ptmqPkzGPMqhJeoQqCU0IGNjK0TcsZW+vK+QtGbDXye1BI4Sj+qzpVr0D2fkra3c7kp q93xcQdtpCXa/h+bvw522nyQ6N0IlAbn2Pk1nuBCT7VUxYSXHO89D1nl222kJcl/LOufyC6FQHsT OvKKnqrEHS9Nd1o4RQPKuhney9jCOvDpC/Jl44M63QL5HSK4Is3skuBIhUG5dwSlECMGcbBCuQd9 yIMk/kJuydzsBj5oh0E9MJ6aG4hdIdM05EY/7/6H/m6c6FVruU18TVhuuk+yRwPzs5JBk9ZsMBCW a+eJAQsiM4WpFPBDiIc/VU7el344gRQpSJ21m4DLOT11Uhpi4uEJSSGORbnIC6eAT3M3wnVe9Iwq ri4kIF2djYeCcJMIyPg7XjWd+0SuKhMJIXq6UTR6700E4cltu4LIk3ii9C7aCMU0ug0+dGs7LUDC LEwQMxoBqI6JiQE1sjHDtbD1P8+cUymiYKA71sI7/+9liBD1vecicoACTkU1fFURSAWJmnaq/E+t lEg7bYMIU9k0/1ADfwg2q9JjizHIif7SLwgKaCcqLHK/TII4Dc9zlAsgy3p4LmAkaBhsEWigJZE+ 3jjbe4lXK1TrewaYhTSg9qso8fKkeWhGUMZRDdkUBU2kT29Qvg2MrkUVDK+Jl6gkNDy++dco2h5g /5S8qBRQPg92IoF3ZG074S8BBreRTDkeTvaxL1+BbqiORBnkfAXhy3s2m//ykmi2OGIr8r94iiG3 ltckhva7xUIMKtfvUV49WNtLI59xLbxRMj4m4O5xDlKcVHh9kn4UgsEFWbGF8udmJq5DbP7vOjd3 hWxUImpaVfAOF9CBIaU0CuXeVlAtYOsqvqMwonHbuY/nRO5YYxaUIfurmy9TeWU8RYyxRMq7fmnV 64td5XDojpENiv7tTQT3xAEnBxKcrF2P9nFTf35mqqHSuhEeRcHa5a3a5rdNr7V/cD3JYfnzTrkM kcD4KOXWQJfsj6BuKh2fTvZM4lUtLrK1IAFCfB/MTe4yJRpsmxepmDoOoXK+eBrmYOq6wzDTHPjo Bmuvciw6fdH+RbTypRZNb346i/AomiM33TBI3PwGDQ0kzEhEYyPgqzIAw4Yhddm5+92bUqEWBmJM h5s9028FJ7gvsTWNi2RzkpmhMYGNVOMe1WMpQ11y+rLN7qJLmyRhmwM8ZrQXaeGUq8rRvCsrjthj WEISFiQo0kzCdCOuEF9MBh3UR9lvyXLjiUvWM/uXN8uYjZfEtEupxk1Zq2+h/00s6vEz0z8cLquE LWeX1K06WD3dQLuQuEUPco9OtdHMI/jGHvfVKUmeekqnvwYL3wRxhmctIE+wTf2/L7WHJmvowxNZ kGVm2vd3Ah/R2KFZCIewL85Pjj4C9an5X9zOlJunT/ngUGkMRHelxBOcTAaqitKIazn2JfRSh7J9 Pyw1NIZx2UEgocCf/YV8ao/cCXPx9NL1G/J36Ukouwzp2/v5OOcXv3dludsYgWWp3aSNp4V/UAoL UU7pJ5UnBLkYbS4+d6NdmoGgVAx5Vk4sFjAoOYauJFQLFj3Bx2HoS5YSFHezaXyfa06XxzUjTjEg Kgr9HR7zLogJW1dtz7qpbCo1CUdXlBmzUh71E5TIQoYh/xP08vs96qjpUoc0ixAS/QZZ8SiM+7PK uJfMz7feIro6qplHtPw4fTtU8hJho8JQQnTFikxY/BV3Pxxk00qD7bh5K/omWe7Pxw8XiiaAc2ai fXdGEccUJNpccBQetkr4AbjAyKgnqiYj1CYzna0FoD3/iW4E6E7vqsDJUEgQJUmA5yD2n7labm7p jYPJVcNJN73EFw5lrVzabrwFUZmOiqXiM4QbXaom+/bsqs6/93mEQEF8Q4dQ20DOe4y8pPenUu2w rWGL2UMCqh7Z9lgdie24AsdtxW3svOrlfP4F1fEdwrWTMQGAkszWQ+8wXyzu9gB58ikCrD970Zun LonbK2NeQpwxVF4I3dFPdaxBGttBtjZDV+b+HgEjgeY9fQMXeaY0E+UkU00ifI/zqiFcpAM2Dcxe Wgqtq9KUoFSnNQRt1LsXJkboMySaCF4DYbrZ7naSuWW5nLQOn/iDd+9GITWfcIJkgH1oRQ/kBg2s SoUOGTwhl/9NB1iAyomImb/kVYkYySDIrAJ//5eITVFev/utWRr8H+Djgx+5v1DIpv+GWX7j0K3i 6JFhXaNPpzYsS8DU1RpsDj/cNFIFhqjjF/Bd4IpKMaZooCMYnv2qB0oqHv6WWhtp/p/tWe/klYwR 40yfALEmPTbTYTqrFb3YR55AEQENz61SfhszrsqrnNSVo4QfdXvlaLif97508/l08zH5zvjfYfgW lIQOtRfmfSG4MjOYNv4cd/BX3GlSuKe8468BKJjunqhnnRL1yz9ymD5zVVhFqZAWGyFDpSkx42K4 GbxuVnk/T8wUyMaGmR3owGDOutpkbD8qNwnGfN7z5iuvaFAyc0CMSZO4G/HHvt6exxH13NYq2Y0O 5hRXsj+lHhG5rUEpVkFWhP1BqBIZ0pPEvfc1Id53fn0RRxoQxr7z2YbP/5pJIKXklgW1JhiUqu2o ixIDqFgxecSjO6Mzd+iMHc1W3pOG1ku4YQWLiAZp8TxwERi2oJNVJ/VucKzWZsJi9SS5ijgSJGhj PaScHK1ZyAtz0KF4jE6F/WIhbLIH1ENxFKLAAymomO8WrGbUaB9DzwJvzf8nde7qk1RB1omFwDJp yUZzTP4JAFKVALy+Yb37ku+jbhtbcN9qDaz4VKTCNuBIbLDqvKPJh9TSEBmDgHUtt9aeokpKtcoq wAB4lQGlS/t/wRkcap8KAYYgRiMrFaFlCKsm9gCYrqkJMptiAFFKDGnfyjZvIwSSy6MdLQZbaYek rjBhtqqyurqpBcMT1aP407oUimMgVsGucy4NCaYYMrWLpQ1wrws5Zsz5c/Qrstw9eDSikLylAby2 +DDPwc2CeaPA5+r8KdXIR8//Ji+uF98X7hZvG1v+786oQrBHGg5dk0DkfPM3LfET5lYGan8gN1hL xeTcLG6HKSgrnoYdfKA6D27xtsgVW1pgGSgeK+zpBFpmFCM/vcnkStWlabwxspkV1AM56YBXEzjm 8jK6Gxgx0eXh8jdXeUcu+/+p3jMK2NzF35Lzc7kKr13eRP4eQFcWL2iTw1POvrHn3XNWUmySKdGF sFFkybGvknMBHkWYUsgIK8bykN/j1lkdiJVjgkjJr9SNncTvCRmxiCHA3En7/Zs+Iw960nkxN6zz c/hetmc2kiR4JCFmut2YvseUxpcuEUw0S6VZ8eFcqC9QDYbsK9vLPyZXqxQ/Uak8HknKslxC/89R RrewufB+hX4Ic0BjkII2WZELGWZTgBjMZizjFwCYbJnNE8blcSi3SdI84MSpz96YK68HThkry/fj Fhy6Xyw0BviOzPhCzTMwuqWiOr6acrx/1nlABcCAuR06ILxFTtBgCgPZ87FPpdoVVfSOV+vlG3dc /oSCg1p98WPVfqO6RW1SW1YiauNyi17cnvannlSsbxw4L4G/VqGlKJVw9ds3w5hu2VeXL1EIWwE3 sqhf4FFfbsJOlgmSMVkQgUpmQ9pi4tc++CcqL8fH1YcZs0BaUx75Q/TN9RVtIzJ4kFAo5vG//ppb v2EwU8JE9qq46x+W1AgWrShb6JXIvlhx4cgL2vSXv66JVoxPPpgqKTGkiEhB+XZUIZFKjBpVC89o KWV8TQAvOVfd7ol70dmqDCU/OPaG+2gpBTQ2hvG7Dx1zXWCVtCfkQcu/k3TvPXqwALXVEihpXFNs tWbWbILooATgv+/wCbmaPVCS26JZLOryTNuh85+kylE146hI3ZrD+eyfCG0YAUGPi3JGdpbcqUE/ fXclmaWDY2LBYU/k3UJciNaBmHGSS5pKUDMEjE/5wQY/kg+W2q60c0FcrGlslqdERa2G7+bSd0xc 5EcDrJWDZzJaIC8ETUfL/tL5xldujhyOJubRak2B+iuLI+o2ydpNTVUwhzKxlIrSPTeA8fzHg5OG WQk/2QA5xU4y3TTnj9SNyQlP9hDCc8K0xITPhyVKYhSzOl49Hss8BDM/Lc1YJO4s/RLf/5lvWq1K HAnOfX8NK7McvcafZvqcKapJSAsjPicRelZVe+kywWofteGhP4CplyVo4lcy1a06M9EFDO8IuvBX Zzgr7TknamLRALgOTWF0BX9DRFMxGPIW5DeP9QvE+buy0lvzWvSNR+5SjISpRlGJjmnXIWO1xk5h Hchdfdjb0hswhsn/tcpuUzvbhROKZXXgXoxFXuzr3wQRXGNQIjGFqnZMMCODuU7XOxesF5bX4Gmo rStTqqdLfp+Nv5mhxRScgOTKfZ1c98vnWv96jkLzeDE3DSawaB5Rj+6U33Aa0RfrNnf31joTn0J5 HYqTetTIIu72PJax3iJvqSPvqwLYFHTPHCKEHd0qSt0N2jAnXWv+7YZGbjBi0M7YarPoCEA0dC0p IB0mSAKxHpK5CutBe4Fw8lv/Jpf06SmM2kmpNlSigzekUNPc4Y/1cHwWfgUShUdyZLxYiTYD2WF5 /yeEoeN9QSIY1+iAuh2ij4E/LBNE+Vhy6a2RUeN/fPcb6pgCN1Z/A4MSxWBz3zm4SryLB1ttuakj Ln96J6NlVROIsU7NencEgC3KkFsAuqamZHxCCktsdONa0JjntbCyD6mlAyBiGf+DICd2UG+RHJOI 5Vg0VGi6XBZN+DoNvr8i/d6D9a+6PcnTe6mdRqEeIVhGp+zGm/Q/j4SBJHTjRtkwboHj6Qm0n7k9 DBKk9BSz6e6OP5RyODhslocRAox13xCXUFjLR/P/DWRg4Bg+r2i3tRiRF0jfmOSITcsR644qht7T rUrSanERYZpAYPapnZIe8enFqtoD41e65beU0Vs4fi0I03vVF6C75jr/I2qXeFvEiOnb3ICzlnLN kLeczXlIAYiMcMjI2uSzhJo+dPPZjsfvMGuXeJDC7tp6z9EwmfFrLjp3vrCn4CLvO1y/7CdViMA3 wklliHbewP8I4JpbIl8F1Y5A+51A+M6T2WcNva7FP+lWKVggSutFMZqusUsKJ+h23R5QQyTa3MVh kRJafPEWjT8YKjILpmeMnX9p59lDJqlHljacJPomwdc5KG7a8VLtOsDzyE4YuhYzIriNLBPUbhMU iuxsUeOpQF2M9otlD2EVdAfU+u4EBYwN7kZqB12Hq5luiz7NrkzESgNh+/JgIfr1raAs4WsqXZbO gHGvaYezCs0wLfzk1y0pyaN12YK2IEjpksSArHq4rznXYBOUOWxZir2h/6iQ7PAII93TfhbUuCqT 6zRnGHUVjr085zmjeaRyPucK7dQFJQFfNpsSahumnLfgCNA2T6chbByi/dQDUWIL9ogZvTcXlJoZ w1zErsXqpB9rWtLgI1EtueHi4eDNkDYI2x14DUmaruw5q316nlBsZ42437gnvNGcmD+0GdFvlXQ1 aii9RJGrbRRtPAXjeewczuJmARC/cF8GJRRE5qkEHXsPBtHBET5fVI4eFQ6nvCpcumI00vW3AZ4x P8H8NwH+zJ3nxVaaMB67rVKayLWsqs6f/hYXsBPOsFH+T/AEqQNXvf7H983Ok/9PoJ+Au0ddcmzU rBWY7Nvge7SP/dKAqPeqXk+D+DvNosOldLhnsi5JkFQwM02HTMoMLUtHJ5DZyj6EZHc1BGYYa+J9 0Q9b0Z35Ya9+gW/4C7WgUhZN0yxf5YbtSvVSOUQcHjwO2vJLeRYt7RNU4bRIjuPhfBLirAkNTLkq iegHdSGfEK0+Q+zASqZV+vtVqXbif3D5a39HU6LjEFIwA7gybWv17fhQ7tlWOckfY6s2x7G6hoU4 ky2Q3nwuJXqUWhaZ8Y98O19n3/1Dirivu2DgJLAwf5eyJ4AuBE0DfvTEEs+GWHB4rAR6WALTTtFw aG+u312xMtiPYwS19ZDePgWSDPdoNqNbnBC6oWiD3EfwSPKtRTSIVZJebsFGLCd2CBj2Sx2kSheK BM4jYW7VpZOSWA6CErL4YaxsO4AeDXOt9WQl+T+NxWuVQpUORS4MBiwP2w5oTXHk4EBjxFqv9OQh MPfNK987v4QnZoqHLQkC9QFGvcfPyzllrgr2FjqxsfHCs5rli40wE/u+qnf5WfWPt4y6sC3ICQpj DQtHK6EvGB/hGEdle90glpZDdzIfaXVASRYQW+HDb9F07qmQ6luh3sdDWW4TF7phMQeqtli3IPaV 0UFw58SZxiob6B/QcOuYelYq8nbyIaFF7xtdAFLBPm4grdy+mTU5DWXacxSUQ39kxkOsA7O9dCzn k9z2hU/Xm6M7lJuLUdaWGkF/FzTWnbNvuOoJ35KCsgxezPhqhgZvb9fihTdhNj/Fitsc9qW2QB6k /tRttXo0jq20UHqwyRZ+i0GF1MAyrD8TSDO2ul0miU4KbekYhwLXALojXCgXoVVNZadsj2v4PvTg BpavqkIaUcRNbU39lyCgSUx3onz+InURInUnTCYx5JJUqx8GbIS6K35K3rvW46GEZSXmmjqvgEk4 WOBJ+BDh1BsElWm8AiSgMiSgGkCnVtK00h16IeXmWcqrxkS6FgdK7r8JpMwewiEF3WnM+Yd92DZH edydG8mkgidEnGSADby5wzf/6vDRZ+sLeN5qqMPLX8mvmiGDAh3Vw8chugCKsytyr8D9nrjT7wKe zwkUH5qhvH6iPPmIzdHfKr043Zy2mCt1h82xzbgLMpkQdo67Sixa5Tp1k5myjjpmS2o8au/PTBEr u1MxLyOvypPVHy3T+yI5g6Xl229BEYl6r4agpA1NUu7aHFeiUeO+3m+kMor8BPHOu5eT2c8AqDJ0 RHRh2Lyvxr7+qr/aWbncEBzgOPrEiZCt2OZPkCHW81zW/11jLWZF/UflOsXxrU+LgEdIziSv56hH 9DPk35cnojHSKLfvzRg2zr1GJM8XeQr2R3ccZyaGA1MYN3yP+yG9ZSRGptTjfeysd15Na9ZJ5GLU iJkXYxnLvWfjXodhng4soQbZtjRuipJNNhtIFsnEDNu0X5RxIUOIBkA+9TC8Cg0i7+Tmieui34Qe GrfddL+Z92csoiQTFuBzFn22dour0mS6UB8MNZZ7HNuhOOuzt12gcNkhKYcjBjtzRhVuad9yXACK Fujrt+y4NqCB5c1p8DOrRNPt5Ggb3LXTEf8JD/R0N406Qdkm5GEBF8CHQ0cb2apyw18x0sKe0HVh J10pbMaD/AMcM7Fi13up1deaVA/AcKrRUM5lzemUChrjkCVyKItkkB9b/CElheUrM31s95ytTY3S f7jJ+93bd5vzvoT+qqNMycKGgJpgGZVzxyLiGmcpjFh/45UrsU+QoV7DKdEH2wrnpcPgIV9RwDo1 v+6KIeSLBAfKqLyKFoWOBzXWVWz9LCXhMEpgYbZYp3J/g3F3H2YMkRcYgMzDkWJRQ5oX2boZzHs8 6XKgl/QO2mL/lxsF1s/Dg8z83451mASQYFajz8qTBmmx1C21750zfYLaaq5U58iHwD6QimL8RSku FiarFKuZlTnwwTwxvwBxd2X5iLwGxbJ3xrWLWNLbRrFrX3YSBxmh0LIXagMivtj1NyQeqFZle4Xn lIjn4o4nYgCdds0WtBLJnig9+2ZtA4JQxc8kPpjaQDFdkNyTiaF1/6SdYINpV/EID2lTq4AS4HBW SmvR4cBAw47PMlXbtVZxsrHendf/z3BHxCkP47u9nsTebJWIlu+eacEp9m6/aewUXxY/f+yk7Onv vLlieNheuWOl8ZgJ04DMNlNFKZ6LbQMdQx88/OB9ikp0hx49UDpYS3U+4UI+dYv2CGz23DgPczrS ktoIPZSoi+YsZFAc2wcrqmbLFgVIEomsHG85097fuzyU6FMHhvplLTenqaYQaZHGsCzHQ1APJJd3 S4TS+cwnNDtLX1A5MaJGJLnWsWtc1UaaK5l7BBpzdWKhLZun/zYbR3HQhyiCpwVnfkz2lcfA/pQf QwOvIG3H8HIpVtIlD8Ps1AgThTbSdsBvprI1pP6rqkxk3QIrEp1E0LWYaid/Amn/gTkdp53iTgvs +OBRvn039DeESU8/3GsKvRuAz2IwnlS7ydpnp4p3RRL6Btbeu7OrtdhGuBojVwLqLfA4QVihJoyG CKCZV8yElJnY93pb2HPmS6+jI7IJ17+hGD2RYVKV30MfBvTaqr1DKK2hM/ipHTt2iBmWDeHQK/Tp +kR17lFFO70UH++/uy0EaCt8qZpPtajwaN9nOGK7QRuGrY5NOxD4XN0sIJLSS28Y1/jeJ8JKP9rX T5M5l6GtAiCEaC6u/qx2mKCOUpGH6uhCNYxSa3oQFmkZfzKyUs7pAoA8ybF0RGApIIObluw6q1/E J4xWpJoCdlLhqu6pVrMv0QZ6yNAoQYYWou+Wghm9X4fSs6VE15vHqnhIhmYKp6fpNd/HitPGyPY/ UoDXEqhTH747QPjyiDH9c26tEL00lX6MlH8swc8pGIy51ztUKSFMPIdPtzSoTnI3VQaLCjzhYQe3 v6DYFQPeA7yhoO8mH0YT9Ci/5IfuzaP+0fvhkPjsbdFkJuZE9ufIYA0QB1EOrdp7liJLFTx1Q8gF 71mUXvwTqDzwEJMFxQ7djTdZMGfj0oNB4bGc7Zl8mShWzIFFY8R8S9bBxJ190jVeTIUuIpQ+/XR0 DT5pjq63mVBAf2Wki64vTU+Jg60S+8Ak2f0b2R89WPkHoZm2rddbrxgCU2V/7R5WOjOgzw9BC/1z lTkWXQb+803qO4kElw/WR5X02oKAJovX7bouswhmuySE533eFAJU95qThhxxDq2napT5icc7yjQe x8yHY495tCPeiLgngsD8Cd5u6ssNre5z0xojbZ7SBPsjPrmpBkfUB2W0+tRUbiXBF6Bgmc6dg4ff sEWCzHkhkChEdLUhG3Xno2GLOGpXH+JSgmKHUlsAyLD4JlhCWB+nd8zYQlCqGiVJH730TXB5DJdJ MuolPRbDc1/GpL3tZ9E5aCbEdSKk5ls7kmM897U4ryYmpHl/yHS5yRc+PIg65Wk3R+A3yPZtehuF mKlsGMxRBmdaR0O12o2wp6sf++K4qSQfvw6Q9C+/7G62it+9ladcL82wtA/IpB0A5SBYRIn1tTok seSfzRX65RiAU2p7BLQI9UtO/a1Qw4/S5zGZHb26tC8XBrvNhyVPVzl8nUOIElK4PVay/4E/A9Dx CY5+mqWOUjY0mR5FdGdUJsL+/M0db7f5xhtPjRZF9LtIPij9wjY/1F73K/T+KNqYKoHgjaYVNK5M hzqv4Hn4q2g2SIZb5spN4WiHfVO6lcGq+p3ub+3hnT3fqt8VqTWk1fS46+8aLXyVsvduvcY1EMCR Ilr5qHgeicW3FXNNA/JDVeWe57giJJfy0yLplOEr5i4g5WCQpyXEbiyqoxYMUegrnPesPvTtC4JI zFJUPSgA++1BAGl8LaERGGPP/6cl33hm6KumICOzRbJVIRjKDDsy9Vb9ULn1JzwCsfY1/aYZsPm9 x5zYDGhH1NXuFJ4J4ReNREt3lAd2bkzw24Pc0hwwA6xsQ6wVPRXwjlu7PKB7ZOA0BEtytIbLv0i1 OANNgqrO5cJwOz1+1t81lel/wQBmNWu1ESuY1o+wdcASGRv0X3dehCNO+SjBN6X8sOR8MmFUnVhv TUTZP7tw5F07ypyQAt/bWiXwP2xVA+kW3XHLO3AS+T3AVMz7/y+bm01Oh9c5dgxVtGDDdyTy2XPD yN8H8zvD0KWSLWu6w2FbI845avDIgSmDS4Nbqou/WkIcKkUZL5uuLUlzjLZvmb9Xw0QP+Jo0xwoi qzUrDXfmRV8rSbA2sRp3U2epMOhzKAbz0QHaKjaf0yqE30phDUW6be94iAIzkoQCn9KlMigWBkto 6J8k0MMwvkcHG+fFNQDLw+pgxqfjnSwfEKHe9wFiAV4PB9B2uTDdaRpv/oaQKS8Ha2B427r0XYH4 ZU383ytNPOH7Rfr/Dyg9iRoFInnVQAt4N4q2BaEibNwvafDzEzwFAxBdsx4S7uN8KwxHhM4agTQG 8FHrlS8wYzh5JpVghmXzfUkvIgm2UvX4kqvUIhhGXYPXDdMoI3AblhP1P7NcbZf322TMGfriFM8h kmSq7UCukrXCyHSAQLyC1IrAuB8KK7H1rapFB1egoK9cbgWWRY6YE1ZQMMdI1I/VcDsnduXP5ZpE 95qvgfZ8d6USyRcuSW7yhZkdfVKon6crxqFdu0xjdC/JoRlEg8SUcu85eHpRjBEf8l5HaoG7cIiN UO3/12eaXu9ayU/g5WHMgC1Z1fDp1eweRu2TIXY0sH+QjolSDhYOlTscGSuWXoUsetXcb9Ix4xjk /AnLTWDI83Y8Lw/WE6i5mzFl7UY9jDf90xrjUebaJrDzwPQYbcge1sVsNgFr9tznck/RTvTA6q2w 6NemlOunpFSmUlU+BareWuZXHbUcd7zkdi2PgCDb4hY4AQkV34Yt7Cdj2aNcxIeLSN59V0pnCR32 n2kCFdjsSRfoj/XPt8q+KOJUgs5SGy2Vopn1hm4ZtWvDVlfH7WBsVVorf8qXBZ1ZCHjC94TrVHyZ yTJcIJKdtgevVNak8ntZzqEKVwjX4dQcvS/+61motqPTGZ3Boip9vlvLmS71KvckcYZmj7Glo3VV GtxPvgJB/prQQq/QD830mnN9JfGyMSp0T0SO4yJm7eiUk+Pib82OHSipJzWEy2h7hx9OVSXSEHwD 6LTmQqjgs7JvJOBZnQo/PfoLQwrzgpiRSVU4UEl6/T381Bw+dBA2EOxU9StIo+sU9Fmt0++pXuA9 Wi6aVhi2vzqc2Z/GbDLyXHYQnNbhLlZQL0uLfXKCSl6RICuoV4HeRJSfSxupk7bUZNG7Pc2+u+4D N9uf1dhLwPZVC3x2lEWFpFMbxPCmMwyV7/nNth7gWuVg2JWBowyhln7p+eJMjeQie2os1/1H7/7e n1cZWAdU+QPr+XmnmFWKcxTGiRePjopZF5buVaObEYDW6x9wQUZtWt2Yd8Tuvxw60ufYW9QgUtJn 7RsDh1IQJvysZK7rcD0o4ovCIRgR+z4kPG9lHSNNowTDqIQZZuaHeCjkBAo6k/J5irbAeKBerhYj 4keGYi3bziT2NN1KA50TJcF0iaDEsWs92IiMPLk2LEogIaFoHF8ngGtVrIZk9sQ1cbGDxrWjwnfO wBas3h1CdA8ehIq4SUcdaZooTJ21OhKNLp0AxrPK2LNg2V4JERyB4okEhJT6vaEAqrLOHXvjU9tP C9n626cX1u84gopkrFv0DBkpMXaIjAbcjuu4RomcTmSsVUFd1baFMMOGB7fOA1tW6Pyi9ZqG9Yvy q//SQOYWwsKV+ETBXi5VS6FbcxwyQugqMqHcY3c7Seg99Qm2dyH0bo2s99w6c/OXpkbNitmjyuJE caqNQMTAdcv1bEBPi169YKdUcf4aXYmzE9iK+PVg/co2+ePq9ADbHRQVcmm4setOkoC0yzziyhZF v6Tbdz6hOLUZEWfkXCAFBPUWIDkWUpk8Eonfq9+JaFb8TzntJb07riCrx9kaJ9zYuTWkIcNY+kYx zdp53GkXDgbljXj9XGuVSHUIItO2blcmyf9zX7RGQLrUVtmypMnP29WpgYvR869DyIF665AK/7xq x+npA6ADsDQKi+EDc0biQaOkHBphPgS0cjfFboLUxFwnVZf/BgJlg+5W9icgSXFtOkQdobqJZA1b 5BGWyQLnJPivqebvu8wu3ikgpf+f0660A4vg4Lpcu7MgzMfmQolIUZ6elkcrSdWTWhd7TPzH66xq UoXUCtiroLoi2HmZqaXSM8ApXhG3zNNydIbP65PpzPMw1fu1tIt5BgqcGo/zJ5tidOR6RR2841cG C4KMj5JNW5+AJ8n40pInAuhph6b1o38/jx20g/J+iCSgPz/a2GvjIaJrP6zSQjQ/eaoGIlIHLlJm lziqqCafnfsSF6T+V+CidhdQ+aMJHU4xQPp9IRauEH4v793fQbQg+YOLUVyb1vWjfyax3bM1qmX9 qTm3TIsmVPtIMuEw26NhANg/QRtWtITQ9ET1Lw5wN/aHpHwMNZQ3FI4azTTTmb2SeLlg5bg1/Mva yTJtZXiFOsOTHCZKxJd53vXulKX0RfuV+gNfAJYAAYQt9704Fw1oz6n4jzE5NpMd9MOZ7MHvN2QN dQpXd+9sGVQuxhIGV2eKU/zq4CQj9nSf/ETH5khb9nMPRxZB22/qz2HTMZVbC3bH8rYTtmaFPTXg 9ACMhcTn35cDzdOvW6NA7B9EuDRPnb/z2BEGCxGyZPRzyC3B/91OjRP0fTt4Ju2Avms8cpnNOixV LU6eNZykZzNQ+UB7yrsOBEcZ7WRS+F9g+leuT8waxzPU3F+AvZmEM/yxY2WFN4/6Xb6kXTybzYwZ 7kFY3sY17mm/+bMkQHtwvLgLMljNGHBfve9uyDEf4cuByOa1GTWIwpyMhEKZEp+7Tp43k2Vz8OfD 7ayzzsZIuCsONIS3dDbtp6qLaBj0ZeRscJuKkzpW15T0618VxRUF4ihDaocTd+GosvKXIO417tUB NB6fTGYsOU7J6RapbK69BbPqUWypsDZwtO3mLgGLdtAg0/tCsK1VC7H6Qy/J59jBcuny2oEUpaHI wSC8DFD6nJNOhHT3j4pPxlZLAFB3Acxk1K9Wyd3DN9JDRkCg1hkU94C9CUSo7he0gzjz0CBjFfRf qcFupemP2oGJA7BuVA8cRpfO7HQnkSgZw9/VTxylKYYDtQAzW6GWhCDhQaI1sGW1J5V7usLIoabY t3/zihtrxovSL2cjMOljzTBo+Lr2H6Ao7MuqIzeOviSEgBBtYYI31Q2f81ThmvAaJhNe5spLzq5L xa4QkSab2B4OifTX8bAnyk5qnQ7isdFKWPc1KQPX8nDI5iPADLjmRdER3g+8R2l1Hzz+Z5+9FlVb BJsqvBrtEiw7K95EWI6/DOf446eHBcmraDXDazvMxWwyvGgvBtAfEK752jAtkT7ta6Yq9i78MKRj KJXNG3uEycggwat3XlfrX9fFZovREdDPJbALPJMqmfYAMDfDnZOwZFs8TXPgXcUjkKQ/H74BpYJs /BKXCtgyswVg300xGDgT0zO24Wy7IlfvjFlpcssyRwbG1xEqNSKrqMI8IvqTp+Cx+4eZ9ljgSB1d jTgfdpgT7Cl6hJaLlET0OrFvHmd8WjfIt4z5ViTA+Xiqk3kBjfn/BlYGCqHqPVPb2Q36gk7FUeHA EPGFYk910IgmSgMnV9sGl0yYd9bfMlvGfmQl7gUEQNoojA/smp2zEzq/TLkYgnA/YGMabvOPljDq 6dQXs0Pv6POk26CYH98eFqRXRCyHNxRUZUj7nR+GECq6MK0+eYhRuAwrFmlu76rcbGFZaVkcJVKK Q6MLMte4Zv/qiTlDHOJoSulFzxuh0yudO2HGoQjGCoY/PE7U6WuZNdoxFrLWZkBbjmeOmtNRPSDY WEuaBlIwYFnllEiKBOBYqdryDexzlrp+PcYXEa/8O5toa3nPXoNO94uUJB5Uhwt2oPmmJ3gDhwJf HcOdSppaEvOeUbcrlMI6ToFUh74+L14Z9/iNZ8Iy55Uo1swF8A8s9rs+p/1ccvmQnXGaT0miFqN1 0fAKZlhqpbSURMWRwB7FF2Bsg2VsUMD6oEQK2VjM9tlex4uDLRG13/H+qL1odwfG/Lxa8duGlEJ7 6/3IT8EzxTHUZsIepu5afF/G9Pb1Qgb3GVIuaH7eWjl7chATZfVXtQCDQWwardjYGKHNuOmNqVum vLKYCEuGskF69a7zdfIhm/SpN9BoQVtD+LgAIFaEdb0z3AdIPG1DekmIED7Kp36jXSvTCiJxrw1G 7FBlDywfMLRKnh+2+iZhUmu13zEa6GD1WwWrk6op8enLfLH1x+6JMCC2kN4ZpOOpQiWCT0wbp3kO +d0yVOneC5FA3jVc5/ahHTRVdHCqar6gEL3WbZp5s2y5SFrzBKj6NFaVh1ubztQDo61DvvqHqkMQ X2zNEj76tkms1ORY/7hnFJUMzIzXRG6UewxkpJstutstZOeaLgdQ6tKxhzINPLtV1g48hREmhZwm XUccW9R3yajtUihBfffCt7MVmkI4E5ubBze+AXELpCdTGvicUtdxnfNmOMvIGXXvkmFRkKIecU7K 2lQmJH5yyAAm3uJiJbR5iIbHnj4FicOgBYb0/j+kzlMalhKAFmfu7BsY6zs3hK3z8yPB4OkIoC0n JuBUG+IEllOQawMPfYu7YobpGoTkhyzCkcsGV6N46HMpuPo70Ul3jULKCHFBC58RlUHTGPKW5U3X CSN+9bMO8NHkPaADpjtd4kY1rcLrZBgLy6qGjVwrmqNLTNWUFsX3gME3nC4BBPJIepMMUJnwFja6 4Ob3ON3sz70vH/sYjE7LQZD4le30O1c281vGcVqv/NHiy21zX08XBRwNffAX3Uq/80Rgvgc/9OkJ kmbh8XNBJEo14YQ5AmOZST96EiVJxhYZSASbaqMqINzX2PoRz3nswxduFoWLwoaQJ3hRoObU/00C KkOeOX+AJmgeWLGF75JpEbaZowFQdF/jrAeAW+joSB5mUDqLDuIz20sb5iBYnyu/cLZI5o7GBY4D smNl6PIRO6453UUZPe5qh9rturr2wq1RbP9glpu7RUAMD9pUDaeP87p8RKguhrKHyyvB/N8WRRaI KRdCHnjisGLd/JmeV/UYTdTYM6KfAxLiF7KCJde8WUR3IIcpMHnRQ7A2GFlyeeX8Cu0d3xc/5x78 vtwfdp8IF/1IZdEUQz0cuCPbdbajLdmNqDJaTQ20DhO6HiSBlPVE12qeBeuDMMjp09Y7K9yMz4NF 4RZjqRUjjWeCDnMFwXJ0F3XvX63HJpD4xmFIjoKt9Rcox82fNMCXy0gvPH6leIdPtNc7d8YMtW6W qC9r/ghizrRm2E/2A3ZZKDkNHrv/Pr0u+CYCSuycfxIw+F1zVzSXANQfyNr9vrfIMCXzHhSG7813 f+uXxFOoBViLaShmO0uuZHn5TnqelnnP9YvXY+uVFwd/fmytVC1TPAbmdDvuO8vW8Y0icMypOlBU 2Pah1r03LYP+UpWhGz+tN5OnxGw+kihWWKfy+wg47rqxUCGOn1j+PJ89dVIFUUunCUNB1Y1CglvX L4TgTxjACEptl+mIb0ugJ1JHnsKH8o4KU3M7xUhTwG0naNb0AC91GFMNVGdsCmsn9SDG0JO1nwUj C4a+qTmhPsQYyDty0LCSzecp9DIlkoFVr2Gfd1JZyWLsPS3/qlZTNqlnJKRr0lt1reyTE2PBdWnE 1mytuNauTY32ESsQJE5i/TKQCyRY3woj1aj43ViHDo718kFCjQjnhLWCOLL399yoXS3Yqdp1HjoV vO27LbkyyGWoK3vAExDHbzMynnsfqgWbr87QvC5asGiWCQbvCWCCjPXKW6CaEJTanplpXoiCVWD1 BpNwCmf7isrsi1ep0KapiSgm9gneY+/o1cls6TOkwOJ3iGD/TakHreCwdqYcuvEgg33knCLjgRX4 weqv0rA+FZNG1ceosKYAY2A+391yeZHRJnkkwjP0qjU4/VLvRN//kNhKgDo9xgJDuJmKNk0r29hJ pmg9c/hol7IaVUVK72y5fkSEJDaCWbuRji0OU1FgtDrcisoRPLQpq81IVNsTzJtbApzOieQzk6YZ CtPsY/qVvEFlcapBTVvbUzrS6voUFg+CU4Gx3glUpYr60B7TyWM8ewH77r76rQX4XCdzpX54IwNq EafZ6GOkEkVFbIfgu8q9ElQKOd8ZvEZ5nuxFebH23uwMHiaar8MWlb8nwq4/9mtlZBnOHcDQx7Ha Bosy57sclJtkZzemuLO27yWGYXIhkLYF+VR1pUd7lhGQnDmvUsIIDTEhrJUvs3Jv3vDo3mxBmynX mzvh8qicOZDEEG3YsyMLHbVBc0hYCjVRSM1e5TnMzGhBHlvvi7+nb61ej/CZF+633KvCBFJ3f/Rz E/PMb2xe8orKHjWgMsgBE1Lim4ucwOgavnFYImbhgdHRKkJSKg1raFe+E416mUW43M253Cf2DDwL NNfwCA2jnsLDruDSWfnLCNM8CSggLcgrFa4bw40Eu6g551UO+ugvH4FrH18z96x/B8q5UJykx7YC b3zsPcv2uHEJ5dHn5mRKz/pGcQFvsBRqvnrVrpTkBs5nDa3+R5vUD7vSM2MZ0H6QcXXYUCCSWjF2 5YcQcHr38KRr8N2Zf9VJ9bheVTZRLxfWYixAudRbdCtRTVCI7CVqmM93UgaKtEySH0Kc6HCWY581 53/bdU0eMFPO1hEPmpT7lehEGEgLZShHFOVMQSp34E6384xn42Lnt3/XVvm8hKIAHyzH+xNgbbki 4z2B3qaCBxLsaFhXKw4DtfqO07MAw4LpYiqq0MsTvoIb3CoY6VzsAlLzfTDGHPzVN3XCtGVPwsne xVa+zvqDm/1xTygjmXMpFFYFibVz81qr6szFCKmA+cyz7ErJBrRgpkb/Dtiae7Gx+5VmZK1gyL1c DmA8iQFkyM8zGyNTKe/helAnmPk0A1V+pyYjhelNl09t7L5lY0RZdtlzjeI0VTvblupfsqvjKZ3J QIk3NZXN7YVvS7kkc5ppJkT6Z42MFohAWCFblrQudt9foRJcheCh9yeodrF/rz+C5VfMjRslQMNb nzKGl8jAHyztdC9tgaBlNn+/SoVXWOPNZyOZJIKXaObWSubllGMIrGs13gRjw3rtqGcMEDrgme6S wT0eeLOdp42AnMn2fZ7x2cCdV3dWsAyojJxBSgOnljY1ry3jpoHUsgkNdrPBz3+aqvYljfPWYwSN qSEM2he/JFmCS4z4rmcLAjzz4EPwbuskG4WfBIMeyNb0m0z+A5wMvL1ShI49u4hVNTSi+EeYoQSj dnPXo7vH5Rln8BVzYKar/k0RPZmWzL6W6W351qhhe6Ppl5exJYQeWXpELw5dtwd7VoxYgx6/vC/I iujV0XB0SY50T5rEMfWquTv6iDAwMMRUF8EsFm/D9IoZwmGdu4fj8nkIPT2DJIa/AaaKtiZspjGB 9T9vrur187E43pbKRQEJB/3ycKWjxqze2j4KpYE1ROxvkLQO82Fb1qma+M1LG7sP2HXpn+pA6s4/ +fH1v/XvIXvZlIcdU9SWEVkNyg8B7QQcDx25bIS0GI/cT74FxRComiVLDoXLaT2W6hrXioSLErGn WPbCPMvhXueVRHtmwIxgnCvcFeMyfyuR1KGoiCCk92tIajNflrjFY79ZoSLGleqkdlkiOB7kXy/y xQnfxjrq49jpU7SfeiYZOY5mUdG5FBnQN8WUZAjhWgKDRJKnmj+m3ue++pdIu3hRGFktomL0vGRt TUI1uBOnhWk2bsr0y5JpBTz09GahNjsxGoTZTtWIXYSjOTHIeITYQ8hsahh1xAQxrlUXXkSN7cx4 cY9D+yP7Q2cZsF/fncuUxEHR4G9dko2OXlwPBiSofzv3reFSVdpTT0C0p9JGJS0C9fxxDpt0ML4d isuHRUcRatkQ5vGnW8Tt3hTIDwcDCnydY0b6KSnetHWl8CjNfxsph2oY9Rh7rCJCXSoNCo4CQ+yJ 2CgcKxln5jdLzEsncc39SvA66/erpMBdOTv8m2PoyFHKZydNnCCMa6L38KlQaMgEBhNke3wp3KWJ vM4kqoPXJ7lP8aanx3dZKPjMIcxCJdL0ZNA0qXUT9qyfA3Q/5AmLUABTr2xCGM9DywejKCVDWd4X EV1XQss+zdNAef0nJtpwaOQ+PY9Yam6sOFh9+9f27ujZyyblX59dUOTlpr8YFvmggx8u/sMqLXf8 P22azJFKUHYFkXLmnSx8QBfvdjIgrV/RKbe848PTUPiK5jUtHadPDa+/ArrkrYrolhLewWJ2bUUi Dptl646B6bcq/uH94fQamqUzEupxMYg/J3qSHOmFVa/67X3WSAPbXDKn8+j2ZjLZYIxXAMbGul4m 0gfLsMQBH9LbXFqYqPlCpwig4ND7c6OdlBtKTB1jbxUNtA7quDP7572w7rIPBIVXJ5MonGXLtWHj GFbFgX1JqReQ6NiEuGiAwX+LcrI06litjfqcDQDMdpiwmMd4tDIRft+y5TAoJhDvVnemQZt0nUEs RAaCZyBiOdIsRgq5/954WLhkv32b0y6V1pb4yupZEWBXa4fnutynYeJEtR9M5jtqf/IckbE6+wGL Z/5497vrI2UYzZRNGAHey3r6Us7DYT4prSPhuJrPlIRPyShkuJnZgfybyzs9NUTQmdoD97/LeZ+K 3ooxL5I6+9TCpuzQJwL4Zo75PqccmvfQ1Ta+Ut44DWgXdWlXXL4S7fraHiSeDmob4d7pSQlcc7Ot yWrO/K9vmOwAsFsM7eifVPeKo1jkrf4NGJcoV8YbfMCgA3zWOIH/IDDDPGPPOXDvPGQ+vXFmqoj9 6IUcWR25p6jL3msg7WaoqjGCU2Od+JyV0PAwtWlPlWVmEKiKIrC06WNoyz2+702s8wCZ6ZwmcXnT bmbV80MvhrLrFAY+SQEBcIPoD9G2lf8q38VlwsNLm6z4ZZ6kuxWe2QFj1b1gKFL1xV2KAcuS7vK7 nGJXZOx7a0Rk/nQVc1PvNOA8I5XdqR6omsO0qEw1cDt4lsxoRD16AQMZi2WbPIZ2L2Dn4okzaVGJ HRQ96vy44/lRsfzcVPUImXKykfkGG01W/A5VtTBl9MaIaE5w4mFRR9JL9AHjs6S61X2PiFHjGiIQ Cvd6mHTmYs1wxDDAGQPicEUrwLbgBMtFcewlf8HsV+XoBl8P9ODcHB4d8HYn735FI06oFFhaOebf Zast2Wzl3jLUYeEDbE8OJipWPWNZxPFizv3DBvK+J7qMQQyW1XIrlz5yquprMkx+O8haGD7m9r59 PpeFTcQmCMAmclcPjM7hhTTELZsW+Uh42slg27GwYK7Aoh5FGPdK0sLoCiDu5wO+O9h6bD3W2L1c CZZOlL5k9ngS5P8cOBMGarDoH0dNUGqnCQhfn5zNetHSAH13ExvXp9e54bTdG+FRrDU935VAM63I BW5IJU6cqXpQMLqqlujcczfG6clkdcSt8Xgomi+9ZT9M2sz5ZUMfQf5lf0cz80jpROoK6q2Y85Tm v0YPlouMH1KLBFKbUeUc+MnlEctmVJMF/QRArMFRNz29ikiqCdFYbrmA+DCWH4+xRQEA6yVdkLN8 5tR/lPurLInBqEG6Eo+AnxONiOetTJa/zEwRJ9m/leYFetBRCcetDIWZJlRVSW6FpQGTSd3PuOHz izjjJduULXeUM3ggLZs8xiUUJmO8IVDSmFQOfD+nPCW2u5WJLkmAWjF4we1xNBD1iVVtjqNLpP2s hIY4P0q/X2KYasvIkDMMMB9biD1PstKenwwSCP0Azj1FNB5kQnbeCqRMHMttv9eGx2cJEMDzCg8k zhIxVx/1gzyWGkfXzThJWFLHfdHZI722WoNZDf7PxQ50r6FRArEkA6jEbxQVRePALR5xf2pNh5V6 RNQDG82eSG1LXADON3ZS4esPH2Ud5QnLQxYuNs0Mog3E9ND3S9txnXJZ6Pd+WFa4JIa+cqbeWzvw UunHdahPLMthkEbp7J0CJCxHTCZL1BKpt1dTPClSvycsD0uYLBci/IQq5cuAIZBpEEhfyLNGvaYj xF07V9RMxVnP5Do3oEnFC855IljVp8wjbh7HRi7ToN/JOuieh5Nqx2Ck7Bz47Ylf2ubwutPZqD2a B5WPyhmXMasPd7ThucAwyHIT+uCn3DrFF1SmnJlcIx4Xjj+dvpOQXQdf3KSq5bPFkuPxGOUtqCXY UDsiRYnTajohvg9PXEbXLcCOvp1u+JTEcUCvDW+2Y+gaWqL57VEP6nYG8xINBGDskauy8tisoEW+ 3FIsbPKx+fz84ayv/ppVJajzzPOvzDxkMuP/0HyDkld974Z4LnQsjLfkrxRBcoWv8329XCmzBgZY Iokpf81S01LE2e4h99hHOAER9l6VT/LaMJ+ognckLVPwvTf84JKof+I+2jFT0njdyJPhv9d+iwQO quf0zV/Yz5o/3cmtGeKNWuPrg9zyB9qlgdFBgmr6w9QSyAsUNN/DWkb4UzmXvVkbSSPcklpz/hjC 8HwdTaG88JiXlMecvA6xRuB/FSW8AaSxIr0mxeZhD86ypbb3uPXn5DFizxGtlC8cu5hMD4a8EUie p/t7BYQJoxoE+iOl4DxDMpAw3BGICSJim1PYWOUfoCIPkXjOn99KnW/T1lcuEYM/3c1UP7aHZso2 5f64k6ePUQ/nJMhnTWIhbNdk2DAUOTWrf6kv+zxRuWHEwrN4/u07+VDB++COwu0bvnpyw01wgUge i4pMlG4qVTIAaIyBxG4I/144b5uW9G8kzHKm2oIc7Y/4vyd02HbieKQFGIUmphTcfkkvcnMr61bU VpEdNw/b1gvrgw2IQRJl+RqF6HpEqiDAwIYpVt93vAs2yLmtYQuq8TJ+f7frfhEJZRXt15IwLTbs FyP84XXHqD0C2kvyrO2+qxpDhb3VyVvofiH9q3/cveSpr+f0oki1UwQHniTvyPxDx2brrPBVDllj 4AEuaEEK0vW6jk1IWcrZN9djITdaKE/OIPEKFZeHFL/KcgXmvIrz2f1qiDUwEMqmnADy7Z8zKHwM CO/UVgrKR+SHOpFI0jgVmoepl47nULe4KqxGBe/MiADq06Zjbc1CUXHHYgWA48koi1E7POZyDcvH 6hsARnXZc5CzR3bsE9X3mO7gKPHg2q1NRMQDLZHMqA3QToUies6bqjE7D4PIlqa6HxafhCbEY52k eLzNLW2Ot78y7aWsBF9uFepuqIXHeBi0z6nH65cpYkMr6WREnDRVM/v8vCUAOe7bMmYmBTgHcHRu FBkewunHem7UjZlbwqoMvH8mlcA5UGd7FHZXx40IeS5DAtAS2CJW0BgXLZee5lpMFP6kT5pyFpY7 xUP5wW5CGMkWUk1DiYLLHtLYjHrYBqhsKChqT/JEx1kvzNZj6XXoPY8kBVm/IFH8Jt6fjF7hA/P9 SkuWi+X7f1Gda9xcrMYfjo3DKdA97E0uXI9z0or0ceeFhFKkebZHMD+bbIv7Yl5pktXsat4euGHj A8eETnngl3u+5KHfLoEbdzT8iSTzrNl1Ui/qn5+ty9QlfOwaFPQS/3neHdY3u+kEpAezNfnTcK7x ZjL9ivPbBh7vLqA3yR9NG2/EcEnk/wTQTIRk95b4+MEMFlytiWlWdNJF/b7GKuynT8mecct58MKN d195UeVvMYYtuVorITVjFPI3hDm4T54fQ3lTCFuCipx2l+PkVcB+y7T5FkBQ4wgWbZB5m4sHSQO/ c704UfepgYKVEhuKXbk43LPkbdXut3eLQZA4vgPcxhYnPjcCFBD4puLVm4svDg+3QKQFd1Jp6m3o HHbsPZ3wH0zXHZziG/UZlL/wobn8aFWhf9YGIR3ATvvut3VcLbQZ8mSVEJEXZ83+exz/QgxiqIc8 NdOo1nXkmQb5ShVhnVwzkFsh6tukYUSFkJXQd4qvg4SZeFbaRe9XKRmGC9a4el2Zw/IbzoeMMNRr ORBU2CVkxPlWL6jiKWdyp2LNrPgVsRmNHgW246NnHAV6zKdz8NGVjcGaWRmEDNpaJ2XO48wNlTnH qUKhBsl1IcY7SVuoY5JJY4p+SYrzJzM7cWu/d3L646M6J+QboG3ssEkXh1VNHhGxE6KZHmgdWsCF nBme9d8wq1K+xfFzQ7uOujZ+CaVQQosXonKeracYsCzB0kJyEXNT+TZEl8tVAcK63R2f77dqLuTz 4TbQy9JkgrwuaJdqEUfyLmg4darwYHM1GkGjUL+lzXDjnw7orL4c2V6rweZKGBQnKFHGWsPDf7UO WE7FZiJQeqWZRLuxvRq5GLrp8jhuEPS2cUxzSXNH77hv8DBOOJ8ZQ7a89HIsL8wGDlRG259yun05 +v1yc9PPId5pfPv64kVwJmKBkaoKjhak3+60lA1hTN3l8vFrBiFD0SIHc6zSjd+ESabXEyEyGjRa 14sYt107vHJbypdZNCIDOj97gTR+nWvhMtetED6fiK06Wil3XLDBWXRERDnnQCRt5NsI0LwYhVEv M9hKpFI81eVrAqnfMmwmOsdwOJlUAomE+p15Z1FWAK7O8sKBwOJ5Y3Q13ZoqE4bN92onQYSCjYwZ uaah4jwNHglGUBDEiDZDvsu5oeaf0CJIERrkHDQDjKYBVTQTas74DBMY07wBf0zBL4AKuPNNi05w SPRZ2jEhjqycTVd4Vo63E94sgkZMgLStGTgdc2vUFRSdSxNRI/MbhRupyTokwNCSSbbVL82vU2px lLpQpyJLOZSFczuEl86FkOkt+cWOCl7lPCN4UVffLoYY1k0T9Oo4KUYbl9nNVCFg4RAiUyvXMMQe D7XuoeeC86ES5n+LolizKdygqbVeYg3lWKXA8fyMMJcccEfgrKTBlM77IZFmbsctvlgST6dZYBbi zBS5K/6Dqr+nIFN1eMI5FTK99FaKV0i34IeL2dMzTFCU+HhR05SST2VWEEOS2j5p7UFZS+paZPfM DN+7fCBH6xYkgWFjyKf5KzZfutemjbNRMQPGBqsiQCLhAl3nHWJ1LU+yqLtPk2UU6SOoVcfv3KWH 8qxS1q7oE5SnmL863/0KXARVyMWOLH9g8bM823DGUONtt+xOJdmFpo+DyGMeb4GTlquZSRyYz2Jo cY23vhb29HcusB3L0gmel4+BwR3Yd3tilk13FkoMLOfMy28IqG/5eLyDzy00YuMguEAe1Ywx0XPP v/QDlkKC8S+GlD01LXeoyCwNpXC+is+/4PXcJH2X/ejM5NPdLRwlqSe0iq0UiFn/3mfjN58RZThQ tFxY9TsvDjh2XL70Z5pemM1wZypXhVODdfcLH784hoQ3hQ8o6Doj8JvVQHZOBTTalqcUfFmKhfNW V/kpeq294eMPRb0WjyA4zHYZqxL3JzdlGdlut5P/YyLFz8TaH0/BktafUIZGGSCE4kc2y7VwozYh sK3u8IbFHkSmEztiCqAYfPX/Lb49ib6+zWQa2e//r5Kp2p6ZHwCC37IiASPmLFgWsulaNm3ieb6Y fz/HEx1HtPHTLECF5TXtY7SrZrqErKXwFBLs+KlZv7Mh4Wx3Jw4x0ZJand6imTDIsQJDrczckIzi RlKsY7F6jSyBzsgMhlzCUdJz246sdAdaPtzyKeuRGABFLGUT6Qghw1rGigjSECt21Tfb8vHgpE9v JaKeqsyJgl3YRmBtauQey19DlpeTQXL8hBTPQli7tYll7DYsv0rA048WHP8NBYGI4bwN3fWzozwe s1oNxWwKv9qvKOcmUW+G0GlZVp2vx8Ux4QMfhOwKQUMXHrNf7swWnG0l2xz3QzpGAtyvLx8s0Hvw UP6elmT4oxdsMcik/vNo7016LGvpW1ix7e6EGU1UW7UyKZbUAL1rACRsXHvONDhlnHlF2zOJCQ3r k6SWMTpi5AaCe85AFSk7CQG8w5L3Ceg5BDc2gwiZqOhBLO3WUFLI/tiicqjxcY3r2jG+1hKmnjk3 C6RXB7rbdc/KmU2frKHkvzJAwaWboppkUHxDYgw066oxfakd/uYDZWMx5qGG0BWxj3adUCLpoKpL 8DPez9CcTE15tMCnQQF8Fwo+hKLWVdIThPZ8bgeiuigwP86Q/GiLhLQakdaCzi+oqVxGhyg0eeuH +pBjoynDNrcEJmWXxI2eYxcR6jjr4VR2xl1TNTFz/iL/F8Psc4nwZBNJo62VdKtrYj2L/doMZsmE 4R5WgW0QD6PtimiaTSbstKFq6i1T1P2+PwIe3rW2tx6XlRJOWxqZEtosgsPxAbaysmdS1KXTgU6t cytZ/bW0xhBvcU9fh9cZ3csKQU1MYvy2FEY6BEDEFGovPdp7+d22uVcDmtkcaNICHbBMTR3E2/wp 9vwFTJQqfqS3w6GpHWdEPHLa6SIUjChWeZqqcJPsrxBxK3VhhghrOIQ+1CC2/+Kc2eIvWgUjg2Yc a580UO/2D9BNhZJzOar7QodDuLPbnTkbe/8m4a+mzsu9eDl6IW8fFElmB3J6HL7aoeIgcD+FAb9I ZqBXoCvdNsylpDW2drfFUMETp1CUbjx6Ry5toVSmH7rkl4RWK27MtBDoIuFJilg/9AAjhyJT/zDw TrlW6c6scLmItl1fWgf1xKd6QMbcjxjYBAXVoMbZRXeUnYuBsRzCX/VWoeA3crVe1PStGh/yWYb3 pG4+C7vriwn8iC1OqQA+lkvT5Qvwy2/vIIQnp+wKH5ejavmaG72YacA7x77DU+AZsFB+8pzu8+Aw U6+Nzdred5reoec9DZUMfwzQYy0IZ8ZU9sAaPfj2AEtafsP/xE6lVkTUly15xE+WZyMMJQCXN9xm /W9Oo/kNSpCoyeka89PCf2aURPDm62Yt2Zz6Q0cQd1DEyWvdp3ySboTZVd89chgyAlndS/HEWids yIzudc1TLRfVxS2ni/iKhS32fpnsd4Stbs8fPwMHCkpk85RmSos3JB1cN23q6mN+gfJ1beGMonnu mjKn9oRROW/K9718iMtMEp9SwQzCSm6IxmcuekJYD2IKVsnfTbGm0U4/YYh3uVm2gA6E6tg2n7ag a0MuoyMYg+hyTtbF8a0+qh66VmjqY7VeuIR+zdgrRwsylX8AERv8qVTGXWVnuKn3YMP7Ic+jMFCF a7JA4i/6gzdwQtsLaCDM578Wqv9OhQ3pi9XptsfcM/ItinAo+Q+nybYclPb2iX5JCXc/Tm6Mv1pi F6NHo2iiMxwyyxoOVy+Nw1UTwAoMQZIsFLaGH994JILJfOQgjjPiQBrGPGhnLfyTDWiStS87hq5h 5/ILlg/2oWGWq4qpBEuGdZ4r91CTHowUEJyNC9BfDYn2U9DF6qblRs9RiEJx52z0hjMRv/narpDB sV4wQimEr7o5m2hfG/H4pmPp0Je8MefeefUTJBPbHgTgBW1EvvQzSVsZWRO0NwuzP3gDH62mBhJn GdJ+XB6elq+s29U83h2/OKTU100H+g4HfV2PJEsPLKcNKYF3ElYtxALnElqCR4TvkFrminHmqDTb wWiax8b296JTOKHrVldTQRNd8bvIic+wqQhHhgLAPdB6jyh301GxzIhYNhD/1OV0/PTsVj8Fi5dB gdUfWg0BlwmdHOfJCKelly6FweNfUCFEdhoI+sXQO1u62llAnsp7f19ZwMcEtYRbf6lVj5uBZjP+ bq6JAl6a4WI++KW5xAFsqseDDbzTWjohkQ7QbUQ9Cfkylpo4MXsPZVeW3GERqja4aNYafuw4+Kcf PeL1A98bHlJro+qYM3olapvG5wPVNUWIqrwMKZTj/VRNPiZQQ/eMnx1WKaA4E1asKVqtuTz6ujMc h55yOi1vafMnjfoy5V1D1EfZ4QYy1+kxCgwIwB/RmvxG7AycJbPS9fpnnwcAzMGseN6VQm/F64K3 5Ye/1w7BnbSPY/RiX2bTm/g+F7u+tyqTypmRoPy/NT/S9O5b3SjqmQmvjUKNFVARtO1ZjAtTcxtk aKEx17GDx77rpNPUW2su/euTyQ12EGsHcUvDkedTDsjN/W5FoAnf8m4zVbt/OV+RUFKHX8zj8QPH NS+sL2o6HT1qHHXoJbyuISY1BE/kOFpEYe5FUXhER74hYYSAr0SNaafIhBX0YaDYzQstRAYdMk9o 9eICFQZ8irZOvxSAg6VAquos9FL4/DSGgmfYV3JWpm8MlrPFmEH7gsoCcZCSAM0zqPLbMgUuIJy2 3wQhDK760xDTrwC9NebfTejUO0O59+RVvKXp+afUO+6ZP7FSFTW/e3dj4Zr0vF8GYGAfkWhk2/5D x0NFhM4myH688tPUHP1t2bGQ4Bf/e4DYqiIaaQH2OqvYOYWEBVNisnPEFRj8ajWKla852phpa8i4 LENLqWxBIKyFmxtFJsXg3Ry+1KIVBBOAzgDAyEefqiwe1YP7twGQdxhhjsazxPRviouqGeatD+Kg JFhPcBTClZ2r5/1IoqMWUCPkae8wuqHEs0u7DebGEzF9KTX75OaqShZKOUw2cjLUn2RtHbU9F57v FoP17hrPSKMqiqjOOT8NQu8Ge4qPhdFShbqj5M1XSq8JdHh48qTrLU06nv2Hc5vXUCZo55KIk+mW ne2RXCmxneRdwC9HCICI6gndTEe79VAnSFUKrr54A8nXWi+PvR4ICqhUI6KTgD+ggNGwXYtTjpSD qEBMEi0pzP/0GPfIAbdPPP13txi372weCoflZoQIoMzkK9y1Cq3BITjyYQnn6KhSRzltot+boErk 8vyhiMMpcsGNIdMq966amBojhmcnvN2XjuipKY8ONAzC9V+93J58dkr8JQMrqfKxJWiEIIfG0sTm 7+KuJKeN/YRP0QHCUlRXMrHb4reN9c1dpAjjcUvC19Bz7FmAhXD7AAzEQQr2ggaBYAlhPXpwvt8J Sens+ePOKjcshBk8m0FcR5UxWuiVbGGhXZHkLytOlyJJysiOkeUkR1PqvwPLHaq6SZ22d9uNr3iu +X/w1YXuhINn6+WUhJiyY7+dxiRSHeGLvKi5NcvQarUp/ZvU8nkqhqHYvKghNXXMQ2T88FDZh2AH QPQ/YJac1H4WE1VtjWQB3OSg6Q7gT6nILO1UQwLgAIbrHvrpjLrlQK9kaeQEm9ZjGYxN5GrtDBqD UJBbBYnK+QtfqzVCYtiCxCDKxdIGUo86lxxptVV1F/x8l7vdVaAvoLfQBWqzM4+HcAWV5+rmZZt2 Jm5SfsLZVqTyDwQKH/3Yo1e7ucCgZiMSwQvYC52OSLm/0LtclVapSR4XzNt4Wjk+0NS0d+gtLlw+ ZVcLsQP+jIG1Eq5SH+2/hw6cIAZK72VOnwlS9Hp6JPDHbXoCX5guW06JL/bYkwwckW12HZWM1dE2 F6ypkjS3z1L9rglmlYNWamAbT4sixaX/Nxrpyu9k3AAOtqRkTwSJSlzwugNNAv/JvwIaqtF6cOy9 EQJjH3BUEDkK+lGzbTrxP3yblEhp+Ywn2fG3749qeu9y2XklYrs1xJuYWsUKQFsMuGh0iEyArnV4 9fAIKQ55YTDpdaaGEfMLY9GvEbcHW/oe/8Cn/T88zan63swwF6CKlsxsTmK4FKgnvulnz+MIFhLO VflWC78jWKWFmJKNK+q0Mu+8RcECKUe3SIAhzr8W0ivHBubeXe2GUXcPBhKzWPPJ/ILJqn2grZpu yOQBBowHS6gO/oFr46F6cFruOkjM3vdaGyhEdnA/sxE6+zuuCaBK19IHN8JIhx8X5tzPhOZeoosK gBLJcLVhdHp//IxZOE3e0IPE3GztjgWKO8ROFi1Wj0gQgqvcqXYMqrDLjUhY49nAc1qiSxlMifV5 JTX+aNpKlOC/O3L3cfZyc1pmY3Olum2gaddAiinSBGSgLjI7mMuOxfm5sZqaBZzrJlY+wAqtGQUi /dn/ZlL/nrYhlVKlGDfDNkOjAqpgVIvVkOdjJS1OwEwkw1SBHVLSe8qlEwEB3WW5E7BQ6e2qLVf8 xnM8R1St3bIQ+RjcJGMgmQTxhk4vahFt2/0JY4VEFkIa8Lvr0dQCckGdKxukCwz6yxKyaCk/MC32 Z/jZVJQjsP6mDZTgJaD/yjZ8ecmzJwtuNBWdcIlrXCBRDHweMi+GVErWikBcbdhIpk2Az/cTV/F9 fay5r1aYWt5foipJ5KISjqiel/wQmD1fz88phVH4BHfjDXvt350A5imajkUZGXjza0z9HPcYoHxm EIcYK9qGyztcfX/IHZXkNLQKJ9acBz8O5rj0lml8xul15ZUBgpAUvcE3mYV+GpZ0D4bVbNUUzheu EnqXEpSmc0RUcZaqxAZBkZmySEQuPI0MZ+jwJg00RyktCtbIM5Zyp/Uehg/X72s3j+56VM+yB5+/ BjWzdqE/x7VHN8hLgxowclcF3XiNEvpAFKPMKENl24HZVB/TyliPjEiblNc2Sb1o+n7DOhKfesWj SW6lWfSbGv4BZmmtVtTjM+bIMB6uyxdirH4oo8QivwSqy9PhXEIYaPbA0WCOVrVtXmpA/uFYPkjH xPaG9PKIbapjcettlzO2MVlHN0JsPZtixqzXaY0aNSPUZ5Q9EosdtvLl4gc1h0decT3nm75M1lsk hKQzaJRY1G7R0Ca7C6mEIqOcNOXfOptyIPELcNgM0iVUtCInwgjphar6aoKz2z7ukbuMUboP2o3H LobTeRuWy4HiGltXva7kQPYHfs7C1uqbGuuUCN1K9X94pqF7amZ+pF4zOHQKBInH+rR0z4/7P660 NoWaSC8BKmOTyRTTDgCIXnfFK7w3Xa1SAmEL7cDueLl3lgQbHmKVQCM6n3b08x1YTPchOsRNpVtp mB70/SQCdIllqXgFy8A4VHmn0hcbARlho6Beoyq+TDbE+4s4mhQhakFUbXDJa0xSE5495Jksm3hq 0TiwKdao/H/rySyhvgWYDZyGSRXFd1u1KyOzZoc03//WJ7duzVI5iEVlIhlcFwc7r/rK0R0vFjWc zm/rOQpZWG610dY6lKtK6yd70+1a9lHGp4ArrI69LV5IIV7p5V8AG/osH5FUQ36qDU+R2sHzHFkt soNH7BS0bF+DgYhq+9CtzoEzS8hEtD9ib5KYPgTVYjHB9RDVRkjwAcwo5V3ktHeZjJnBw0d+JjtH zPd/zns3P4+pU+4KWPAmk7zrovrwVPJOEibPw/IC2cEOeM+a/JFPMgS6hrxsQs9kDDyE2LDJTgOH g5vzZiBsQLh2FGuiP/pKiRdIWqcb4Iz25g1urCmurIwb5b27UpJnp6SqzwYua5dg3c/yO+SE1199 1t8C1pb43jZTGtpU7SkX9eXNQNYwRFEmCEOdTaWuaFta/BJAH5Z8ZYP6sHRKM5Evvbh8iKiRRhMa t3ezx2JZhrKhTe/iK+HaORDWelHb5vW4lk9Ne/YTRXx1hqTId8ZlUgccNjxn7X0tQw5DwahpfUS9 B3HJHNegtAdP9mSQR0Gvaca8cuncAdZv/kyohm7TDaXEUwJtXeG9mZBdZ7MIUMoESjGUonB1oj8c bst+D7UtYTWi1FoTshNI2SwrjuJzKHgTYL6qPR513wRsLtbFZYun/xzjRkdja0FHyt0GR3Bv6UIh aV6FLg/8BcM7wi22ObyYcgnytfS628IHB1yqiuDMTmNtsD71+KXTfhv5lher8EiPLHlCXY8a9XAv vFrDJ5JaW2sJuWrkmKx85BnwaFAHDOAuDzMlmJFxhPI4y+BlG2m/lcEcHF/Oj6XDEJPuXxVyjdwj L2//nPZChyo5Q/gy5hglCUVdNyKOe43LGOJ1CskDf9GUzT2HV3ovR5CCYqY4wbWE71K6z6dR0fFg onctYydIM8XrKCT/JZfHYzNqsXMlE01JxDWVDfd7hyizsiwXbt9BeYM70K9Rr55ZCsg5XzIx7xAp Pg5pZRZpVgADLkbEVhXdasuzjlhbgyX+F7GqVxXDA0xzKIs3FsdL3n3AvXfHX5N4PERKVox2dh2b 6CuOZBXVflxQljVZ5zT1/4zI1AAJQdu53VyCC6yWwsbErbRt6N3IwIGfFz2Mcxp9FbpY/IiRfCsj k1dk4V5oXiczXJQux5XaCmRvU2N3Hojg+LXcuqF4P3blvvDb7zITWUbkoeQA+Mw9L0GRA0qosmD1 kqADbhStCbPs9DDpmHzy2nYx62XyYugwUjNro7RLj15EXBDwR4dXF0F45TV7WftYxTgl16Th+suZ oYIbO25ZR0LMcV/cdx28EnC6BMcBiQYIMIC/PTgpeM/8lOAdqh5TNoBAtHdWFiAQS7vc21v7fAVk CYh0pAlM71v27/OOtEk3u/l6fJtLUzwbI4uy+jdvbW9dYFaf/SK2df7oWM7eeVqdgfyIC3q7axt7 Q09ySTLKWFyPvAeP/tDA4/mhaja/zWQaQ9kkWOas+rHKwTWhFYYqOFRej+fRAwg6hx/eY+T0VPrW hfRgQpi/0evMgtnlrGeLW6uqfGYnyuscfuU7ogJVfWwNe2lpova4Zz1/cKFGuGSFKjceXn4SjEnJ 16NCoCRyykSDI6TknpCdBaEZTM7Jnqa1QLs2DsJktaJ+Soz4wdBvCbBHwb2dvgiZZn1tK713RV/Z TVB6I32WHHYSSma+b+AV/ykbqMqUqDbaikawQAbC+5i29AOjKg7U+HT2BzIgRSroISf1MDF5DHUZ VcPJ5W9l+KLJlu93+M6jg/FPPp5KocYXEcpIz4mtdu4/std4R/MPol/hglEZJZgNDw7eB/SfEyHl tsO9NjksMfeY7GLZQKM9zdlU1dbDpCyVk1swraJ5SCLS4my2EhCM2m39f4rspmjNXT8JL9wgl8La GN+8lurTgKPKvnZ5h8dLSW5WxsLvQy6COxXSleYKRF1+xd1jJONNdpJA97qmaW0t0Z5SznF11SM8 Nyb/mKaD8ZQOyqMCiOu+XNSHzfdgORYYph/IVq3EDD2rj+KlxVr5R8XWhRKLtPvFZxoiYnxxsYyX IaabK72Ts1KGEqPakDpcpIFXfXB2574CN2DrUtpFZkn36qhnAfA0B7kWFcYgwdWw5Y1vkqoPzdcY YjfSA7mnP4IZf5tp78HpOpt1EAQo6gFMblQqzeLlR3qHqiUL4T9UhdRpFjBhi2DZnBSFM0SOg12v lqQhQ4MjBlNSZK6tvJshHMajZCs4Z6KYgfO2nP+themyZIjMwPpFI5iAC97EsS6t/31ygyIPCMLL bDuFHmlr/4hmEDuVsC2FkX6xp75gAa/37kStmSwq/fut80rGl4IglfR9wktzeWdgCjdin2yJA1Om AcIDBlaj7Pw8EiRt4uWBzb+c39cUrIqqBukueIUQJwfRjVnWlj9oqHrbj1stfq4Jkas6P6hFrdhg DDCGHUG6OzkMIK6uOL4E/qQ4QvIsVFOSLO3DERMlqXbhpQ9qQ7XiEjoF8LXt10yAxnZzkGraXMLL wLEOUISpdq8mit+L5qY9ft1IlW5o0sg0ZLfPtunOfLGfkb92uM2k/NRMbM0V9FSUNWxkWdpnsCff tOJD1+nzVB9RWx6xifc9t6zlt+IXnLHrwidzewskpOhUwm9qDIc2JjgV1OeTZx5cdKL6X9a3iyn3 Ah5EHd7lE4hMFfHUnYp7LRE/yP3JkCytiNIZc903+xdMFLmLyWwqCNk8Wv4gKpvh7taiSnZEUscS t/5/wfVoDMp6hb01nw5ssbUE2fV12GdBSs4YJ0Eeob3RS5OzP0aoj37ztTVqM5Zfsi/J7yND+/UU 08cZS7QhF6q9ABLFXf+ud5fD97psVZN800KjAtXc0us2/gKF8Wzjj8yoNR+gFmmIEkB+Ea6z5aR2 8ifSxJanu83rvQg2397bdkv89egMQQ6HP2s7QA6G3j61jkRsj4xaxS1a9QYwky7LXZuekrE/zSRI PdGhSOWoDxgi3WYAVYYldWdIs5UXgUr2sng3oAo45MWn1BrMCjeMsIUKaQyrx2eXezvvv8tWo1wp 8w1VUX2KLre4say9GpRwJtEOOqszxPhMAjl58I4ZP4b7b7XeJBlECnNivNNZa1nJZlN+EMWYkg39 8VkL16Cwy+erP0fbRJ4+HRhSTaEEkvXfep/w3r55hiSECuxZAA8emNHcKCEpUZtaBpm5EiUBf5gV U2xrlnyk41A+SudzaCBR2X+6Qq4vxOIoAO09rsI65DQS65QI1O4l6GhiUT3A8B25l4w5OjtwLO+4 jushAXmJ2IT57gdO4DNk+1i7oOfVYiu6qgGk5lnZ+r5DUxJjgeKaK4pqVJYHJPJANLBdhrBBUKHr ebemb4ozyvYxeQ15eADFqk3btbQU4e5oipknzoumpYy2k11m5Ej7MAgN0ew3aOnuYQJ8M1qGp106 +mwnffXxHecXqP6HzNID7W49rDayp2du3XY7wXaxJYYSrGhQUt+BhXDzZ674vrqOY2CY/7RZuvD0 m5T7Yf5J2ZoL7PZ84CdvZdzm/nBQXCqa3hwj9koOKtZNtoyZVupHecpVcnkE3BVLO7x1k7YlLmpG yLSDYOmHvVazK+wy2H61EuV4s9ctPfIOxesA378OdeC0gX3AsAQkXfHRWugQ7AYJR4aL8g7YqXiF 6TkcRGofZQqBtSH79lPyLzDeXrrfbx/totX4Dmlt2W15BoTGydkOYX4Vdq90FsaERFeWpa4ncbhY kBQbo+29W1/+zVxwk3RrOdlW4R15yc4O5kf47Ibq56CtThOBvV5wi0AF0wsagUZSUlwWeNAbFCVU SnjpCl1dJBzh6vCsyHUxmfVZqKU8h7gEvJUZKhnHOztVIsZQr9zzyGm+OLp7MTlP/9K/FMzpVcvF lHls5Jq5eh8+bhPdlJDvosNN51+FZ3hv2LmtO8dxPapGttzrCfwTvT3p/MFDUE091E/B9seZKeN9 OyogdZ7El+lsjnHfT3RujKE4HD5au53ThSgS8+LWdOYOVlwNfgWpMJvlfB/HYF3R28MwuCu+5AD3 ES0wRdP7SJVyyJ3yA1iZtQrOzmtXpWO3j2GX3woOT+qNnaLqFYBPj45F6CQgdX81oFp4/XUCN6Xt hUzWCSDPOcYfsbunqyUu3L2CDgbm7EaD5p69+buglTYrTswUGQB/h+Dg6ZOJ64mHfDK0hLv78KcG jZMXueDeqpPFm3WrgzZ3fFXIE9zCFY7VybXlu2RYf6z0zEkuD+akasFE/up/ulzr5YwYJEOAdGO7 gFlcbQmvC8TlbgWSs1XM+rjkByToWwPQpZ3HyMJ3CUnQRfxpxQ1bLWF42JCjXPI2vDNh6IgTlMn5 AxPQIL79tA1gaQTwVh+BKOkVTd5KwPjPRNZTQrAbNjsy4ijypG1cap6t0gjgH4oL2uJJ4Aggvfhk vNxnOlAovsEQwBXmkZMW560HjQNiUQLCd+aKxoj/yGvpvAkZC8YGfLquf3Eg1Kyrn077Uhl4v4nN bFifXbYyIuHZqEjrcl7632vkYlgiLVf0Bk/y434AOq0KxGLloQKX2zqTrLqlwxv2pw02ASEOZnF8 Y5TqvnbiN+Q8Y43PtgM5un1rb7gHnt5vMscAoMuPjDLIDRu4e23glXgiMc5lQtDm0/fiGn+L2Ees iAjS/6K90oBHSexBe2VpZjvPjtWNdmFFHF+QFXXvPrvd2BCwPMx4M4CUFRZe4Fhixx0IHqbC5Kac yw68ebbFELmEFv3k/FldVVg1w8gmbisGtPdt/wv7dBiurx9HgVNcVnC7vivtgJPJx7vjX8/CAeDP 8YbgnQGjjTA0lIKs2XIl/ryx6a9mJGnFKIw+UfV1rAYZVTnuHQeI3K0a8H9Vr1gJVrdt0KCpYAwy SAmGZvZj6zm3WFM2JsXJQP8ZjTUr3JKBXtD7hJ4Az/DPyFwo4xuTRKgeGEnSzmliiM8G418yztHM MD4M0R9b7nsnN3zjbP3Kr3vMwSeG4Pueir+TVhl83StvuRG2UfoUxhZFGkIKgGvGdM3oDaPXVuaE 1pzNy4pKrawpNu2HjnLdlHvYc1uII57ALIhnknUK0UzprvWm5yvkCaXH+/kVQpLlMsW9ZT4Ngo4Z fej8qJ9KdzLXflCyFYb0eQ3sAYGqwnkrGZrLLPQWT0Isan8QKHP76rHLgC9gi6gBCVtBibVoVQz3 XexpXueMofcI9qlOb+J8WPawuHomEHgijoZ9Rmrhb/RSiSi/DFnc6sUl1nwp1XD/dlYPXCm+faUR gYU2ojI3FIOyqeiXPCQh7J/zMB7WU4/ElsHZ8spLKUDEh7BE4uATTWS5PI841xiianlDfw2efjO7 OZ0GvoMTjKU1iAuzPC2FwfhWm3MdKM85wfQsOOfWY+Dk9VB7zVNbkc8IeuGED0P6l0QJ3e28EUtQ yLTEE87r2GQLAuIpZm6NZPmwdrCV7n8u3ckNzIy2/cUN6Xd10PJNFGL9p84z4nbEWo/ndcauSSoB 5jwY5F9DMY9sdUVGf71X/MSE8eizf31/uF7SJELI86wVfLiSN9X5PMe86j9h68filS8djoRn4ZpC uLr1xrwfHw3Og5oi0qEZgGmbSV7BHEBcd4yIpOTPX4/TBqCMwIQW7wUVhnDNhGAaRQqJ4rI3BqxC GU1dKGc+WgH0VEnu7yzrFCOUwVXRDYx+ujopaoNyzAuasQ950vJwNMFB3qDedVwBWkzX7PmKc7Bl 0D5gZpdDcDTbtSOqwpgs4aM9pBxV8torMvxVCNKRXui6hUncGdaConmh1MBhkxdRDYRddkS+VLxx 8whFW8ev+V77iF9cXXyAOTOHOULPKz81ILRNCV2V5t8XR62A67E3IlHIAqwNrJGsI4zBS4Ta5tjt ez7Az/+w/TKWsEYpVXX4p119E617RqkKD8qaK7v5skmlbmu+Acfzcm01QVrMMelo40czp2LoFOHd HBFYEp4/0OfPr8xKIM6DkKXmtPZDgWgwk9l0ZzC16Wwlh72Gsl4anrgJ6R/pJn7N3LaOVMQD6gLw NzhoflNbmsym1O90NcwqT19EXgPt+l47tr2jT+LWwitQqGbuKo66j4WvIzhD+0UfNKyks8/EM0Bz NniHXs6wD+qEycBDXPVGsGpSLgi18Apu5ZsBXCC9mpBQgeN7M/c8XBEsvTXmAeP+dTnyYa7rVT/0 gZN+I+pOYbK7NZs9l7opfYUWfHhaRbntobMBeXJEK4FnEk/vQWUa0Nk3mTwQDo6TxuNL+AcBuxsI KyEV0aLz1agJqrixHd4gAaD1oy1VrayilQHxbI5CMfibG4mypg/gSJ2eYVMQSTH88/+iwnQaonDV EstyFzwcXg+TT+xoZ7kz1ac+ZP6vwk0nlh6eMnKYRyuHpWfr/sDpzqZdtXQ2s8umTVBYuRRZfdbt O3I/MR7JmFV75ccAeKCKzTMVrXSHLPs9GjoSVgS3XqK4jtVaUCubsnsbYEJLlH7/FzNzk/DCmpL+ fsAopAW1OshIhvBvutWtl8Q9scel4ICSgfeSkZ5+g39y4kuRX4K556YP3yjvmmBBXJS4cHoCdA0q j14n+Wnpfwg8g7d8msTwH9wkjC/+/7P/VRLxwXTVbUMrrERNPje6tLyhgzXbGePO+o8gGYK++cF1 PVCRsDu/0lEoy2krltKUGMjBfQ8NDw9MovB7p6BjRO6Qd0wjyK43fc6NjvXWnS5JFhzAs8e9Uiuf uWN2O8xKdpO0s6vQjzmc7P5B/VwLXk4C1k36Cc6snX6s/QxtZi0BRbptyxA/747G48a+TCIANBXo 0H10tzqG/cxLI8Bcq2TEF6/qGWTZv9mWrYIRK1yYs2RnlMSccy7HsDL4H/n2802d23Hnp6hQXx6/ 5mvG2xVFcIsNx6EV6JDT80bcCvScLAeKTWAcBl39NfT3zbWGpT/2+TsGlSIN4X7dbkXNS5GKdunI CXeXlKA7DEnyECgsw2BmVkjc+QpHDmZ0ofDMiq7Xh90Yzh2tLJsSzvAFYprhRYTpH/GLLlF4Zmbh b2vYYZqec7j1Ca8YWZQl+MersXC8qN1yJEOdu7wbRXbyHIbggXlnxrZuyTVOEOifp6dgqkGuGN0c dvCjMrw2gv3q8wGuR0eP1+Tsna9HD8JXarY55cI5mKHgI8cHQ7iYzlFLdEbn6Mqck4e+uMiYkrEi sE1882xIe0GvVzwBzpNPvI6W8wh8k/RyaUbrl96i58lEDTUe38K5k25yIT5JIq39czw0xvNYLtLa /Dt73RfmGJx2nprRO/ozpOgOF97DDsZoQ0VCSp85thv8mXpBx27DbTToXgqTFV3boORv7z0Scyrp WyzRWWtOoKdFK832mXCnAbeNw9OqP02ZDoXBsbO3lIrBOsUQpWi2eH1uv5bLVOH1gr/cmy6M1oeR 6SP1WT0yQk8YYx9Xhryr8SHf25lW46m6qRFZbhdvQ4RAMkLTArM/+UWPteVUWA/INc9Tw7qLeBbI LNOAdybzIbHqlm4vz0w01Yl3lNc33R3ieUZ/XMtHXbB3B8vmIj/GzuWonR+lYXvzkhOm8gA9/QJi kNTCddnp47FDJQdop4cpqdBDhBkxcmVL9ej9/t8VwmiNaufOQcS3HB3H2HyEo3xr0LdE6TtaYi4z yYyfc7TRfQgmCFMbyQ8it22oiyalZPX56eVJcV9vjIQ9KVicfvlG/A0nUumcM71FvcFPJ2uCcqwo P8lAp8by2gYVrM+QnvSrwRhJtc0n1zaaeDKCuDHwXz0BfOrLbNFyySCTWtOJOHz8WtoEcl1Gyd5y A6sFs5f+ngtjcJ/0GNqWqvK96+kbHxC7LOXsPWW0x6JxxIDbuh8pDvphMY5G157vGZujB0vPUpKA 8tD0WKxtqDIF/JeWTYATR8X8emzwy3w99RmjS8b2LlaLI2gRNJ9tsmxEJnmNOGti0hwLru55z4Oq u0WpiP3IfQq0NUBr+X9jE4p4ZqnLxbQU5zTtXLEadqyUO5xQVVmko7KH3nSjNklfoADEKRpmwco2 PXPAdblZievlnJdpFOotw7ls/lWROcjTOPFLKnkmAnHNLi9wxPnuRLpqohnP68yIcZi8lzDWgCOC Gd5gR6SxAwFkAEH/s+LeHd5bt8yC0OTuZwXbEXWl6bJc6KRyCsqvxNMwBqUxcpTLPjq6OM6s3sy7 zsKjLA9ElxEbeg6zKyG+8gpF5bb0Qk0Wa7Y+SUJ6Qdpi9OJsMBmxJOyT8IqVLgxYb72eYMZKOhH/ /o/4tuaJ/e/kzZTOqgvubmp1pNAS+WuxbvN7dl00LhTRckyEtDkI1Fnlkb3rSn3JBwBHONQ8adT/ GXfPTWiytqratCdCyVAtX9g9UhSyIZk5UmR09eWLfVLevJwShQ1UxhTAWytT/BHbBa7ipq0X0Fod yHOde19gcpQTwWiQBo3nkOs9k4dCxzQJLz4cILaSZxIhjUk+IS8h3hwZEK5JSzPlgE/CU5PuTTFJ 4M+zqbZOjs2Z9UVu7cZrM+bBWchRF4kESzgPbRdzx2JZQxn2qrnlI26kzbGZwEMcZ0tn23nQncxV 1vHuMoXagSluNwkDqQP/yYlk2SY0fi3dyd0pvYfx1ZNkFXwu6HQcwDRKY4tUJS2GdbPHKcNKlFWB cikhvucMLeap6TEMvCchN4FrbbyanaGza/6+Q9vKOMt3tDu/gFu++dZ3L/3V7iwLVIoVfKqDtWNW U5/ZqFmidD09q8mRpAXAM2Y948t/KfxDCXCbQsm6A8DhZ6roVyEfoQnY8tgT7e8n3YROnTecbMIu VrWFFhN4cFTH76K+It63Nn+LTFmZD7abq9zgkTx+w7oQ/IIDDVrYbHKyVgI9LQTOOZ3ORnozsPIi hgBJ6tvq9mYIhLrwbPrK7EGxxdzhtW7N0TBQPeM41Yzdgw3PpzEHk5gEeXczlGWxs37r0e4XHFst Tjnvnb1glcATGhrRiOnOo4tMuY5q0k7MZj5WqCgIQ9mm0NOcvjh70K0FjbrVDJu1Xc7YW1knZZys DmuEZPS5Sa88Nh1LjMmkdvpKrfaOLZFtptiRE/f18dUnvOMKBEUv8o9hsoyQ/rpVOi2/mTPQ7RdU Nq7hoKKa++/YGEDQ2CWxWUJ2j/WhKY+4o10lih+csj8ZKwMUkIrlkFApyKbc7majXOHkdRPbOk6l xbvYWRfw1PnhrWylqkwj1LTMDQV83ynlDdD16GUi5NaE6o2tdMvxqM0dNum+lHMKOggvV5t6MIx+ OvtD7UVrGvkag7OPGtzuQl00jP+1bPXJAPLfGPnZcyDMhqX6nbb2kQ4gu12eL6/83i1MBeXA3a9N PoNO3rZ9QPpw59Ccwf550ckgJHEEKHQExAgRoimLeb/qiV2lnWJ8yAKHyiNRMYKMRFTvpe2NeJy7 ZPtqmCdwuEhRHRDa2NupAvwqDTDfhdvq+ZucBiMtMd+jdtzg0Ug8npG8RCZbPF5wDFFuIJxj8F9E PKDzdaBcHEANkBwrHwZ/y99QemxxKTfGRW76uTsTwjUnHjz9JjSbhEdTWD27pRlZxIT7HXLhayva ooLLMDilW9sXA+07UH5o1TbtjOSIGw2HM3cl0AqXxcE90gbORPOixhMngyNSVdn6gk+XM6FC7xDh C+2pf5udJ9h9YppYyXe2iLKhJVOlY5VUwFqXi5wMBTpDVz+hcLGxS4X/TgCLGASVpRAQhHQOubYg p+syyoLR9fE4Zi06PAd6g8vRZ2HIbLb9rqVHKXnO5XHWa91hazoNQM9i+xJ/PYZC8zs2e2LDiYIT lVJPDX9MWap/KEYTxhpSGGy/qnuMkDFDtWe4n2Nb56feBRMNAdLbf3nz9/JB0gI1+CaH6KB/NgX8 1SjTklq55k+ACVv3vHy65UO7As+KGr6jJgryidTYF5faPY304MBQGJJWhLbaavC7C3HMTdlNxWFv Fo51g/Or4rYj1Tz8pOWrQCsRI5OtXpTNvjBxBD0h09I2O2diqoAsGb6A2E6SRI/MtHrG6VmFqo/H H7RAtmvUAdLzhImnmK5S64yNKoGFrVI3prnvfrwThzOV0vDPbXseIpDFWPkr9T/b6EMspm5/qcPL QK8XYrJ8VR68DbdMK1bO0KWJAyP57O0BtG9WPbMgP5Ocq6OXWOf+oHiMS7kTeeR8HeTGF0SmRJAM JgvoAQMu6dIKaRg849geSBwqh2kN4cgZ7q8LNrwMr/pCaNQ/xKLys1KrdLIMTWfjJ8xlh99VqMTP wCRz/qdUzcKnfosdDwK2cq3HB6g034CIkaRK4wIos5P5t8ZV2TkaCSFUgRlAoX20nVIJLpDaupKl aYhwNfz6s0SgKW6Goc0gzFFPOB0XtLBpzrsXm6JoJN6dJRlEuxuqbfHpkgEbzgJY3FvP+jogOXBy BfINLdMOlrebsdkm+xMcY1iB8whn0aShuspBR3EDAVI/SDlzHywEIXVvsSnquDJQjJoGFQ+BARdU syd3nyIDdT+uA8pHIwoQWi4Aj4PbIZ2dlKVBQeKVAGxKIVUjX0dB4zZPri7i7gItANAmXMXIitJZ TdoOseXH0rsfmXhRpY5L6oCG+lKoD6B+7zQBpqc+2s5kH49tnmVFO7qMx8EvBjJUtEH6FN2QjRaD 0VY5IvarapQR9iEccO9hsQ6MuW5W5EkNeyZdRq7B0B/JB917PMY7x7wNh0BQg/Q0NE2Wh0Rzq3sx DcbCYEjI4Ifdof8fHs/d8QQE2gY3TPYMPDmr1pPis33yxL9mdgRf3n1zQ59GhOWbbdUu630O+6og K2/vMUEsag4J982S9rBY+DEPqou5iYZJmgavJTyuE8Jy1WDzN2FbEr+coBcJYWHd1wKHJebwCLm/ HDBIv7ifPu04Oo0ZhAHGpfq8Ee32r+5iHj5arQ7GIyGbuE/Grt6QlbwygUoqy2oS6Ec2gtp2esYx vYAkYx63Oafuaqh/ewNDyzwaKoVbYN7YTNy7u8PnWkxrp9byzBZdMolBBdXInjq3iDwSBuPqCLa2 FMF4Ooo4UhO3jKYvYab7klgjvmpDuh29Y42xXVB6iDxMKkw3793J4DxF7JP6xHPq8226P8GK7Zc7 KMexWuSDNrRnGkG4DgbxHFVn/TDadZ6fo+SjD8yRZoEFdHDLfHU3vi2vCGRpWSTPWaRkwz3ZzznE mnGMByql+sD9giOrrekmkgINr6s77eWGrxeoi4n0ikEs9t8gZYmgUGgLfimZTaLQDkqlX7vDfiAQ WEWCU8rBd4HTdm6ig4YgIDUFnnLwdit6UBuisTHg6Euqo8zUQ30ikomebB9/B3DKrzbhsJXw3CGW NY7FYRjTfK+0iX12u+0ZvuonNF/TDLUQnw2iP89lTJAMwbHmuKSEwRgg2IZD9Go7S6IqgdrHh8Ud PtoCRqx2XKE/Fys4pkdvX6DXeheVFRar4z1B2Eg1s1gVKzEfJZ259UEyZKBzYGcoi06gvjPeuquP eFFDMagzPIYfPzglpNk2OHKg6LH4Xgsb7YAb+BtHwvSbFhrtHaTkREpVT5lFJ02vc3bcmOUbWcXi Zq/g+d+PNrRS2haYCoVnVV95HCiGPGtKeSH1wgm/8qbp1Iwkzs0uYwS4QBymSwPuv67PjZ8CuGQU HNkmrTDMtcXEGl1SEslttxiqDzwDuXiH317CbyxgQCysDwL3YkNUK3YiRLhu0mIFkH87lkZfqvMP EK66ZPgKWBxHgm9VgwTmDdTNez1GJwmfgUhu9Hr4OEb5Px/Pu6RnOcV+j1Czy0ggTJ6u6dSIZFxI UOvhAS1KrQe9g287Q1No5vUkrKU3Ytp+aCbMfG+rAGNE3w8k2I9LwFOx9d4TZ/tU1OSbBKNXZjuA nODio9T+xkLcoWIYpdXZmsbqgcx/U+CoXk6o+a0M4r/mYYsuwq9ZVo70HO8fnW0Il040e4l6YZ3/ 21kXhvbOcKaEsFDYnknhitRoni9w25G7FeB5QwSeSxZAbk4klGfV+hzfRHk8h45l/yqvZFHe5N9Q Yy6yv98RSK+jLhDmk5xpyO4weUb9FNa+RE1a23L5IDcrTMGZVgpiYlLct4I1FcIE+c65vxFym3IW 8KvSIS4csS5p3OW7Gc4Zpq+NeAwC8hNaro/12X0Nb4smqoUgVdBS90xj8pjlEcsscrt2tnqCV4jI fd5AI4sV3DYsdPn1M10EuozFYS4/nvzHMkXei4WYLHmsTKXVEgjikBojl8J7owiY/LZIrqEe57mE 6xYTzFw6SX+4SxbabvB16b94Xogb8CIP4Dp/DymV9clUIlMs6rUcMnf10fOG4S/psqepA52kzxzP nFgO38vUk5FXq5r/DQohbPxku+x5iRB/9EslHNlTlAHNAlI6YAO5V7waV1LJhWOsqEYbDoDAhqcG 8YaJrRLdL2pGB5ZHwaDnGiNVov98FtuM7x+dmJ/Pjgcrymt0mAfR3O05VM2mjkX0xRRJG26UrUoy 7RKFRdRJF9q967CRs/Bia3xybWiIxhVyIHH014B2gFdBkfzmpIDVgUfakSG1ClDr1C2qV7uCdTgQ 8SAZ7DyxrbaesxbUHvbNJxt9IbMGbjwg3O2g658fin221GQmnbRq+PehZ8jal4HgGg4xdXhsyHNJ 76HigoNyoMcUB1tfXa50A4Yo7XN9mGwhqwQJ9vdK/MhFIqrsb9cDNiZO417zbPa1MKudboOST/tj tmIYCd9f893GGCg3+rro7YKCUNTHGrwtcI+GCVH0YQkcHy8sfYrM8nKN0tukNM5guItyHoudYHKL b5S8W5oImTb2kPigxRrPx6aWeFBhjVhH6e5gvZ2I5R4OswLuJizypK9mDstdDkyDBGfL0EPIUu2N C0fJ73XkDaRGswFgbxudMzvKWuH/vx6RQLePNOiaosI4BBR5Pz0Z1LUzvqvfn+klmk4mqhZzGdy7 4ZTMQhYMcuzgUmnhKl0xLIZx1pNg7eb7hAfun0CQC5/EvZlnto0w4Z6B2+p8kcACjFkQMJzR+CHd qSTzgUNwrUPzFEiYTuJi7Fqc5Mfj3KK4JQ9uTdGBc2e+ntYer1ffAvVn0XzHVl2IOcq770JBxocB 3Z6J0kK+YRKJdePYOmRev8zb0JXSaeBfdLaHtFvDrBXQQTkNSdCxIZQQmg+PpgNjn6jFIqkyk7Nd C7NtZ9IenJ/7Zy4bpARXxgfVI9w1QbQR+7VNUuBe5J7hBwe/SeZKXXn0i1bSvbtC1iArdm6CQPkE 16TAS+u2oLh3aKlU6q+BIPHVe5IdiTZ6spkCp+i9Zx7lGdam4btjcdT7vMTC2nA4hArW/Wy4g3fe 5WUoyvYc3hUALl6qHbq5z2UlFpgRYDDYNoPpoef47wWeT4CopZNDTfwNsW4oPyfLdUtMDApMvacl MXrA3emtNEQ4V6VYk7Ut2jj1F92FpgvCkzuZT7fr/WJjOs7mnbFZPYJnGS9o8bcONMWKH9mghyOi jsHobiQIs1kKVSGqyaZGC67AUzbaqDIritQuPfaXo9Ck94oL0Dcp1ZntRaYdm7TxUozUpHyqm4L7 K7b1eikEH551fZCpbumQpXMb2hk80483I3qU3OhnpGw47OuFl1fj5KhpuQcXcm6MvljerJcS9Ks8 Nunz6jgfVhHvHNqCqeVyixLS+IgK2BR6tHoz3j5xCp2VCcQJzghp0saoqfIhNv4N3J4OF2XHPYsC f4vaXXV651GPkxxRUb9Qnh7GXCxf9T4L+QFjaRpvafAPUrpMRwjd6aEoQhSe7aNJpC/IaxTy1ZQr O5TZUsy92h5snpG7DhSi+yoYWlFH7niMn86IMRnUueAXJaAoEkdzh8ksruyJbJxXc8D1vgbDZFZE rOuzQwhhRJY0gj1P7C4CVvMJoM8h6L7R6U13GSkYnuIRxL23sWmFAQpQGNcebspSdjRlzIPfxu6L Q9HdT3EkoOoLcwma3B/7fjENx2VLOfTrNH5HS1lQDIdrszJv8JZZXYyelrIoXu12SzkT8pm48XQZ qPbhrevkhbeqh45j4easI1egeialG/OqafKk9F5GZdneNwH+M7LCybO7sF6jec9Yrblat/DMLm1K CuNcyXDqEH0HJheicjJn2LONYuvFUDU2SNKWlioJ1HtlnWHsoun/AoV5TTbxvoa10VCTpldc/0Ti SjaJHPckKUbgpc2p0SLmb+EgO+VfqFFQPVIc2mkG4ZskSMaG/XX8du3e6RzmDtfFlQ49lK2Ymbwt pkQQyxmlMAAzvYpTUTHiODvDmatD+zRuSedcA2Hug+Y2UYOZAKHlc7qaZJMntBn7eI13BKivYer7 QHFGymjybWcuNSGq2rc/XTrBrR21e41UNh+PHbqHOZ9/woFnLmKN78j10u1P9yqmayZmbfzlWK4M O9eycet28349cKQYLlwIoUGOrYACPlkAerZWYhKzSvCzZ6pBpv42O859ahsYdB1i3Z0v7DO7c6Lv CAjqiMQAre5dm7uL8d3qyNv6c6u/8kHW4Dt2icG3I6j8jPSRCB1MkDCGr9+cujeJMGg6HlpSHFAg 3i1O5uhzamIqMSkYM2fvW7/O4qUe6nb/LwBTctIjEwu4ShCO/4l6In9jqDhr7ndKuFDWn7K8wpyK eQTGQSdm8/132RA8Q7YOEv7ap3IJCuSyXUzXCgKbHpx3I8OSKuhYEQ2RRmHXIZX9dtcw8YdipuOd qfP1PV3KT76+NIGuSilSgmpvU9ybfNZY7BHAOXPu1iyB/+aifudgtcRLP4zsz9NwTm5iA+FejLiH hnLg/5MMCC5cCTqT8gJ/L9S4ZC5sUrjLR+T2W0vccjwm/K/czLZBfI0MuF4SY1M10+gLR+4ylSPG eSq3me5iQ9uvykyyKxMq8RDYaQp4ApR2mtsS/UsKsbTv3uMHEwJ7z1qJUnaHLYt6Yd2LH344iyTZ ZMScFhd4DIsCF5uzyx1+FVIlr0qW4SP9TFMI5usfJKeMNOtdMPId0w6NA4lnvl4gF1NNThrWI3RW Dq8JisHDm2k/dNFdpnDbKKgzhIAbipZN5TYD1oONrEFW/b3etw0M3HkDkw6KEF/f78N2zp0fNiGp 9DxKHN1o5qOHiSHdFnOthHiI9gk7EifjwgF9C0gG450ZZnJBGr4Sp8TRr7zoXsAV8F1ZE+hiE0d8 PxEdF+oX70c2k2V/eXfVriaFi/a7URP/hfjaqrdGuxfQgbIxQWwfyLhTaSKvxhfnGw/d9lNNV1qh EYYZmPinC80Bll1l/RQ2twuQyfybz0cLlMFG67uXI6CRBfnSGY6ddmirO6Yp359FWso4SbQS6MAm /RakqwFwJ038zyZChXhWymP3KbhfLDmcNhV7J9M+8BbHu7ogjOE+WFZw0TrTmJrWd8+e55QhIkN8 wL8X63gTML9+//tT7Dlz47cGXQi6DykcrVIJdeSb/ydFusEadO63IJfJIHXW1Nn1PEBRCd1slY3K uPUYdsWNIMxsZlefUE+ZvHU2wnN2Iqu35WQ5KSlIu3QvYXjvzjO2XjuAZZeIfewcXGK9IWj7691Z deg2wgVMx6se0GTDzXl3ar7gZvvT2mx+7a4mX/wZkYz0EB+CNgl+Df2Wl8m4Ie5IgYvkj8VXRi+Z jsYFMc+UDF00rZ5f26kHB8xpqfHuYQ7WLXRdnCI5Ly0UbXdsDBs3tETyDBjIWAllE0gIWa8YR8NW 3AUR7lqDCbegzIU+2Km7pEcvNpJ+gPI+qAx8LrOI+NSYGcG/5pvpmHkDnKcL7PCG+TlibZH3o2ij bOcQGyuEz7lrYIr10joDlL+4ddmMjuADbfw9heBF+jYyFpVOKVz2xJ4Dv1B0KyGCkYdQlbWOiwMZ GO7Ia2cFhjp8v9Axyv0o/4g8JnIFn9qwUGQehFlEZqacL/x8i/4ByJUAiOvzXs3aL3BTLPw0/eCV T3tFXwqx7H7RmZekOvHPi0oauEsmRznHQDiOqbnU4lqRdB8De9JrVa1ts+rICwzk/8rhX9kdHOgG 7dWE3so05A1n9XH3I7IwCdD4VZca6i0d9adPHrcfmdmVKMAbr73tFpebpRutV1URTLZOSAfgOHNV QKX+ZzD5+asNiV91Cx0RGIV+I3olW+mgBxW0ztSu6lG7JPTpV2tx/zYZB+Iy7bSnKb6oygijvVaP YKKMbBcwR1NvEq3GS5WiCchAUCmWw1D0LdQ10mLKuwIV7iyNiJL+fDBJ+KI4js//9oVpZ2KeIcW8 pM9hCCwfOMdFtgk9gHdj3tFnNbrgFdimT0jD+mQD3/pVz52UPtLO1P+qsU50gBazf1dL4MeBOUhV tbVSTQ9jFckbIITHZllA/9jg6yXmSpX14FeTaTzB+VTBkkVqAcY7EFAd9oIcWatWlwcSDrBIHUNP yeHh4OUjwdk9FcpVQElcD2bMup2RR0L+nxpaIDFIQfi1nSzkuH2/Dd2bHjCxqQTdk5sLCTRlgg/Y Hu37A33N6XzccOVmpUPkK9K0wycS6xAAs5lmoietqrKNxjMwCtdStt0krEZ0FYQWhGVhX5mn9l3w 7nNg/Sqb3KbYVeUuy7pPYb+snmmV/Rk84KK9vuG5ND3gjQvnGKiVRjrhimLvnSRbHqHO50IUpL0M 49TcSXwEsQFFJlATX/x8KdJrQJ2ciBiUk18Rp2mxTsMY68lq57IivXAnDtcNDFtk7KH2oWR/NYQj DeaWiAk2ltH68tKgOp/CdkEmUtlfgJgyYKAknTfiE2fwRvsv31f1vJxcNA37sabVjC5+pgSN/g2M 1sg06jjS3AMnqKEesmKO3iGCkVIOh3eDHx7al/GKGR/lzuZ55eaFHryQc26A4iMYFcV4v91Hy6ed 3NnetRV+AC7BVfRdcU6wK2BDs3crqEMdUQFrKIBlOj5lp1NSBm1wT1fZPMkotCvFELWouvkyhDaq XiYZA2ed3y9+SGd/9usRJxA8/eS3KM/l+2dJo4oNVWZ/pu1f+IF9D2+3dBShAL5DblxaTB8sfOth l6PiF5nqgThHq2s20qhA1/264C/0FRPlZ45B3YNuucSm8U1pnFPyW25b3PD+KxsdjWiea/XtYn3w tZO4pWVBaz9WoFTy/2r564F/OSs6C6bmT/9MmQRV4SRP2XF3KfUhnZG2GrXFqRqjERBSfc3JxFXy j35sO+TXWneuF0TVaLskDyyUCjbjBVG4dUiAw3FKe2oFCL4+xJ1qJizGjvp6h2R75JLfCD5WNjH+ /bdjWHF53GjB0DgqWYS+BobO2NdY5rWzZtOcEfgxMLbPLbYqkR+b+MlGGTAaLrnQ3WYNlYkAxcvO yGlDzPfatbztjd7twwI/XV0JUysdKGvdQ2VxctAba8mmHTKHJgk/xaa14jL/a81wTOc4yBn1D1XY vrQdRSzuXSfH7al7WOu6V/0uPVp1KkxulmRJnRtPbHOz640vMBzc59LiTeZflhiVLUT4MIlXMvOD ebD+2Ci93aLe1KcX2oRYbuadsWThc1/tDqcovHeWjezb6A8ndC0mKCzl35HjtWd7MER+Xz3mFLoQ vNx8krN5Fy4v9+yyA0rQLIGqX1MpLILoWkP1IPgX/0RnxhqfebTWJgsxwA/8VWK8TwfeO0vZPUnv mC9LgzvOOZPhymNGyYMAkf0KUI4ywR8ufnCQuyAOlZup1BhN46W35u/X1nWUbeGld47xiOk9dY1b pstJlKmuWQ/7P5YTAX1Oi/beFk64tXn0izdM7ir5hrck4JZu0KiKzeFsS2z9JGrS6ZNnxe5kzRfX VYzGPulur/P3yIxOvLhYw1LFrXXWNt57C735NzbCOgwN3uBiVAFFRtCmuWrkcZmKRP/m8SH1hFuv K8JOpcB9Flv5fv8SjDTUsxj7vtzzmlsIiyeXHr8Cco8GeaNEpLtIzkPQkufL2YEG90xsJMEgHjAj YOFWOX7E6Wsd7idxsnCB/5TvHOkdJjaLYXRK+vM/C+vWcxcSTpu/AW2YCBTEN0eK2pGxBNWpp1fp eIqQq3w8DQjxc0nCJGoNENc2ro7UxcxmP6feMpEfsCtxp0JWh7YPDp+KXh8cYjTkPivSEe4PHOEl MQFBDYZT4zX7c1/lYZtM52iwZnlCxcooMDo3NXlvqUVcVbO7vNxCiEiiAQkcPfp8wg1TjGS5z/6n lYLJz6hFbdDHWeA5CdfQ29UvB5wgcvJ5N+w2ZVioUxHWi5QuEJinmB8Lfi+p7NNszyTWjsX9BKb1 cNO0pEWT1pDp/Vr3ZIIkyOt+KaAKfI+OKi8OULhHfque16unvPmjkIIqBSFbiTCKYDPhH5BFu78F cKlxxgfBX1Q4YpXclqG+PqqppbmUmN4bPP6oAcSQWI7YQ/NkYXCDhJI9Ovse/87L7R9K4SeckqAt DJHei0GzcCYJGlnJosRDF4wgvFUXomSfdJo7DzXPxMOrBq63mjMnm2BlqU8/8Gv0daXFL8KDznrM Z41WOUvWnT6OJnM7mIkgUXZj7LGhOKdAuUDkBvUVimeSxeMpbOU1Tn5Rldo2itcCafxy+gJX/Dkc IAX7qw2dv7irkuusYnAcrPaZahD7m21Vr8DwjOfST/ul4pbZY69rGiCWJbtxT2m/pVKgJUG/TnAv C3JnROyOn5GXYC8CF9JmX1fhTVc+a5K4t+v0aLwY7SgzpsC+a5AWK55XdWuSRm9WXM5AJwkgRQyH O8Mg6pZuetmS1/uHswLOjbUXdDXamYozl9qpVrrvJB3vOJmxxEFj2hjwDGM5VZPoNCI1BkZWOydd 4qLVUvbZhrxWWdZ6FNnaWwJB//i+kiPGCV9ZVZJ9M0rrC96LL36t1EKmTtQSO9g6PcnlB25EfaGW qNpU24YS9jvHL7nlnP71fHczpoFeKlcC6FmWYFTbTLHlAxo0gZM6VrVAY0WarNOAM+5zwNpl9z2e yjAwT9Fk3zofa3RIyxU5v1MjKuQwU9Nq/IYaxn9T7PfkdSxifc4z2KCHeE8+UPKOPxMQHOpKl6ds 8cioe7EC2UcLF1dRLDbt5dl3MNlN2hKo9TRoP5pQQxjOavUaEjNwzbGOQjdR5J1j7hxySJQxuH4d LQMzwCM2bZ/2efsjxyCC0FHMm5DUdHo1VlO4Ee4ZXR0xREbDNIssnTEu5l4I2ELN6J4FgonCSgZk GlX7FNbAr3mvol65iFnqOiPOLRky/TT509Pk3/QydkS/QRdV/Se1DuO0h1sNkPPO6mQO8+bunocZ q0bPiJkmzHQhxgzDjizZHoGPXLDb9zhW9Joq783SIAhB4P2nt+Q6mjs2Bw9anRG8P1O1i/R5Hsa1 8IRCvU/SwelyFM6n49uTwmV7HRmjnH7mBBxv5FJN/+AO1lS6oAW5MaBGDtoqVH0MiErSu70Hf1IR JT6zhAsR+foYf4prZFYpUfLOTttyk2glS3fkzh59P6GIpylPCK/f06+JocqQX101F5qFmSpjGruT rQ6x3WHWZYH+qip5KzCUoSzvPABYfaYwhDZnEEHhifSs+PZ4C1VJnApDq8eYai7u0WhYdiIgLtAq dw928mZuO133hgcwam4kKj15xog2wSQSDfKsbixwpCDkJiV+/T71cVvbg++rzCJ4+xPPBO0BunNx XqtaUEJ2boRsiiT6krgwpWHN2buBQx/NY51hZ9TVl3mPju/q4stsIQs0PUA0ULir3f3djcJBCkbr 3KOiR8jtx1u+BCC3n4kqZVSmJ/lSAg4TLx/4YRiiHrDNtwunrTFH6nR5DCHNZQFv++JFu69Bx/uC 09/EKgzrWZreUXAIUoDvhNxqTkQR9jYXFpUwIWUr6IQi9zkWLYrEfOFD69gncuKLYxlPLbmxT9Lo 3v72/HRp8kCxZxxNqo999WNxYApsYpG+h7ONxw4/+GS0rYTzK0huMjoo0k57mZTFz0HEME2Oiet4 gqphLlVVYURnS35vFeONiNZFPzDgvKL49zV8AsOlVZ1USYGn68FSHAtkw163/qJzOJADoZ5U0EPx zsq24I61NT1RkOery0taaEs1tVfeJAJW05JY4h02wmMAEIt5PuRKiFEcxLwzWrZ3mM1TKEF1tH/G 5GnbuwmKXfS2ognVsUb/vxhafebTfBfCqzzIVM5o4Ni1JMbfaJxsSxG/H6l2ewWE8sHYTP2wk3pw 9i5qIIo3G8fZl6JYiKRwDdb/uS2hQCwH/znhKbyDh1xJ0QlQbXujCR7FnGJC505trHBPXwXxJTW3 NRAN1k2INZeGiq74XSe7PdEWAPyGL+dIwlXHdEd/9LSV3ivwcwwb/uoN/vW1lqpAN50aw5PBb+fG u1ZOeoWeR0zyEHeL4NOfCwE30W8vBihPJWecTZvY1YIlv4GcCETO6XfIhAlLDQdVbZxVjxMq/+Nb tPTXXasFOQHfZmVLMRJ9ozyYeAK4ZsKSiKjOtCttbOsQK6QbTp0/dErSlaVuOkTmqmL9rboxAUAi C1yqDB6cIJQw1oGG/+MazfPWtLroK8oDXrxAcM26mwoEpCKEb5GwpGk0C0iaGoFV354BZky+MB+L 7hl/UH44whrXqsUGQk6b6/G0gUADAsSMY7fzaI05JQsyBMZacJZvyw1OxmRbepHc9IoDXiNg4YMJ KE/0lq5pobe7iMagQ+fyiTbs06seYHB9OqWQpz+6ST0vRIvxNXNE6ZTgquIxX2zGyKNffZqhctb/ TJ9jCuvURnAxqXJRnl1VV0hqXhXwG6UhCmeWJdqPU2fHoKcjb4W8DokghHOEEmCzGCvLfPHq4EMp aCWWCoqNAWHfsYDV450S1g58Ot6PqTYsmeBlfBK9lHg26/bnWYH4ZmgeBc9gb7Nlq4xha7tvI8s5 wG14l/1Kuvd75AzKRpXZ6m4f+n5KNrGQWWtqzgiZ4utllGUOAdeD5xNO6CKiXCgXzjfljWCOFJq6 DgSnkiDsc3AjHTX2yT8bJFX9JC+S+CFaAn5oTZUWNTW8qYIgvZOTxjTWeQn8TtReIu60/fd1F6wa M/E2qSK7uvNzw/VoiYXajXyyeNVHPMnosKrRq8A2MHNA+be22sCGeI+ap2+zibP9aZLtN2InOiIk 4bT1iLadJ6Rtv+mszAmD9uCfvr84gKJtjgESDmOhSFlmDoF29+vJcWBWf4ZN8U6h0bqReA0kdOur 8hSfFsTpURJ+62Ej1eBsaR+nUEHxNiHlKshUtgyelN9LSZTS9TIPMnEN7HE7g9W2Epu9qJsHDDn2 n5aVkbPj3bMKYlp7Vgzv/n+AG6NhXnGckSv6OaULvxp3NGQcbaxTYmpBA7dK1cJhQB7xE+4pEJj0 f6ggdzCyxp8CEyMLY+BvFuyI+IAd3wLSK09lvD3WJK8XzRi8rgE8TqU5f4v05lCaVW+fzbZcgV3x lUs813DnM3mkqh2r7nfXkgGtGbCd2F2pRNTfkEzuEzPUmz3BX+M/0peKgyF1UyaEWSV0JwuOAgCx PeAMr/iq5X/HZ+JRL93h2/o6h2nwyOz2rRbROxJJ6AyXUxC1/uD53nIFZYfh+lcDjCVnG9Y/mlhg qatfQI1u9UFuA0dNL5U4ZnJlqCafW2gDpl4xD8UTmJP15shwagZGW9oW6gw0l0Jzg3Q6I0OzqkEJ JukxwPISrkguA+nPlu/GBVHGGCgGA3tEKRlt+akSTmca1KsnZgP2kWhfCoHPoENlam2p6V9UKL4C ZyGR9TiakULcO6QoLSWOBiBUIsD0TQbDLyetaQDyIgUBPVIeh5IbFFpFIEoMt+LA5SIdsgYgfk70 VNbswBhK/RFf/n6fc13O1qqj8koSN9t+BQ5a+fzxNfDe6oJI9CUH3PPCaaWnPyicDK1FPl09rvbS RqbTI/PWAhO4MZQ+iVNUaastljsWWBDHk2fiisLBD+qLa5V0O5Whlpv3w5vLsFW0ADoO2R/CNdHT IsE0KeIWI8pYUHpaseiCk+zQn+RG/tZtvVAP70Dk/ABqzPQjyI3DykIFqvQLnaqZpgI4XIh44ES0 9WifLNAiAITVNxFUnAvyMDlNwbpqQTPOBd2tjoSBGry8fsavzBjS6u4iI725UV2te15l6pMYZ5ZN LbmQq6ieyn7Y25G06wsXEkM4pRF9ZOCKIpzLubo8sE5UfGh2k8am8IDjEnsPFFAqr4jw+O+93on3 fpsK1PUgSBli7ghKlhZLgwwd9GpVdMz9gwQvUbcrwq3s3xrob6+jCd2OF8nrtn7VJU0qdV0xEr63 aumvX5H3dKjCvpcoByjurO1KWwJSt7JecwxevEekPdUViZKNqe2Eaa0454tJ2sye5kSjNf12auKi +GBouvSDHZBag/5f6Ck4+dcTu7aDmWmoxeA91Uaor4x+rWU7gCPfYjyUSDciSM0O0ARNys+d1I2R oPW7HcJ4AwCd86sikLJb//GxIf7VlCu7o5jHu5DfUUFh+lv6z4fPe7tCzahA2Tikj0dizBgAQ47f QRZe3y2vLnB2vxalwjOuQ7zTZVz5u1+RzWUkuT4+KdpghBjQiJ6JP82uW8GoOy4MbPdRKLkibUtX aGI9CCDFXrTN87ZLFAvV0rekq3YIb9wOcmU/nBtywIjD33O2Y2//M9sGmKiFH4u55fM7fCgNzTd+ SomsJip7RJ60Aw4Pcq0u0OmKQS9YW5nsEAf2dh0rUSi2GHnl/Zxc5XB7a3ggS82hCASvkUHVhnpw Uj4G4clcVcQbnfmmCsGNCqawrSbmyMKBchTEH31tZhPqd0uA4rAzF9OUfQQuosztweHmYBBC1Fvm 5Heum7adE9gGkNwZ8iDKHX9+tWnbAPtXKXI78A2taDqdcrz/j59xE714U6/QwCMmJs73Pl8UDmVf SX9pK6ys4fqIRT8s1heUHlAWJNFFBu92Du+K2/pi0I3Tp2+4uD+k5255Fn/2TN+b1eTTghEn55s3 FyUX3c2ysiiHcUaLWtYDSFjYAoq3E3b+FAQiyllBIXDNlaG014ZW41VWnGTRdYBPKoulqLMIF9Ks KsliL3qaxIaeeV3iuxc7HihRMzwwIXhG2bqqjGTkif1JOsXganFYfgHewxYg+bo25t2Elzz/gU4+ ftHyqVNWNhQlR0KzpArYA2CMTwwpJRMWK6shNl3XT3zLfrJrCOdIAMNyffNfe/8ItgBAWqdx/Nhs Pq7/scLPnyPOUbsR0ZEgfHyF9UPLgb8fywLR4U++HS9pK7BpP40BQP+aS1n35EDMtf0lFiifZSF7 D+sbd7FVL6HcfROx/zrun6adFQ2Da4+fHrLMU/Ck7pFc+CriXTReqA83SyC/gS+MLHraGAXmlZV0 6LDM14WTqHW8OKZRIfeW5srbZjqSihm6/YG0l1DUnM3QzyX9BX73Hi5pmF0MFcsOEzFIlte6CpuU 1/uOMn73C1yIbNAQd8lU1wsyBHePBffs5aEke+NgCaCWNB/6ffgP41KdA/J0cv9v25a5/fBN4b2a Se+a2/AjTq/HI92qckIQzv2NfFR6Dmd2tRuo5m/Eao+2HgnFu49vp+pvbBm+9rS+O3e4olIK65mN TSXDSHPvFVLiqXOhvcxqZua1uXgLahiS+kGkM6Op1QRW9dn8/WyDHQcfZQgJAKBqPyOFjoFHDM83 BGHtoJYS476Ll48HSxqEcDNgiRekWqvA+drT+eiK3YQJra4oW8SMujblEaC+bvNL7yPSQbKOknuO +mArxslDxqAMQDnPiFndV9owFC9JNiTSzg9dEEAt72YLf8vmFKcJ1xyS1btQjj6C3T0Xbw+7vCCO NHa+txngEPm3MY6AJZmk8D+Rs5gH01/6vubMTL/3hCpABQikKwMOVLjPh3F616IWkNbID9dQ2EOZ Tw6/bjOZ/6uTpCXLY03NLqs2Xdz/9rQLivXQkxomGXSyUHY42p5NvVVUKTbhys4JJ3G5Q+DxV+zf zMmX2EIHh1xX7RMNzYIPXXrBZYSX7PhgeFI91lKlmtKmGPwxRgP4XjQCuRS2TE6Ccflof4zcL0A+ RMey2/HKCvUCbhZw4XzOJm8FATKeel+VlSYWL7fb53uopiQiGUABpMLh4I3Tffgpmgeo5R/mfkbl TXhCMWb+N+FzEYiDjT5djvoP57DADsuXucO7VKNvntPHvY8qRZ4KSFzOGzCpVT/tSXc1vqVm89Sd IR9su7KLshHR+A8D3y4+BUHwdDkv8gkB11MW3NiQ5tznsZnitlceiMJcNibYVf1//A24fNZ0A2N+ XFneYXBvfueWTMyultiM3SlrXWBEaQzdVWPaOsbKaQwVvN16DLxdLW1g6yo/GKjEdTWfcqN9E2Px RuCiN9TLHCppOzq+NZOkvkTMS1kY94IGpyLDnvNp+LZjPi4W5aDYDs7uVQ/jR1mGiHLZI5S7m/sr jgQxt53gyIWTizLq5JYIq7Gh+2nqcxKmKOotzSSRnNSDodsLfXXnPfJdsr2ggJXzL6MtlF59KrUj I+OfCMMeDVnO2jiiYSQzpxcYw/DQHouyVgdDNRTE4LGT48lGmwz9dPVQD2LZG58j6WiPVIfqN1s9 fQBvGvhHxe2RthsCLG4+k1yHP5lppsx8S9q+gC9HrhNXNqttFiIaPhJLuhaCdH1eZE7eLCl1d9hB ENNoi4GOoGmuQjFXYWepg20uYpoNAq1TxEmx7/17nNnXmPAgNfMDhB8Dz8IL7BEyEeBPC4B7CiMx sNI1a4uKla0HmYLqLt2oHshnrz0WrCQrCoADtDalomP2M/1fPlBNnQ1nvaMpB8lRNXa3heQBFiUq ErsvdKDhGDRwxS0BqIVLPgXYR7PAPOMMtsq2TZHiWE9+eWebPXc0OVUODcLjosaVOrurKXRiXQFf rZZqLwd3+PuVA7qtVDHSKwdC7vFU3VnQUtLBqAyR31K89Ew9AyErDox8pSQ2W0EwITqIo+iWEhdX SDEp6F2v4HZr0ilHlnd7YtAHpn55jjcs16XAqeK1CtBsAlaMCOgo0lAW75eYt79iNhQv/3TDY+JF Zwrt+YcdTp9CdczfSqYB54MuF3+FBIMzOkcA74IwTqlkhomo2SNfFmv93qg0GBgWwJUmydYEJn1X VLZ4Khzwnlie6xHOIF9YR9G1bhBWlohpzhlps30eKpjDLu91k+nE4QV/MUYpkbQ1CEr5fcKB0Xfd ybXuQCEwTYl46K/NNx9Fk+N7ebILq/SYt4I/ufjb5GhSHad7NvIxMUuT2pmvGGdWnX8Jb7Av489b h1l927v4cTOyJlS7Hmzwgot4ZczlSC+e8EdCwbNcEbu609WIDpfakCw8gw9BTlmUhg8pfW6VWatc yf3S3w8xx7iYmUb2sOj1n/EpAlBLwFZ/MnD5JMqj7VQSFHQ7GZLggtJqYI0OmeyJpxZr/MXNHldS Wc3gpaRwJeFrk1qNLVOGOz+2nEktr6jBYjVE+JuHkdD+P4Vnda30zk/d69HvsP4wdC2ar1PRDAr1 3rDOeJQcjsgGuuB9LfxrPU/qu+Se9kkXK6coagpUi84QYLaFJnNKBsJK9pZ2CjsMTyxqGoB8JQAe 0Kdgw6n4SplW6GrtVWBI99ncvd+/9+SjKZ04UWVlLZpaGs411CZk6wVj01x8dH5B9amSa5PLRBLF Vi/UYaQsENRekFKLzU+R1o0atHXgp07WJK6sSqou5Eq2SkBFg3XaeXhIR0BOvKi2bI5uDMIa8Bix Pmr9L97+UEPVm9+rd0548kPYVHaqaLhuP3OVPdHYNJQnbjUPeWe36fpUCImVswLiVOkC6tzvBQ0Y CDhwySANkmxPsgUioWz6koS0ya2TZ10ScZNR9MNCNbwqXQfLNZfyaRxgQuJBc6ODF+amKFbVlDbm 8jmon40BloIPyn8ibNRfIV9ZGbp951vuXOx0FQnlqAx9UF56KgHHjiJYIOLF80Ft1TZN8Pn1uneU lqXyTi3yoQh2IyocQq10zZGQARoyjUS0LiSqRGhK6XNtp3kSp4VPEBgONdhfYcPIb6Ikd7HcK96C sGEByPJ5wW7hUR5UsPiVl85BJxFHZ4cZaxer5WFCe6uvevM47LkPDVlHFsgzRqg4i3f88ANxPEgS khxKec1atB7bMzLSVI76L/mMd8Zpu1FQTasAyRpSWDtlHuUZwXop8a5HHhago38oiyC1OlmYtZ9n 9s35kIRMmjBkWTWMehdly2x8csZzavIXKXlIWWfSZYyKLUz80+6CHcQcbPuCda2I9UVAyXafB4VQ Cpb6vxvar03WDY1hyPbLAfXJvpqF0k9bs2qR6jYvbNCkeWSk30eQcuncFzYRePc9l2FWbtWypB8Q 1tc50sKpDDmZdhPPyhky7wILcNyW0bc4h/W/Im0QUZF1BKQtIOZzwklDraV9zJ1fucVU8hRnt3yL jQCGbcAJmugDeNrVUb5vhBOtz2+fKTA2LH+iPaXfORptWRrqqC8jKjuQoNCgrahTtmdP02OfOlb1 lpyMxDtUJItuiNDTS7S+v9fBlNb2J4lgn0ekSbi7TRG4UTvXD1Yi21m9WFAbwmqs+QOeT9iMZjyD iBXfkKdIV/vfsvQMwEGOFiQ1vaqA+t+WWvU/6HQu7zuTEgGltqmL5W+fENtyDXEEEwykrHxJkNp5 GTWjMaPT1TXE2i6MEe3+j5C86E8oG1mS3OcA4vx8N4IOpgIyXClTkg/HjPM9em7z+YJTIsRZUg4s r5dU+d++W2Ux5RQqxCUrL+XTZNlBBEFwmaaCkp6EcFVDLa8DT8+TJsj5/RampSptdc/fh1cDo530 3D7ACneofRD1eX/o/nuwkmowzSfCRHQfxnumnUyLvr7Tj8ZH6QPp/VGrTekA96k6lt/UQs6YsxpT brvsIhsGL18nRM40oTienl3Pjag692q2TG+YJCispj/K3Ts4KymYf3G9GqVxYJ5/FllhY7hvH3Bh wAPHCdTF+3VHfjWQxL5TXmKr4Jqrb0vq9LxvjjaAqKi365DLTKqfQWdptUdXRmUIIK5R47fe6AKk M10qSCYAhbPgTOI9XN1/oomDZszkmfAEhAu2xQJDg/Ob1CESmjvnUhpb3jS8AB9zn5a83/lp8CXE vtj7FJ6q/XbYKVsNZOI87YywH6g32XaQL+j8YnFwiXUsiPXBS7h8VW0EdWRYx9Jbd0SzVdAbaFb0 GM7h5spvT7zCtNfY2ieHXl2fdKbyB9Qeu5NuXuWaMJHSSBANPp7PAuoDPx7pdDWvHDcwVIicDgwY ZYxbM37Okw5hNmY0hgEW6sK38hFSD23vCwZHGA3RVIuvXGvwsOWljRzX77ZHOIPhuiX7uFInQ8QF r/7Pa59oLzqES2BkcPRfJdwY2haEPP0wXwvq6wIgSRwbWy7epulKfKWYLZwuBWsN4t1QYcg94SvF mX3RdAYbvu3CaT4fNEZSXuLvkGimpln0uVn/LEcCQ24YcBKCpF+Iw0OsRwMbrC1ZFZqGZR7XoCjz p8XIQ3Y6U+VQZBHQKT4LRauOZpJ0ueUF88dsCzEACFSjckwWqZcMSN6Y5d5eBVW8oKBOCIsbHvF9 GZBZLgbgWOuyo8wKxoDTPXN0thdJ6nNPZ6TGHL2P/keMmyIcYhOeDpFDyn8vw/v1S5DIvxu5Wm2V Wpz/xSmMeDHtmlP6iQ1P67tjLSCXeOZE3tVzGRAbCYk65huwZsBolLcudbLWp9A/yzFlYwGfmOey sR3lHL67Y1YCOv5JCW4dRpqisv5ytY4HGc/tjIEp4VNuuKVQPlDWyZhaUrLGfUwpWvLaemE1SG+U NhqVk7UEzQ1dYrIDvN5xwDg02ti/R3Pqo+q8WElVmCs9A7zGKTVqfYmv4RW07QZvbyQJfxm0ad0V T/bc1OrGuvmbc7lzGcabLmofPzItqlCoqaM6Cv7cYUxgd0YbF/cIbuFOMRTedJ0zInkv84HSQW/F yU3fON2DB6PpgIUz5p4JNXVYG8XHD+5cR/+QoH/O1yckNzXkarBsPTyniuibq9nHsnUzvsvWnJ5O vSPfEggyHqcUM7UJukv02+ad6zQ2lunx2a4hH0gnSp5cI6HmtcTM2YssK8B5lAbtzut6vNJel5vl yD1Uwc6f0qhCyvD1NGHDAydVM4eu1tTSTBzm5n4FbeDi0GjRWqyWmhYe8DaIX8XTHi5mT7MZHRkk JDmreZ5Vp55PCfhlf/D3Dv1FEfco8p1mBKsML0ItpasIpCxa/irBy1hGU8HWHlnjQa5bN4Lx4ZI7 ePQqYA1G/+xMlZ1W5FztcbRpPbqfuXtSzM+yyE9zfedoCAiHdhbkpfTLkzzWKWVIqNU2yLVOk8X0 Del7C6UOqjsyuC0mhSOdtrSahmuxxnKs67nfktvXIHwbzwALWCVCPiF5kjgnJwzzl7ZsE0IBBE8a MYaPbFx+TrBsnlZdz3wloQiTNqS8yOjN4MYtSD5XLSLeEGpmePjpFS21UBEgTA7n5jrSSZEVVyHj Q8G3iUTE+23VHtV+czA47jokWtRDvCVMggYWXkfumD11yV+sEfl5rnmCNcFqcknfijvCoM9Kl0PQ loGqn0N1YbECzOvi+MEjp75ltLuFXlMhMnUl+ZF82PTgZ3IQ/c6dM/rQ/m8Nlp9SZ/yr7IOWwuN7 FYEj8r8bH9AWUfDfy3uHyH39t1M1rFCuP1xebudU4ZkO8ibbWPHhwcCtdeDLEOllW0x5HR9Vpum/ e8xZ0QXjjXLZyvvXfKfpPt24CMN4jd5Bdhz3w1OWJjdfl0uwRTdxiF9wnbVh5A+37VdPr/VIHyuR oAbeYvP7AR/MakTtJFgZjLr/G7YNtovIS/LkhaE5BA3W71QUiNbASFwY5U2FDpe78cf1ATXSXqap AHfu/W+EjR57PEjlAcFYyRki+KD0h+XVEkvUV8KduaiSLIBZvG5BNaq4DUDngtA8KKXj9ljvTAiR DFTiC7FgVW927N1jOJ4I6+4lZucmHNHUhGTMthS2PncPKn8Z8dPaSQYeMIiZIIYBKk4iZrcMxKzR Y6MqT+LvrDbQmyocMsO5/dVDxLzbGOOvWqjGPybn4uxTsNqkmzzTAA8P6B0H9gtChLXtTk8U9QNz rdXUCyQlozBFnom15SZdvFc185afEJMVOeeMq3YCsq7anfNbDH+1kNgAP8+iW7+YSsjti5M/jLQt 2PJPGzVwA8x0B5LR9F6Bon/ZRUXa5Ku5kCuCKcqt6PtChAyZ2tXfjfkjOSOkJxGp7mS/2ywiY7h8 mCgVwyjjoitH9Fqqbx+Gdx/4G1Vy+mvCju7MnZB71aVdF5nmgmA3s6eYk/VsIBTwG2HpQeIgmdF3 4rqyiNyxp4bbvFGU+jPTyaJYIpx23V8QM2osqONjsc1hJyM5PztA6Hqc57lZCKVIupb0bnNg+DQ+ jI3rwzZrvKiJ1F6WY9Dj74qy21rcPeaQGysmQxXK4TiolrAYKttBdzsAeLvzRIiUdADilQQ2KtRi ARLIl2ETm6SWBEHgjMb4pxqkigj13FrbCQD0smSKYVbaGrv87D2QSerzGEcZ1bBrcvcngroEBAvO p4o7Esdi5MLgS+ep+FhRQ7EVistTtfpKq5eV/+p0G5A43gPogxGQHJdMsdXqzh8PsmWD42xUpUq3 t3cxkk3jfvb3CzZNMyhnfd7dyN/EdtXREHUenWfSZaya7AEQezNQrbkBCnh0r5z9hwXhzbdP7UMj vhXOYhjMgu/kDoIvKsad2eXfPIGQV7Yrp/iZzjLaVZ4pHYDCHajJJyLzrTQugK2jkVYUWsj4HmEI v7p1dKq8mST/FVqUACpY09yVkTbdEDwUyZ2OZ+sKEyarcFlTKxz9D+5lRNqTVJzNASlI4jn+91RM QZYe/3hYkUgF15I8ye7RPYmMMZNMiED/cKuSmkwwy3Mw5qpNk0kD1tsqk2JnyY7xfDejfFz0gAGC MgVX5/M30QZF5biQMy74ohhg45gNWO9Ien6IoTeRO7tSWwPC7YmPAupP8LeWZ+MmBBa+C9ozrl0v TVQjh23DhPuUpYlZPdzNKin8F7bi9Wm7pc4zwWnokbhjRKzWDKktdT3/BM3fphnAPDrQiOjUEPIT n5/W3PeAmGyeCGxoBukP3xKvx9SKIJAxIcyjAITNCR7qQHbxac7WqIJO0gR14rko4itVWrpdNHVB 1ePfw8hUBwyxmxNQFsMwYfNbAycpxf2zJRM+5wzZXParCDGT8naAfN+cGCngLjRiJ+Tbv3uGU3Aj YSHn04m/mAY8PQ69gEApX7alh9f92Xr+fVHKwcKH65ZUcmZICKVd7RLq+8AInZ56HowPQWKNJiA8 F2bLbT0HLw2ApKvYTaCgtuQa7Me0e0c3eWBIQio8yWMqLOpjRZvGZmZhZbrqoXt8fghuawejaM7+ 7H/6yCoi1bQvNcvIjS3sn154GGd2JOeWWqfmKJE/mfgqUIFr7a72hdjru7C3fyrmab6BUfUVhx0g 4+YIVQ7fF5M/gwJB7qkOiXiC7VBRHgQaESq/VW4UCiscMC+yvSlchcwZHXuMvsFoTQeqL35mOBhb 9+7quHqRDoD9p1vTN6rjpGCngUcqgAJnHxTUrzH1dDPmtr6TnqV9eNXOea95ZuJeXXvg1wURPRzw K4FCcdhmwG8950OVyns+7qf0XRLNd1CEjZpyBHcx4Vf1I4i9GThNTsOiaXvAHbq75E37Bjv3ts4H 60dPDGAhjQ8MB0MpT9IAL7d8nKDi0kJsrYfURca4+A/uCAfJTuTr2zNYdYKdncronLkD+nXTaGie RHWNAx4GnRxnyCM3IYpHhHzxc1oNQy3fSOCjXHsBZag+452YG1X51NN2qSxfeEzd/9W1j6U/LbJo enrkXMw2qlXABSOkumsVBwuPcwvHwRmTw4Mfh2AYNSphflgjAthvJIQxCU+Gjk7n6ifh7pYjjo+P X4Tw96G/M/UDBntYp+sDjY7tcKHtvX+M7h4aW/kM8N5ZYhA3/wFiZLFBD3/glPAIDIK4xBYAAOIN AVPFIAQ3ekwHdls/pARvsU150JWagWjJP0A6GRKoj1VtlSYnYNkr3t/rkU+oWZIIT0p6aaf+acNJ +yQMsIStVWMDsvvap/P3aUMQLO1vXf2/+MqGEu/DaMWR0YdMmwXeUva1EOJnbScPW/5wgLn4Jhne adhH7YSz8/SfDbxJKj9SfBqPE5yQd0OlLZbi03JmbuB36Z38Wh1OuGlGo2xaVOgFz9O0UH78C6R2 5l3ifOngOiW2qRM2c9rf1RWt5RPC+2ccT/aY6orrupNAbBquUV6502dbPUrU5eJQI3d6paVjNIFL vUgA5JUJTpzms0KZg5xIQrpysuXeUxzrZVP+ye1F0xZSI+OUO0tF8Q2T2ythpLidCGokQi94g8T1 GMdp4unemjOYq3e9W9ojO/Nb6l9yaxs8sVD0qoRnCH9dWobpVFBgMYkbGSkpmFsdtXAlS7LEhdOj oRC0soqDXXSn6YkdSYBLhzg+b4dT+cYdnGEE0KiyFDhTkj5PR8CghW/rcFRAPg1gtYkz5oYvAexM 4vnjkmkgEhaAxata2mTbiwj5FxQddEKRoZ2OvQvNz0ZWXACzvcJxIkAW5LmWPxoQsyaelccyOZRK rMEqYn1bc8YD1HlbUTTJKOrKch1EZJoJySqEgYJPn2c8JaKcA1BmkQmPSDT16HSxh7pLzwkafgkc 23bfKS0RCuHgj7dJ7pa+Icg9bD0vKkJfdyxO93Lb3tnZCjuoLQygtixzTG0xIe3O+C2FIE92pW/X n9d0OyK476+oVh85ns/nedX1KbtUlI2o4WJE8pZrUDs3MVx49VyPDLw/9bhLku3nb7lKwnKOWF0Z rsI8/kI3K5aFtdKeLRZanBm+x1rtXCt4yVTkrmCPdA8O+3EXKnZ8dZZslAzcsX76Xg+f032eH/YE t5ZpwMONaYTqMMeXX46/kwsPB27cclGILrVnp7rV8UaFQwR32MSvY1zTQ7cUnS0bfL/a0d6mc6DA No6/GwVEw6HP3X/PWxSzdo+jpGtlgg2Hq7xPzWjmp28uSKT64hto454mEyX0s0D9Yj9XbNLkBrGS dn5UY/1wxBGk/teGpGVWfnVtnXKLQ37gQvxS/pSqTldVKKRCub788cX6ao5uFss5nYEhzxC0Fzjh 5n9PZVo4R+7y7gQL5B+p3QHBiUivUPbTgxkjyZKs5oui6K4ATzAVUBlft8X36xNtBdv0hjTz3N3X nBahCKP0BpFYK1Hz1PFakMBuY8VJju4Cw9EaF1mtPbpkF3gH0aMUH3P1wkA9Me7RP8qRraixSIG3 nrOUtPXcBIJ4G51y6JTD7yTWE6fIzOhZDW/rpb0jBwiQoo4sfxDhX8LCiHHbo5RJlzdCGmSs976l tAoskS8NxCF72OTul4MSbXQcSYFn0dnHADeH8Ib0C10/gIX0SBqHISfCv+NnH/qCistO0PMHl5ki gjZfXjGYy6JC9acoxIs1cZLoE3ha+nAYR2pOhbiZ7UMq2u/lrjdpPR8nYHfvTg1uFgGxEk6G68IQ 4uA+o6taE011yOvu7GnaR/E5b5Gae/PuYGTU6n4qeWX3S3gt0F25NPEzZX6fWX1JYXGPeoiTFKfM ab6kd1JJs+eFg6urX1VFN37Oe5W9s+24mCQvn1AWydB5459WdFfMfjyBkLhu2Mk+nSxGjzL8y+sP AJ73CPYSnqce5Qw9Lehdqxj8kzU3ytxE1Jr0rNRU6Ed7SnSdrYBcarTebCYKVtaB6opPq3N8JQ5T ABKCf5GoFYeXoy1Usgnq+1x0VN8pXSZGvkuU7QVcgKYuKr05oW272OMgRjrC76+sUggsorMlCYE5 2WZ/4O3JZllwps3XjdSWnPpFZwPds2iLjaLD/7hcSl3OvZUp0rvcHbv6EN2ALKv34+VlE5FWr3gD MYA3TE78RHM76YmQl/JbAxmSKfPr9Vbfg7GXqcjH4j0fE01A4L6jWNjTWqzeWbUanwHIslWjhKjr rpf9nFFUWUfip2yuxAU959kV7VOjjFpg5zOU8YNShZwdHPrQZfcKdaKuYlhzaf8HDSb7Mb8DTblO 3R1JTIWbYmKrm+lLUHQdZyPll2/hoBh04z8cfEp45fPEmm5vgcu7CpDTB3Fp4ZfFJhGKCdza2O5K tf4AVMCTikhO5ikBH+b/fyKIM9m4JBjDuHexDvVlnwAc6BwhipsBF/MLR4yA3xhCr/tR/jJeAYvX JWuKEg+GOO8rR4aGPYGHAY5R5ohMGD/TlM0oafyQZdhS818ZGTG7BTF23xjUmlzpZ3IgaBKizLSH UQmy4wnRsLYCEuyMujvjsvUTpJ2l3FhPNgF3AxMoc0XvpuzgEzuphW6CNImyY+wgzAzudTe07bKc 7fR9cpx5uR0nBNquRI2WoMYad8LIOjuGc2goOcxWTvr8OqsEbCvpY2anitXOSz1YBZuWjtRvWDLV JwjU3RMm1qanlf5d2viJAeEHkwd2bcFtimc5HGHLUiSN/f2ehYONUis9sP4vaVqAUmcLufsaJgL5 fSplG6kTutWKhBkyx04x9D2FC54zXwarZ69tVLDz44u1CXAEKHTRX6CtHyJ1vphoHw3v+Kw+wMjg MW226pFz8Gxgjr9w1MQ5rntvZ4dGEzzdLroApQG/I8HQpkUrt2868RnGFGdleQzED3qFqEgEuywx vbv08m/UEFWKzSLS1GNcy3MR+AFRyHjLmZZRyqV6p5iAXEw7YomScOqEfDYJoDbBOAZHznkE5300 Ss4h14yTc4uAEroiVm3iTLYdUBq05E5Q+kdJPwT9H6jZkjXdgRbGMtHP+eIOKdoSA+x/EHB/pS9c ThLm/gxEf1qUAVcFd+Q8rhZclpAsGMZ9vxrKBfQOIo8xlbw6TRpiJeFNkW18hD8fxSRPIdH+HHm6 3rgA1S5q0A3PW27DIp8sGZw9nCpsEKy4lWLk4fhQ5EfYxKDJEC0jVFmSyX6c7ptmV6fY+E4g9Ax/ RAjS8CNsa1kEF3caGSkumKMSbbHU16v6Qr+6HGHFT/p6UIGAujfhUYoME0aOoBfnxpkkBE0tIcnf Uutt678frj+ATZLk1MLrBVpqFXUr4bStWW7AHa8fC801uKHGJrkkH4DSakITRhm8t15LbvpNAUt3 GLtiD4ENRwlO9QFO4VTi+Aj4gwjMJO4srlcvRJN/DBVLVHF5WGxhh76OxQuJ+HXh3YkhiiKRdR6c KUwMqVO+mOKqK0m1wH3rQy8EOFsAPKhfUUP28lriXXdv6H2f8HPPKybyCIxx6gHjO3jPB+rgwONW V7RaS4T5TcYfFA/6ZnmiCz4OmIxnt+/1vN9XvHIu2dUMbj0KQ+qrHhjF08s0tq0r+E9xdZk+oIDQ UgbhrHlo9PgBXlDzSBCz1bj5gQB/iROGI/fwrkT7SfD8CTgbNz8cOJaoF74700sRFPJ1k3DrRdxp EdWfFjW2XfpJkSrr1emeG3swox/octluUCtkSupkoSAZoJG1HIaIwE75ci8o9AJzhbAxSCU1j392 laS/mG+eZCNd3NHxOToDBgz4eDtYMMlnoH3XYJzcEYhTjkt3du3I6tYDO8RzL3Vl/c10mjF4Yg4I Au3RduTYPzIqKy26OhN/OujXoAXxkC4aBRLEWhkqCL9g502cdwClj2KSnwSqTNANbkhtLq0I5buG tXjHjlXplL6JQEjLvn3d2suBp7Zi7AuR0/jvTKxGlncfqdvASPUdYoPNNeFq/5qA2q+wB4UTqqNe +aF0Lfx45LxBBa0TrhYmIWfuNolIU4wOj9UK8eyk8XvZnxuSahlr0/9pN73ZGk0EGb4W9rKbVQqw hyrX61TzYOPVer3jY1VnsOIb6gUZ9p1I0PJtKZEZcR6J6PtYF6136DXt/XJKsjflCpRdr+IA+8VB eJX5d6Lnasu75kkFXIOpuH5UvOqjW0MwGKrkaVnDIXEXyk9VG2CdSlocDc91tsxIooTRIYY3RixR 5lCCg0vKWJjnbwKduMCxKsJZGuvigvK8W5rKfw3+CPMK7mg9Tu7dPQUmLaKQDYTs4E1admdAGQ7t rFIms3UH/ywmUmWUOlyZzaWaRyVf/rBn/FwAkOwKdX1Mb/Ou7Nj4e1yTokhMDc125YNUotSLQVzU QAeLBJYPDurt60KLPDy1pparYgGSqlil+Ntwu7rlhIfz+++pKbKdXoU/YXxiQl7ZCsKwS/UK72td TTkKYsole+N6XdgHtmG2YDLmUgZ4EVXvLr8q/xGgUr01vhB6V3gyEQ4EU1idvHaqVJ7RKu+Qm0W9 n3+s5SUqbofnA5GG4AETQCCPhItrYaWrlSk3y6ftnv1fxk2bB8PXsyfNzx0kxasF+j/QJCkYsAoR QtWK4nQGGdzapV6BgJSX6a0PqiiwtHw+idD2TbF0WtDYhEWa/6YcjxeswU57Sh2ykIZP2wrh8U1J 68hD1T4JmoVBs7mJDn+MeLCoLTyfdtBg5nzdpyonTbjnHwwljGA3OQmrWQai1o0ULNlu/r8jDDLG 8csabp0EoqabRksOKkhAVPLXi/TcHSMdxl9EiFrEe8D48P2TKPvbrdRQdaBkuS/YST0ltRu5B9SS QnmyBayWNkZWJK5ICuacGFw0dUfGDFVjFGm48ZrDFhgVWmLcKYl7CMkhSjGpSi1GACzTOTAqsHqK eRL+l3whazDZbSI+lTBovrp2SMpJdtFP3mLcgWnA4evq60q6bKJwZbPW4WUXxdI8W3CAlXkECwUQ Utfafl15sFOVqRqQSWEM/L5jDy9ImiTTkEjLdjvxGM9Y3S2T2qu8p/ggRsMrf1P4B/hYqsTqTn4/ 6gx62fDKydSV3/0NmnaYNph5O33ID2CPHC4/biauIrZaYerhY0+uSg/ncuXW41kynmgBVSFHkTdH FjEI4wAymYzL3yKBONhfvSPKZL5KoE1lr0Bjb0D8chNxgvL7ERhRuH0ChYsbmpDH9tLhbNyliIdl XbuV+18cF2pE83uVL1lHRfIKGeVEA9QuQDZl1ezDY+8W64GvxYE6xUZ3kw1OqDruUKUoNUG1vGI5 DA4nbE9EussaR96z7MXozv4sAZ5lvSHz3yV4xWpTkCC3IAb3sWSeIaDtBgjFpbSBgm4z8nepYc24 DxMUuvGl2/G/qPmFEzoPx9H3UZR6T53tAavIkWVOMZXGmiRU7gj84Xy3z5AenRl/1Ys5NBMtdARI f1O5J3mW5c5bjHNOeyIlYXVIyoA96YsIuejm8SZ/9l1rG+iEmOcORabJx0wI0R7AjXGbtKzyw9Y6 2ot3sjNIAZSpmrpeWg9Eh/E6+Q/wMI+AX5PpE9fNwJuNTtIrtB20QxRKBVt9bnM8PBbDqrAxTCtl 3gHKTsvIBoZZN6OSaRABVY4bbkQN7XNQd5HrA7RzQfwfvSyXeVJ25kMq8GhI8XLBFWaHyRUtP4Xd Fy7SsX1J4l1sfpYuC7qOD/ESPqJ14/Y/x+ywePRgGhV2wfyQDNkbGzmemVB++paw87FfbIVbMQdl b9tD/nIJHAoKcaPCjGytO4UcXLLjLrhHr4FCUW2NVUXKNpVgcFKAppuCSDqtLnd6nxs5v1oGnM30 yGCtQnJP9lc24Ad2+JIk6FT+n85iA+JXj9n7LeeMZNgeQ9UuLyoRmPRmKOTtFLYzgakvy9OHlDWu UpfY7i7tGYux4cCiLVeLWGcu6xltnL9HdHTgekgQWgZEa0Cn8TodO15ZnD1E0TEul0GEOPJ3v8cm ljALqsvLiOrRkpGDZKy4R3sh6kJ9CeO87Uet8SPQAo3zrFLHEptYKtgAHWgV4dH1hi3JfjR8jDij qstCH3hvCUClRckgNl5ivnc6hbKNbRYefUb/wRugB6QRlSbu2AnlPr6AfkJjw+c/JlytD3vifiLH yzN8YwHCMWQqSAtLXRYL92vwARvDk5vPy7L97VUQ74BZ4S5UZQRpi5MN//vxKZWDZoqEkERi6jhf wg6aMo2BY7fvG0fSC/IHns3CSUFO3our39JSrVRkpZG82FiCQTE1wmJ5D8+8f2gUTV0B43fg4421 yiTag4ruejQZ8ActxFyqZghjJBJZgbvmHZNeOSzwjYdEPUAEzcVHf/hTtQAx8onRwivAYOZdZ8pz hcSOVwTCldx7ixhEcWQkz28/ILqfj10tzCGetpXsByrYoUfC2EtPuJ9cvSAbxLowAR0KmdzP9SJ6 yW04KYbZbxccIQZeEbRE+CYCiBR/NmcYeCCe+h9oZaaqAPWyBrGd85kxT71wrUDVQWub6GkUe2wm 6Q58/WduaOMiazrBTNCNlhCtxgKDSpFVvFFfgrTsARTkWCe1FiVeIy2iuxn0z3kLQbdPb3qYnI4a 8AegJG6k4dqJ5gDfGVeBRKzPhf1KSUHmTV18Tph4P4YyVZPZGzqEe/qWti6Jd1qpBm5kwHtJPBWn PGEeF30yH/Ba42aj5yC0gC2qiEpV3pCFZtQlkwPIdm+K5dHSo8MMBRiNUACSN+OZlW8VZ03pTb19 F1/PqtiuQhawhYBiUe3SWgPfWHXQwUhbBJJAD6osjB6KW5fQVRgam3E7OXJj3qprZVrkn0TIME8x U5bH91RBLxZwaODElyUlqUzr3nnB0tHfx7vmQVm7FyOfcgMy+2mzBRFfk+SlXHgDqbkaugxxto8N VA1Y1m8L5m2TB1PQXXKFCaG3LFv2WD3hE8pcnX6IODIvzWMZyH2HSPh6OzTwV06OWByTMY7k8p5a ExDxHrO7lSW/0iSfsoirl+vA8ZmND8cl42vUeihUVdQa5k5UpL3Q7HzdkNiU58i7PruWzBKFlFQ8 cZYdRNsgUYyMXXoH34iztO049X/cHxYh2ky/Q2pla23VP7bjT/H2YOW+KF2EMRf3MaK4k1Oh/GM4 Om+p9vtEBdz3uLx4zc3pwjqKiJO/vR7360XVdJPkE6/OedhAhOv8DLKpsvwH9+hg/FL+XeZpFnsT 34SBpPeVgyXee0uLEMQwFXmPjHCS0w30v3ZPX0urK36O5LwIXua/nYXga2BjwKoizpIRbJ0tZFov Y4m5gEdH+BoV2DlD1iCh22WNHvU4mkoo59pFNKIr7s05ETOH5MVBTwjp+smzZZSqGsSUDUoEIZry q5W3jrtnhimQPY6sIT49j0kWZHeXXYIMLmHdrO+mYXW8eCupvkCQG21HDJR8WC/VokabCLp6fhCy yNS2R/XGarhVvgcbwtCRQC0yt/GiU6RAx0CQL8Su+22WXQLZGvWqTetd/LpE6/G1qPfqmsQu3NLQ dnR1bI3N28DTTHdMQZGrSIcJ/JLwaGVJ+JxYxO6CMq1+dg8hs5aQC05K7FqLUAUd2HPrDRNc4Vxi e41VazYITaypeodgi8xc0/0QMFD6DneciWVBaX5zIoYNYYZn6dJMfgkH5550MRRGWq9W/S/l39bH 4nG61oZgPN8vMNJdyVn88a8wPN7RPpuZn9xjEdfOym49FEIl86phj70b8mNU4mtUaJWJpyuFC1Z3 9EuOhqu+AzUv6HKQOu5H253qP/3I45hKOD1mw7zxnLa4VXYs8NBRC1S+9L7mD6AOqYxd9QwzH+OM oAOjJ9BQeDBQng9aZRD7U8L9m0OZIbAr8DSeqHJAZuLBJrj9IYcl/TVRDh93r9AqiVMxY5lviLoo 5DOOfUrtjApVY0yfJ9KhYpn77DBTKzokzGvse/QovENWVvt2sswcPCRD/W3fpDv3ArhH2KMXEpFt FO8jGhlVGO4OCTICFmH83rKKYpmQovKDSBg5KDKnYtp7GitX3zwcq6gnKjhDlhMxRLvwxScohadh N5sfsjEpN+DGaNQA7FjU5gAQiOy4rZ2isRX4Ur8dyDwr5IEtaxlEPX1xMxZf/BpgO6xaq2itMY9o c2qnavHTJ9ptOHNvFP4a5IgKdMjSHhNq7/z211x+FcefaiaNjPuPMSgriODMm35o3zladhuSb8Gd Tw+0pkrj4CLM6HJctVSPzTOkI9V9ChnfrJTdIMS+4IzDkLSDVZDVTqp0DWMCnkHSyvqA2JGSPeZh 3/XKfFLgjxGXNuQ9c9N8gO7dXN+ud94PjDjuE6o9IYYgIpyZVY05rOguy5QbffxX8DMD4jTjrZe1 G79e8hXE9Z5vKgpPf8OiYX/Ez5Tfyva1Br5+OlpeFLbOHGt5fYWr6zz3zcGJPCiEOpPSxRIwF2k4 gZQFbov14cIXWscywNYIFD7AtGQrWaUSfqrifWr1Tsu367AncDoMTMB2FG+WjkOE7s0tl6i5p9xI uuOlVrMYJy0DfFu347jKmgzNDIUPRKwftMb2bYqTYotDYNrQu04VX2X39V4bP4BWu7SwFJApAaFK Qaa5bNQNSDgRzo8iN1xKrUKKOr9f9EtFy1jObAVXqnf16432ZfHGDnq02VllfKFsUY4VDljSzFwY 7H/+6x2OyGfRhuBKz7C+vYq5fAxdfPwUP3EaXHmJPDnonb0vVXxlCRqBetG9YfIAu2VD3JIvo6Vj OaStT6Ex0S6/Sh8cD3py68IXTL1mx1pfLC5RerFGVPJ+EZmOFFF2okSvMF74IfMsO0Pra7g+pULK sq/UzOjavRS6Vcv+1+L1KcM25BTZuhER+jczffl+1YFWJQmu+LnR3l2ZtUzIoc42LRVu8XBaGrUP UzHl+j4wOevECeUFYUcQpomkNTgN5U1vvcuoDamQ4SAw48fvqg+7T8Qtbk/AUO4uoJdVeuTIaEVO 7jJuDG8y5By4e5qqniQciLoeqFypWm4g470WGdnWswg9u1HLL9YcxlPAwBrEcyyGLHNNyrgKcqng z5nFVzLeAOf3OS3LQItsZWcDhVi1rx0FXZQyskUXkwC93YdNEJq9wKoJvH3LLVUmxvi4OrAobqAt jYlcE6BCBJiE0n/w5UE2XfyPBgO51tMuA/1c7nNm437Pl4IP0ZXeF0wLUeyaZJ7K/yQvUwKox+X7 B7Wts/QDgIyTZRfjWkpyrm2QI6UsH7o1kTiOSfbby+iXa5JGW8SBT0l4ggGZPxlyi803C25/8b+t woDM7KnFccygFsHpIY1DvdCu066OoX8zjsTt/rue0UiSPxCstayWr3lEWjs/IgP3ucAXC9PVmWN3 WfLZZp5+kgbadek+YR8XBGaKvo1VPh0ipHCunYvlPNr6ZjGTNkNWux4RLZSYMeE4CBPD4EuZy/Ng 4OLTkif1H7GLnsPkUbvbee/Y85FjNn+sq0B5AFMAIEMgsqGSN3MrirPoDIfQWZYQQJz4qDWenOeQ izcHuRZLtIVJCf2wBoF4XWIBHnKncR6PhnL8t8YTvNbvRL74LAu6BSURC3Q+RqlZnoaiPa7LEPlL Z1EFRjV5qERbEFAnzDaVPw/B71H8juMJQPJzBv9hLGyB8pRQ6cvNpAduulfOfJ5mfVtWIh+6qvuq XsK1RR/hFZaPMsdsi5A+fLXbO/6+YEea6fQ5uRfAixP/0a/G92FuJ/Zb5vflpaHn2gmrKCDBtxks WSnmcyMMzvYRU52CvsZBA/CHWxtGl/ZNRIDJ0DRUi5IVYVGNMD30kzRg3M34JJH0hfhpwcRkeDSg +MdLiz7+SoI3HpztaiACZMvtqI5nivbcvKQsOrjwAmNVqc0umn18DUliUhThBVuP7Cpi+yYzki6e y7Am1dvFsXSf8oGayXk/WHHD7VQNXUy+Dm6UyOC9KIlU2tiF/w3TUF81TBaBiVy8aPQ7t4TEaDOp C3M0Pj9ZI6cu9AoYc0YZ04lwfSRGCBedQaPVucVUG8dMeuZ5KqXWOzWNS3mJuGRDa93Xkx2cB70F DuQohqSS1mc+pGCqu6kMp1H7+yFjjsrcBJNg53rt57Z09zT3m+3sTy80R8XA9XLx7EM/B8vJJov6 sLFU8vkVSf8nSo8isZxfeEcKTd9QzQocCSbOSNHSTLqdPjMlGTT/EzokLUx2uH0S8gl+F6/yhgw1 RlBBIE3EHL6uS+pLDgAt+SBBTXUqGnFQPQITN7/FF0KhoUJIknbPT8u/5kDObXCpO4E9Q7ncG9EQ aVue4A1loJqsiVJ9avwLnyvHjETrupVEHVIrPFQc3rQfOwdO+TKoR0GIW84dMn4TE+9WS4ulvNS6 UPvUoG7AjjFMh6G20E5c7lqr1WS6a3pnGZjy5DlJqVmbg8cIcEAWu/8R3zfo7pmo43e7I1OMrjKd meJUPTKygCa7QmnATfYEVsFEXpsVrabrB/c5ULdAJfbdaeR96lpg6Bj3MmtO+387Y9dPNdkXlnPv bw7+n3FPQDRThuPnrKLwmNZx6Xd4zUSELueM51UUWqWhBEK+fPvP21KMRDwb02ZtUFWwkNmNHGRs dYhNEPEh4oYXSiadU9rokQLTXoULl0KqBbTp5/Wqv7qD4X9QyWfkm/AuiZx8calDKEwYfgc/QJJM h6bQpyHdz9bpcSlduozCIs/omadcx8uluZxw7qJvaDDHZr6trbN43ZTiZiHSom0OLoqV48TrWkaJ /vaQeLs0thhiiSuEv1UKsmhTy5XFMapd+ijff7TMIejYu/Y+J4+JcPv2ffmAqeuyt4715cSwoEZF Ye7oygeEjeQUbzpt9D+21m0P5xZU6UjYXhRh1SpdF0lkDp8TY221qeIVeUdERPwfq7ZZZ+SbfOs6 2rO98N5U3zKrRoi5d05Vr3UbPwtFtD7PUZZRYLKOGkZEZDhH50EoVZtJh8vSAelrSlxpmTE1ulgi n92zQesQdVuiWa6ixqr3hQF9uTZQb2xpGaqCM0gq2byRrxZw6nZOiLax596BAS+Or8e+PHh5wAKK jGNCPxcbXv4uI+1vWpB0kra9B17zJTKgBZMSd+BDJvDP9nAO7jN8JnE9pXDb6y5+6fBXb2Ylmu2p r75+OKERjtE88foAb8Fjm7wrkfnY6KwI4I7t0sm0I1gqIcgBGPg1aYg6WnOPE/VJEHeoMWC3tjJ4 LD0tqPhb+XGdVEKqp9o6gcHkF+6by/lg9ZopHsvGIm13sbc2l/B8vs23k/m02G0PxCtQgs+oAysA q0lwBphbAV8cJkpygx5xYNMLlcZP71WsDJpPH/RA6FDEvhVxRH5awFHJCcPns39q2kroX59pO7vj IEflG+fCCUM8127AGgyEHLngQtg00h+1cJUrzB5WREz2siqGatuBuGoe2bssVgbw5GBaOb22VeL6 RiLutaRYb1QJrs1MzHK703BpwNm/YJWBaYjVuSxXM1tGI/ge7REP7z330MyfJNdpFCuCDmmJPPf9 WYAnNNl+RwAeEZBzOq47EBUeCpv9Hs4VmuV87qJYlJv5kRI+F6ZYfOvLpui9X78sv/oJc41H1Wyg KroXsK8lQE+xwb8yn6DwRl4gdLC8z8CT2g1El24lBk/D6QA1i8NkTYOH/aUgvUyvBnFnbVp3/687 D/4B6AEPUx+7a7gQ1ngNqzxc+DBSPQciNmZ1X/sJEuSEdbZGUx4ek0HbJg5pe9ptDgoMx5qE4j8i pJBnEcDsfUJUmnryoH61gXnMDIToSjuETYWA4qDmtjORwC0yxEQUaxlStd+XABNLPBmIAHF+TPvZ 5siUrZjLqcm1UoXLcZ/f2/dggGxKbQ6rbeHDrk0uL1xDjO472L1rGy4/48MpAhUjNY1VX8EVHh5w Otgt0SZFS/tdjKMVnNAQge40GfpuBfpnoulmbxGwCr/BPR0EA4c+wzk6TPp2eARhZGtVbEaTno5P CanWSUUbdC2oSfiaLa5+f6+MN+y5gCSgHu7SYkplLqkkp2qhSZZa94Va9fjKODSRQ16p41E5+PUi OknIgY6R+fXxkOwTrwJMbBb9OIsLhQpmrpFeMAYo4gEZ6xsC8ZGMKf4SEYjMfkBMRK/FaHh6U6yM 6xLapP1wTLttqjiAyvSNspIg2eERDfyE7Octb7lFslrgNxgMgAAk6/MRkei4QTU0Z5Kumub8sAGB FNmxepH2qi6azTC8Yh8Foq4W4AYhHTlQNEv1h48wXGs/z3Sz8PUXyvar2wRAxmUqgGsNFbpr4hB2 iDDbTxpnXBId8W3miO67yb4b13VUUxdAZxFJ6atTxGVBSKJG0QEuZCTvEBkBFq0r0olup9BJTufZ VAK5h0Er7BV2b5kGr4oK8Yhj5ZTJYG+PAGDaahdJZK+5q7q9TSyhd3ZUkYK9EMEvAhvqnNyJ+6AS 1E6an+4nM8q1HyLEGF8jf1TB62e+te1GdezDKX/jHGyJn2lPOvwvupEFzSB/xckue386MZa3Fs6W LhlbBgTX0qvqXxIjUClq3sZ7baGM544r/2bb6vDxmWd7LAE/KCk7VlmTzbBwYbwHSYSC7k6ibwOY LCj2rpAyhc0vz1eERW35ODRnuQFBGQ7R69J2x7R//B+Vf+7gTUWBGH2NEzXoxo4oZL/BhZKjbfDW js2DTv1hItbMwEh3rveZm+E1By9Eqi8AJvpoT0Dq2SHhAklT4pnzlbIvcydTYktIy/9wJ1f+1mJg E+i39CGeB1KJYFJYPdhJ82/GwJSbYKzGQYNjSdEo9DzXUxApDCm8yv5YYnJvddH4H8PwtgXooXo9 SzV+zOHDsxBulGWmqvuTETJ4u5HqRaaZzGDdjndJiQzPdRDgLzzZ6jImmhZQjgM8Ayn04vE02Dw8 EvYbf7mlDPd29Xhkp9ekjabMVtiV/M8R9t4OZrpAeo52lBZ3qzzKQYXRt2hQ3m6q/VWkDZQw0wgp Fcx2RZv1ivyLhJf6zcwPD/ZyKMKi0Jmr794foy94SMsNQRVKYwMYh8/xGaZFIvG2JZxgrB7yRMuF F2XHeEthG4GoKQSUG+0gE73BBpsbkiJuUSkaDsGAEtlmVW7cmA534aJOamf3vZNjaG5jByEe2Xyx jumT9P/8wjaN563r6OcGx+ah2EJP2gdhTtmVKNL99A5+sc9FKcHKHDFU1Nn51cv1fo87uNJlsqGj AVkBKkLu+N00faCob2ak8jUHumchEFuwcl+h1l7ss7C2cHHKMNsdqMYwF+JOtYT9q6BgPIS4N4/C 2PGlCvySU4LmA39nELxH1pndzDHPD7zF2tOegzCiZcLo+LTAH60wulmGuNJaksTaznzBEfe9e4Z5 HvvWxNW7AlV6VLS6LxQ+hDWfGEN1DBVPkekkUKNtuZdCkZBtI4SUsMms+GdELhcGamkcU25abGyX X2cuSJjSNuVzAyVt+6lN/lqa/1MuC2vKKnbhCMPCKc6NwR+hfMD/OoGMyCSa9lMNcnpQKajYtDhs vc8EImZUYA8dAUTWzI8ITDlrmYZA6I64hN03cAGadh6Mrfs76XBePeNlWHp3aTYEYmOeaMXLicTF IXjSJJqSuhNSY8edImF2e45nHISm8Igf4OSNzROYp5S7EDtIHOuNkiV605l+xB47U2Li2Oz3AlnC wwEi9wk+lXwBrJBdOIU4kekrVAX9Wp4/GZc43Ibx7wl6Y7mH2l40huYKDw+XkSQsM3JEPIL0INfl /YHkEfLCmOeg0JkmAZxh/aa3j1yZulXH83aagF0mzGCItdLOUXQpw1XGPoVE+yzm6llCwBHxC2Gc 6KtP/JbusfP4uIEL+/Dq3pc89FY+7r8K7OVhPNh0+imLUCdEXi2vf0MJnfve+RRZmSlRxF61n61F gsgAwug1q/2eeOs33zOetJ9nhd7YMFEnwo5FCSHWdQhGV59QDdkzM4JxKIlF8AWvFH+TzMINfPjf DJMqhXdASWfv1qtvlAP3wMI9vj621Ka0RwzanXYhSpQVnN7c+M8o/UB+lIazoNQbpuBg40j25Jfv rcwxEcGFyvixveSCQmo6abOA9kce2yGuobtvrUFPptTwe15pFFM8C07MdJhbIgYC9E++gWrRou3w KpKL9monm/Dr9FRKLY7aKDnkiYLupuOvd8lO5kQ64CV6x+t5Ad2WvyRVKWVXCng5wqfluHVv2dA9 K3ZKPjCGH1K86SAFfMB4WY18Ut9FijbiWQtYNGyW0Er6VQTdgR9qXKpzpmUsmPu9K0uAQH9IkXlE 5eo9EYKsel8APOljdBjHnMpljLVbwk0zpAzgMZ/CL9DQbBErJS7sJbXipknLBvnWEGFdUMG69zTm XGb8LuPLEcjpMuGxEdasKKExtSOZ3iNOeR9s7IQFMk4kHR91oKXTbLasfcrggCT/9k3Nci87CgkS bP/q+e+KilXLVa+MScZEfF07BnMusdri2oNFmP3nIfpjmWO53GFuREB3ogjo1VzFgMkW6a1zSGVQ cIwCc17MGgfeQE3aMw/YxNzqQ3/6TxM6bsZCYOtpm7LS/j57G2ZaQJYr1y4wWQnRl4pc8IWlpagA SBaLc9Pnoxynwhb/lnnRfaO+DUQLPK59BzOPPTsK+cYARzkkQNI0+IcqS2/FPn3rIuZ8aVIeP28Y oSmbQlsx07DpqizZ1GpLQ3bnENqxt52dYC5sQieeAiEJbp+QxqG54GBlq0eXz8qWwlqkb4oeGOaG pseLjabywaEN5IFkKfMobyMznYNuEDgz307nm9KgtsDyfRqKiaBEn+iQuE72fliPpDN41+9Fbczb 8CVlzJySqexaiLZq91Ci6vFO2zUm+yEjXbvd3L6iIMyKH0DDGZ2uoIgJnbXqMfABGXq71IBlF/vj H47SG+V2ZU2d/bdDle49HdmZda1923+QziiLAMaY76CEgXyw04NFdV3ZqKpqoOV/3OaIfbPv9zgM qv9HV6JTxtb8Y5+CVFut3Nt/nWC5/w3bUbNhijbgA42Uzn25T66MqAmMju631ns+3VRoTijUGD0r 1lnO7Bf6S/ROj0cxPy7NrmG9B+lvyM+OKQrtc+go8an/UQyZxXFWSYP91iZMLaRUEbJplAEm5KWr okoBiLlPLjfMHQF/irlBovZvqWotAycFNNMWkaXWbC+ESVNRZasaLorEfaFl2d/G4LHiCULtwcQ3 BEIDaqnPoTf7ATVTxi77aWUYHrgAXzJiqvKdLbAvUuANK/HmMXxiFTbgjCZ2pJTfXLExJ7+0tFsg TqHbYeSW0VcCeVvLIL2n1AwolHvvRL5UimQOZMpEiJpg+Xc/3SJ8hSXi97OTjMISEKZ0JvACkKhR TpgdOkncjLT0AG+W4ctI4NBCwY+BlgE7SLLGdb8kwMRvKlKnn39g+UUNRVQDQPAPQEwUbZCQFapD Ujc0Vefchmfj4BqUZerqHyRi4oqgJq1JdyRGH8D7pSZx//77UWQ6A3urlnOv1wAuWBlibKZplxJw bpHb+B8MQA62n5Nr3aDWs/Ei9RmNQeCbi1Afar3w//9WnWBYrjIrQK8E8O4/jLMlZJOEfu8QrO03 9BIpyxOVL+c0Q2KLACcIul0XmQ/60rc6FA0ZY0s8hkRkwngaDGadpw5/D9EVCGbYr9jG24OX9uYH wj/MzYdRSfGjK7lMMqCL8+h9uRbk5sLJpqlGY/858DhjFGHKigJafL0VkwdbiaxsWPkggByMFq/h ktbGx9MOt7SnX3H6G9P2vQrESuHuABd9/G1ty79tx5onC64ENblSGrBsnoJNMCQPbNxvE+mqskCN aILvbmx006CzFuo2PFkYAAOdJDq8Li32GQgFGxjhBy+dZBVANrJZ//LD1PqAeDw6MdwaC7AUSh6O KNGHUaJ10rDkBlr1fjw1LIa7WXorZB5xxjJQuNSGTBSEbZdEV9OJtnZxM55t5d19GyKsqfSSczSA QDehLexMs8YDXAcZPcoF4NEP0crCApZkg50M0C+XTaR9wCCMWqScDdaVgdb87PAtPkmJPhm6jqNA B9sxfetd9uHXgJqjT3PH0fjzUbT9d8wc6PFiBXQIxC18x5ZVSEeo0vkv6Xvk126Y8swneivWSPkc R8LcTCgWRfeC/ec/ST3Aj+AwMydlCIptEB3OmMpD7oIpcOZTQUaVKjkqBEWPdOkaUr6mM1oOWV6b U3sk2O5XwUF1tXDhGiv63NLF0oGH9IBc3ASJgVdf8DzehsHkAmpS2risWa9ANgocmB8RxmHRmWlI cXksj1Ja3Xp+Nan/HjewREWSKqrNQI4IzXhqMB7IqZok+Z12/tRXuw8HfkR0QgwTnUFbp3znFpaf oZJh18Y4r28Us/mO8ShKJJIBqae1FVavJmix0Lru6Atxp7eWU1Gyrd32LEdAL7hTBxfQfCSHmS+4 CFRJuM7W7ndT78/n7rceRW0r/SpJP3QNl6ysvINGvklbMax/i5iGoUfNQkdGxrLho+wF05wxc8Oi i/UJ0qNqBx9ZtsIen0l/GfbVzkuFezmVtfREMJLx/QHTo2CALRDLnJ5vC5MUwCb2iiRF4LubYS0C YJ55UvczZyYa5JOEnuf7llO5grXiHFwvb205pWI5cjhcJCNd2lWWiiZ9j38BZgrsGi/YPS0yKVUc ZV7gHaa3s80OlnSYB1zYn5yZRWDAHWsNpZp/mNq2Nxo2712eIwC8P71pjdJT5VnBXBC2xRnNjTfO fuKiOMFAx/dWywcKHx+5T4BGJsnUnp9YcebVXUh8tFuUTfi53tqwXYm4COl/JcE1I5sly9CnOvHs 8X23sdcKhEiZTHWCkBYG09dQzgE6n0kNnwqjvnrwNWSTpMV9iAh1NP2IFYa/wRl48bzIOPIvA0Ef hXuC3PmK2iAJYKWqrppEDMDT+zOWWng61ygZI191w6cVCvOepnG/bz06+t5Nsk4vnWrUDgnUfDVy BodogWlDjDkJ2RtsjWctnQyHz1BUbaQO0IxAS2ExJdi0F4GgjIo76eW4mHzoMyqdp7fD+6eNdL1t UujSneHa51oAvkX92EiWBuIL898qGj6TgCYtqRkdxxhdN7VYintCmt9PkyeO0ackReczp2LbgoDt IsjwRQ6ZTWgh5DGe/DSiYJ8eaX/wO912Cs5ASLm13UwAzTv8FrqHHjdAnGHFbKplLD6t05twRLPS fizg1P6d3ofZ2avE2iOPlIfHc13L1UWz+r85o955va0QpXp6ZblwuWg4sS/y5tWNoLMhEcqngBrw TnuDwwImIVAh0txCDVMSu919Dq9cmxTjnFDlgmv1BuYXNwVYjudE5vA8Ovqk9O6DTPiH2IWk1T3V 8Hq3R4c5BgLhyRIOprZFzS91W21za/IH/G3WQI0IPhLWZUVMc3+ucg3usePeSGuVoNKRYE2uI7vz n8cnPxukjXL/qEXTZ/cVHTeJ9bGBJ70a8eW5kln0lMMxR11jpDiWzWLxms/s8WJN809prlkFkiqM cXd7WhvIpdcTQbRO7QFZ81Emyd7LyScCV9xOOTZbIHhOp8TvyakPrNeO6jpcSWv8acRLu28t/fth BYLI62YY6V/yJr2zhMoluhCxsVTTz+xC4HhdSaeww6Lf7kac6C92QjAGgKVuqbI2yRt/jU+F+wOR SjsweR9PXu7ebIRd2rIL+K9GuvS/TC3/tXAPG+PTxEt21YW+Dx0t0mqD7FY3BQIZeaSf1b63DFJW D0aaRXgsAIsoX/buPYoB2hrSPFibm5vKD4gKJtMrItmWGIdOJcnT3hN22B+5NP5h2a4cKn+MIg3e UJzWF+1VxFMjn+ubfqzEHQcKV8xzsS10aVEnDXHYckIhjVtDxOxo5+vT+VUO/wNqIrsYAHIV/dqb c5pEehsm1A9j4Sxm2bum4c9ADcM45sDf2Z3lQiXNN08KvMVlcPWBL+nEHJroVbG3YWADHDtgX72c C0gSANTH16CqIZoMO7nKB2CJ2qhvtP4EMfFDvr/Fg1EsQofckCtS39qYW3gRSZE18g/L/Z4IqgjI YbpxMVFYBh9QIeAFZF36OjkiBNHtxc2rxKSkip6ONsAbxLtz24Qu0B6/Q3xg5NuSITM/CE7DDYp4 IQcBtN3YtTEafG/GkS72bRFHCOztj6JrnCDeU2F/IqLqObHePAnjP8vdhB+KNx+Qlblgd4aW/yjk 2tNy9i8iBkRyOqQoB0atxQFwcdys83juvG4qNayjYccKiTGBrocBbi6y1o7ztkgtngbkqrxzxp+n bF6LRQMFCMzyiJJs0kLRad2+fxtFmi1Xu6iPeRPfrXgrK0JyXTKbduBpyzb2QNJ8Sr634ASBMAHs ROgBWEhfPy67NSVhmRacIRQ6yPLxJ6e7PLvgSNlVCRJgJe8HgTsFZb1rO3S84MiO+ed4+OPxoynj QNnE4twr+/EPb/fCmij2VH3wr87E97GQfhfprp1f2tz3XIqF/bSEEmKtVHTnJQYXK3FXgrJs1I/S aTBvJJpVfWvM2ceTOzZuDeh/2RnWYaTa+ZamCFHQMc/ursqDUq8AyT+PHVbGTF0h4To/MZ5E9GVb oxTWgw+UBCOob4wQ6VzfnCu5A837w8ouELSy6bvNqIOUJhBl3GReFmyaXZICWD4szPDoo0VsmTDS +MY4sbZvqbpXOgDH4mYTUXsGmO8n7KJ09viFd5vlvqhsIdBCViYh30iaxGgHxF+dwSDRnGHcP2CL OuU6vQ2Tr/vBYuU8UOd4GBq7wWL3WVvBXOSo7h1mM+SXW6gMfN5jeJJ1wtYHGk+ao/ynjpTnVjhG Uimv47PZ2F0VeH+hqGCRTqIyW6JXa7Hytkm6NvlFIC7gW6YFKz7sc+INhOqhFR0j2F8S4kQurRUj e4XW2KyNpVWLTrrVHu6u2AvxSAC+BnKjq6h64WoX+o6lgQ8uPEufRVDuFFqdoHsBXYyezInZgeiX Lfpn+0VQs/l2G/kn1Iynf2mKiWE5b56C1W25mjSlWdBLKQHJyPzymQitt0kWWsoyNx9YV9eJMEKL hV8tgF6us3D/TWCo/sD1xw5ilGThXwnVJyroFDBX0aNmIHyUjHFpcp9nELtkVr+WSwtOXzyMF+mp HDYvy6Y1tbUREfiNRnO6aiPEcWtlbj2PZcFglMaNOAE6rzIJXO+wllVNi673ZbKlMpQze94NI86y 1AJDWNCRd/tFYDEuTucfiG0dk9rKDuFUHAkYquSoZP/YYcSYOvm5ZzbBH9VgCSl6krozbwgEOpet x+lM7L6OrhsSiCdtEcqEDpOTYc4XnaoFzXV11i581YdueTr5FDPe+Wcchkp5tU96JuXFt8gVDUvB g9wq4iOO8WpnGtIwG5Ww0RKk750G9emwclBZo8UnlsmF8mM0z5hVYXpIwei16lD1fnbUJFNqEhbG tCvzItbFIlnl4ynDoGkq/UxILpmML0XSowXscDt5yhcrQ8zviBnh6Dx1OHK0Xiw0Aynf8ZiIgvH9 WZn1djwZv+twWoTogrolCu7RZAo3Mx5vy9xVFq+vGC/tTuKpVWTq8s+n5RPN0R/QFMAijbH4NZUU /e2fI6gRXROKE9v0TCLttuDomExfRLbwMShvy5nfvHiJ8lqqleuy/eNwLnpTB9PjSUcTVqnmxlfN 0vpMIQWUFyV4PSxc9y1OLzF5hk2utNqNy2ENZmpaLhRHbqjSkIqeNYhXXJGHIsTyYau8U0tkgRmm LVU+FR5e6J/hRm8ozvD0S3ebFSqQBmvVIdHlv2CRlRaQB+VakLrJUqPxTW6PYf6te2dR+TPavLIM Ym4a8yylUn1Xi8Nl80S4jlJlh3DbfDVDZT5ZoD6MhhriCnzvFZVqFSL2gWqxHk6cwQWIXyhUiBDT s2Cya5kAogRX5UjKicZst1oGgVcDHK+aIuM///tzfinghQ0Ji7DoJgXkK7ws+ZNH7/UQwf3BURH0 GA9yCo28ur6n9e8m/pKtSaVFdeu1dRmgejHK4/E8yZjSDejYJV8MfaaA79EI6B0l+hV0Fdxnjd/c rdDtWpYGksx77vFdIVUpB2KJmAg65nmjRDkQChDE4Gngb2te5AjpBXsqJmxuZsmfH9v4l7i8wc0G GVaNzEQSMPHQrkyzIWTfsaSPJx15xObDKC0/2IFZm14RPLVQMe2l7sAxfPE21WjGNNhsuS49/agO mA0oRtRcnOLLYekvx/poLEHf9ew4Q0IdD5sUL1JSu+ST2jmEkPybV511627YNZEgRsgXgbj0QdPy FvAV7YlN+inW6gjcX1JBohbDW1pzQuFxWAitw9RbDl/ODDtcZuIIaFRk/T8Ek4I6Hl/7x8p0PZUV WSnwJXMc8OkG5kXq+s+dqO0kupI2UMy/BtqrVwDuO0rIZRttsO/26/g9+P9itJJ6etXVndobumE6 3tqLFaWytz94TY8Gqh+tjKtLFhpiprgZ99Qx6W6scB7Fhkd4TWGP15OBFgmJzGJFjBZnoUry4BlP bfwM4TnuTwq+bVwqeqyDffSCPRypjVymGkuLlyK46v5mDxdb1Aid5blIJPa+GTn0KiAV01Q2yA9E KamCScycCzgZ32mv/ahFxOJ6A/+3cdCBrNgRV+B+Ij4Y7Jo6kQTAx2mG6BQC/3CQo8IKm8v2PIKf cBBnPqEOwQouEG3lnvRp3+4mxTuvulLPPy1uLnu5Fgb7ycS6gpQL0wcx7qCJzPYwUxhCGULka4sG 3fTI/O7gYPx8JEBkFTL9Dpi31PpZuVOGjPMZ7zrSXNKg5n7dar61ht4dFCqzOdJ17Oi9sG+ZAyuC 3YAJ5sPyalZfe+cD6PyDd6NBcUA2eYVYBEKeH7wz6L1jJKQhRtDoCIArljL5NWa/CnOCcDTB4Mdo NI0TjTagq6BJTjfBR4BOdAOjMdavh0HntPQDpNj4YhpcL2VKmZDUGphCN6bCtZ9sMhSGtnw4dhxw QqXDJIfjj/thlvkwds019AeKnS4Kh0MMYgW7iYqqfkEhv/8RscRh9QBk4Ra0zVKdTc2hzPt9r1yZ oGWX4cc/M07w6X7UKhkYcOItspaxnYOSsw9Mfz2iF/ai+AhP6gfMbF5xzznuIk+dTT1JJR1lMcLp lidnt7XmRP8zavVWmVLmZk0ug03UjEMjExaF7H+jApzfoOCF4hEaRM/E1sBIF3v2R1KByS/Kz9FN 2aXtWhyd/VcOR2WD3etbfywNjICgXVWp+00+eTsU94d+tqUMFji+ab36UmULGHOOgdi0zkKq+gzL nLGft4O6r/oJPHlngYHKIE3GaQwal2GIkovgMRsKHFQvGYRj6NWqufSbFaJMKvQIRA8eMOnwPQgU K2OJIQ/IwMfHOYKHwk0ACyC8gCO6mOgdxbiApA6GCTFkV2ePlrCeeasbvTvw5E/sOtARPD0BlSY9 vOq14TGPR34UAeKYHUdjzJQlu0rNZhqReL+T6IJd9WIhAhdrGQtdYGjM+1gCZuHnIZtN321f6ul3 WvIChGu008OGe8a5srznXaZjR/NuDoZv9HnfrAmPnVnO38RoRCTDfYt+2n53uE26DhbtA8g7vahe FIDGm673GZT6nKBAI5xl4wuLtgFcyezPOSNbaGyAISpqe6XzrpYvqqulfryhrpLpGYuKSg6vJj03 ZiaeXPi62h+8SsRdG7S5SkKhUcuF3GWDscVA8uv1UehdaTLnTrhmtA/q0bCUVAdSFZT6Yd2p2ggp Y7kBllHkwimOKbEZnawSS+YuNm+UkHimnZxF3SRvyvAqv7Hcvdj0n/JzqlyBDJ3dDarPneQp/p1X 2z/b8ldR6u9iDdVcV9uSdiAEEXEI9MdxBafauRZWxhdMTRKvE/oFmkSsvp8qkYp2GM66FsMWFj7x Igq2euAZ239wv6WrhQ0npBi45c2lPZHJdfhpf2qPOl7n94cfSsG/8S0C6405hdZ8Szt9hbEAZMXa 8E0TVhUSMOokZnlihg3DTjhHd6V6Nk/fhouSD5ea+czNI21HjNsXAqdGBlncm/LD/KnoHIVWu201 kVKrFxAiEOjYYFfKb4hKKMHVCGlEjP+Ks3luw9FKnm2ybpvZbjnCF7bKzXXXnIxnMP0wTSoLwWoi bN+Zf9fyaACwDStrBKtAuysGFLkCusT4iJaPrxXeCcBRyRH8E9Tm9uPcLN0HoKLc9tawYLgGv0fe ill/f2fE5fuqV/vdXr8zmvQCOSLyqw1phz6Atw2lhlzni8Eb9tSZQI8dq3+beeCi6U1c9fDo8hfW fhOobLsgefnO9KAvNGY+Uxl/1DEiDh92IUoy5HKrft1/JfwsJNBqMs4Zd2EcwNEkFUKoIt4P9jD6 JfG46Ag5zO9ojHm/5IsXpAObLypnYID8adssKg8cxwBi7fWaonJquNsEw5KhXUQxFDnUyMb/lZz+ iK8ECqlc4MoT7i5En5kQHZMkCOV5ceXPNkgkgNZbu0zH+5FNknDMRtM6sjLCGadcDdpmKoUaqPHe HOavatjoSeWEoVX1JVRRqlojwiA67rJUyrvqBZrp57CKkOT0NaVkuNTL28E9EtRUX2ccfqvNWPu4 NpBGzPjSZL1lmmqStWVxYbdjr/u53A0vzOndapyagq7eqo1Zs/nmH9PYO4FiF+SVIAWOlDQVok2J iRWl+Nb4KGY2gCcigobQ5vT2FKnQ5FzEelfozt8oeWjatBWvouqkTyTQP9OJ9oD+ycwYJfjx7GNm M92R4Iuyg4eYLe/rHL/uVDR7utG59r2Ft/E27I3aRI/bhZ6CuZFH7wfXzW/8pnqOn770y3LUAAUj xTcZEMoawqMn8nScJHKojpA1NIRNiv3G2FG6UAlwDZw8k4wIvdU3RmsE/9GIxZClSpX9fnfY78Ci 69koarR8t9pwtH24GagefXXpMZC27GOhNUEnxrnp/6nI/a5LJ35Bia1tOhWRwklcrOBqqiyyMbCj ZCufWG0lfXOE4Z4DiZLuWcJSazGIMvXGPaSROgHmx0RW2ENrv7K5GGykBB2BDSDbnShi0luKfh4/ TOeI6rHyz2N2rkybrAS5/NrBQcXQGfPPlGrGrPLYe86nr+ambq+PhPGQOLsYnOc4jXOs91H4+9ws UnPrNWOZmw6L0CICIj2RSN/ipzhGbZHKftnSCojKxumOFLp8U+LiaIQshaEd01M1hJ1EEG4ibSln 90sky7OHJyK9ecU5y/n6gaeerqyrGr7S+5GxCtCfHoyIRpivmGi2zX4HPXlE2mp6U4K9OTbo6mFD XhYvbgDbDXtsShskBZktggZRuxm5a0VlaMpDU2og3rymraEuISWWBVQESlQcf3f/mJVlfkswTh0L G/ZKvx7MPNfsZ+j5v/olHU7c5WZM8FEX3ArU768DIIFmMmxnPG04MwykSAjJmSlum9a1Bx71E4Dw PcsOmJelS8nEkl3czGv/fPDeinRMrHXlmuZZH8XF8fbwdWpNowhBj/dTvJLcNcjmBPbL3lWxbQ8w 9FVF3XOb7vwkJPiQVP3+XGLmbH/HO9ucr0PF5aYAYJKBlJVndkMpUYWrRJDJzzemoEpGVDVECl7M 7HJkbAWwhnQ4WpKIhc1NbL1vLhdmqn9g3CuelvKse8IJDgv5X9v3KRyLUaat6ZYrl5c68YC5FnZf h37/wxEkgv8JAWlKIH2bucxBIJPdVB9Op6UMbf03YQkiphIbr8Z5Y01zIfiZZeGALEFlJ+d3zKX8 muLDsZRA4Yt1v14jjxU+dxllUJmAKMSVn4+KjZzIKsHz0e8D9umnHoUORrT1AwcMn0nbNTGd5MYu 0AfTzsbTifSIMdfF+9X7gHJBRosJwdoR0ZwpdJdhumoklg8wIlQIAwauYIZrYc7rgAVMOI8dPoDJ OO/Xae/h4P+zYsA2QDifQCrvCwFlW2u7uyCW1NQftC92MG6tCX2XuUTJWLgIRv0aiC0+LVaNSLkD EzbrZZvk4vdQeb88ng9DEcTAyBkWsF7dv9E/HpneuANOeRwUCHKpt9Vv38rKFihWqu+td5xB1RWG VUXwGA70JYfuj3feCGT3KxraCNe1Si+D8JNPoZ/6NjH4MFdS5IneG8P0FX0vWgTGcD2jBrtXQgRs b4ubXOSnnINMp9MWF6K2smStjwS4CxekfnqBfcxi9rcH3U3cQpEQH+lxC40llOc6LXwKroyRUOMm Iq9AGEvpN1IhEuZ1TofSYI0WipIdDim2wiy02ulwo79umowF5U0Ki6azExj9zqnUu0DEW/XH7lQo yHQG/oY0MgWhOyrftY3CxxkHjecuUh9Q9tLOSol0Uy24Iw7CtEXOhndATjxLMCBaUTbxDTMdzR/e goTwW4njDhBselCB4x2h98UsWc3W5EjHkv3ZSN8V/CxoPJ+owfQniJtdPZMnVU9ihXJoUuuUuxid qfXDsojSjpV8TZBR4SVrSzyus4+hf9ILPK3s7OpDxnoW5pjEL0aso5YFk1A9BNyf8sjOkMUpVuIt kWAfzxG6SN/xEm6wNfC15D3co8QiM9stnvEIPsuSYcCGa6Okxd/l4/aBxRZk2QInuwlbzaJnb8lN 2aG6rY8rvkdREnT60N7RArCHlLsctDQ9W9AZYbcGAWkUlVoaStlYeSzahahpmz0rn9QC392UpuzL p1C9pbMEUOqXRBJ5SQ1ehf8+GU6ke5s1bkw5U8OitCZAhhVPMhgRruDH7uCwBpnp/0sd6FmFlOo6 RPwls1D/8+hLRRTqPzndFTK/c5WXqO7VbopxR5eJvSEtJ1KMHIBtSrsbeyvgdLFWNrG+IwxYq67a Y3jyVgvkKjoj6IE13qp64DmLN01Y6FutEP0X+iKNXVmLgvq+VQHZn96U2yBKs0LmQfa6LU6j2QVb aCbRcg2IXs/BzI/85zHJHaX3SvLexNNEphUVBiHg1yM7lfIJRCl96WcnEPqqm4omtBWCL0Z68k94 ZgDoVoldp8UsDLfK2DAcVKKR1hdEdVLEmdg1ixX6ngJWSQqvrsnuDhuP4fEfvb82ZPXWzwF+4GW6 v4IT1IrK05w69Sj5gSct3kyOVdB9hrCtC/PQ31wFjsKiTClHt7+9J/QKbE+KEejjD1QNYsgWIZb8 GSkis7OROI2R1S1WRjpGI2NPE6XarYrTq4cQPJydg1hFW5jlWePOXBiEMKuVlEFfQIr1KpkyaQKI nO937XhMuZRsjn3Oi2E35RycCZG0y2h6O3MiJgqHtxdJ8T/+zAhS3SZ+Anz49hxQ23lO++JJGUq9 Y0mOMW3W08zkoE8EV75e0JY8uohFPlEg5nfLcsH/qdwbWS1xNOXbNFtqemUctMnuwmXUxqqo8Bb8 4HwMDlk1LyF9ijh1bqeIAFWzKn0G2hQ5N/D0V3R5ad3eK1Vqzkq17WYW0jwSXn9fVM5AMaBRoC1y Cn56608Bz+5bIEkJHIRZIOXYrl+77/kKsNoQIQEUxDW5boKyaklsOG5n/hjrmVdtDVpjltdLLXf9 M6oEsH5//cQA5EgVZW9zPok36GeaXlfv4OvJmVOyABI4FPVd5NIgHG90VMbzIoARthAxua8RHeW4 aBlJWUgQfNpE0GBbdf3S5svwZu7LypZZnsC6Q7onLQ2XLbn1BZbSRmCG9W0psleEcTzF1wGVRu6l dhmFt0Je5QGdEsvYoSdnrgyI4PPPhFPzsGYPAnmhGDXjmNI57SqfVftryQsDrjGfpPe7rlTVR3PW Gfer0L3Q6ArN8RVm+D+Qypn1sTq7EeTJsQTg5AtT6iAzVmv+emgcZjdyspjqlGfnzg== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_4/part_1/ip/multi_fft/mult_gen_v12_0/hdl/ccm_operation.vhd
12
214861
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l8Mm3uT6bf5K1wGbID3Q5kSYd5+xy2fhZX/Nv8oZT8y1S/Ad22SsU4vZRhFuJqL/nyC4p3y3Lth4 6M5+6CdVKA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HQSiZb/tOXsBCIGXz7zZ3qbVqe1wQnY7qnjESBe5HHywzg+HtAs6Tmb3hqv75H5py0vdvAVHDEZF pHukrgjn7a+NUmMmICaESWZFlhX4r3lFd2CvK6UYPnW4PY89l7zt+4UEi7iQYYXgnc+dmJQkxKyR czFH3ewJVCRq73U3rgw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wX0o3JwnbuVMV98ncE1DqqoDPH1GALbHifxbAtDIgSlbh7LVfKEVlDmNEwaoRiPg6Nzyu6IaPV1o tRn11RTA5coNDHw2t3WA35Vo48MPMVGSic+VmYhDy/ZyYvlcpBBiP6Xv45DRBuP8Pq0qarKrHWmh gtDWMv1TIlCZZkPtky0iEexTjqyl+o4QN81FaTkY0xUvqtatWToZb/sFBxggHCdrOKDtvmHdgf6w nPaREcYqdEf3M6gbK3AMYRNW6UxDiBEmDPKzzl1CfdjQ9dHw1yN9cxFdNDa9hN4e8h1J6rEFukI+ kktc2YpkvM4GQmA6hbbwHkTInaxwrxiaAeMXVg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W4VsVa8BcTl9eT8qdzHRDe+ckZ4I82pki+wvcNodjzkYHKkeAOstIXW+MaylRrPPSOhRW/VyObP0 lDqvu6u2d04AbflXMDF3NkxcaVwtkPbaVY2l2+HAZB65Y8JPgUSiSv5ETyA0SkOdG1xglgR/dlrq zUudXoFN9NDVP867A8k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HyVkAaBVS0MGm+kFNljOiigb1aU/hKRqYUxH1TYnpaE62cSIAQdoTEBbpGN4Wtkf5AdTqZ83so8e AspZF/2Iw9WQ+jss/fF/FpSjVnlNko7e5IrSxrDLj64zJLsoiwVpoHdMYOhhpVokbjQxaX2cL+9i WX47RiqhKQRRpS2Riw0u3fc46KDAcANfhYNXWNBan9dFDZpk+HFWfrWsjBxO7aXfxmFROZdwfm2c btLOsZeCPHCcMB3TXfvD0iSmjTPMVdwsWUUYafKY+8yskxKA0ZhohRB91+1ir8Y8/EF10S3nK9yx Eud3AVoWKk4TMyARjT+Hk8V8zmWsBIWLbZTThw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 157312) `protect data_block xr6xeI45PTSl8PuvvwSnEb0X/y/9GVjMrvfvsI8ljfjldk+OZ7a3hYlSlqWdTNKfABFe8wpzopOB TPG26er9mKgU2OPzBxP5vzvIwVu1MYN6mI/p0jVS7Mg9DC9WSLRxV7/+7ed6yTtC1E3X5exoM+/6 7vMuNINoVZA+uNVFVoxP2C8I6K2jpJK0xnKN6SZvQ2iOU2hsDng1AF0Ar/dSClLxDdClCnmhjReX I4gAyfeqi/4fvpgpLIOQ7qyOC45PgEDYPz7dYa3p83tXyTFTODA6nx4WVEiXnG8u9EcAXBcjgkkI AaXg6FgWKV3xq4yqCsho/oOGW2v8LinKZXlWe8Qvsfp/z4+lb2xrdmpGqTvQkiPJ+rX06s2WCXAn 1rje+qAYp4yY1Ds51CYQ0ru/eOUTDwwYZYSBVzcHHg71rYF+QxRYpBQvyMU8mRBWxIh5ew/jly73 7y0/tYRI7sqR7fJW47A6V1WX0utwX4RA32a21p52pU3cYhwe3yxtQd5C5SY00C3OsYAQY8l86JmC 5USYUIhtN3IIwmqB2t1vgTySrv2SivcE4lyL3antz6NasDdfBXdAOup+MWCAScTwYZZIbMWhYUJu idhhHTnNjhX4Lzc0P5nm5siPHoTMXRXADL48I7ZzDe+LFZjoyvH854U7Q66gxllZSg4ReDBh8y4X /NOy++5kWTzp2o4QGvJZX+74fR0LvlQ029Pyg8ciZzYYQiNXmSVJAcd5AxpTfcmFn7D8go6tYDfG lx/mFijMoURdmNxwBo5DZGqtFvZ4jg22u6lLxG9eIKONZsVmLj437wNC+Ijc3UycJniAtzE9S+Hp Fo+0L0lj35jWLeniHJ8oNs9Y/OoY3D/srBauB7/5OB6ye/S2NZZumhQqwDSfm3g9eBwL0Mp7gT4V tDLrNpfibDF0f76Pwj/5DMDzZpU7s+T94lWRSV9R8UZuC56T1qDPFU+mqygT4hDWF1dXhxTsSJJY jh7c7vPMtJ3kY+lPNEow+iQ3QeZTYhSkmHuQMoXEkhKSG8PaFAPzQ3KdrlP9ayBFZcggtcQRh4Zy HCKG0e0aH0xx9ZXwAQcQHcp8Z3HGJnAUcN6vuKSfweqNRGMHi5cZ3iYfgS+jQEqYYktwzlPFUafP UmDp7yANbgZ1XNQQihwojrtZLzzWdiBM1kXL18aYA4vWOYtn3Ee7zoYz1mdDlFxc1CI9qTP7WFjJ SMsamkQQXqVpqjD6J6H2+02hvfoKLI6B+kAo0i0ciLfMTSwZXv+Gxk/3sHIb4YAA37lNWtCEzB0I rxfASvBPwsixmMecGVhJ53090Pt0Y9X8auCz8HmgJ0d2wlsB2TnPqzuyOQ0lD8xr/MjHyJvRHvsO eukr+fFW1yFiYJrklP+7IO+DBGitWUEIB1Sm3m8kEemY819lci5nRT3eVGVSI91ye2eClCT5SHAF MZfk/hdbqzZmXMhtz5ta6vRaQL9bRclc70Zm94qjSkf5mARjVfW1zFTZdYX9YNTTI2o9wF2vnm5Z eBDXsaZoiXse4JJFNm/427sJfLhD9e5gvbifuji7M/Ubfw70rhzv7wJpOhMLgKUtvV1CfWxcYBFz WyihHNfWIJTBiqwqCam39cWI1gpcnO6SV/mUIru7G4Wg1TIrDQBrmn6OdLfdqpBI77bqlMhUq+OP yudyrUtXIVDXzqLd5laoKsMPc1swSxsNHqQLMJCQS0/U1qG1H+Vriabbg5RcdXVBqAb0zpb27J0i jQS10Dj76dn8q5iraqZxkcliebu5BUZmR6UgHXlefWNZahYmKWAXGU22eEtqx8g7pkzSWEVZ68Jx bT7LAEVJDll+vUUuezHLYaDjKgZlJjZKIN9rD0cdTd73YEk0E7ff+iFJGi0wZtQTVtKDHhT9lHCO ldMFzf6ky/fn2Pb3OnPiZdSa+S0aLMkhWme/YCISNbwrFpzHO+aRkZwb3AOw7boDi4yQWyjfVpgN YV3M+6bVzNLQffvhnSyEfO0CXKzyl+Ly0K6xrAeGGSP1JdiNCzq4xGhnbV/xND4plz5UK4z7txj8 pvsLPh5qh1XmS6L/BpRZ3fOYVwYpo6p6012AzIxXcqh/CHH5W9eEFI5uzAe/6mzibY5374cXJfbT pllK6XPqDGDbsjjKzHh+7RW3acejFzXR2l6u5yNQU4QJ1TJH7aVFwb7oRfmWhZ/y3yPPGUq/WMeJ SAI+pCV1FSacgpHY+CUW7vwrI6l7zE5OuUW0Gh+Of8uuUqiSbrcfrkOdyiVsO8upCnIS9PWv7f6Q qVFa6tRQm1FUyp0n1Q0RyGElLJX198P0TIaXyvovoYdrSp4ielU24H+eRU7ntAkk9lSNAyaw72zv j01V907Zrg6Fqebyqo7k2SqBbj0+UlLM46pWgYkNqbhRlV1ppfitC+j/7wM7NAiKuf3/c9Hl4NSe 9GGQjnF8omgJqcq2JxX7m5mENaHtIHvR/GPq997Lq+1QRMTtvcCyLGSOYvessfJTPXYYD1gSZRnH C2Bp2Td5pPj+f8proLieBezNM507ouTSlci8U7Oqq9/LXW8Wu3BacFbUL7XW+cvQd90sSrb6A085 AlsUsP0saIglAgOTOpy6awZltiqANO0OcH5/fgQlRTOqMaY345eR8+Dz/CqRR3YqkJq7fjoLRRKf I1+tCoFhbc/ryObuHUVXijstOA4BKRNpeXs9FCb24yJDDUc2puHk1Z7VpglV/vjLEcUeW3fQKlSY CeAtwTJMC4yREmaXmpeJIdFrBg8atZyQF/L7Z4kF2RDeHu8+ET6IsdrEeuPd2FbzUS1deBe7QHwQ B5LgJxMPvqtFmVl/ytjlKA+hvjhNZp8vM7EFRzBcj3d2eikM0mdJQB++o6dGTEiA9HbVmBQlwrPJ d+eMY8w3jO6Jb5c/4RM4YqKErvVBwNJGpZXJeEqBneG3zeEBsowqFJglrEBkWt5UKAzBjlKjPmY6 Nro3060/IfKmrxGjnnx2c1En2BXKmkd19/mZM93RR+QvDopfu8nFBDoZDRZML4Be9BBiwN5LaSqC sck1Zev6LeSc30z3HAar2M+GRqJ5I4A0LiRhC8YhBgn5Go91SKi4KwlUBXiOzyE+WfXDMN5tkiv+ FDnqNVNjsaNnWeh85MuCU8nWazxhc01lW2HtbihanNWtyQ4qXazdkUjYhmoqGEPojXlaaAtFEk/t rjiepW5s+IIiBvzvpXQ4lh7UEBh8UfI7rjKxZ34hzrJTBqJeL37oUkARI/xYxnDDFeY6YBIq0D9+ 61PPY6cflX5opzmFaj+Ej3Cy003ZmwivLWUT3QFoTrqoI+hweYMQ1yCqrKALP7BpJR7GDBMBZzds lzwOouILUXI1yWcEGGtqXAkRiKwdpQxT43hD1DVtQUKd3kTGWRifJV7lcR6NP5U20MGU3Xe9S1eL EKujnBv9HF72qWiS2HAfmZ/QlH0xiQhGiss2JxXtEYZc7Pi9/UGd0eT8cszh1nfw0zOP66EeXQls 27TVC6p5z7Ed4nAZ0+66HQMO/E06OOdR4vO+bFDZZcDkfAjhY5ggq+J1pNwCNB9gO4gx0kx0Vcee nTvRiLehVUIDr26saRwg0xH7fWP/Rl6KkDUU0oJwBa4MM5cMQBuvojEr0EO7bLDA0UmInfeaxaUo sLd9TAsthiT994tO1EZWbgXBq0wXaxCZgZPUTaubkzCEzbiQsLwAP23MdIc9ii7a0zaaYRleiMl/ ZFPC+YOCKoR8ES9cmFhiI2xshyDm6Q2UFu2P9qHcjzTt/E4QNmt4/aFLHvXEQogxmMLRXnJvyrwm 2dK1MnJ5WLhaLiqMeNlsNpeisT5enUIEveJ48QGdoxlssn/swM7APFeotAD90UKwNWtrreFPZbD+ neojphMz/l/K9/jEN7w7/76cXN3lTXY+VN4TwmhE92srCUtr+skZjdnDN+y8rzJVejTB5cMfFH6V xJhx8HJFUDzJnEO4PTK9DVYJUJA1WhERUnA0acN+xmjhjhj1Bc7VlMKYGbDi6QYo5PizvW0nWrd4 WZ1+MPqXYzjonmYl3ySiHojiOnU75yLBJjZMNJZ3U9oQYwsGleuMsOrkQfx7VhpUu7dhOlQcfRu8 QSliBEhb5n2qgb4r8GtGXI/CwrkwMRpaMqzcya/5mXM5b+CezeZWHRlk8a6AUc6Eb73fXUq0Gefk xh+LUNIppXmClCGrieL2w/hwvjU7bv18WO2K/IfHodI82YdkaQ0luG4YhCXJV/7LYbar7TQf9fM+ O5cmsr91NFB5lXZtvFuoLCTjP9FuaIPCF4NNXTS+8w0FwlvlTIVfyOhQ/4fEBs0BlEZaCRHCZUob e8rqAK38YFbnXag2nIrrnQCyhfx045gacczAoAnAdrjCRZvZyYTRsaRC+orGygufPiPDMpPM2r5Q 3TTHS8lRetvRn9vIqsE9fEDtKjnsILwNeQONty1zUVhualTRQlDBrbQQeGb9AqFDoln+aV/5INWK YeD0tIZ4uiuiwol9G+YhaL4Y4TSK8rt2NqYesCjX7PXPems68bWDUTYbNz/Agr0n6MKGtfQaFGIi LiojiUHs/KnKuFHWLne/LAG+I+b1nGcwVlNXnWA5K2WuN/wf39+d3WrOinoIYPgPoZ6iJ1G1V0M7 wqVjnCEXImsXXr46lQrbPoSl1KDIXdnONDMhxuWoW/JD2dyLpA1cPgVe1/MwtIVzfr3dSTKZN9dm pmM9zL7mgj5D1yLHW8/XCoDLHDhmnTH+TqWAFEUIEkKoFKZezNIdlYzuNrxZsWObAeUWddT9LbcR c4lZolXGVNCpFF8swdVhwTU2eHl9hw0espYh0eu8aI+H+rpoGZV3sGNFwZEABm3RyETX+R6iHpiZ 4mFec54z++SxeYyLuGWLQgsYNj7Y7QRoBab3cpPbfJUyCMh1KCxB8VafDbt1kfsBpxgx3IMtd/YS eVWh1RzNjLQXAc/cTybcjYDb6F0I2UEYIVW+vj5KfjIWbgUiDSoJFbKqIinrFXNlViFXDVLb/KH3 uxqHGD1tYOFavzSqb+pdXKgU9DOeV18OQCjv4w3hfp+sQa9uNPZFEckCXZIsNo9TMxBu7esSANGY rK/241cx2fwYxFr6z6ocIM0YRwdZRcIN/dAWGmlRv4bMKe8uY9Qy6ivwZb3lBpGdFslHSivMNtz1 194+uY2gqPyAfZnAlhcHVqVEVnXMbajE/6dZZeBdVn2x7VLT4eeJh/KQtxKPsAJ+5M9Maxx05zyY avpG8S1RPHEST3IW2rDUWrnc7bRYWaX4TQhUwm7u39xDJUyd6tKctnc3W5kc3cx7ykIk74yu6Qkn qaY2MIqnkEkeATN6Uhq6VJeg01DsDfv7SXqcSP+vn6K7Fxj3OSRfyMxcBqOBzVPmhbwCTBMR/iOM +RbIhidoQIXfccSzUFhcz1rrEu/sKAPM3EUu4fZBjP9OQKgFjsvd3CtoJ1EdDn1NDWB9/PVUFWYc QYDx69eGTYSFCj8eBwP+fKkFHhwf7pXk8eEDPdJzOcImZb3sDuNNDpdAJsYIA72UrZlCjAEcmAu6 ER7GXlBp9/NzQXDIm32tOgROpD7rkCeX8GuvU7QhL5xJ9l8y5/wr5AXarpAIX8l1oUOXm4uej079 uCID0MeGLELWHiY+tW1hJEVJn4jiqinZ5svKBw36PFkGQQodF71ZcchLXdPJUKKcuoGFhRp/uiqO oA3OGtwZb5xb9bwPS6ZhCKfelDBxEgcpc1ksYntJWVIVZixhq+fi4DmXalbTkfJlH6K/1rCI9ESU bXKR3zLqzHTi6R7C7fJSiw07epoGMQtIZzmcCsjSH2uWhFURnlDOINNopJWxuRBMJelG8CB7y72C fEBpl1mlveonETMDx7su1q4XHBlNWqcd81BtLDoB4U9ayLVDpQIGxEDh5sMps9zTuTXY5Ghgr7mN F0xG9U+f1CwHEUF68HILMYpwK7280uz4ncX1FP0spbPtsG/X/g9gxdqZ2W7FjCJnPP6KPM8y/JO1 QBspYTiUZZ1asQy1ioudNx6sfnBkvUtJAaMzRoYU/1dybRG9HSd7/5JVhKZm0OXD2EwnS/oEdA73 T7SQLfPNUiadP9NvNyFpnbEcW7EtoVSWmLI2eqY6+RJKB/K+VxvA62bs/b1jHSBRBLfosvJTlOzE a3nlTh01UeYJeAacpFsQC/n/ffReSQWiANYVQkr6krYFmA51NFe6tHPbB48IbD5e3rI8MLhseIrm oJJdxCP+yTN5/5VYq+V5eNn3wakUzib2uWdE63YFxBY/cZ2RbVFckWmR5FbXf5766vkJnrIdt9JS sqx7msTp/QPgJkgA0l6zuxFtm9zub1+vPjFLOWTZGgRXrQ5BBpFhelYM3AVd/YIqa9cEsWt5ziye vZKJ0J1oEnvkCEh4RtNSod7EogwBuWneaVHkGqlW6JfucXiiW4dabTeRruywn6b5Wh3W2Wc9uNvX UfZXnIbslI85brdBN3YvSwAiGwF6znoXMUQwXIdUh48W7mot6zkylfae5qkPaDGWlNWMbzQ38qxH jEOiVWuG9PpBKGUspg/6eEe007XY7Ex7UBmcDRKC4FgTsz+zEozGa2YtnT7z6zrnF1Hj9kLWKRYY EeKFwQy6aAlDlcSuIO8AJ0sQ/pB9Bhtdj7y/EdHw2MRXbQQ2leuxDPcuRDT28IvfbaIfqS8TJwtM MKDrHZZpeoJ+uN34gL22D+I8rJyCa5ZjrzqulnPAcyRHhpLm2IfyjbcA8bFvQ4UGS1IOEhqppYbm H/8uzXQGlgMWQyapg3z5qpmx3x9Sypph8cF/eR4HqIyBwKE8VJH1cGRHo0IVb5tD/Pd6HVXQFVYd 8FLm11jNEGBMqJTI1F/Ta4u8MPxntg3mR0Sqr0IcZNTmSX4zQUVE4iWmaxNAzUKEh/ykSEqpnbX7 4G/DOAAg6nvdcOIoghP3C21QRkgUZTUCCa64Wl+WPaqGso9lvYmSExt8o5tiNFYrlUcXslwZwtVz x08yM2fRJpIEQwDdmETH2IW/h+sX2BHkAv2eA0XUFqKDtCQrWKj7/5gnf5ykE496lvowfBMQIzh3 aQe52BzvmB2E5YX/V2Yf99f7juJRAoU07/hkLVIChW8Dokgg/HStAjS29tLFo5LFYcvvzYxtRrCQ xP7H9JMpvRo67KndIw4UsT+uaZamovDmOpR3zKq8lrfPpoqu+qEVaLy8xTPGMT5xbtrhmxa0Htzz 9f1koDWk9UKRDHwugo5K8CFc+5F8WAy9C7yj6L0H2QXAMcR9Ypg8R+NFjsQFgYKdINBuP1DLQEy3 ChakvWSoeer4/Qv+l0DUoi/XdDPdE0RnTu+lCvPJfS731YLfo8dUpGmw2TP0Ult7v/0jzXEJNUOx c/kVAC31E+gt38IsxkXLOMkjFWkqcfAhEXjXLK1YOsuVLnCQkZrjtYIqemNmA481hHQdHldfi7ev jQBUgIEGnpcwmqeaFQrDhldSPShhkco+94S+Oz4jid95NDuIqxllUvoLqQsEyX2eU838BI1tYRov pvIhz6ljoD43tpW4/W4l7nVmYFH/H49jfHr1tvxAQOAt/EvaHEaQhaZWG6zqWDqZ4mWHh1xDZrir 3ghYB8KZyv4p3rjB/xtfvcU2RQlTFrUnRSr6Rk98EkSVLJnCC5uW/LQ9dg/s9QGM0Sgk/WL8A3Ws pt86a1ziBRIwfZf9c9z4vsD5Spz4/vmCkhDTfhqekq7IpjAqGRmzDbUzx9PDMzmbgYAUswmo5V1N DNSsDtEKjtFvhpBHO8nDsc7m7xfyvMFS0qJM0vnlp5q/ZBx+Z9mjjFCZRL5KsXXxworjMWhW974o a1PGlkrbQCSA0XLJtSkVZCoteN9X91cnEW2LSEg9RmdLqXRBQOSa0dY8rYq34UVmfiSwDea4+U7B 9zOBU0EEw1xwfglv42FL4fQdTmM9ReWpG7JJJR/pXTLTZSyri1dr0c/SfZ5rsTTXE0oTIa1pKBb4 qkVzHlnPWXwlM1YuAi5vhDDIAcyN5GzyVJbERUWHwkFn7amfkZKwLC4wUhCrhtNBvsJ1M5Pl8j4N 6bTGJuaxjmlegJcFHYvAelHmNgReffQsgX6X/omXS5ICBIIAtI1T7haCcoq0jg6H7hsZfJGhFVXq JF9+Ukes9842CQ4xnUdzbghZJQuTJZXsfcG+EmxKuqnZW154Dw3lltG1NxkdUvsbHJj2SUIf39we jVEY1wsqxYpbmVYbYxUE3gsW3O/UWhj5lTuSIvv0uhcYufLiTmYZfddypy6BwTsK15ser71KE1LK 7uGyxy68UVlLtlW1mlqrpW8Kh+QaDs1hwf9ouDQHNHENreAq4z+EDTjGcfBDxZKE3wanj832Ahqf R9VeWGpDVHefhC8JhXSlZ4tP1pJVCLP+sDmnaxNDHn/RAaPFRqbFsILjYbW7qAEYv+YyXj+JUuoy d9C1vtEQ9ZjkehA/pznfCesxVXwCrL1VIVGKuS5FFh7kxd/+KsFm0jTGX5RVVa9sTvzCV1KTtrmo OoLRM/85pgav2rMp48dQ4ydwZ9DmBrSuv1Ogsh9JG5MHMQx1ShlrLEflF7XeKp+7VfpV3JQREeNM Le90qclOVVecjeTQ4obv3/tfOadBH+ymWr+cmRUd/jOCbuANJLrgRIyBOYONtSbhKOnJ141rF7vg 4pDQ6tnGmxbybUhku5XYMuWeysmUyVz+iUrfZrfm/5wDTJe8ypbzuuFfuxqeY0EI7kpU6dgjdQwq EwpdDDdJM3MhIXfZYBf1ylj6kF+iUnA0HVwUDHEOsDyk+Tx+/OGgelCgHFiYypVQB7umpK/9wE1j RcSrRJ7Hp9t0N4PkmmX/uum149Z3Hb5TEx165o2Wad3adkKkxmqhPrHS0IoqSdtkV5Za2SG5m11r NOrpoiZvX6uU1cE3MO4hhTvEFOtS/xYuLIOSeoKa0dlyAbPu5XqmkhM8WQjVMms7E7GkJU9gwuKO K2gJEpNDyUj2SAUAj/1ayk7uyflPedqYtq9JltDTgatVnvOO6qKlQBpC/nx+FUnN/ph6dQ5nEUe4 I2+pRkMx5FG6RhnqPgYY+daEduom7O/CIxV6TnUbgf4hTaGD4TScIhy8KBGUtJ3KOh3LtMjxUnDK cXYxiz98gopZX6+jTuGJ1lRHfUVsT3y9vwtSJx9V28WbKSY+fUWJ7iAr7NA2iFCcCLtIioX7BBMc sobB4ZQciMww7oCx5kHPiCFU6IBLLCR4ckXYTvUt3t1Pgxfj2sZLNqiHddomtu+MEbrEHAhTxP+u tlIpWhalAWU0IiTK3LIKFX578VGkirocJXNRWvsX8Ts1xMiE0I5rCreWMDDjAk9gACJYbOfFDt9a ZUlC8E7OgSLzsbIsnv5cnmMK1/ntPe9enee3pQzsbnf8UhTFv1NeaIuG4y/OUx2k8nEAg4OVkjTc hd5O/KQyFZ/PeCN1g9ElRB0kkg8M3jj6TkKtEAJRHg7B+qp2rB7SbRsWrcWTTvLd+yWrogfzp2ay VeyxBmC/fHAydhBYVAJTJTArjw1sPVGexI5y8OtlnA7b5mWITv1+Ndq3DB70kNbwk6HckwJYU6RV 6XXC8gkxE4Bs4JEdfLRd6WWdm6fQhr34k+H/A+nESo63F60KNO9sFG2mgS8/CBBLKHa7CqPZhp1y yzo//K1LyAJrFMAhFLUO+Ji38lAONXkb9xzHdUUAX1lp6Fl+067vJ3v1fxVBzOrJB2DbIT15kPiM VWlo6Kvu0rIEGk+O/7cVzC3bCN83xYGe1jwAiz0GHyYcMTqqEdrLkWhBMWCBEDKMWmec/It+GYCQ VM5ssBeQGk8zDZMbkKl5VrkeNOsC4i+oyMs5iiP8B96QgUAvLxf1FoaIexc1tVtYwWfTV7D6LpHG V2LlhTWzh9jtl7/09XI12yPCEfs8q2s4OE8dBIapxuMPEnUKRPPAWc1oQQhUncPl8fKyIDHi9QDe wbKZyoAVxs4Uq1CzJQqFMARwPt3nVRBHTGsAJKJDejUaj0Bz0JXH8dA6b8sT3ZtipugxPq0ymJRO BRP36tBcTC/rDlEWlxDt48lMQXIYVa+AtN/9hp+o02AiAQx+st4+wuTrGToetURD4tEzpkbUxsXP mKvJtzmNtsrV4dDHvXGBnYBUDp5nEQavx9ybK7NZ9nblpTV+rXZ2dygGjf7EP9pZyjIGGADZsi1S SZQ95oA7JbYTGUz0cJplkiPC0SLzo9/wKiPsh0E4cT0W84sb/7zPcppO9cceU8gTLLYMLOrQlSYm 7IqIAQaKaIghZiw2toFzOyOv0QLpEgpQaWB6ztMKpEoGsuaAe74vCuFSe/ZFy6hrHIXJsxLI8GRK q60Sot/YIHQdTCiuZoUut9Klk7Xo3B3BIUS0h6jqppWPK8g9OWlSb3EEBClji3LDACEr0abJTEBI ha6ZupGoXTxJnTtiyx2lUGw2z0FK2KU46HrKsPPeAn5Z+gzu2ExjgrUha/q2XNxlOxDnS/7V4f4x Z83oBNjKlUzKyhHm0dP5bS487+U4jBBEUJvsMOSAp8ZGkZVUKOen0m2kLbDMSd6XMJk+jFum4AD1 0ioAtWQqN+EqahmgiDJBcvfiJ2QwK/xOT+dy3K9gcCkShXKGyoAKLzfwFhwRey/KKSuwXG7/C6i+ j4C4ZbhxUrHH0uAw5SUp5TqT5aIb1JONdL2ffMS7yVure99DX6oFEe09fwZvgANkbNisGCuxqdD2 y7ziUyl9EexOgOhFjjeK+3KhjEXiKK7JhRPwJGcB3mHYunbvnFlbauqPgwKEpykXhLeKJvh8GAnD UUSMk+ykB5WFLYiSO3EnFR8RLVszrJcVqmkVi6g+wLsQif8C2j6TqtOVZEDHgjMZ8IKpDgNvFvHU 4zalZjR1RPxiW37SXr/TU2Co2azccd6OXj8GKDGHfzxvLw777QOAqTh7c3YwdzHiQzohmL8x4MhT UF9vl9rysP33iuCwwf9CfjBcVvU8zsYYRAuiHZeGTtfXtVsVDml5fF+YPAnkDIKPf3iZFWL46SlM w785nEVDCcVf/LorOCJ6deV9QjG6vn95lJ+9MF2k3fOBkSvrm+MnSHg1PDb3vS6sEZF+W1o2B/Td bUSpwVLerIF8QquPBhi93xmRtfEe8oosggD+4r/TqfIgefaZxbk4mkBoJ+0G4Kg68rc8MANepWfU UvWRa9n/fxSlMLf6QFFFVKzZ+A5GhpsJ0gbIxWba7ZW8RMiwWBlJdvwGDNDXbJ2qFnQHj8dd/KnH gQHMCDnaryQmAq8wXs8LXQ10FDDs71u2uFbRY9Re1UCaYW8MVumVYoDlpx9ePvx4nVJCZoH3toyF V+zgLm5WNeX1ZmznbebGF6VHd16q7bflWmeFv8JBBbWBqDaWosG8mU4cYj6/HW4EBpE3b5izYJ6M BnIhGnvEZmqbP7FBhZZtuVCE7Null0Pve6k3ZoySRWEckEfaldNrC0k8LtO5IYzxPVWXBDjdFtOD clTsntj08qEkkbX7EUbE7ueo/L5U5yrDfHAjLEnc5gYlc1OQrm6ENMPwntvN93NS+cqe6GvolpbJ sdMsTRx/4AqnBXXp/lnPF3alFHEZ34LGE5GERdmYLCDLru3Pf6f/WlyqvyevPThKxGL5aPhof0RR vnXvikQpsXeOxmnhsBJhQEWjv4zldiaROeY8MbfHsQ9ln7b1DvpKjYT+LoeH4XfhNQbI7WeIxb38 7I5kdipC2bKhufd9uD/DgJEdBSezkFRmuKqRp0S5daUlBxSbeY99DEuM66h6F0eTdtPEMPHrMuIv OSVgre6dEmVL+VmA+rNtaHm6/YfuCR614wF/ssHYIfcH1JA4dBw/G6XHGUrfzXJ5ynasDcPiVhWM CzB83HkMcmivzZdObTClieujVBSBOvFxQDL6Yvndfnkp8I9BWuWHcHxqzWC0pa3iEmg39OIs3XRW Tr9TLStyExNSF3Lv/sArQ3u3+TMtHwKB/rKdcg53/J03ccKxj+QteZzGqVPUW6Gcf/fIq8P2qkC3 YfRPjZA96a3QcEVtJFdGj8WU+N3Bezoc7Qu+/0M93tJWDwv/LepGZxtEw7KBviQ1F+FZi9lw4ZZY 5Qevap7BDS5WOqcXoDwu80MKKHIPLF+U+xrdzFjS4P1M5TtBMmSxWegRhGZQUiTW7TIlxB5jSMzZ 6otp3RvxuctCGYGo+tCVyMOhLmr+SgvAongP6Eo8cRmpmgffvS6Uey2Pu9KPb09OZVboNACogR/l 4OoaIxgHz8C/xGG/p51MWVpKrQIEp1Cv3c1bkRpGnrahdEEH39uYWHo/BN3qN9Ydx4T3mmpyHEJ9 xlOWQJ6MHIaVgiOZAPTAkmBTvvqXfj6NkMVgLSsTiI3Fdh2F3CClq/dE7jQGGYLWbzAAViTn1lNs ni30gl3SlYKLJ5v8maOEItXAckOphWJpL23oOh6oLFWBhZkLBIPFX0aoE5a/RDb+NR22ts50FSC0 9AYSRSI0CPT64eBiTlUz5SUvNoNZ6aEOaiDQwJDW7WHaqcAJY9fGgixLzfp7xZVAwkzLyBg+KQzO TY/MFPT3r2XEvmYWLC3amjEDQC35rOr2cFiub699IaNF+NwiRCxmGhjwKrzTqCiMju4+HYRgBFln +K0qSUftdwgCcLHJUt/bD05usYPEqDN+IV6bU9hYxFllPpO9tN/UY1Vfa8mb05FRWjTp1qyeZFmh 6ZXdn3V9EvmX77ufBcjy1xmR8wzuz7ltx8nhU2esWSdo3oFj0eqoqRY7FxkoxEjWmeSXmLIrt2BK XY34t4f/dolsdcLCZleSkoZY5UHQhFymgX+U89sOB/tDTupY/W6tyFowP/RABGbrVVJE61ODzAOz H/i4DcCFGKbKoIaVRvtkiE9qLNpArWMZuqRoQeJtckwjKHtibOnDz4CfBUwEpgRNfAaeLZTBc19r JN+MJ6srWXtM62JkH7WUQ5Vjj16xeQlAP/ZxB+MEP6UNI7Uit7Y5beyp+2DB8aTPyfe0B92DUKtJ iFV85zeSqzlXj3xyAIvM/xXjeBFZGWmkB/VO9faRyFCGjN/OLn0n051Do3LBV1dGXExPQJRqbQRz Rbc05omQO4XDMeG9+JpQ12XoYfSWPt0fiie3CykznXS40N5oQvx4Nfg9UG/A0KLejz0u6Q020iAr c+dD5y4Y2nrU38sDR0n2np6mEPuO52wU35YwKycHoD8peVaEvQ172FgDFi8JRai+gAuBFo1RrtBz 7Xut7yH8OXNxdtwPJeA8JfTHc+cmYOfSYpsIDj6n1QpJXa5Dqui80z2E8+xOgSvqsYcoqUoCdeDp P1luQ0jdf5eDmvHor3AB12mV06p6D3NNvkKIgH1tc5K5p8c+T+sYJ2owR9qcbK36ySZvKWoJTWKg za6EIGPglycYbjOjq0UtyWvtDE4GtYyUGOKfMyLFMuwsjeej9oRODBaEe5qx9ARgj6wDgLCMhoLC QBhIu7Z4Xv+wdsDqa63pJ1LsIt+sQXm680iZY/02cvrCUTS+nkEli8Q34bl3YLfXmJ9x8N4Kht8H 0u/h1+WeD2CGZvVoeBNDQdQ1K4fQR79e3CvXYnZzwCiRBw9QY9eP3dh2sruUbkLI1HlTloBDAvkf yR54dAjxRU2VXe6b+zxosmiqxFBdS9EOvcaXNY+wDCf1q4/SBlTHbfimgCfqpMeROGRJUoFy/WzZ JcHJVrcoC0PRF/eIFSpxXntpA2T3vafnNVgs4jRRfdUaMDLtR6iZIrPO92hB/aaYnecG0rWWVP8N 8LvZEZR+fmNpTcv5+HPTjKNmnVTMUmqT63jS+EB0/j/4Xe1Ct0GZFARvnLNqE0rGNGdpDAIatl/i HAoxCZL0kwWAIlv5mjXuDTqrfP4WOoCEJJ8HmABtC1g3xy4Z+L+eHr8JSudkPfEowKy+VDdX/H0y XmruSyi7BOR8sJKsCENVNYkSCBWaOlKL3DTqt5MT9MMSdm/cscyCiuUIa7K2XUlJF55E8YHdvlqb u5K2if/0yn81y1dcertuqWMId5YbhvAmvieWvr+6mO2T/fETn7ySq9G2Iv9pYuhWdFwAzGqkltUO cZ1bLkd7svm17Lo4oSjwa9FF4j8MQ8KquSGSfBrZqf8eG0QUY4X2nS5Ba3Et57EJg2ebKgWioSNb AWcVBJw7vkJe7jPH4TPO36ksf2lqQobwS+SMtWCF9UglEW54hsBkcIHk7tfIYluQoBoOFxjq2Ei8 u59QZw/mNR4xoFPgS3k/zm0R8a7GMpGQzK0oPd4zVAPEiaUvbqxcgnvWBzv++2BEroyeHANBFuZG ky8rAaxBmIAJj9RemnGVLq753jW6r0V/P0CG6ZWFY/Liz4WciVk7Rq8vtRjWnNv5V89Qcy1tmsoK ayk/OdbYZFKHoyQjXqBhZ8RXPRs53e6AkZqefayf/zYhul6lJzB7WTl/RHOdVgJq0E0boQ5BHGOx eYbcXfIM2hzgEbWtSWqkgUctwJntnoEI8WZWg+DM/xgWtZlTqevvej1rygiyyduPUBxuPqEcuf86 YCt9hhoXU4gyrnT6HnsVMBcXmIRu3TW2flZ56C9Ydy/ctw4gu3MtKWbsGZgGLYNp1UTqxu9WFCi9 eA7lWw6KKOUXIhpqPq3OskYcIQBrH8m4PaOWodREFkN+8DFUl7ZTSX9TIdR1A8zj3DnAzFk9QQDw WtCAqwtiQgJFBP3yhJUHdbzAoFMh3u5DqqjMb/78+srgzezknxw0TQiwNrf3q7KTbaEsp3HMLWuz mVjseXi4Q+H6Te1tecSGIOCv3VrfhUOpPx3qooKHUI19OS2cuhI23YgC5XxJTFP/QLBfkb8ZSrFF q1acLxEYXS5k6kns1GEkr+Z0lSHLtGMGeSBDEGzzjpVVgJ2mYyk89+pG5tyQu6Y5U0rNjCUSma87 DxX7EeUNCkivBOc2X5QRu9oVvEQGaW85f37wUg/h4Smm7dbrJOWuNR3unDyGy72+zRf3hQOAF96K ccxLc3LhNSLJs7iW4VZ59HzOLL3nyOPr7eeCi0bb1LnD67EjdBQt0/mkcuKnIPfyH1cXUxGu3Z3Y Ep6DGyqHJ0w2s/RaDOD1mWTeU0bOuU9/b1uuNgI0s4/yV82jdLlOLfpTsYgROX9Zv/fd6okQaH0S ot3GfDKsu0c48VsduUDFxEAKygitNiHQWz2ki4tO//ijMkPWP5NcgYspOzYNOIRXzS133d5YcVtp V0CjeiOVbvIbQsN8g1ewDtDYON0uKvDg0BXj4JBuQwbnSbTqjXP8ub+T32oIaJTSMRWfp5e0Dpfv qwGCOUUTzv5XkMXYdfGzaf5WzI+GiJoJQLzSyOebrvjIe1QiY6ShIYrJft/9neqNIELndqBH4KTP 1e3vptD70hNAkm19tlbtLdTPmbb1zGDfTu/ukzyezj3NfDBRVwxpsxnUOcZSvfw9UhRA9VxR+Sxp A+zUZKlYxWdL5XhRIo9SJTLwbXVJG2F7Nkn4B64FeRLvCGAjoOpw/QkvXGQA5dzsx9YapUq/OVq2 q66xef+1Fn/joNOPrxnazEDmiAgrPYLxvmuRhEe4+vjUjmKFEmbd9t1XoTzT/dJG/0JsU1gA6Syn F/OguhmW0Z7z3/5uCU7BLz7lw2Lk5MhaelY5sZytnGpSB8+2JZXfDqOpzquW6skffaxSi+ingwGP /ZWLFZ7UX2rPHI5/7emetghOSNWhS370vmv80nN3c8O52C2OUrt7x5m88vw3fIF0N1GBxbo3Itzu nDfPcNYFB0BIQc0efUtGjL1qpgOsTUwpTJSOuHjcjmkTJ7bT4jnaym6/w0JJ0KEWJrst5fPCsYTx oxmN2rsGXaH/OrA834auBvay4eShUB3zZ8CpMW3LPxrYTq2DUghxWgxmFDKG4scCkHwJrPwcxsMS 2Jt2ebI/mFZtXJzDkHIdMMJZdhUbIZJB+L50NW2pUoUlSJtBu2t2dF/3954Qatb6DP+s6EfKZCTx oxn3uMULwR2YyiR7Qq5tq06fz6stV3BcScvOxVIaV2x5bPRaPvzLCanK4WmpiOSAFW52MovVxyXd khdgapCv3wDNFaLnfZVcU2K8ez9sR6IQWRlsbFOJ2DQaGaMT887NFPbnQO64RgX83er80l1GHf6m 6fnmtcOw71bboO6cnzqUNSVvcgHAIuZ5xQ09Cn9oQc+yQeTbxO4WsQASwJwVyTkfwNSPKcwYniF1 mqc3vPW56QFi3FqllydrCwqlWzHOB9X02CUrQcLWGUr74UGipX9n9sVjLpOE/fx6Xv2E6OhluVtv 01v+LJs2hZF8pHtcE1BERaK08BLyb+bBqW9VSGQJzuT4uE0/3Im+bHCbixGL+05PvNFAkoOAlfXP xiUlQ6Vx2VHXp8vDaCE7I8Wy9qHxAhnhpUXk2zMAoNHWWFjvbVlibwmEUMMoJ+h2+hRInJSINxXo aZRkOsbsdxd+E6hYu+x5LKtox+LD/l5PnIA6zjm09zcacyv/92DMrvQR3iI0TZg9hPtVijJ4cyiF axn1/owToR2jpSGjOwOYRJ3M/xbC3uMq7o8TT/G4ZYmwGbj5eHpy4GA2G3lTGkpUHlzuC2hGWk1+ R2kOcsoDjVY52awuf++JsjXL+7sIvTck3KdhpL3q9+Wnhb8rIVtWIDRYyIBcTB4KghO729wfYzWU EW7RlV100C2k76M/lD0WGe6yreX7X5JFresHebFgMhaSIsDnphYqgggdvzTo/t6+JFQieRtKrChd hMcOhT7VADlqb+YVYoi55YwqqBdebQE9PMQRbJhB9pIhgDEZNhU/pfHN/ph+ZZcsSQKQU48tYhC/ VqtHduKkFMXDskDUC2dhaxtU7VrxZfLIuaMnM25mGHxePPTOdT36ZUY55hz7HARpvP6139jg8cvQ YZxfvwcpfzzHk+DHIO+M+Dw56taDuVSV9q3sQWOpY1ekSlgLm2SrcjVWaulHpBQZyMn+u+HCoHBg BIZffsNEIM4uRTcWVmYA9C4p3DMrR3j6kt18zcOHCAOhaAFE2tCl50tpOrTWv77nVX82QDNUqrUv i5h9XK6krR0ucgBIV8PcgEV8TTq4ddGQjyv/f/cBf2VJpwzFYeYIzu4meYezBHmr+kL5Sb3Xk/HH If55znNDY7E85H9eGrHYVMj/ZcsYSLKdEf2xdokORstB9NlN5pLl16Z+SpS6JkUiQF+LKT+DDW74 NSjJ7uO/uoRXLNq42AgOv8E3Oy9qGV6ZO38vgMdC3ujz4+AEHBjaxaZFY/uhXpis8WHAYxULbAke w7yjO1xOlz3ymrVdM5DQHrDXfyOlkHX/oc6EVWiJO4BfAO2UFT8L5JlQ8ncCpoSdWYa37Fl0wAcT FqJyjJaxdANnefbw9blRjtn5RIn4R2zUGw/budzMZeGZ3KQdHborB4GFeOupXIvljEEp+o0Wzro+ QGAb/TEQGWqCCKBr534X/qElPWitzvKnvVVvNJXJXnqslVBLDE9ww5d8BIqWm37XfXwlqFx6Vp+R 6z9L2IQ2hfVaEwnMKdhdax7QsbpNLUXCKT6IuUXp11EKuVKhC4nMmXh6CrYW2Gg+NxlqOHEPpZzR 7VhKcWM/smPMpKeRNY3hUpUiT7Vy2pJn4yXhQ1MQVFWGRhxnG6O+6XAA8G/qgMxN9HFyVNAnwx23 gu1UkPKu5adVJc2VKZaz6o8McbpUEmbTcArwGqG81JTqGlraIdFRlE0s3INKs0SOSm7B5dhHnSmp AJ4S9kXQQ6tKioFKVgrAcoqX5VmCaxGDqv9D8DFXaRjdHbBUHc8pVdvPEnOqlagJx1ZMnshVBw4C 5L2Z6z4eyXCvnURyqdfh/7N17mgVvV0kVafNLIp6yygNd0Rx6m2sxVmDvWy4nEWP6nft6pwmjFJr 5P4z7xkpEXWV+/LJIfFfvmyxOtuaRHEDFq6MCqg/RPu9fSKjiwfyn3mH6GMA+7EHJ9VrlmnCRlvK OEpJIzobw1MLudx4r9cfFBnUjl6RbJgmBUisnSR3I9tH/9iPVfbDaxPxskf4FEEz7iZ+P61ycvR3 awWC23Ps0o5rosTSF2ZhXeH4kgBspcJvA8jacqkcBrzkc2yl1kN0z1mZgkJVPR/ePNeq+mZVTD5h 4RWySftDuAUvcMS3Tb08+Y36ON0gg+ix/L9ne2W0XTgoxyGuQTFG+RnGOEuo6kGx/Kc3+ex7a+r7 H4rGffykEJH+0vDuFac8Z5WsMIJEs7eTOztX9xXdBOQGdTBKNTwOt3mG33oLlXV7uhB5Vv3IW/bk LLRS1Kfxu69B7R6Bqx9gUE7815Kx37+iS96d6Co/XvlgKZnLvBThlleQQGiRimSrQTEVT6MQSnF3 qkkkwDs5qpDSgRTPfywaOtZnQtsvRknO7KChQq2X/CtGwa3ZkF0GKu2khSwIN2V/cthO1oW+9yP6 QeI2VnTr6GmoYaz0IN0rQvmQAHudzKR88lCk+2ziYmBBJNPvFnhKiFzz9HqK0pD3mCWZ91hr6ef6 RSafuzFiyoYf1F55dzLrQyq97hYXBUYcZW0z1B9GoDPu9D8GBf24EPFm8ipywvhxljQXn6X8+K9V NOqYMAmC6bC5pPe1Qm/8FjDGUXWt38r+UPLOR+7AGli3ZrmZfWcMSly6v6CiywYqfhd/86W9IQNI T8ytofAjysLGZ4ej2zSMtopxR8afFnn9mtLxPyr2ogU4eOYG2AxswwLKDIV7i2jQLqFh3Y68XR0k 434lZB9mecivJmG8DbrBIqlDzA39fD0Fyhx/QEzAdc3LZCZYnEnjeeFyKGyK3mYmx8lzqKQQu0M/ oNmB6yqcfU9PUb2khw6jIM4YwTv7RRRJP4DdVMVtkOfSrIR15S3TGj4Nop9EGMdt63+hlMnjFdVu cImDoA2IucTFIzBfosfW8Mdi7Vfy2fSg8If4pQD9O65zRVysKJUv1d9MIrhr8IYby4tji+vj7J0F yvn93oRredKWT+87sDbXKzEe7Y8jW+ZeBFD0oM7YWRXMEqMjJPiUvGxLz50B3bzuLLWido5pbJId wZg9ypV6fdimscY/4ktnCsh01vYyjC7WJ1pS3v5HhbTfEqmz5eKfvi4ks/UVbOBIIJB/3Y2KZuOJ YtliiVMHubnxaKZFpqr3lCGEzGg57OCt/982133HSvz6YiR34An89jjvgJOk60KnB+H+Qay+KEb4 t8bmTEp1IrpBfkisCBKQ1nWXsX0Bj1mQ5GJwCSJcwD7hdFwqSC4ap5dv4YUp13NcXCQKNmJHmdji HJUKR9nLt9nxki8LZYXNOSs6Pi6Eiruwd2m81v8gWUGBmygLQqUgSGblBRVBrrBe+HrXjNnJ44eW QHh6X8Mh56DGtPN/9AUyc8ZySEFtADhhGxH2ni31NC+HFkJUyuV3JGoGx8PsQQlk1Lr3fi4pyBpd fp4prh0nrWIVgKqz0q503R3IVj36NPmwKN+/BOEw0vMtZ8WVaY7i1SCLDkSdPvq1USP2IdPN2Um+ ADBJlwFTxbCNgknF/NpjZd/XxeBuyhcpajuQXn71nqLjGhMkO0j/M7qvcLlyOAIfU+I7KFvI+qyZ uwb3f/qi5P8ADx0Gc7qW2hSfkt1P8oQp8veIa5TBiLr9HPaKLszCieHK8Ed1rwsFnTxDGM121Pg1 F4y719a4u7NoWaA7lAyy6Zr8lcKhIGswafdSXz/unnq/VBpzDJAeHzW5QRLzX0WaMUJoJcUiErqZ 6JdaRwTyOpYjrVVwqgjJRlf89n1um9zkAHMT7ilCvoYWwANAGvHvqSzFpZR1iwqEdjx8HZ7kfA0p yUUlahxHWHMFytMrmZe35Ol2QkvX8t16daw+Rn5vTX+bQ/B5pktolevfM88XDExXyp2QZmbunqsx 9dWe6tbYOz9MQEghUch0q7vH+znLl5cNK/FnYxYpg7jAIkIZO5VvhFUIq8ViI8jyNGM1wmE0K7ok ojJ4v75HVKehhd3STaPxV4rc93kFRdDpsCvQbS6eXufHYPr4jWyawFq+TlFXnwPzsXzEqhwhsbBJ tE3tIM7yqtmwjndnFOm1BRRtIJKgnWnb6UMrZ8ajeagm5nZIKwrGe+o3AINZLjtz+5FGl+sOcSHJ HtiCGzFNSP/cD+IPaHR5Qs9BWketXGDWq8nWNdFA3NrHUNsZSjPvSbAG6vk9DU0jYsxdbcOuE3cZ gYefi/WbWFko672k6VC9chajDCZqg4daA3Y6a3L67ZYJJHW7DOqvlX1zJb1K/U+V0alDA73LDNA8 A1EHkHJX82IQVGpAG8RmnfBwjFJ+4EpreHAhLRhSlTK1ZwFXtKO0Z5+9Sm8DHJdYx0lJVKqEl7go ZvPwWalPch/qBFazUobJh5+/GmJCAcjJ10sQ59rMxtWIeUhGXUOKaMc0olv5DIhIPsDRr0EqMWJ9 ia7GbR1pFs5S73LlitzDY+WpRdCtTSiSQm40Hg8A2B1xi4eBAYFwcZG2cLz857b2HcIU4OIsf9s7 IcRDC7j0PB/XpX9rmFbi8aafQar9DPpstgEt5M8C560ao1sjtoMNi1DatlOcJLIvXwzKJ0nt5yTw qlULZ77d9GZVhmD4R5nYVAsUdQOn+9/lmea7cpyjspsJZclYMRoO0otfyJboYC7PsSurhXHqh0G2 wn2DPQkNu2d2JyRVQU0SkJYksolWSu/BGLyufBSixhREh5zoeb9Czf+5hwaHOwVfxEkIywCNpN+d K6RhlBv0ZYh+Z2z06K/YUU19O+X48S56+Vu05mj5Zw/KIQECMfVQzlkS/egkfmtsbb14eh4zkRyN /T/iaCzJ9NNvm0xCsRxZQseYDkvl/BXza7UCuE1jhLG6M5JThs88Yk94YtOYmC9J887wdhIGFvw/ RUk15IGI4Zp5lBL0JtSKmm1RS222NK6t05uiRPda3d+mTj+FnANcgupJAnr069BIamt2oXak+WwF eueyc3MFzoanGSQjBYX+mG59N8RRDvcPtju6JhqkrzBMVfp7gYrz412lUtHdQ9JODXRCOe+Kc5hd A2RPjPfleZqpTXZQIajFAJprHFGd99Q4eSyuiPVH+a9ZEolY0D6oJhYJ2mlwzB/Lyy3R50l1FZTi aJuzN9/8Rk4GqjY/tWbGezsUaBc3BRt2FshjSJ6WJ+lXALEFhQJOE/FRLcihYrDzVFWBmGa5S54N SRd93Rf+oOhHGl39X9SZJSdY5B3yVx3DCgO5/D4jGO/YMLGVrxz76HROhdk9/5z8rt2U2+otHlkH GlzH1hFJlxp8nX56pSaOFEYj4DwFQXDrK+1otYBmhwxfpEzi+GrfPPLinSjxBR2A/cvyuLpyfy7x 7FLaG5hRQHWRNxXaHB13Wvss6tmZF8kD+Sl81DJAVls/vA0mwUhCMkzuDQLf5CUhJs57OskQIt0k FxWD8gFPc6e7nqmQxsXJzpL14r3JAn709hCNwtLvkK0nkQ8N1eWDbsr9gwDPoe1Bdai4kXovlwB9 gV6VzaX70F/AgC/eSPFEps26Bs6WbxtrIW1H6ygkOpJOihoe8lpDJY+MAN2Jw7HNbQ93qW9cfC21 4lpBFfT2KMf7fi/918Mp8wYuQrHfmwbdQhWS2mD4w/jaYtnDgafLnWh7iQ4akwgOfkjsKQOft/1i y3vp1ITMhJSheTx9FIR/bRmVLVVdvfkWl9GBzsgUHCQCSyvp8n5WitkjqfIJEbzZlFseSRn9+9lu 9oBVToc2Wxe3KfE3M0OR+OoKSK1fRXJzF9vIWHU3H84ZCV+OtnZvb8E5cm4budjC+ZsKvh2p4xkb qnP+aNsy/hnTjtXf8inoWvOtpgSoTd7zpKUv5MGkHCYwkbG5dBDnk3XHIFXOZAd/+mlgmMnDOzq9 RiysS02VaggfPVaIQhWsYjpbE4TuOt+ZOLXOcdPgnR9sODWcweB+rABPsLOzKPvS8xVJXYqs878B Z6OzVBrMn8KCmsELtjlpCJODtvQqj0VtxP3kUiVlEbbv59ELftF19mHRBR5BCXOCQEfUPIRF1bav ar/fR4pswXZlNmsdq7BcaRjwGxr8ZSBwOJZGD9Fjw11gPiFogLG7vcfQCiDx3p5B92XlFfVi09vL lR5Snuvjpr5TAQbEh2OJaiSXKUDuEv19gJQreW7XSlruT8R7ljqWeEK3twtaz88Pb2wG7a89i+nw EduYrl9iGOJdkS9wHS+znNX/avs6x5ZI1eAJ87/5BvOy5IpAiMl6nj6rsQgmENvvgvl82Y9P3JJx E4GLmPaZd0q08StQyt4BE8GuAC1jSlxdg6jKu9HBYdJvRZHs5YlcjQbjz0ODOZnU5HYDEoV1Ng+x uVSvrBw4WlK2aJ9ZpPTozjjUbr8rUrWR8t1Y3iRfqvMhGsxUBy8RbMAQHt/021mQ8pqP+A5aeGtN /8uDbz9x8rACmNiGbutka0qlf/+5FGIkSMs8J+1B0T3KEFkXKTWc9mAVEcRy4LsXpLnHxfhAyVKA 11Ee/35MQoCsdZjAix72/+c27sf27ON9776G//mFz4YZvDaiszhDUQvr5zrOubUcmtcLElBgTsxc 7rKmd0DabYyyBMeYuyVOaaBqnq9sXCFqFspMsSvg10lZDQfQiPTmMARac/vt/Jer4xbmUvreDrsN p1tfZxvFXv3J/9ykZazSqjxKAfZ9Tkc/GfmKcRc0EsI4G/ofS0f5SEpkxzTTjCjUiKxVLBoBRoNS g0Yr2dE4SxKQXzWmps/0va8aShIgoyMAOja4IXU0S8EHVjA57+ijCQfrGmOU/bHDQzPFISCqqs2R lmbyZlFR8EWt4X8LSCto9+P55RSE7LFEVamI7svO1qtdXVUPYfibN0FaAW2lanq8g9+MZoZrxR8c l9VUlumrg9nEpT109OmUgKElS7PlcxC94SuHRGAu4eml2+s9Rr6bClArNrlDmw32x9sKX3ZbkdeV nkp0QDjPe2RlklCxnlO6SQxlGtTH+KLJSi1vmp2DzWW7XKJnXnefF6L5Frf1TGyd2y1Yd67T+o4w yW/5lH4E6UJ3X859gtlQMDXEHemMTD+1dp3fqcIXpiODBa4mbkGxKoK+kxqFpfYjfGHldkPCB2Fk xhe64Kv3BZdFws2C1pRnnZIulB8rxWz0kqRJjMkUTGOPDV94zPm06NLKZI2oA/T7UfI8XX9Gc0DB 1G7xFkuoGHUSUBnsfcLyKDi5ln4kDV5HT/BJoPS++iOA9b7ap8UNMIPR2omvBsJOhC7WorwKeiDE 8s9Eu2TlEu6RH3KS41KV1ubCGzvtrpHRGrreegEtVU2px76hprMJrIQfxCDMA/V5y8xfOxk0jmjQ z8NRCE1qThdYcviKyjghwCASu5EO1ym3n8sevPwgReQMXnaJazUi3L5wrY88p2rvwcWRWS3X3Rw6 xYxtFMg1lVOTxUljRUMnCivVhYL3OW3oDuQs1U6b5aOZ04oe0uA1KaL4f5qUHawM/uYVtzRC8qAJ J/t930p9Jpv62tL206nDBQS4ZpHvuDJ0FgHaIGcp2XY36gyDH0dihe1bfhHC1YescpiR80GefRFM dWaonDN2HKyEJACXjyiKb/Vd/gCUGFKvt+jUKkJyMjv53LjUnxI5MBfrfNepWZLWhh3ZMmf/iNeY elhz7cQKP05JS6p6Ioemute3eJ8BzdGXyWQPReSOZINoTpsPEgK/erdxqtO2iy9LWuPT7uIxAYno kdKsL5JiP+oq8ATVrOOhcI7lqRg1dtnqdUI65JbEHSzfn+dA8ftV9JpXicKJnzADF4kKtCVfy8yT /6SbKlwbtgtCJkdsJeutEp1YaaM+0xLK/Tr6yeFljSrM8D8uuEvWdK5cw8tErodXR5ehdyan9rHf HG5LUOD1clork85fYyWKEKlUDgKBeR+fSEwn3LO/iNuTolswu4fAXgLy8A7fcD5J3Fp1U/Vv1H0w T5mA6AcwQOP30jGTLfs34e7dLqrjXhfzc+o6nOvuHgywRcHpgn3qtvHBebXp5XF56F97wtBIsrys MsTKzQErHn2obMboK4BCu1hC35brqgBZHUXnUL8tkLYpdYMx36hBSn8xDJRqZEydE9PoPJYyCztE lbLqXnlYIhq2RORsfJJV2Z7Rw+38spP+Jp3AU0cCTiVXoiF2kQDc80mJM/d5LGTa4e7WD3C3qP1V RtkR5arfV3RervGH/CiVNaguWDDyXBjQNVYhLog6zf6klz9j1dXcRJQOGOhCmma5vu3zd08MkSWz fcduyowtBaW4fcxhNxJRFJ6IuMU45yI74DfmERjsY4I/voXYKGvqTxbbGbYgNIttHfOKjN7GsMS8 XhSTObVFTVbDbpnmxDn+zQDmatmy40PhDEdhnyINQP+XjiH0RduNvavwbio8dbbf1DrbOan36Ywf 3yxmABTgTZlcev6Ey2jVe/f3X4w9zsmPqa9rzj8I1c9skueld5ry6kUHEwt+Ar4Gjx62vsF751g5 ofisQ9cMvmAXZjmfoCnP1akRXWRBIZ9S/k2cQvAX0AoZIDN20u9TRjBiDWBFWr9Bu+TSo98k8HGs /NAuUuDbq9cq/Z4ToEqksDvVEng1q7AjA5Wf7cgEvuUripw6K8v09MCmcQ7MmiWI8AihDCpTnLP6 GCeZISIwm7TezAzdAVDkl6GBXX+ONb40xgHnkBFo1bKchPt8d4hYubc3VYXO13Qyt1AuzxInOQk/ SOY51e7hBsCTSi93M7Q0DhnVZtWW8ueNtv8hYSFvJx4IMTBgkscPxXefRDZ/r5siLPYRMGAOAosO 5u17n1GYu818N/72K0l7VRP2PnQtG2BZRTDnyCb+co+UGbtyvDh0dIh6LcKG7pPML3ZS7RzxPLgn T/IokueHLiSJ5smkwD571ltgbvlnMSjuSGxfWxO+BrA0jLikGDeLzReW6SMDAzeA6PMzBrADn7/q +RkKRM3+H+6msiPAFiq+B48FCaRnkEK31w3vcmQHRQwhD7Vw3VppnHAx+254Sp92jkl9cAsvrXkd FgFBz792HNtyDo+7Aaa2jn2knu4B/FyFqnf4EE51nTJjCRSSs++L5GeduRpmVsp20HvFodlUZVEG cFzOI+SQj+X6PPOqOcwPDQbIwdwt2MLYSASOtzWwdrN0q4WKfi1GG/Rwr/PkFHvfaY/18F/Om6Hy 1jwj11vvwz9TVJGSSLpgQozXwbLGnpCc9mvh/l4M88EXBzZ4Zg58wOyJsty/atRWEY2kyrH7LfqW zPMtNSMo3JV1m8bIlYzeAdi9vct9xdl92Rj4R6o1JH4OrzIq7684twcAzr5xVUfrR+3/6whGCQSa eS2vsdc10dGDyz5CGojCQPdnvp+acSx1SUFymp0Gm2nw9a+iKnY9CxmAGHlWjBlmtARgt/VTFvoK vwpI870Yt/0vUPWtvTBPSoXMw2R4cVftNG7nyoRHcUrD5VtQSTXA6cuAJCvLIKbuI6BXDZyTjIPw fPGyznGibAgAEEbhP7waI2Mgu5XCFnctLiZvPwOzptbBBFmHtJezpb+vB7NpoWkq31jwrHR0we10 dXMs3OjOPytQMXx4mgnjVzd/JUSk4dixbmWgmpl+DTAWSUcE+ureVrKZ06GUkXRAZLBLvwbj32FU hQ+UZol6CWBTqT8t8vEvfuSWMNLFGTjKCsTuOX5sZ6pdDVc/760b9hh5Y8dRx8kqHABeYkqHUbUE RhqbbqKggLnedV9G3Zbxx6BN8T2qBlfknflv9wOMpL76JNmvICaGhe5TxLwbKD8WWZYGLcFQdJgY GAVP4aGRYPFPBlRT6GPcVjIaYbVhzdiVNgQAryeJ3pPlVS4rNoBHMPKaZBTQWJqQesnc0VbqkyQn G+z9uMB9mFnBw0mY+VmcWIpI59e/hJoBScmQjyrka04echBwjziRjyQ7OaxoYNuoUFdlE8YadgJm /flzh4DWppGrUu0xJDqr1bzSKvqwS9CJuT1ROo6MHExpVVPJex7PQPN0+XXItrxgGCQkoSMphxEM gNDHM+iR5bB2lIb6v14LIdMESx+9x5v3chVrQBiuGt4L3ako3nywHZXr9BBaDNw1F7KaR/M7KOwv Wa7bfvEhv6eTkX77XJEjtANm+/1Sl140NOV0aXseoNsZrc0XGkzaDzXt40tKDn52IdMaCdQqOOrO 5m03EbzFTLIyCfift/QEI5JZbbK/0tdbiw0/BXK79EIKutXBOrAtQo4yGGZSzEP5Lb2cY5kN/S7h 0TKYlwC1vYFPMc6L6OzZsrHNi67OHWEi0hhKK2hmyTCTIS+XTo7VZBXXXUOe8rJhadZzt5HHjRtJ KJiMpekRNcHmPeVOJZIZDQOn2UdmtB9w002Iiyl+uLN0SUwumsAf0Lt+W5jG8sEUzetSteydapt/ o2H9E6F+99XDzrota8stEHsyKp6JDddZXcw3Ix1JFepHI80gMqKr9Yh75c+19/XJHujwgwBZ22T9 aeFGsMto2ppKOclk0n5gUZqxuBWuFkgRWvk+lB+LxPBNzvMoiVJctrqAs/Uiaj3s4ZgFfaSqhZRm /RsZG5dc+X7KdbeRiM6Bsv2zwbTkavy7xGXf4QYq8YlnOmVSgYbOH1g/CiS7uMH9mZFUWYz+HvdG EBJcdD07ufVwZxlk7PCdf7bJW9s9Ej+EggzNrtWYAsJ69lLMoGvU8vaKK+xH8GXfuQPO/urBEJI6 roKadoUGiCMdWWOFRdwOe2bi0u6GmMy6nOqNYo0o7LGz/wpbUPcjS6AwK0xNaUzcG76axB4d5Jtt ZLO+avJnfK0lhASBMbrvcTYxo0I4DSKmXbQTrUV4KvajXyKJHLcKLysmrGdBAirsqVzI0ACTLezS P0/wog4cEB0GQQePjGqiO8+o8dwp9ZNQ1H/BSta9G7dwMxuX/DiBOlKCV9lubsy5krtaqG/aI8KX +/mlqia3d47jQZ35+dL9p2DOS0snsDIKSgILrT/aeUycpEWVmus4LsPpFbvVzDoIGo4agThnrnDA zVPiAyBWgvg1jl1XwfiEKxVqOAuZ9Y3lp66DET73VDgY6ecMiXyVgQ13U9iXsoe0JyH3/KpSKPC4 VIIsBZkDjZ1TnqCxQ7zymVMNVSuQcqBhEbVFQDCxkFJL0IkQHltVaP/Ls9gh/1pCAo3VcS6833vw Cnsrh4NaAQbHLnlScSXYOmTFUiCrgV1F/F/5227AQCAnGiOs2hOk4aIWQ6jGH+DwcoShOJJ95gf4 Zj1O+JHimDqZ0NTJz7y2DM2r0BaKW51Vjk6ECuZhDGkGp/pHPXMMidEY/HzQDPy9363dhPBkgQi4 EGV3wdzja7Kib65HLbBScErB7r6Sepuq+/P7rkKA78i9cUoCmzdWFt7b73jEKwnh2QqgLhfiINYE 6GbiJJPLCq27sIiRuJQ49vHHD6bqXYdEo1w/M3S8DyUW/HAtBpDdKQ8OQV7wb+MC2Xk3D2hd+unU qn8b71v2j8QfaL+yioM0M/JXFCJHOJfJ23C43sOL8pJgZr6zXcOA/nMJ/2JBVQGrXvuC2B4PkP+y JaHsPv3urX7n4KZK8B1ZpYebydzyEj/bHFiCEg8ErSw1mpOyy0h0dDpraAeAZUaushlCUhFIzsWS jCEUmMfJH+zk7rOtY8Su3ZbNVwibQVOrcjHRGy9ri8zW1jvATe2D42xpgUX/z6LI649EdJBxOjDV XiG9Rc2/iOWHUHWojJToPuIkGPdcxRZnfQmR7/FRXJs+K15mLor5rEkqnaBnXcFlBwrFfhmmX7fD +rqUJ9baCCubWnj5JreGT3yqFgf/iaEG54/+R9hu+bGuXW4mCo84VluZq7J+/JIFOm+8fgJpwBW4 Z5cgrWPFVMp5laCVe+J9UZo3JkR4H1YEe3Ps9Zv/3w+pEwGAw0lqiVsOIYThzk2X6UDCSUG2zsiB KDxfUzQyzIbmxUtx6WcShMCkFvq8CgKWZpS2bAruVngv/uOQklrB83xaR2YR8eftkpPHZV9fvm0P /wUr7Y4WPlXxfXYWNL84w3QuGErwmzoRGrBOtDLHaIjG22NusX2GILcdxcxoSJAI0qdYTw0Cat6x apdKqk4xwuqSbZm+XIfedQ3xNFqAlpn/0JsFOK72jXuPKmH8VAHsHKQBNNFKv1jrawXC1k46hxyk GzPKebaFZ4bHVDQ/UvagNhNanaV90JmEhH9cTBH4fMGxU3uWLNCbH4qRTF45UQM+XWeJM3G9Ac4o k6yhemEYSXCxCdptD4u4mxqzkuxgQB0tU2+ZKdlshlbOmSMQ3ZOmzF2c2Xdz1OX4HA8frgeJu8ZE p6ZO/G0KRvJixZdIcyiLarTq15c6aoZJd476n6WW8YT1jMl8A/egxGWA/XJD+wiOBjozFUQDV1b1 7wZS20YKlfLAkMziE7r1+t/QCTJ/Ajp8fs2yTePVXi2biKC3jiDxGiA9zyVz8BoTPmISIXP/+pQc 9FCnWGek1iN7iGYp8BFKBxJzu6mn7FMQAKyZxy+shu0zdBPhO8xM8hi3g8i85KC5OTnuYfT7Zzua NpeUVrJpvmxTY5HGvyGaXIzIh0hJRZAPgEmirsPS/hgo1IRu25RO10Z38GKxpInM7+DgZspR9h3E 8XCZ/hi7bQuNNTm0MYm81hBSZkgL+g0d13t4scfrTQyGScwZHBH+oYoHGI3SWES+zPBOEVUOVNhq dod4hUNsDjb+OnG07AN9ux7z3JrHKw5zhM6zz15eAQUe7mhs6/sb6Z92ygaKBDQ5mE0AwJ/8bENy 6rfsOFh/ByWzFMRUu2oUHCRFRzw12q4UClxbkmb/zZgZ+6swGJXun0at9nrlqy/dyi1SO270rwfW 2xrWNHa1HOojIsAEah80oXDfA8bUFkRABEK1FJPPJwhnJGE4CTwVJlQ6JARsJU2gLUVJXpyufN20 Xfr3el8pIavrRx2HF/KQwzAal27zNKJlkREodQBGhqGVx1JWW8f/vxp+pY0uI23FyWaCnnVFJwD8 n/Pzwi/nCGJACw2s51nsdbqHcMzkD//tR4+bVnsSl318IdfOitfmOR66Rcx4a80CyEueW05KGfhs xRgU28X6Um7aHX1+jWhDyZjhbOr3BPh73XxvjgWUQhdSEPW30PdGfPT+l7xRWvM9Y8TsLpnht5ZE b2uVZiAGv3PKjh04avL+fIKcecA54uOOu8RWdceczMsdZkaeREQmOnmZRr0jB4hsueklAFG9jvkp 5wPpvlS/nlCzYZdUY22rVjWWmzZNG1egV2Orx6FvB8rvXnqIIonYHUrDUXue6BcQgBAjg1yoV34K yDDCPd7WMCfDFgAaCKpNW9fPjm+YZzSO1im8IoSDyv5GOszu5761PNI+tBhagGp/4j+nwh+om+iI BUGkyvDzpot8FSbEbt+sxTq7v0TV+DYaGZBHw6T3ASFIH9YQk6/R5o+MuPaxeO2nQK9g9GSpiCwL W0AZZZG8AIJXO1ogpE4xBN7Y5Y1Av6PktOCJS0gIf6iZLSJdrGp2fygcwSFodrcavITmCQ8Vc1YZ e6EJB8/PDQLCfYpCmRB5Ts3fjeNnV0gO72Vb1zjMyyvEVi2xASgGWqxtMAZC1f0EhNCVRbkEsndh dgnc3YHcBg1E9jW98iV2h7EEr3j8IjkPCn/8UlRuqYvBFx5VYGutW+RIiVoMuwB/aA7MDtqfT1nM +3Jw6iwot8geWQURTuFxtKo2NnWQOptioUAfMtNGCB+dDDohoxN0lAToQYsk/EVBuRaWAjOhbc5z TNACa4KwSGYXWPUZV3MHIFA7ntSX6KLwGHjNYuuFZskXpwQz6RT1OV30g81czKZ3+5eR11X582hG PFJi9ylVpx4FXIPDS4CsBSPFok0816w9H511dXES6HQFcBEaRGN7u2r02Rqab6syHfN+MewEoIIZ NjW8J5RNUsCSCsfBE8TicLs8fp4jKGALeYNU2K2/C3DsNEErTcX5tntpRFLm5bACzdDe3n+qOHUT E/junLI/RVj+AI7L995sV1T2yO62NGV4eE9wH3izDS5gNSg/IvFodtGy5B7b2uYEfTUqLspxVcp8 f+ykE4ssMhgTZYxdMO2yLe1twUa/GGh50In82wVLlVMj/Q4im95T7t0lzAkg3CHTkJu6QKPkROdn jKpR2bu+f7OJ2cvdFy6oItex2I9yLQLBErmhoaaYnWau9qu8OA6IM266GbhvlHjj1j90cgZL3HKB veiYPdhBSvpOm+I4nDXjE1ye5toB9gwOF8A7chjb/xQuZYsNDGcZTzVpzpMw2AYkzhU3p4/eFZK+ 3lsTO1eLXVR2wBt3fYZ16s8dyKHCVMEMj7G2CRUFCVrMLpgL4jdnaiG/2otMv+e+6zghFzDjqDXY IuapBT4w97z+TbGIQU88/UoTTTw7J/KTnfrkw7e/Pbrhp1/g6p+I8ApS0gFLIRVSV7K0zaO/SSW7 2n/XDcKCWGgeWUB923V8LLRODHmiaXIeAAtONc60Mr/6duKILTJrD33a3XqBedzyMSECIs3hDKEq cd87/eYmsvb08BwqBp8J1hbm2/YmW8p+YUyJNDpnTk8ijIKYhRXeM3gPrL+hh5j/3h4P5asddgCP nFvafJkqaHOoXAI+z3FPNUUZYjai9iTjJ34Wg0tMs5zqbOLSutAy6qcuhdqGH8N/oE99OsMwHhN+ derJMBHExYuhLByPWjup/RHwkZYJ0v8uca7413E2tlGdFBEQkpBByBu0dHaZBt/j5rv/lWPm7KHJ V1lojiVw9G9mgHVfo/956XokwKNeHPdh7n1WqMybWEoQIKnh8Rbvz6FzMFSEQw2FS/he7GWu8Cc0 bJ0Vkdo6RFHqHFyKwIxnCKtIDhybnQEVv5rkfr6jpgGdMbHgIFopgDNBCXSL626gBeIg5vvBcVT1 RlFoEtRtcP8853qn+ImZLnLFffEPwc6oPAPBoSNr9m329wTBS+Drjv0cQLpIY5l14FesVGF6z1qJ Fk9yLIASC7pcQmNldpGIAN1SDRBLMtuL3WryfDiSP31TSrXo2k6sHNRsi+4jyMVc5eLhQORVZ+h8 dv3S35lnURlR1GkwUePTRyLcbR9hwko3PlPyolfIc2FKUm5C2JOsD0N8rFIJC17o1Wn8qv6mxNDL /r6hM3U8HGVYVfKheVxi3XQ2/4puJspx2jhK+yMNFICmoczoqwkXFrYDSLeMy7iI21JNSiGrFFwb 8zkrbLKN+WNsOBoQ5kOmUl/K0HHdMG3a/pVV+yBDjGTdtV6GFYxINeF72UFgHn/4vHrRSHWPS/rV tFHGc+aq8roDocoPGW+QIxSYOVdesSL+foyan7x8OQafek91HcCoGgpI9ZiMg4p3H5eJZEQZ2QIw e7PMtz0aIiar4EYvmIMZe5IOoHyRzBT6/nYZHVeoorZ+OaXm39ICjy4bE66ZyGB+M8EU8ENDCLZ6 15A40HpH6uY8T/r+k4TG1k/H59xk8bZwCnj6oC2j9jAPcHVR+M1fWE25U2eY6U7IyDj5vei1bTfI grvWwW9L9i0JOSDLALC1+8/SW4fUgRfqEPlaYNQE6Tj4FPaWvggYxxwZa1m6rjUifG4D9oG89Uvc rkhGNbC7FtQftxrEvPLLDz9kiHi32kY5bfcbO9oOvSbbV9ENy8t5bpoalVwSVuZ5xqLsLZfDUpN/ Y8hMnBQe/SD7rqTajpThpDeH8LqA/wqER/aGT+36l9an3KulE1MfKR1qXeq6VEPnijl/vVQTxEYG UHIQTiAzggjTfvj+detvGQUL30YGuMzXtbSF2SnkUMIbH8lzDhj6TY7urd8HIU+SbyuPp6g5h7oC O6DUTghTm1CCcuiuHmytwuE4IdDx3lK+4YHdpWnyqz6YJkjLfVBFsHJnyNfNwdU9CTCPEda5qhVe 78LgOjMcLy3Gi/PayMvFj6JCPmvezwj63qb6bWgp0YrNGcwO1OlYWIigv3M48mwPBKRdBL2r619S 35XsuQ7GJDokU1YLOx7I3ZO+qxVNQFLY6CE/y2R3tzASw/I+hMNsredYE7GNarYjIPH73fVPqgA6 gtxXSBXTAA1KwAKhM5Ae5WjFGmIyUujy/84WC9QQJsRekBmjuR/Ui0jZ0tFh0nBh6xsRdiZSYdf/ S1lIHMhabZW+18nQbDg3stmA0an1NG2LlEOGb6PG0cBHIM9ob4DNjL4u79j9Ee76SlvTJQOKE9Bz 53AydfX1tOLua3diSs16EoBRPJNHC1pjpKeWoIMJb7MNDs7isnW7rTJKMyc/Z/eDUdzhJMQ2vqWa DDeTNC6j8xI41KmPGyPvlARMEt084ZWigQ47S0D1WSOcQq8eXU0pBPGppQf3Puh5iE0jyLcw95qG jPHSEYfwUVzBgj7VwFaBSQ6IWKIixs8Gy6mwshsyA5wN9ihqXp3EiR7d6NRZ7B1951XsgRbl6KJT rZQAypRjP6uAmVRQsrHaQLv17g+0Rsfug36P+NYXuh79W70jC6RkeTkPEz4lhlXdtfV+d1sjTIwW s5BtcYnstkyTIZTuSNX6pd0ODkcfsNbZ4wtINfaorS6QpGr0hCGW9b6tdwQGmbItnjr2MPCP0unM ERbut33BoABDXYucHl9jaIFiCpaxj74mTnMXPyj3ALpXLjCkj62uGijRBsocqPRkUumu6k7tjUqx kiyBKS2sWNZ2/E4mU+SXGwZgQtY3IT8359j7KfCLwO/XYXFCeF+CUf5TwspSqbnM7pmQ/hH+jjNv bGCOgNPY5WwTCAqeQFGR4CYmqKq3YbQm7jjekkuHLnZLtllXEOG5Hz4wmuSGfqCeXLpt/c523yot 96lDCxvpYCNcfxUxSw8NC/sIjNou5RnkitmOMsjKbsBTJE00MqGDL1L7zIwpYZ3pCf48evFokBvN TkMRx/cN7s8wwnDNrLK/v2N/K7fPUHeUouaClzRfhQaeBgMVxhmgzRY3pCyueC2/doz8ZYSt5/AM NeDbYOehxoSBJRO+wiKu2pp/W6EXo1Zbdvdek8+8dnHQZmTY6HLwkE4DiiUlznuoKSbVWBgHyNzr oXqsVATYhBEjrDukWpYTa9Th8Q5xl9d/ooxPgWepGIliNmMGlyCDYsc9FAIicwJtxLy7q/aiaMQs ntjMzvJmpeyO+DSO496/EPbvJ95dchui02DZuv3pVicuxOnBWF/Mw3Nzr/hFkkVOcOHvF3r28MPO URTya4hdIw8uk86jJa51SCMSi8KWhWTTqFFBV82bNNHSq2U65ViqlKl33gl4bpYLXsHmH2n25oQw maz84MDpn8BoMb+IKq8lyw/iUE1QF7n2E2e7zBUcJifvPq3vmeeFDP6Oyd4BJje+nGQPlnnbph4y /aaDwthUuvNeotWvTr7VIC7GBUdt3FvxRRbo56TyYJz0vH6CM6c3vj3lxlopPhH+llsT/8JxV4yd 3IqtnBld1xIhWVtNC8dTJ7Lr+UP9maMsJmLTZvNAfPkuuvGqF8Jjk1SPWfYAbxyv06kH4uRbfjuc edoJn/lE5n16PvK3oY4c/M3ihh5ZY2yqJHysSU3MXP88GIUjzJwJ0mbvPgMwNyvvPIVwo/2uhgEL ZdDQH5sh2Iy+2ul2rVu6yZIsBrSvFl14LsV0Y2dQLON3nlJxLpRxOjmEkMfZ8u/gjTQT+IeuZZvY kn2S9hQo5uMpM87drwATdp5f1k09R5UHmm9BpYsOGhDyzpNI1JCK+fmtDdyKgjRamOIzzY22cfa6 UWBMpWp+daW+r2zWelkioSZoMzpZ7bb2BuAmYoiV1Eis5e1o8gaviB+SyO8uxcA8NnTq2YcxurR9 u8IOvxGqH75zrUELxOZcYz3LMoTxHms/JxwHFVehwoa0QrKRko9S4eVZ5k7ScwzJqYaV78hyoJ/D cA47rdvoQrEDJAsFeD+gG3CcP9+MKMxvygk0O4giiCSmUmgWANnHUsRQZ6piiDffWJC6pF/xob2v In2qQk7K3ILRaGrzCVOurnqsBYpslxsy0Kg2E32avNmPKAsfPEoeFGmA8RY0IlBso6o2OUT5eCoN vXyAlCpt4pkkhwxsf2x2E+hCtuFK+E3hriD7O3PkwsRiJeCxU5nmgncCF8U1YivBHhSQxYMeMt3U dBnvxRGcC1Ux4xOTuMuDOyg7cynGcsUuTM+sDL6LFpvF9V4fmTetcjfdetpzFEezjLD22pN4Pzog AFFqj64iRIcd9t8aQWjaA9D0VjfSOiJ72sHlf09ubTAwpVWf6DKbpXlPZzpDhbJjy99M+B9pQgWd xUIOzF9xekw5K7Hrl70HMVtyTCxsNEM2ZrrT7o9lzYW8l3qDG8SVdR/+f6F8MOyiGz1C7GQfH9kP ruVAX/qgkByXUJs6rBTf7Y5wkVA1xHMMxHgjl/RNqAsLuzY55QdU0eSDmd/YW3qACaQCMZZ2fK3M 1raI0COgFNZoN0X/3lo/+m/+3JhySWCxmrsC4rfEUniM/hBZsE9lWQBbRMMf1GFCkiYcspcz6114 JuHzDiI6WyAXTlUcs5ds5K4uiguZM3uDKO9ncckVfZQm1f6DYVvr0dDjTKhxSPEhVQN90EnD90uh HPIgC5vsO7dMm0BTd8O3oupSjQNSrIvf5a8F75FevNsvIUEZfQITaSLqfsLUunfdtIK2eAIXKmOi P5RaEgQWNjGEtp1jijKsCPA2km1cuwKj46aa/QozRJq/zdwEa4K95NUpwuPlTid9iMYpV7Yi/4y6 nGDJiVUdybZFnZcw3HK+eQB4ACdkfep0f+bS9H4l4mhEICHoVUSzi/OuBaSSzleICJY2SVr4BGA0 YuFr8IG/zY5zGHFSC4M7kfzow0hIGuiQtZ2nsCLPuaojANI6hYdd9AjNaVYk9oGEpbYsTGbwabUy t8nO6MvFT8koOpMTy1LkK3t6TrFFIos72ssHAqpvUqq2tRoS+laX3xhDn+ZbUnKa/drMaMDiNfXw nC0A9r1L4e8O9Q/YCk4jCC1BLAQ/IcGtbsYDIUpHhc8HU+XxswqAQ5Whd0smtkyU0/cxLTV9zvQu Mts2LRd9H5oz6+j6daoe6Fn/Hrha4DjB07RUPiDUnYLMTg8tAoEkWPo8AcByZR3hvNy5pBlnBPmM 6d0czQwuyxBZM+JCXCLFzY9SPaeyg3KPbznzyo8V6LP6QPu/1xwTtZeIh8SOhDMX/Pl35sMFK4nG 4x7/WRz8lVqfTQiZtV9CNkNdDvD+xF7BZwBuF7I6ow6q7eslDENLMHFrwAhl5ByFSLhp95Dc40Dd CZci656om5WMhwgQsPrR/zjbQFXp5HOcocqsGdj/Pl2JjLzAnQ1PKRccuNZPoamWIA60fc4UG8WV n6DUXl+vzsLM3EYTLOIl4YXbcprMt1PL//302b7MgWKb1UOszq88TLrU/WQ2R+oCxVKlVlnbcK4p ghJa/Txw5lxAqfHb5j9fAUDVY/B0/6hwUYxeuCVI4VXO+VsPnlyjz0IVZNnsN+xcWZVZfxoRAuTE 1bcFsiv2tobAnzCCfSNTzbC3S0REQvavjcmVGH1AylR0jXQAWH8BAgMkQ0f50a9Nh+Z3JvMQEN91 G92WtueXanvAzuhi9F85ms0Enrgn7D8AZsOJW/XTMkOuxy7UFsmE0uoxKt5fHdcnWxDX9+6vpFh2 pV7iExw9j1BkM9wNM9lRm92lRbU1uF+sdGavJ4LUov3NxxlHLhN3/im23lkXspztYQVp2/yjG1AR fe/XI521FbUlTgCVvEFQ2uKze0dax3oya3ZehhZWbiCJNsOjK2lFRyrEUKcojm75Upg6rJ6itTzT yRFGD85RW55QZVSJqgM2TlH6pDgACtFTBa+KWaGzKnqkHs8Ovl/HXjSqCOzwfmWS7upBxO7jcVgt jXSYDZwsaRonmyl3ooEauDrC/rcv0SAfYpCqhLLVEnWry3mD1Q95Sija5if0KoEDhfIHPMkgUijj rfCwQLVlZxNZlB/wR9QqSqHgRNLNjhFVobot5379XR3EwziSxqkopT3CenzS3gAuQ2WLSR7Y61Q0 v8G9afes/cAPbLRUkML9Ufj333jFjf7q6N7nsiswDKhmrDFt/V7aP0S/CIotBp9Eia82ISF+rR4k 8JSCDYawD0S+9A+C7vjaK5tyMGUCqYeb1oGP41QcPtonwm3m3EAAhxgTORUOYq4yddwX1T7YYOLX K0s4OMsJPSdBq3alakxdcTi5HesLW834BTSRqEkUnXp62d7/bjjNPw0FxwSqxM5m6TuzdaJ6XTAs nKGiCgEi1sanHbinTbMZTXUz9C5f7xXyTdVsrsMZG2qyGS1Htq8RQS5UbVX/dgpf5dJ1xYmXKT8y fNEzV8KG4wldDLy4zOy4PBW+P2lXmMK8F0DAZZUQIFbY1AGOS84mscJ+jciGD292n9Lr/NwrzbYD VGciPCoN8BkWgju29M4g/CcQVX7BlXG7QHszRFH83ExI0n3OF32hWTIhLWzttkFiA63IUBtXYFEy hpXBGDj1He+2rX7ih/WVKkE+fzPEP/27b848Kyj6fjeWh7qP3mjKnnHvGcW41WU4yOwkt0RD50A8 +w1hyjquzBP4plnSHWcDmxb1R6an5v9iwox5UpGclb9U5HVNSRz8/rVkEv2ITjDPmCBaISEQaOk7 vsnbTBLf9xjJ1XI+6uZ2lDfQZBA2xhqcjoC2AyeUVMjOx2/KiypNCNbY0iDluQvgS41jjuhly0f6 QcixpkrqjhP2jo0BdIwEiOSGOOKSp5wo66o7P/qgiE2Iq5tbJIgUdnJL0AwfJ0O8A/JxHW1ms0m6 gsTXh8r1zmirovpO6M6vskynGUqMNRXOStm9OSs2WCeTfznXq3lYsMSorXr3L+wp1aTETgnqIZIy fHaC5s8tHvJzhSinSJX/YTYuxPuqToWC602Oets3dlWZoKFbzatekHlKPbQ4aL2aQE4z6231d3jq 50GkGJnNg5qxkD0cYPVJQXhc1ccHRCXES9SbpXqa9svNt2gQiraqyCXU6TdKHckJfdvE5p7XL95a phroc20RXI99NwqBRcvTqKAxLyH2IKOf6i2XWsbYjTS402114HDk4QboNB6+igiKxE1BdZFuYBvt 0QMTw+pUCZrpG9Kc/eh0tujjGBjYsjQ2eYRyYLWUWgPwpYy1NsQ0ESBwLmb30e/HKkwVrKnc2/ei 9ZX8XKw1sI74PfHYcctUdrJCaohYZJvqrXVhgJzpgpToc/In2lq83CkXrgqbh6LJeOFBjFaQpTJD fqLFd3wGfegO5fpMmENZSof3HPI5MBvQQY6uW+SIe/xYS5jYh7/jkbBG0/mSwVYaqsk5wMclPK0l f/XLyv1A15KGT+m6VgvYQa6ydtonzCdb0DVGDbA4rtGuLdV2L5DeoM/v4o5r73apHZl746Rdw5X2 CrDwic6WOpQBDlnj6ThuRL1pzbXeDjI0YxIhlrOPx1PwAXO2IOjOdA0QWVqXunVwDbYyI9Q9u01U 7zUjfoKoUJQT7YvcmsiRH3AVNhrTt/AfgG01dH6FayQboukKIPKG7JNDSoaiZrnJOQmX9F4bP9sA ihMjrH3Erywjy37HAE1O0A1iQicvlrDiic1YVqqYwGWkB2mSxpOM0QPb24CrswElSLwNfiHV60/r 76Sw8sL7/+KwgqmQi7t0t2MZV/G5EzOm5Db082N3Hzmxh4cSt6eQcVHcSOX1R6VleR4bBdaR4OOF EcRj10sOL7igcwcNSnTfYFUFm5t2UvYVTQMLVLWiJhZvi+TybtvTfzh/gwgV3ZuOG/vTcPsg8HeB /iCTHAlTK+gESZjL7JFGLXroxoFkzJD4wfUrsqoYBf9CGym0T1OAc/1Kl58rPu25iXLQDRdfAHmf c0V0oMzAtfNBAUiJd+zXB2183amy+VBUtNrLsXquJ5tHeefvIGt5hT+eSgRwgom8vpdb1Ou8x7aT QitzoS3Wl6Kq8dOzZHsTJmnqKWR6OXLKeKenKfLblFpySIdNu44i7tgbJ3r2CN2eesBS6MUEN2UZ 29Qi1aybM5z/dSrE8rgbAEkgfM5Em9v0G/59H+z0XoWTNjCK7l2Uq7mVlXXY0JE3MMI6zHC6kuj4 t2rgFH1nCu0KoU2wlQ7qbkbR5oIYPFUw0m9aylZbJN99UcEwH/RpLW0AkeAN8ZOVIhcCayCKpmeR joYHItGBRVklkMIC07LxZrS6bsLi7Ob0BLlQVdMbEAbWetRHk6sqtAmeWCnV479Aw1ouzENsNxNQ sFZKBqA0xABgBNgmImHqqxfXUEC7CzJAuZ7iXPtdneqobBpSBogo2YponmwCjQOPCqxRlvzU5q7F ATxoC1QUMTgsVSwTOgEvR3Mj10IkYcJ3m8VKweMFODPBu+6f5bD/4VSFImH8dVJ3W9ps2FAB1aed p0FOVVosP4IpKynbJ6KvJa098pYQ1nunP5c97OfTAnj2zYGpDUfO4bmTWJFGWYiQV8zzdFAdyEAO p+Tn6VvhYtpnP2PmRHGW6uyOMJjbjglxNCfH9YjcLtnXOWQG2Ax5P4n27JHcRmKFMEmZXhLUsdn2 THvJ/GTp4WaQ80Wqihq+bi2zoWX1jbnSu31gfOG41ZlyEvoZIP3nfASoDgx68NnNfXaRffIkkcTt XfZbMrSzxG/iw+MNKwUb+dQSfaE6pJsz6jF+3L28TUVr00hF+I2FLX77d/06xQfXDDdz0zHNO54q WnrVRAhZNftZLR0Nxa6TT1HkfTbTMJzmAlSFEtUR1UC/OeieD16hBijsfNIw/s+JuHkeWz57CEjl SHTLTKzYQbXCWjqXQqZESPUBqvAlv0/GIFJSO0X8LKdoaJC6456AV/hdmXnfbzq5dzcxnazlZTAh CGqVMvtTUXaMM9Pn3UwhsiPNrS1A9uWNHZRftL++5v+2WNHIr2vLAF3BopwSXQ2pBxitX1NAV2Kf nlD4aV8soQnZWyiBoQTIMwVhA3FHrcO7zoss3gdTprnX/jbaD3216LJqt2zug989Ih00f2Qb1/FN KiH31GnOpBJiTpc/ApxZimYMBdKq/OsqsBgyjpYcXotyOP7+wO5zLuG4wLK7zEdbqSu5oFPNTHfA pjLnalk7jXEcoA5CUqCdc6lxPhHdak5Al/3No1QNKMkbrAyKK9cyFZtpFDjEvKWHwN1y2rTRnSCj LdwTQJXs/WRnf7MenvESPtwNZfWETD8IktrlNNXA2kXA7wDE7DVVvxxA1ax0qhDPwN0lGMri/9lt jGKEsMm23TvtkX3FmZhnS7zntUaiZ3PvxFXkp2HKlchwXhL5s/rqQcgLLFmAN1tnyBPxZIXPZcLM n/x7hVnyDgOsI3rb18DViW45mlGVawgZZ1LZiMxBpNphIceHRIiVgDpEL2JeFdvWt/ln2IVXN47N 3Js8Ey1K8895vKO/KZPFbrwSSe495BJtMTVV5kSiwmMhdmgU+Itm2HGrTz4e2Cq16b/D6sJx53mN wUtMvc29J1ZgIOzUQ9B2pOuC0xNJMqf3uEygosDyt2TJR1d7+QSkG/+M2QNz/ZYDQEVPgy7SF8aO 2p4ftGYViOfysZTniJO8W7hsRxWvTpsmlAz5JOVIpO7PLYr+NCD/xl/emnjcxM8mSBVdk4Z8jCiF MpqHdc8XlIygaeKokPQqL5A3NpqtB3o4tJkODKvtLe1jQGuKeghS96nBvhqnhwDGAjpwZrXNJ2mp GjebQW8UvBw4clMRaQlYYAHa46cL95ALiZsG/X1WlzcfMS3wnAd4P7YKBjQv0v54GwqKQZEWJbbH pEy8W5bScCJA5ABnyKyKA2cMRLuR4exmD0QV+8msCyVNq9UAT42wPRB7GSaGmy41sOUKK2L1RZed eYpKaPcwPxwcvpzrFr+TXEHSs/jzyFtjvBRtYa9teJP9Y8HdCVAmuJaewv1bYQVXlVT8SgW+8a+G U28yxBA48RLC2nwraLZqiyCE0kKFJzxRsZu2pQJQbsS6Q6UgNWXf84krNXVjxpjJ402+/8XQ7OPj uDR0Lm6px9tuhw7OnFgdLn3AEnf0VuulgF/zaHB9zXgKFz2B+LQY8WfhoAZRx1AsdMdYtI1zU3O+ XMDMcSdSze0fgiF9I4Z/fOflsDKLkUkhOcIGFiC30ezo4DIyEOCqDhanjDm+bqpwcgtzj7TODWqF hn2l4rpioYB3m9WJ36chPyMlbnzSPPdBfWvPPotzZmjK2vIE1sAlDdPYkJ4l3D48eX0tVWOxZIuF MlaPPn6Oms4C0GK8JayPcKduHx+i8JWeeYs6kYjsWg7BQ5g/C9UwqdfXmIIMRi80v0uqVpAb8+gQ BxKBvmu4MRWHH7B90P3XzxchhFzQPp3bL4n/QCw9eefYb0tWzpTbHlpSHCKwMyxvD7sRD/ZbgwyJ X/JLMpVG1e2fBg02lqaY/dOrMQRuBmkYtFf9IfPus+n/FokKHhn4GI7IyG2UkiwXoi5sDFbsVAk+ COobEseoplYW1xdTrgcAcmsx24lD0k5bsw36xhp3dKTZLtVgKaB11F4GqZV0iqOTMkM1DqcF0UD2 waIluTA8+hb8MX3bvdtZndwuAM9assPW98ByqPRIzH3kG/EBOveJy2oz4uAtINuEQ4adpQgxxDJd jdkkhfsbUI6sEtBBgw4dVBrOkCUIWB5NtxeILC3uIqR90cAiHf8rAZGHLN35NBKICQiNKe8tT8Fe 5UB1luUSNqx2JU11j4+4vZ7UujnEXQgKsDhkKgt2vcsuOhnoNX8d897G8p32KkzPFWOx0xReD8Rk p1gwntSCPOtgAyw/huwOhlNErRt2elSqmPZ3FN9WU+G9eLJcdToAcgLRDPjcbipYcmFxbOf5uuvx tO5GEVD7Kssn9VWgYkhzCkyEdwgI3Dcv64dg9gJbsMGvKADbVChuHlXKRwTJ3ILlFtVNNCvnrzLe 5vbOvTJE7k1rccqueDkz+DWca+R46dvwbcx6iLdIQVmmZcGno8Wt0iXX80kTMiTBIjhvxak4Rf5y ns0okGUYPqIf2zXVC0VAcwgSH3fdFGPkj9+cVgel3VvP7j5qv3YDaK4Hehc/eNVqYJGvgglAlnp2 z/2ojyHsPX3Q4+AAMD5fi2CQ89YddY8eHAvrh4G4GnHQd8+ssdu1WbG7JHjE4XKVcKkn25mRdthb 29vA6Mk37MtA65vol0EYxU6oW7hWE/fa6+NrIhGJGAiAOk9ttdeyN4JWoWNRH8Kn2M7OKdu1YJRY ogjX2ovLHHqAjkwFDIwgfew8X4NEySBwHYbVT6PEUMk2NaLzOvrlgkuqa/hQIkoYmjLPXA2+Hhnx WC3I4Z9ursVowLT8yiChkGThtq8zK+Vmz6YtVCRlZEcqdFmZZcJYqBNjSqhxGKlopXEaOpQ56nCn m5uRkymYGsVfuIbo24Y83spDvX0ERVjp7TDs25+1QHOkVm9lWxrPg3wyL6PWFXf/pPJYLObXK0E8 TiHJ9IF26lxDL1MaSLGFkx/8oGwPahPY8ziq+NVGkgo/oiGToTlOze06oOz5N7VKHZgrwhLjv8Ci DOn8gpk9xiEIKMjUb7kHpWmH+OLnk93rvjvRlTn0uxjBvV4r1nmtdaKki/qdg1rpR8G59WDK9Zjj Eyyms3Fql7AbtCU5LSeZGytehRWS3GRPawsU+o9xloriEQkxHFcoSc0a/C0JC6NYz+WiSCxqp6l0 zEeWRvO/1oY5H00B+j0M+yBdXRe8VowVCOfqhVdv5nUAV+EsJSGiPAmLVq2Ns9nhiJnTap67PakG btLVEmYTsc6Nt9CyCVYjntR0Axo1cOdL9zOgButfGNFuEHsW8f/5dxeaYVEAPVg0EoSPV4DspOiY VoevbRXGk111t3GJpdY16ucWEAlf9ZdU8TFTgYaHcEgKKEkSlXTVo+Iu201xSPhMPFWaQhCx/WT3 roBHtPUEb4MfzyPxTELLitHqJF8QvSwfR7fI6Tkq8uEI/LcZ+aXceWzBQ4sNx+TB+hXWxrYlrib2 qmTUg6bvNBaso+4yl2/gY+e2jPdxz7HN1809rEfp35oCAgUmJswoudC5h9iLKgrJHU+oPhO1EknA Qbu0ne7+y4qnOXjENZMH/X+tTZtjhoT3ZGgW/xMPh4YdqXxqtZsq9HcJAaAyS80KfFqWLnPkDz+g wtoxB2b/7RC+gQaVgaqShoSLVT3/MPskpKmpkY7ZbOhLm2JSUaG8wxOZgk7XbH50dot6Va3jSd3l 2IliK8cODzuKHh51gEISkULVY228RwKbCtjkTxmHgGQ2orJdSp2VO4RL3hY1N5OVuw6/JeuVL1uA 2b+K1gjOX2FketYp/0VxBn1PaOGKBDEPwFu0FRjoE6kC6pRkcGJfPUXC4LSEUz1ugj+5FQ6Jgz+Y jea20Grnz/qF24sx9NxqJG20VpVJd7lmWsPSdm7m7S2hRf5BxomIrzWxANJ0pxGTJo6N3lMJxdv/ Jf0oQ2D63sWufsRtwP3iw/xkSwmcjwxn9D8X/Mv3onRzNJJEfH2QvEZ/tRcMRHyK104ZafmaFLFS SZnGzBm/LbAokiaL/rl1mkVdTBVxrP71V6uPvCdZ2AYQ/N/bL8ZO93miYw/I74DcrBp0geiXP4pC rWBc3NhfZEszdi+Xt2ACR2JGSV3FhqMwZDODiO3mMYpT+GJ+r++OpRGk6020KgpCW83BA91wHowu qqnvdDTXeVZcOgNqDUmvVUV9yrZ1/mq2R9Ysbxeij82JOiNeCgA9nWQXSewe+njMQ6B8kLmc+7wn qKheh7ZeG0lBVZcJhMPPRsgPQb9JKiw2vf6S+ov2E1OArbUv5Z2C4HrLdKdY0plYAuUj0YK8N/wa 35jjIzCuDRoIB4hDuyPq4F6cVv3Y1++5i/GdzxKGjAnPF3jko0If2VXc1giQraMEAT8qJ6QxIW0i kZij0t+MC0JRPI4Gz4gNVQC6BIUAH7IBqJrQjWH7IEL9jfHdNN5vvZjkkb9g66MqdW0iEkEFRmci vjj3EChT6sCApFyFkWPpd9CjfTbIbyY3vC5Djq3ayQKuG9l/ngs7nfDxbWdlaJe/recIiFPTpXKz NhqYVWOYvfniRpc3PPVV4PNREN3EFVXxSXWVegTopTRuuW40fxsZvy7xjmTEmfx5y3K+hRVxbEKP OzXtKOwgc34PSFGIIA/qTBf2pN55zNe+IElnrXH45rSBiMZrBXdhCRKmDBE0qnywsKpMqGVwmywq ACnKj8TclB76alR0KZrBULksNHLKe76zhZhHWc8elNuN+K3RpGSgjIZ9AtvcFYb3awU0Xe0hc+xP LVa9KtWNMdgXbTmxilDe04VHo9TXqfRX/wwEw8wLPaNgB3QdtKweoIBG6RtIqcemM4spYuEwyolC nrgOxuWDD4ItTbYA/Vypt+l2TERUCE+BaQX064OmH+Bfx1jbgBFzggdXAd30Lje0f9iwGfhGfN6q VRiicvaNNXs90quuuic0Zo32hxjcHjG3ub67DnwmL5NceA0z1aN6vfsOlhvRZayxvow853C51GMH VZyCTJblmL+ROa5LtEIkouc56OFa59eHDEjRKsJh3bIJwY48JGKDtwVM1ujXwLW4J1sWHVQFF0K3 3UBCTD8Zo57+4jmpR/921Wy8hUwOX1w6rq66bqFzzG8EkWFFebwNe7S1DSbHCw0+GS5I9HlHsKCN MTlwnCVwodHpHAn5qFYjvZxxIFUdWZlL7xSEncKGHzIGBbsWdGHHhCYMSLyp1dJ+f9JALcpq28TV 85xLwoIilv2eZb8tUNQfCpOTO6EVtQH1FhoE+x0z+KG4TYdp9MFC+hswE0nwqYqxbC8ac7kU9BrK AcSYEd+JY+AnEmI0r1uEDI3jiPFv5v2CHpoT00OIoyHqOIkFL4ckndZVxMjiymElJpfw095W7IGw tiFISNcO7pZkAdfK+8upnHVJCDNXQ1bXcWF5AU5OxRdF4bAL5Xl7Xe35waRk28KH8LYwKw8BI9iY aL+basrGv+OZ6mrUlFeYckID2VKF3tJdGbpMJnKuEUx3dwUTSs7c5K0zIZY7hppxBSe71GN/3lkQ 0RhsaB5qxPb5dUJT6qX8cag7tpki7OR/q9UV8CRFPeVZfcUtvF5JBZJtcOFCjXrEIrb2QKWBFDVD /qm3eW+vLzsU1ju5WAOv0Cwpgcu5VcteGK5K04FnFQU/EQKGTPTccT8LWZkZYQqUrhCxXTQodWcp OaZ0p4+3VKfWAH10j9GZamYmNI9OIGPLSdCxRra5cRgXaP2AqbgbxoNHzaOdn6pQ+9XeZcSTAHJP 4QFi1W41YLnMUBeJK69qcxgGzxS7GJYpHFghoPmtaDWW42HB8AsMSb8Hv4JL7FBMjGdSV78dEnO3 Sj3iUejpBDVN4L560Q9sZvbli/tlFI5tzCb99Kqo2xo3Mvt4oXIHpUpf2y5McY5Zap3Du7od+1z/ 8KRylWR5ojDCZd3zezGWyyIS4Ky0hpJwOkbhfkRgRdH2dS7/aMT5jflgkLj8yoyr2txwiaMZRZxW LVd1odWtdhNU2SRJnT1IuDZg4x6VEzMYNGE6sUaP9sucgVTHjNauT5KzNhkjlsMW3/7YEKHt9PQx UgaCaHK7nZc9LcqrQM8tblQG7/MFcp09GCUIIlkIu4VknJ0zBt8j554Bd0mFoCRPeKptLjWMjgxn HelOImm7uPOgE0SphjcBTz62sX2dihzcffEnpPatu+YkDn3Ltc8dM34bDQEmkDeGIMVUzXL6Sshp hlnSpwHbkNOXmephAyyZ0Y0sI+/nG37Q8uST12O+IkiU9pVR14pAnaOyMVXwiLLPz/NNX8x0CJNQ eUFQktjfv7RM55IzeyCFhsX3+9xvh8UUCoo2M6d9+TLv6hSBjjfk/w11T9h+THJF/mVxAvOGnZSb OFa+1bvGzwPRWC5mzxtdcUgdyQdqolKhr8982eLFlVG1fdo7gncGgy80IsxaAtBDCY6bUxDLJJjA q3ZtQPUc0MvAQj2NnQCHRYGsvfKt//F4vxnKRzENk3rgjQSk5cHGNEiMCWk3+RtYJVFIWviElzH4 CjSAZepYNF6BWxW2cMXbyp8Z0Pz5mMecp402j3MFQjkdVWezLYNXK7HnwtMEuH5z1Aqw7YeveC8f P9fsY+g+vMtOjhiR0HXxtucxX0bTFF0PJZ2YvG7GYRv9DLx1+cbEpToyZfx+Uh9j8SOdWTKs6vUw TK1/bpxAsxSzsTjgwfBaOlPqwSaED+PnzSSsEjEtKJ+M/9yDNvFjCgQWUC7IK3ag5UIUr++6ESqU HJKO7CJjNK7xppqOWb7ELxdW3KMkYhes/Wn3DKMA6wNl19irNGS1gHNlJffvflaO6toAOZmyY8wi D9y5ac8lRmAHFIGsbVYcLT1usoyNJg1wPaRIfWRllsFjpZSBfMoMtrMXvp1J371dxfL0+vXcs7rO dXGVLqSARwIV1fE8bSch6yGUXeiq1885ORkLvrUNDaEZZhInrNDjo+ydrBRLtxdAFg6HZPwWKYho nN6f8aMWerrsmDrPIykYWe9nMvpbbzyd0H3ZF59yCShn5K1dCv72V+3F9aNB1D/BT/iKwndico+T a9ZnjgzHDrpqDkVXyF48CcAivmDuWyXn/2QYTz6DpMSKeS+RXtL+/eacKJLBwtXcyMVeVVTbSS+K yCdQCmA6wG9PFSiWuiJaXjYxSaqTZNF540esZm5+PTLmQ2NQsmoYYPa+Dr+IW0MmZUYpRmqc95ez PHz1Cy3Rz+y971E5KcBNTRe5OdrjeU2tcSlSAo5cMXwDsL0izBKn2ZFEl7xqaluMcyEY9akwTD1H xHoQRJRyfcYtZAEt5Mb2VPd0MaJa1symXtlOcqr5yt/x3IRCmzQ4WdpsP2fMZrc4qusaMbwFRc7l R+hs4eiAxPWaCFU6gke33VW7ey6veTiUQFm9+5gXI8sI5/Iz/qiS0nO61X/kXfQsCsUhorX0HCEh eQJ2ZDrVwN0ARtT/22v0X3ZQKcvJ3YSHPwjR3SVaNIpQqix46+ThNy7s0se7x4D2QRGDBoyUn89y 7Q7L7TH70j3F8kiRCLjwr0ok37mtAmHgwoCcvnb8dtXK9D1QJGf+vAqf8p25Mm0uen3Lg5ngYMch POeuwOyB0xtULmJOhNfkMFau3lmWdqw9VGDD/00anaFe0KR7nsQ2ekTLvP7Fn2Qm7JH3vUy0d8tF SHXKA8MkR6RQEWmKnlHRGviS9YNesIkd9xd7GzCFqn7t4v9xx6AlfJtn6lxVh8tRKJzuEj2L22+d G7uBJTNmvDPvu3PRPYGPoIsS5sib938Btdr+z2X6uOK6PKQWYHItgW6KxiH0r2tqNr+qoWJBaO7C kodtHzDDvwMxgxwjoVfW/1E7kOkGPAOZ4WtplAvOpDAeQ9Jj6WJqXCdTTrB6jjgMosc1ZsvVw7pQ so6Lmyabji1s2FxxUiHvRkgSjafwIlGnGXEt9ODEZm7HXwh+L6Z+Wv3xX4wfNk8YbLdMyjAUFsyd dk0EYG/dQWVGKi98zeT1ZEmtMkzQCiAOu6F9Hu6Z4CDZGVhi9iR7SkxEULAhwpllWdYcoU0n5hdV ZmdtkcjdkriuL009DnPUcAI6v0yuKp3oe9+PKGEyWi8xTttxZLNfNWA5pq2KCgotAGvY45C9a95J H+RJHzLaKunnSAqigpwennDQqvLxBx88GAtGthQk6YhMQJC0oVT3CZ7If/2qyncRXicDE+VFSBpB lHyl4k4EeT3ivB6fqB+oWiu14nI0cOAS+gOey+CEcAGd0hCKEZt0CjGTO/vXszefh/iBGI9Ubmva 40MZHgTwcAbJxYhgeDn00FhA04XAL6PYRVMi9Yy4uAERYwCID2YZywhbfVtcnqWOn3goO6guz+p+ YC7Z0y9ag84BGWB+62rYLjg368kw4St3lIHyOFibkHD5UuGl5Dd8yB9VNAMSNxeTNWcxv35R4iFy TWWdncFvmoGgLAfZk7nKhGxuFol0BzS6D1eHv/CCLQOtM8QNo1cnd0FRoRWc/uwFjHl4LHdSPhTI cxAXyc9AB2EqNk9bQLZJNki9jlFNZ+zlabc6Wf3YmEu916u4FO1ynPEgHWiYAjJDJogMwk8Bdxyd uNHA8hYN4U+blwf69xxZ7xcQfYA/Da+bh6VObK/LoIJkr13nsqdDIhZv+HtfJbzbnRFfBA4f8NZe eRI62WnsA+h6gPPNEXS1SkGGc+7P/+l4J4tvzymb0o16GWotIX+GPxMxNywU1BRic+aXOZVLiMua sGwLsk+UEtUs4khLPZKssgmU4ZJlIKsJs7owtsC7h9pWzE3adP42gC7Jl44sNRwHoTNn/XeMkQp6 KjPUiUkqBeUXm7l5/XJsQOBRhEjKpmxBLXVtvuc4l8dxg/DcMf/k+vvvxvqIHi2zaA9I3p0+Hubb W5cyxdMlLb6Cv8+RWFQWzo2BJDavWY4fQzTsVLZX6AVNdmgXW2ixpzBCpMLMu3iDkf0LdAT3vR9r Md4mcu45duwEZg+HLKnucwUQ0z0WG+GNV6tIdyQXHQcmKyTHTB9iU+5K4+4l854pJinJpl1hGxrd Ta0/ndwXTpE0CrwgraZ6Lot2miwTAQz9qYKxVxvne0yZ6PQtQjjql0oJrsfLmYE7jcWUEfTaObY0 YYWLxdDcWBGhzYoR+d25J34DgMUTZUUBajcJEI38aZwzlTE3xLGc1snU3HYySZn06QJlNx9dHYNq n7tyhHAc3YExBhD0E5u0+hN/cWAeRTOJjSf3qKHdtFq9Z7j0TyuHEt0s58YF8bWgfoAiFTH1l9qi tD3GGXAIdAy5Re3xfhYHchdczG76JBbg/u3JPahJtJq71KAMF93Tw46UzMunkp1raTt/9k/neCOL zMpRebz8x5s+9FmuRYpKXytCpYfhYoqTt9rIaOD0jznSLUAIGEklzSQ8SEcE2z1Hlf0ANLn8Dsb7 loxdsoDOAOsjAdEfSZiVLQCj0iFl/R8ztHVqT4xpkFSbH1gm4KV/TS1TEy/Pk1IW77MAf7jORT+Z lT1jdgnpzHV8HiYegtUvrNBkUdVv0qjwNbXOe/Cfv3NrbjVSOSAX1irLWz1RZqnPhek86+z3XbbW cuWeDoBqKyMvJ5u0TM3LGcPntdh5tLGXuMW55FoVAyYTNB6uGojE15xmfvuXMVPGhZXOMVKVwAEk fJUn1djamSjSTIBZpKXzngzsQN8iL4N+csjRs8pIKg2xDnkzqdZgCwwBOMDNiMpF2ErJ2/X3JJYn L3C5iuUZUKmf3FZ/xX8Ql7p72IBs4Pu0LRDM5PyceJyc4pPta6Fw787bdihVSQMt+XRgaTiq26Nn YYWqvCb8nwOBQDnsOIEt7xHSip9lflUJ1ZCEjJDUqDLFVmGlIfuIX1kQyrhrg6rumyevSG3BE07j jM2qigzZ8DjsJoA5Nwr+OFJwukU95zFw5ew+sm8oNGs4Cckst8XgYVqNH5b5q3HaxwS0VTnH3En3 eluKl7vnDsEEJusTLJMde/tTYyvN209KLvheS6C2vjia8uZE0Y8imZ1T5lrsoJLm0YQyperQPOiA ytskL/Oy8GB+yeppnChpaQLfn/NUmtRZWZLiA8wKbAYn/U5fxrOdH8ZVj5U/GgWI0V1Xzy5huOJo L7DFP2pd/tHevWwBXHKPGYDb12hNSP3K6CUeEOb4uxijtdRfSoz+E/hbcODlBzusYya+3fHM3NHe jbrhWMYPoIbyI73cwXy9B9P5sNpm9UwYwt/Gv/4NCA0fTPhQBkaGJqdbkoB47lYvJSGVRu/Z0yzt bpDV7LBlhMz1mDwIskTRfVsekS5+GUmXUOcrQeKUCxgCT4pdclIM0+ujYqGyZszCaaymW1ti0znt A37phQoSZ1a+bMicVb6OdmvzMShY98Hn2/5laFC6ytnadlkavO7xMav5uUwk2iyHxLAM8VAH2Jm7 158hA4mgttUsFFFtQJM/NzEhy8Hy+DpwaQd5e/LMcZh94hbAQDccf24W2X6aVLbfGcWL0R5ybrV3 sMEVx/vSyzo6eTLy2Yqx/1L0+vwfGsF4zo2lLKH+RtdRxh70zs/i+mGLkZ0MGf7Rs+pFJi4JbNP3 iy8Ia2qK6Rh/dP3gbEz+zb6jOyjvuPt1D5zLQa19Cyna6emBglAaQ1svEcTUxWxyotb1/Lr/zzwc UPnB+8hAZOtC0Nww4R7Q2LEsFUgNduY30w9WmDVTiOXHuR6KAWdoDF/tBaz6wXB7JBJQZaTO//hd ywXtNki7WaoZ0Mz3vH5G+KW4tHS2as3G7DDf2hdaWPXqSPld3H30hnxc3tFuyRsa/mnaOLM5rN/9 Vzfjn8Swo7yZPKpJDAgzQ03eRlYLSbIPPVJz1v4ePQtjWUcJEHz02EnSf6QgAsw9udexCz1V2jg/ BtuIwIkjzVWHHEwm3h5Jla5oS/f2hkLnUpBYdKMaYy7ooYOVudLhMY5Fb/nk+ADv332Ancv1a5rp 0kovZhs1bU/akEpRlwOsjW4+EkCMNqEp+H06gicRnYIPufqWalUyAPuuSIJYB3jOlWnPwBqxTt0+ zty/DjK8cK9I4iruFvmrEaUVo0lDIjnQsjZNsyc/aOE18rMIr9FY+jLYmnlzXQasfVgB3vBx0ZsL ce1aFp3o8ecWpI7rjcPAiJ+jOxUjG7by7RAubeTBFvvDudGlruVyrtyS6iAibEdL6JlUUDa9LEl7 imYpih+sOh+WU+RLQSZa1JiO0ybgYbrMhAFbEo3IhonuvAN9yuHPZPePsDSlWxWIk3BbnJgOBp5K 6gaFFrl+G8s90vcWQcV+nbU4AjgE+kbD4KBmaUv5prtnCW6ZGui/H5YxLzdo3ZMs06MNiSiiCeH3 tvSR6TcWVtq+sO4KWwbwvIntNBq1A+pwtyhZ8vT2Xum+c09sC9UOzyF6OYW0jQQu4DtKU9Dm+gMk hQzlPsNOrtroP2idSw7OgYjgZ3TXjZNZtK/PGYwjGVUf7GK1DHHwjJMftMwYLUkotg1ebTwDpUi+ miX5abBMjHSfEfNYnsFVyAs4YssIpW8ELH71NnXnfQ7G4KLMi0smyTdOEXzNLAiN/vsrA21qPCPp Bg1viZUoX5v8IbtgiLFfjVCof5mkHsPh+JzDjKiSpv7ur5c9sNVLyWPHwxzAL3mbiWW2rXDapmfu t7E1azVc9zmqzFwZNSMj/6afWiJLLsAjrHzKrbqiIrEg/76tAFVSQ7OaNRiMfwx7sLIKOEjHof2q 8fNhNQcymKfL5hOKUzDUvf0gylyy8a4Lu8czOqAxX6BngJLODoJaI8Ul6yXb4xYa3exTrEhaeNOG ZgGdcxoEJD6hAz17EShGpmL0155NE9uN8LLePdHv1XkTdBr95/vFG4D/7Qz14EfH8MsR9Vifsq76 o5KHrlz0JJKpyuThtmxsMsl40CbCCYEe+rXaGY9frAKW/xSlsmTmygrHUPV3Khpg6sdA2KjVEx0l OjEbUoYWMvCCqSmkPbth1Uj/juE/cGRbHb65eBJi1KS5lsMoG9obM2VcjQ3hiI0lIa/6q1Yrzg23 jWcmbzre3McHVu4My75tYY5+S7XqUuVCQVxobZtYf3Xk0Oy77McBGKC3G/akSSO0bUVG2tFiwb4A VTTKgdiVp3UT80ZkVUCtSWC82mW2Cuxg2r/X86NWKmKPdvHHm4AEAUZbMmt+Iq1gipdP8IzTb69J ZK2uBAIVGho+YoXxbiTE6aQ4I2PE+0zVdbVz7MUYqP8cZ5KVuQcdYyIXEg12tQKfz5JXqvesDyYg uVr7sB5igStCfAFtzY5//BICJs3/kQqVOSwWW6DYXL8m1kIH5PvSFugqlRCvSPVgYm+dy9jTLHh1 9WCrhytjG+NcLSg0c7XiEW0vCzX0VMzL4bj9CA0rXae8KCNp9tjngNdhfYDXITBKNYIzc12eh3lT Z5R6XHQnP0kYbfQz/Vogjw0mckrEwJ5+8xnRUYE3BS/SkwfjFPS1EUF/syC/eYVyQ0svkAUeiVMZ VdSMpjwk4Hf45BB6ot9pBQqaTxy5iBUBEpbrSivLGZtGTV0bhFUpVPichsBJN9wBATCQyUsmXum4 xOSwp4B49u9qac2nb5PF9fBUsDJ4VQaHe6NkLPIWi7oWmbW/nLduFwgRLys0kXw5VQP+LNnAdGYX SbGbb2eK4ndh86QsyRHkjwXGI9eK9ckXHeV25mahFb2qnJeDOEWPzscODUoKQIT/NK4q0Byy47pY yCgn8OuSRG3oDd6Mpy9F8rS5cdKdIIxLRe9VY5TR/1y0dHcXrOJxo+VJSeirpmGuh4hQE9MPcA80 MAE4NMb7WRd3iC7wwervaJAr3NB1vpC98BzbcE8aPsi9ECMdbhQNd/ZwqtmnpAkuiKbWFge7imlt BQQ88FbdOO095baHQnFFopn27YA2nDfADorVe6lfIKMXW7IPnpktr9S2GXGLBQ/qQSty4aQ1UFG7 fcRCAzhlTvV3ivi2okd8JbFRAMBbH0DvsVa5dD4x5dNvONNpB0SvLqWZEBIT17Loa/AADoW+UJOc Wj+jX4Yez7W2lGj3rQ85IuGoVr1q6Tt/5wscy9kzQoDom37Ey4Tsojyb4uony9X11dIB2Vmed546 2ze5a4u8VAXZMye3xAhvG/8ve1ad1hepYxL/pwYQrDFIIcJYzGDwfaFxIC/Fn9XPFsEv8WrgcruQ vbtS9Ye69ykxDB2XyKOJXu4S71dhFmK1rm8pcpNG4iJQCKYAhl15hi8YIUPUsZgxgsS7DfaU9fOv J4gsP+wpMGQqtC96fMs/6utVcRYm6VyO/Bb0lheJDQ46ejzAK2mORJZrEVhXFrSsIiJYIc9gmWKR Y4JcyxZBWgeI2RapfPYNF0YDvBDtA5KR1D4kRaQlFWJGvuSuSIwC68TLyH+6lqZqmtvom/5VSf5c aElm5fmAd8qExd/YmxJ7REEG5dJDyjZlA09H0qqXWSvK5IjHA2pbyRvTCeoLgNKvoTfJH5LUb50M Itp2Z4sfi6h6T+Cm1BamqSsmwmf67o4umDrDqTLrr1sFFFAvsAxklMUH1F9ud/MwvVMLJsPtXtXx 9bkFmBoFpp6R0FZS/rEheLGZewj3dtoaTJ9ec4e2IGBlXyF4nrxEKRfqC3diehTUq1FHmvkvOcDt S0cysRA9LCcrYtc+kUk/EF9TJ8hNpWgGyLBoosqVx4RyqFxo+Q+QAx3RnVPZiqgZGRtOFf+J5/9g aMfYUQupX3XVJa5WwC+szxJ0Y35ahd51eQ7IY5XAX9yepcVP96KUowCOmOQa0fjcUPqE+RPY0VKo y1jV08vM/fJMuOznM7UvXKkEpLp+lyuaE6VW9dpf0GVM8VWlgp4oiUBSAA9BxT354NCmNuzzmQzx JpvBEewxrG/VI7f3oLNB0ArWpjrQt10BMKe97Lf9IYwoz362ry4mswY1CHjmzLsqXQRd8BWtMMUY teaXE+ZlfqSy/Y9e3PpNdTSUeTmJ9kRHsi/+dUTFl36MS4Dzx3xm9vF6Bg54l3IiIzUu7iymAJUF 3REXlfLcpYClS/dMmuE66C0za2jNh+SA+kXM7YqL9VD3ZUNYF1qwORBpkOAqhHhrLfeFrtr0Rn/H cehvI8fYOvaUk4SuhBkHIUqI3vmACnJ6V/MM+Pn88nosRDfKx3cbIwJTdoFdSceD2CnmY9WEftnz wYVypy6dDva1KXhlhAXOceDkIsdK90wiD3PFKOunVZQtC/bblTPUdDxvnt3KLRea14U2DayWhcv7 3Wms5hZS8Q/7UEwPj7AkTdbi4rsQYp7vPgsdoKIczmagDbZXqymrVjZosYa6j7e/2FOO+RpTHWLr XHqUdtoicwCFyH+BxFnX4+V8ziR8cjizS0nPMk/6RKhL5/QEJ4lS9O0cFMh+WgwZ2Lstk8eAfZhK 67TEkMgmV4lEAzq9Bn9JTFF7k9c/8M+lX+xbIseqgsks9rKkzDRvpkIZJNKFHggFMALdqoI3HzKn +iy9WohfbF0pP6SCa59Ym2xwVUt+a3/xiVBSxvQBppONaoESIXIEqbhgRQWBuDVfP2zQ/qOEU03y QTfk34GkJyTIom+lpwQvrCxgm8T/UXQ11Ulw/n4wRUGQw3NXqJS3vENHQfraVM/2NAHcs4loH8Fc VB69bkNAhnANmGNRa00sOsld6wbe5Fz2oaNUZWVa9j/ABfhw5uKjPchMom/d/V3T+CmWLPOQNiO7 drQBnn00I4KDpsxtQdvnppDuq8ujRFX8pi2qmvzcxGSBp/9Vbo0WP6sMmXWtcIbJdvtsD5MRH7F2 NXvwNQxyqFGzvIq6omPEcxy/PWLf3HstHbYoa2+LUeuSj9c9h1S/UJ99i4yiwpdTwyo+XwE6Uu9Q Zg7SOYzj2Ul2xKk164ISdYsIQxa8sgG5xj31VvebuaZbb+l8uis7O75J7ZOZUJU/Pk5mMLGkIiAw khDJre6Z5d9nYHgp0fd0ZWhgyEVVwY6LCcyzO5uWZM9L4Qw8OlIER/CTs56RQDMMy/3frU9czneQ K5UNWbzNjG0UjpSi0Ajt47OMy2y5kdunmdwLu5tSb7hvuuVkO8g1z+NqLukllpGZwQUeWQSUjOml hyzCf3Dz2wb82gwWwdDPfn0awbO/P8LMWd/NkcNO4u5MbXBgRqOHq8wRrw+a+VgIxAzY006Ugm9+ 1krp9MBU2M9TsmvjIqYJV9Cv5Alj0nAwmHbLSgHSsKD7qBONh99jeY3yRoMzaEd5u2Dy54da3/tZ e7WdXRdI91fo9EdPXv9X6x6jowtdWgFfX8+iA1M8MolWZzK44pZQaj2q3dYxukLnFey2eCBzCjr3 arm0BtfOPjPWjLs037kl7zXA8cER5R2WLNeQqhzxhRconxXapeSB1nIV87gr1n57rCx7hczajtRz o4YPaQ90iDYi5ctPVcp83WKzDXM71hUGJKIfDU5B2JhH2xfoKDE/mtHHx+qLLp9ha0VNGz9hJAts bMZBbE51BqtKF4cY9UORrRut7VW48IkKpwYMvr406GBtBQGv/llG+I2O3UVFw8dyiJmqyeJtn9/u oXpJhR/KsfCWm3qwTozr231ZJKDL6XF39iinCPHFvVY3iYxZ2T8gntev1dyvXiDYcka5J/9VthQX RDKCo53iPXqxVEEGnSy1N6e11/UL1bAluNXzQmjLcNdw1m6FVrmwfZJzaIgA7OrXUGqn3ha8jB6p Cn/GpILL2VfBqgtdBOJ1HR/8dAQCoBjK60YNJqby1/N+cZcJvb6X09h6o9SgfjSIsgjve+qaUvm1 AuLX/6OhbAqi4kUGMySRbZ91KdmZ+NBRwis/PJyLrGM7YodHXkZEBJk1qodsDJmb8Y4InLkVOBJ1 tVTcnmMDZVMSyRfkZmFGFaKEQgH/J/63zGzbsI88Mg/z/X4SWsIb9K1O2LApNhRsz0m+sS1FV85q gDEr4zS6FtHmIvbyHyjoYLHyPerfTU5zb1FEDAE05Z+sRx+3WfhEgoG5CXDifR/7HCF1ebZAgPyp inF5I0CmrAn8fEmSJg0CwHma2viMwNUrJRvxK7SINE90+nal6XYbWA3zzOOH72ZvOCTVuXIlEyyu ZA1hjGHxVUrEK/ewNqREBNhEtdZakhaBe/ZV4MRlpmBAjt0S46+kLJ4VBwHH1UA9+Nk5DJvO7ORt DqM931WLdcxSgQb2NSMF2cYLE98x95Pe1KXeTzRT+0gkkbgqHSNfP3lX7xUbrqRUeRf8X6Cvf1S4 vLWwSWxzFxuVGq73RajABvyl++Mi4mPU7bxQ2N7BN2d+A2W/C0GMzTCwGAPisBza82mYjX4ivxLl d28mRBw6yirrJGmJYjD4R/iTiKW+JwJ3QlcxYQp/7WaA4tZ91Nhn4tCDWdMnpLPMFQRNUEnupRnS Lr8/GJ7WKwJaEzIMduz6p2iZQ++4La9ShK1xPLhsaCQG9BsdyhHrl2LOcs9JjabX+xMboF55kRuO YavdintCAhuAa92LWLw8pjqXK8Zw+PaJ3kIrhc+DW8d5bN3ZmSufvpq25Gc97yKo1fijjPK7ab85 uIACckK2rt9u2s0llAbp4R+vwPTJpAZ5r3GalpWqUNy1v1VqUqiFVNQJx8e07dnz+PY3w8rbDWHp OAbdeStplcvIqqMUoSSR+XVUr4jc8J/0jAuAquX6e9aGMcLVY6i8fxMb3RsLmgONKO5Sxun4IybZ TMn3zd8ksogZ5F95ZlfXkJ9KVkNjbaqofDJAbXvsfp5MT88ZGXtKd1Y+dGZMzC4tkaFB3j1LU3yz jB7ePav8z9ng/LIyX0lejKrG7y0J16xqCo8SLNOF74T/o7T5bGWZ+wK3maIMiLDrpDaYNfr1GSkA WNtKAiXdTBZ0wADcvPfkWUhU60KLwEEchUwHF/Hqf1L+z9HSn3AbXWM3R7ALLtFYqKwyRw8V0K/b XDT9Ze84IeYpc3n2BaYTZYOekJ7IL/SNMW9ZLj3m7S8b8WTNQsvFTmhM+JPeSgzGjXFCuqk0JEuI NJfoAJcVZeXIlTYQ6eQwohuBuB23WPYCEAIfMDHEgOvBAxG2KGgrok9k1s2Y8FLA8P6Woqv9qDCd JcwCbJVLOAFQoTjW/Jtg2iBW4yKn3K24PEwNaa+u2t4v4BY1yN5Mt6skqYAkJkZwvgYkHCZHUUxE +HHBnIiHEUF0GrAqtdwW5pvjLMqpS4yHt+MkJ7ohp33NNFxHgbV0GQilnI3kVtvc0cJ5j/nUiFfA SjDGA+jRJLJrHbe9wtcSkdOr7A2uwAonuzlZCbzuUIYyzC2VWl90VCBaENuIubXP04m5w07jfKgT 9h1P2ljW3skUlb+uKpzuTwrBMoiPFucb/NYdGga4FbKsYbHeuOApyw7F6wV3bxaaNJbuK+4Pcwwz JE3HFfyEdd4PCAs/QRROu6s3jAPFHQFDB2jV6hGIBZkBjqc/jNrbBJDKMca8HRI0i5r6OjPKviCE ISG3xw77cRHhLlCowjQyDiczuDCVUXhzPodUarguz+ITWQ+VR08bTBdptdaKmm7jIrRujhXhoT1j kDNoI9HFZVel5+kCl0qQicyv/ZM7HQxTIJYJvMqGYjZi9w7QSwj5TuI8L5uv28daaYiWe0VgEQxs lS+FjI7U/iypGgX15bAq3Wl8p8UwF2JnVNrR/JVHwnrohqhqsWw+G286o05V6ToUlpE/5N3+KrOU zTmQmcve3PB0/kkuDz2zgdMQ9HcEQMZm3hnyfh3Ef+oPZPUvUa/efz8Ahxo9JuGoYCtK1rmtVCn/ yszzqMD6E8Vea9eoJSTQi22VAhi3EAao32WRA25BitdmrQuLfOID+19a19MNF212cJ6bTc6o+7JI jM+NjLGunCN0sCNrHsI3bvpKJR8GOoQHrvQYxL6QifvNiRHyLs/3ZXrn+lqV4Gcs3AceBJ9SQH6U pwl5V7FNH36tDx0u61p2oSbjzLv+w08HhqsBLWpLIAeHbADKaAZu5AOV+fGMXzStgD46LpJeCIOn WW4QsMYKG1x0EX97PPz/eT76ZQlrqJVzwiF3OAiKOvE3v1LjcvCDTgwx589oIoAqhSn36C/YdsXq 0r0UhuweCsr6bPTpoxBHO1O2+oAqPRmu46Vrlf98TP5pKRUC5gh1ERq+AqTEq+QsMALc2tunZs6e 7B250Jg4Kr4u+JVEXBtaaaM78jkLn0kwm0tTeY5NWuIXakOndR81hTbY+hgt6c621Ov1LFP3JSVx FLxQGCsquwa+W1bfUakI9uGpgEwrDnC7biwzWfWSPYeSX94k8TcFOQZt1pk9IiceYn3W6FNhbb3v 5Ry/dzFaaNs+G1lVzikfJXTPjjTPT9Y8PiE0nLTU25corx+d8IuZZFNiLs+qvGouVw8cSLNGxg+Y 8kn3zzPdjT7CCjjfCCBuO6EMcFjHKOABvOh6Rb3C4oO2UqeTEYFleLJHs43W+RLQkY0ZCF0gXPQ6 y6Szak5CopZEsUIu1ihoOtepyXCiOlhoNaZAdY2iF+EL1KAaiSDi4VH1rKc0RnvXu+H7kZhELchu UBbZwyv3w9zPZdglOpcMKkCrMOUgqQJcjeSYm4TvZ787+110CjbGIBSOO1T8HXipIProsSArs3Ru FB5iNNlVtj7vmD72zeELOXRgmggMGzkXNMwYsOBAGclZIxDcqI2QaALgBeO68w5oQPs5zlXLjQPm 2Sb/qReubZeQPVW6qt/wzxoMtEB4USEh3EjrkybM/0SOyrNT7uAMEoBJV5sMBj0M33njomkIkwMc g6OtkrT6aLkP094mB6xYxb9lGl85/SL9gCJkzg9yoIqMgknFwgS8VAoSPuHgCWlwMIJXowAddeoz kKxVDNBaVaTyez9u0D0+2qnh27wq3XrK4amgooKGg/qVLaIb91fDk8jNQ7WsnggDlNNLavhYYc9P Z/qXKZYLSRwJeP46AbWmtYJSQLlWev48WPOWDphVe3LZ5QId8T4XuvnEIiFlohMNHntSh9g9DVSe lHVzIngeOGBPOO3eWkMfi6ULuoJnlZcG1iEzZF8nN4ve0dwpLq/gHM/T1KOZCBimfJFGyIbrahF5 JRMr+b2ueuJ9ENwkDxqn3LYVWISgjVz1DOE4co4EkFJWEnHJ5FavTODqBZOxepvSW3SFZ6xoaIOk qw7W7gWsSDUb5paklg5KmwdFNOnmPnjjTAQRfwqs1GgNLryqdynGIT/lyER0jbZxbaIWBzrdNpLw 6PuuyaKpWFvIc64io9G8Qmoup67XdFkZQ4mW5JzfpC3+TnbQUNMT16L8fVdI7K083YUfMwWxeLxb pdMGyMy9uz8v+gQTMlR7b1OfAxGCQnYFQ8raluYAZ1mo31HBiRazs5pc4FN+nM6XrEPUrSnGW29h p0OtgicLVakQ6h0E5u7cj62HOXRMPGuHfTaCWNBmzIVTXPq8pZm6T4NLVzdLEZQztxED4CAc4Bl+ JJM281EsexJ53ciqzGyxr1J5UF0jcjT0KPBvnrqLp2ANBskr2HvR4QqbEu2FNef7L9ETbvW0auPC LSxrsJOQpsGs7cgMUoCxNHS5o+oRo2oTeBjuma2J4/+8xk8U85xDn7UK4AJ/Y3WHy2zkEXZtCG4V wLZKw7U2V2xTD0d4+3QV74WClOlyWNqJW172O2fMZHhyQ7jAYjGbxEusxO9W6IZtXAco7zn8kPFa IvrZfJe+TQjOID9qrdOVNvXQ8eQSG7bVa8IIEnfQME6V0nHr/dlKeYeVroNAR36u45Yj7l436+dy qHOj4xcziqo2YEVbNaiIRm/LwbyXJ93WyzFm7ukx+BM1kx8dHMy+0lGNIKCD50+2UwKGc7Mutfo8 A9KrQENFBlhu5aD36Hedifa8XBH3vgVOk2z5z6m0nDtE44AQ5ghlyrpcefkFLiu1mLU5xmXlMN5D FeE7PGgvjxjEWzUDXedKZ8q23m7tmU2HCC+QffEEO5D2Xc1/opBU1jyJvFhlUlZ0EXq0aLA082s9 joUBoLCK1FGhc9DNsWvYFbXV6t4pAoP4iQ/HzT5CzoFtfvKIRD4oIOdjhv6czyYqUTX+dFstA3nn HAl/0p8OVF0orGfkWSwHy1KEUQRUD+0k8JKN2rGuZpWKdjG2SKB1b1Z05FZbK+qU7ZD3fl4ZBI8c HqCkof7wMchJKBNvTvI9H/jiQv9nczt9ct1MQmA2ZO4lFO8W+UMZGfFKksLrYR++1JrPbUa5QPAl 9ydWBpzx8Sku/HO67Zu9rDFE5K+OTV4/bwNUs1sMNozo+p0Vcw1vGDgPeARn1LXg0OvbwwzfliQ6 TwZ8h1YWbAhySIidxgcdR7H2sGT+Uv3GVcfvu2Js8NdrnTNDaVxJJKtUHntMDSTBJIKakaKVa8O+ CWMwBCPVGM18ec4rHH4B7P0OHdWq9saeTKaJGog9F+HsKcZDuIsAB3iEth2X0xuApu64E7FD8BaS /UM47wWYKmpx2BtkOag8ejJhRTDzVjQz6GH9f95BchmbZ9cx4fJGzfk+RiE2U3LIbtaqKwzes3D/ xlWcBgpVqn0nxYEw+xiblZqBO+98If0KcJlPAvcGlARuMKrtN7CHFqewe93uRKSCINddOGxxqOfM VRLgiz0fG3ZlBn2kD1ITzGthPOZLDDoF4eJSjqwJsa/Hkeq8sUa2y9opPgcMbr+3NxkDjaQsY/NR 4/pialDtMNaaNDM2I/Zamdc6HgGZMYU7x0fHQa75L4ZwBCq2jZGyyciMPBCuEQsa9n3/JImdd6Rz bKzkq5msGCrc6dz+xp+HjddqgxZNz+fCKvQqKr7jj/jGIFetH4kdWGIAOnuY0iNwv2N+Q4h2a2tl /q/UwVEgHCPWJ7IPNPUJWMBd4hRevNoFFPjq56l7xEhZqmIdkyHI60HfMnsTvH3HvSgGPgYn11Aj jemRMUVH57vFQ7cd1s63I4sNG2SgZAVDTzpccGVHO2PD/nuxGiOe7jmONYcdELbp14zR16OuZr3Y qSlbybsmJOYRmighRNbaCHmILmAytrwCqTUVJ6pUtqiqkPZRzTTwVMyyurWlGeqoIIeyO/r5mteK T3ZUavqeEo3NyGjscb62mngg6as5vAhDrbCoSbXg+QZ5oX3kbaAY4CR2JVqYeHxlpfeelFenZS/D TY/tO9HK2KUsMkk/Ql2nIm62yEk/eQ8OkvvDP3wdSSe6SdP9pzkkRB7HeZ7/y1TkdV/bTEJuFCIE V3Rts701n6FGQQYVKEWmgcf2GyeevEuMyVnO5sAGkSyeSqVHrf0y2s6A2qd2E1XzRObgS6rXPPWi G+3v5E9gOCXQzrT74Ottbv3/qIbWtTSc64JALJgy+mTpVUqL/XgMV5crg8GqgX0wgBlxcd4/lGsO Fs6/a1wOfFvTL2gQMyo0hknNoi8553H0XmCHyfKw6bZOzEwIMvS49NcV4/Oo+t7VPCWNmsO2xd51 LwTCzRGdhd8x13In65cR3noLfhIr33bNo2/IM65hHQxU7XXucr0o7QK8wLywf4fmitxpHbKv8X0b hir0MR8rN13podBALmvacxyP7qtbPOX8Ywr+/T76uFUwxRk1Hqu38dcCBNNROhpeDs3zXe9Ov1Ye 2cLjRMYHPqSxuzEsvJewMZFJQGI02j7mAiXiRPnxDorVSZcPiME8ZAjgvq/1hDdx8nayvUhMW855 aoNe3toNqJoqOYF8oPHiVg5Lie/1Z9AVquP+yDU+fX2gOgcvM+wsVcebesayiOFS3xjZYUect0hB Ncw2mZjqsEIHLf15HzTKvaAmQbO7GqqqanEpUM5VQ7UdsQQMkP6M9E5BSgU/C1sRWRWFiA5hi8oZ eG+PJexTAF99JNDR1YkjbkenhJi210EYt3hulazqngZi1wmEPV/sp4u/3eZS36ggv53+2oe4cKoo iY+qx7oLlZ9fx4RRmpPqtRGInZtY9KawWrIY0KkdD08bmCtWH8fUd0sd28a3D5z9JoCBMytpHJ7C elgoPkPVV3j0KlQ0tBjLKeRrc2JY90bCMi6NmXfc1A9WYfIFxLEgRiokX5ikdduOuF6oen+6/cDR w2PwitqFIhbwYyXNwUDzp6uYkFLCGrm7eDp3zoqOQRutdTQTn/czbrlGTLEptK/fG2fvfD38alyI ELacTatP2bzBZD56sCdYeRlpqxvYdsRVAoOmbus37j7alKnO5y0qqimjOYFoM/g8WrHvG6mV7J6y U2RNdkY81W52ts9veCbJxFRuvW39N9A5DOqg0NSHcOLBmoqgSSPxZziL5shHB/B8dugxhDA+cssZ WisHtARmvMK2x9Ml7fUf8EFRiRGp6RK0BdqertgNQ1bSG9upGj6TADbDlxI/Wew9W75Pjh/fJpyt IWjUIU7xZvbdPSHjYfx12/9x0RU9XebzpY98c8gAiEVLvpl+yaoUi94cVNz63DNm6XVWG1GbkIvD 7JFnxjczT2TljmVyFI27C9xGgfa+fFes2sBjzw66GU3m1yHi/9hI2yY7Uxy7SuF8wRwnfiVpHyuh bBwSmu3w/9TJi08IsHuw3Gdu0vfF4Q677etszS6GRZTYOnH/n4kTPog5iSQw74WryF61zjAFsPCD 7PpAqv/xhYbeV1mqxw4fec0OgRya9LkphqjUABbdYIdvxXgJaJPujEONzM7Dip3rATwSjZTktYP/ CMuxNdZPUvd3pELrkNzwvHgoG2R2knQggToJw0oRNWlMRfmjzXOcuvIEQMu6KhMpXs1rbL0GrYD6 8EyuABfXyR51kmHvQ146sV9cgppDuiswKAwyOCY2OZhrVw1H47gbklh2y0y787ECq2H+6+x12kQA J0D6sZScNzsZ6UtVZwPAYpCjdjEHZ8Lnqfj31pb+3LzYPUVVrlHhob+W3BNzncO/8dUb5+/U5bGd 1pLH8LN47KZFIOWF/L6IhfO3q6u9XaIcOsmymyVx2m4PjBoyIhEGGgilL9yyR/wwe51C3CvGzCbQ zp43OSWyfTxzqRMrrUO1X78UZSWx7PgkuSTsTMUW44DUGb4Bg9NVz9pZn6H/s2rePhqz4YIp8hjr bvS0ZEQkBV/TRSaVPWX3BqlEvM97CCklAvBvFrk+6GNi0Uvzl960Py+WlGWC60j0Eoi0iUE8Faxi 4yhFrAnzQwwYDCZT1V5J2vXDWVk9oh8GBslIfiOFnGe999gvTYexQTKickfdYa9Dky7NvG60lDSy YH3KSS1IY/aZQBsA8FnI+kBc2R6YB0ElkUIlv6uvRTS77iFEaUE5YTE6HY38vAQoV8AhOJhex/6Z mEnzP4f8rxRCYHiPTyBH4+N+3CGDFYgIP7wAy6aLBXUHmtEB/qpxM+9O81YaREvT4PlzW8NDtx6O pr8L+VAoHQhf7yzhuY9qF8k44wrT8U6mNq/OMI4Zbj2jRhCVcPKsH5SfJYmUjmU1jJvatyKsYiSZ Sp1A6UjDojMxlXD+5dvnu7E6gIvcnA1zP+HoJYJlFdOoJPWMIf6DP2C5mNEmDj2AlBOsp6k0oy7K k233BjRMfBBRmqoDipinpo2b0a2PC3QpKEG29jBcTVnwbEFKeX5mt+VSNr0a3aZzcse8lLN689nR 9EAJs6g31ahq60amzMMQKG7FhrJV0XmfiNdm1dxudAbrJa9xx4UEvzddxZRfMKnfkCRx0UcX4NJ8 zkWZMz7SShPiJWAdDf1BjjiWCNSO2BtFIVwBrOczAYSC2Z+G0euMIfMJPASM3YcEBQn68NtwS2+G CTp608IqZ/kBKxhNyufLBdUdvATskXikcVeyD6zroH39yyRcs45ht3x02y0QyQwVNJyOvoyqz+63 PsQ7Up0/8HG9IVLgH89lPiGyVwTs5lKvALWM+0hpszTtOEDwz13KsyFCn3+twm6b7r//3BPStgfw 7fn+6BqUwBFT+79e+DeJ8eRSWBENe2Waj4+GJwUeDhDMQVVokaWS+KsegEG6q69YHWX0quDcHFN+ F94Ao83lE/QGLonIZgOLbYY7WsWN5PvrE8ff282bakzazQfcdLrGE11zQu84hVG9JA5pw4hAvjfi BcbjSRMlGtmcYJvCzxLXNzKCVrWY5w+AMRuuEl+12Lfttdh0V5/88hgeBpSkjoMJJR4fTODgj80q 2zfgZCq+HV/OfrEbRs8orJEgI7a5NkBsWqIYEsWak6ckyyKrYVIPPz8ygO+1QgHJhUcwe/U+0wVG l5bXvEmGj7KthQAUN6YlFKXp4uN+lUft9Y94w3Es1V0HLJtR2dBKSQzIO9ClxaPZtwEaVKjL49I4 iomSiBnkHVG93qM05L88yb0MpiYOFb8TV4adZt9ZaLQxcXz1X2JzaGodD64RIBaA2wX4Nu02k716 bMvHAaJTl3Y5WRkwPtTPx3c1dioHrduueTqiWVhT1ZcBYGwmY9AW9CewLGxvYXexWhLzduNtfdSd wk6odsq4dM5kTi1F0zd6x7UVTW+/uYL03HJLOl/yg/hW8A8i09MM0HY4rNpwJa1dS5rnjzJVRju/ eLqSaqP9Z9NOsc9GRDfQrYFjFk1q4X8CEzFhDJi6/fOksY3zJfJkZqygKD/PF5c8A3zC/l5Ce+Hm rkJW55bmA99zdb5so6NsSO0dC4vocxVMnHnWz5NeubTrVDdQRR1c3/Xt7bhXxrt4XVHxu0+5oGbz 0fUUiZ0lpVdFUieZkYzvtzT6ezDCJ8JBi5Fylr43uQIS2i02jWMC9exvPTwHtV5cGmb2BnIdp31/ Tn73ufOCK8HjU4MqI3iIv2h7zEhlhmLBh5JUlDzqUuubQI+RH1RIOU40XWM3xWiOCerprU5EuPD5 7b8Z+wdvaMyoTQ1GanAiKOJdhE9Mz6CLROAoJKQHMX46lzImTfJ6maxtjEOmUPiH7+ulgd1Z1MWY hN/wwaY8/zBTsLhIkiUl6q8xmMuRm76FbVRB5ovGk0T5ZJTNMICY6lbOpZiR8o7AV8PQzUe4EavX J0v73i5R9mV25UMin7gFQO1mp6HHTmnRRfLD3Vfph/Rusu2XW8GjBX/X0oz91/lnM0PlP48yza9+ Vm+ht7LQThg400K1HmGzsIez1LpnwYLH1/MFSwX4rqjPcdfhMCrcovs8tkem8SDhMuEfLuqBrFzi kkVqLU0wGtvaL5pzBvzh8UY+CAVWu9TmiNuKuDBsCImqLnhUA+aQkgCL+1FAlgS7sDuBLoptj68s kszHiSQsh6rAIjwkPwlLrw4MeXYfoiT15ERqp0MWt7zMNMPOue65/EJIvG6WyVOf+EtjSNrONwb5 v/8u1WWJMFFBR1nO0xKfciqtNmqXKiXB+lK+w6LkfKd0YTdDoqEJy8X9Jc5GMGdYuKNx5Z+bsu9h S6JXhpB5lL741HQPW8mK11xouDW9C+c0l8/rY654lLfXjJkEFEO+24H4VFmDOnkKylGRUD5IEwWX yJo7W/tP7lpRwYZ4ffepNUM9FqtfZ5+mi5uZF7w8BaFAJp9deIYwicD9Q4LJys9OZCw/QiWezPWz 8MF7jCCjQGFWkNXSLi8cgTFlUtzDNF2eOVlOFgVaiWzFt9Te8ZHHCHtPywyzjcXAUhohjMIZmwhW GzCHnx+cT9oTiTymxLhnWQtMp2P9M5VRBU7ajFAa5Y+44TCeNuolU+loUZPkif7+/zPAsVzTIfzX 4daKrPZvk/nCA0KA6dLeSB4BMpzG+zNMkHW/SnnbYkFi6I9tkv45dLtKeiJ46JXIFLRmetogGEH2 bPlXPUNXklAtQJ+OhP3OMcdiyKOBaQl1yTyi7F1nEvhkwHXvvcOsS+n+Y1nuF9A/h84DDaNnRYaS 7rbLDM24qr7El22z4E+WeZveN3PFgoYSAEYDY39hd/pf7UIbXUEweLBXmR8AW8potnZykyYEtJzX pukduK2pdtY6Bvd0rf6kR0+H8nYY9Uvr7/a4NLBbdud545bKi7m9AXIHuui5Xij7tuS/rKDXw0KL YeGp9ZXf6w9wiQKxs0TQNv+LY8H0xS9YZug3uF+IShH9On6UxtQ7mzpG8I0JR3Jz6w86jEBWCtnI KT4uMFEwelwXleE3apEnO/NsiFKY/BzPqpOiLv6LsDgc73pEqzCuZnYvki+1zflGXrjVe2D067l+ eHU0wluJSrsgGFk/PMdbY9TYhabM8ssCPOUBV9Lo77x3Q4IasDJzBVYiIiYHrbfno9L3Q00RNfwR Ze8vYmeUvB5taWn+YBVK+yhNrlzHxuIMjakeHydNcucRRDnuwtqGpDswbNlzXrm4aghCCZZpITf6 mEk1Jrgkh/OlMRuGI+VUnSEGUPVaY1MB10w9Uv6Pvpt1l2osHhe/WYnlsk6L+4SOQfNgxoG6jZ2w 0he2jousZxMgrho7BUmLmhKyaeCJ+F05WP6qwxNjS++urIoY5Bo+W0X2aIWJ3Zb4BeLnKdcK0SY6 zic/Zq6UnumMFfZjJA5mKF9NnIjkD7nra60VWaS1c+kLaMUI3TmMU5HTTfl1WrPwDsrImD38wQ8l Hvdw0lHd4mxWMp5QNqrxvVrr2gyDOjuWGEEARaHtr36cgRg6ytb01LjB+1vrrGU/Lxd7VaW7W3jC koHuTihcr70G/bVG7YWlAkuwKl/idTSY4Fhu1aLZF8FOFUbYVUdjn9DFBph+Ps6dnuPOA1lpmYzu ykbGF+mKXF8IlPrb/ZfKJ0J83HgtmPHm2L1eHloNZ0j3MsoK0Fbxkp/itSdSunmMvbxK8m3WScs1 S6rYdq6dRQzbJgHXBg01yzepwMYYlpLTT01gijQSw9nZskY+wkvrhNFRdoR5ap/IOFP2QPGtEztu AaFBKQdofsz6Wg+So8J7XpwC3AcbLFpiXrXoCmaY8At8vG7bVyFPl39r8DSwgxZ+T6dYVKH0kANx 1n/XMpPwTzoKl24KZMXtZAafubRRJJOURA8uDHJDgPcDR9uWh9znaYJ24PY+4hsFIJ2Lml9oDJrJ oPJLH4YmmvfR4FNcsHSw1tb8t6Re1HtBcKy0VDVyJCPzpg2Nks7OCTIj/i25u6BZb0FMIeJUWqQA Mz3qWjO9in5oCutmU5PcIlBW2wY1AeYfGJJg/j7F9qGYpYOHRvGQDvfGm1Ug5QE24wrI8PicEdrO XwNyyAqSVfI+8Hr26E8/L6yq7teSqem858p+leF698dftKQv5Als24v2jAPrUxXjiHhlUu/A35U5 7eMEwgGtBFvCqBLUmSOsJcnS8RZ6DDRHgXrzLKlRFFcWOqgO3pj9E6IfGC8Z+mU/hmF3lFuleNiv 7wmfcrorat5ADN7M0njYh0u1CjFlh74my5yfaB27q1r9Y/LmHuJXM0ldthFo+TLMzL5nbKgmLrED h6MevkgNdWA3hWeU//MWmHTHlQfTNd/aGUCakHC5yUqSRN2RltI9lj7rSBOdyikZHQHyR3lzau0F 8aJPgQ7e4BAtQuafnSzYHHRViHrp1z7PCyIPUWt1JoOVfpv3342qo7HEcXctiGzG+3vEQfybi9ed NAp0cSRVTqXjINKYtTWeIAbBemTWXSKRHt7htmoVM3C6H/jIscKEToQpcK3Jm+RjtH5/SK+Qi+1K 91bUp+cbuQh7IgPczTqIU/kWK7bbNhH2FhqZqk+JI6Jf6naqe1ZIvp1Z/fNFNcbhxloIbhs2OOF0 RQWa+vDCYYHfNJP+JImCbnm8XrF2YCw+r+clihLs1AF09B0/7YYjwSAc+c5EFfO4k5BFA7XvG/0Y A0lOn217T3b6Lc5jmp5Ar2873EzSHJj4cChDr2zrSOHt8SbCPgEIkxL1DdTB/D6GNQLKZAuXou5+ mH8k+/YDQew7OC4VhUjPUuF4NhiTKLnmLm0W54YnD1GlCVr7noZt3gfLPJudf0YITvvhs7dX8jeL iIHUHJYqpx6Y8mft+Cl9HlBaMSUhSeVGPClGF0J6NNXZGUczDGsWbVoWc3KpPLumYOlJPj1+vXjc zlalyTcTB6dST9Fu3FZmyXipnPaa1hCMn1U+ph8tZsWwa36IbrDNL8heq3I4dtI7q/K2Hu+lHCm0 Kx4nCwPM6CgD9X4xMHNYkBSQmORK8OBuqiHeY0+aycmb87VoIAQzFTfQYnVIqC2YNhEUlggfzTmb 1d3m0TY9zqt4YaHz/4W0YOtPWAOV2k3CPfFJ7X8iUq7XEpoG+s+uxYw/TrVj+1Etw3H+2OyJil6A TRbTVLgb7zKXyv58JMCNt4cgaNfz7ULtABLOO18AQQ5qVgNSu+9UmJ11kzoSlRJ7JntGub4u+V8M kQO5D6QlwYoqL0u4ri4VrBHyeQEezExWey/xmqFwWrNwc0YRNcyLXhduXKcXWbnujY2J8bFSnfsT Bmjg2OvS4+olg43uG2YqUe8umC7Kd8diDwgY8Qw2HMQREl8e1SQFG7Y32NC+DeriyZDfAkHfPsAj 1F6nZkWS9T20leELmvAWHNzq2r3KhlsecER1a2i4nKBpNWJ1xLVsJtPACmLKZ2xFG0tPoIMZr3/4 Q8RzVNYalGS+xeq92gVw3aCFzEufwWSMwBgMLeEOpdIw79l5nStV+PkSfNLHTgZlV9zfrfu49+3N Unwdt/RnqgxsS5EVEzfmN4K23DoBtqHNLHBJ8tI/e9pmByHcqoW3lXJwXfJJt9MufXrDGk5iRwxL JoEpFtU4GGCWkFKNCSA9v9zJKVMza5IJF1giiZyb29Yua6u1GBitBiH4PipyGE6KQbRXAuCPtdGY /u5iDeXiD6KDr5Ry/pzd3KuJqmYIIDn51ZH/yKJQV43zOh51EABL3Mx01Y8fCYsZjwIp5oNjoeOb OhqeeHd9G+3UICpFoT5Mq7agszWJcUiq6x1XUPls1VGcKMNnLXDxVJqcXVPBZml0xiolCyryu0Zi uuuuP8igoQElRuVCquBw34YpzLlHTTnGEn0Ryki8W9+6FpyLJJOJHihz++d/jcw9Ff0H/jy+0fq5 cMfXJMxQEPzwO6H3gUfAvj+tz/YsnsmzDRRuZuSYKJB0JHw9F6U+4BLztzs4KAXzKzINtoJJgb/M 0asS5ISh3IBAIi02GTx1wp//+Y7ybcURWrDs+VmDxhQNm+haAsSdj3ds3iaqJ/PPdNABGwe8w/2J ydnkG1hG96D3QP+UABdzeFquYUaBZ8EWqmSlvxI/3fEJAFEWlzWw3Kr63ZgMxg+xHhtrgC6G0KCK uvThIA/+9kuVYAiKDHci0Z/ZfNhX2nyi3itdMuScU9EdNnvI7rgPlq+KK/KIbkSfDU83Ex44xt4k rjVGe3fNc38C4aTQwna1cXnHcRLo/eTf/ZG8KyyOJ5Bp0BKT5bJYfIutSHg/5TZA/LoLr5efvD0L RZxLB+OQeE4U9gPWMB1m7BFaeY/iWIvpAPv4LScM+V2bzsmVqVAClpMa8zaM/3crhwnlVBMHRJCa A1XIf3wEVwJvROIYjDk10LLz7N5NrE6sGIa4IZIjWWUPl5JggV/lsss7GJz997vwrBOHheKkk6MX 6VXf6Kgtxo/ovwjaezA/T8Fa9WaiOOo6yitlvmY98r/6JuKHZ6NFuLLziZSERtS4s3x5AQBrgyew N/LtYIZ5wig2ymCEOud2JJ8zn8qa9VWl8A6jaARrnoEaI1VSsEo7rw5tutAkYtyT626+Ambvui1X MX+HjK7wPd1Xv6hj4FuoSOy+D0WMAN8hUxvFpOLmmRG9M5Mdc6VzSaxzludIv9meuydHWt2MFLUS TnbPtVKnI00Kp9wwPeLdC2wCXIR9UH23T3pBzUIaMsby55qbW5GtDbI9z2GZAu2rNDccTvy3R/Od biBjQTysHmi6qobHxp87N+bhBO6sC5XUoI3u1asgyDp7IqOerEmpRKhubSFC4RccjKEokz19cOBO 3mQDG6y51rfRSMTMdQGH//LMggxS4EaTh75Hky86DKTCUgy85AwQw+/GXrS+gfeS/AfRQb8ZoF7I RFKBOMLGFhCYpJ3AYr77hyE0WtvyvDz49flZFzL8T0YZawMD+YB28qG8+62x1BPtTR9TW58rYwbh ssKFnjbp+QBf54+DdDPqCeSDaSaAIf1qCkK8Qdc78cxhjDg8k+o1rLq5IGUKhRBu+vedHV0XehAn v+H/Tn6/VHFm4YvfvbEOATgSue3ZjmE+YV1V0Jg0kq6d0y2RIQtvu/WXBN4rYMbf2nOOGgDiHK+5 DIpyvJYJ1IPd3YyxUbY8y6Nfedpu9nt5vyEKw5VD0ioex7GuujcSRU6vgivoixRip0CyIK7yPS00 AbRKayCObA8oANwJ6GYCfe2TnuuNxwG6Jym4nsUziQyj7MWM+D2Oh7NX8rNFcORMShmsSMskauAV 09ijgUxNyrh8JIkdxzuH2YUqCzayC0qzlxqJa/tf7EctVwVyw8Q+7GxSDWxsGtU/36OoHCIkJdE/ DQHg1LwkwV3/MuDKH/cSnyQlnthXwBE2Vy3MTbSILDRE5oqnbrhdG3kwLB4uaJrgsb2VvB2EAnsN 59MozPoTp3ObcD7ObP89Yj6waAJ7qFH0PHp+nt6hEN3CH1leYMs/OPHoF5dKBCryl2nR0Fsjh8QO n2TU1uEm95HJIYIlE/64Nb441lJhuCEMVvy+0J/FSicnqYn8jeGpcuWkOfKqsslwGsiM6DrY4JJS Q3rdpNtkeR5NruJDrH19KynMdbfsvTVfUQNbqIboWxCY2D8LUXyfl+YVNvDaSVL1vZFucu4SkRfo zZULYMruvJt2ysi+XMU//raYQRXl/hYsmRIc+1Hs0BImp1KBhNd4itjURSZdzcLEb6jCHldGVk6c 4gl+6nLP1ofBtU8OJuVT7cM6xTEOBoEzQmXpyZ3kKgkgtXdmtXui4AT5U8Qmt6zpwJPBhQgHokqb uV+XxVOgKYaK3hRoxMEEsLl1lnGoXB9Ycolf2kUowNQ3hKgUeVjWhS2YB8tN4XakuyVHYzqCgeFm 29L6qcftv0KF0ZRUUifB29FPaouvc2VM2Iu1G5I4btQrQ3Bf9ire3G6ZK3LIeDy5jppAA/39f/EP J1EwKoUGDEHf+KGaXVfRclhTVSaCn92hpgQ1yzLKCAfUmY0cpBcAQxdNUjHbBrxSaXM5vz+gn9vV LHpvdI8s2WqVuiWbsJ0Ama7NE47ClNqls6jL5UYi/V4pIjpUdMv4V+iuinVpW/SGi6cRLkNTtLaM ZRIGeatP8oJv7bp16tuDdYPLIdX/Dx+gxbZTdyGQMqcLOJb6zpuaACzUyC7F/UjzQ3hRKZZtTdoO Lfzm80di+mTufjyaV4gBpVUeknLxcBzqU0Pd9C5AhcflZETK0k1F5qywN0qA2E8xMW08b0ZtENC7 zRdue7rBsRYdbnC7yeRuKRcQ/2cmwwfG8NbByoAhrBdph//7WzzQQD5p+oWgEA/mlNNteMhoeEtY lxzKxU3is+e4knx/KASsmVEb88mXwQq4PRmelzMprQXKEeo9Yw47lhPxG8mWxMOpX+FsZOzbyPyL z40i429ywdDIflVbmxi+N8T89iVBbW1K8fpe8rxnAhCRy1OmxIy1b4NNT3TqmDGICiGxG+3tT1XF /SBDv74vZl/84lk/1EttaWHJcq9mM6JlwGMvjhxt4+mjZQsjstNb1XjQvou4gAetPfE5NKbAe/Ue hX9BKOPzufK/B7trE2qmmMuj8zKOfPzWcq72SsEECh5vbjyR3q+VDoi2zsyMdeCLiqoJgqReV97Y 3x9zl/LigNW/coS9Lc1clj5QAzWtEoi5bF+2TPKNwLoIQLLXTL+7jHlwJAe+pmBk5W7BIbCxwPhb DtbiK543Qaoe2xLrE92ZWQ8hmNdh3CKvr1s1YFU+KGqnFFVMx04WZ3CiFo7jmCFuhdowzilYqcs7 eVU0Jr3/2V+EElfxqmns63gEyTTCtKUEFfdVJAitS3bh8U8e6N+tIp52njCuQ9e6vNMkqCq2/nWN Q47NuyqTZZvWch03Vqy2Vb9UiZoRUDmv9UOK0qSKPQN7Jw2HnP3zfeqmpgfDv1tUBQT1xeGWGzoD gTZNBIX65ikHcqicYGaJJv8znGCehTuRCMLbzo1DC+ev9HzMXM8ULDCyQzOatOSbF3jfwVZE+hVK hn/cEBoEY1OKVRLhGnRTY7njOJXXvm0TQbgnMFGKBJoPdQ8qf/1naqLljRqAvwxSQc1tPGPcZ488 IuiBf9RPViCNvepZkeV1GkK8TKOy9F4+cqEBfOk9xwQIH6jsnK8+CxvP5zNvFwuxj9GL3yMsT/r9 chJQCBw1J6vFwNifA3NhrgkLtp+kvXfPZrli4kMCdkt2mAxa2FP/0tmNeC4yc7fJrlAA4pY/lvLB 4WURc9nGR8YiH8N3FNpLWl5jB+pvM3tqrrX58Mt+HJnjYe9L2UR7ThNSt1KFTF5ZgKEaJXcsS/1V 9vSKitLuoLEV2rvJqBbAnjM5f1Oaq5RLlotn4/a6u8kzsHb2rBZC1q4JxH+0i+UhyJVo6I1EPi3Z Dr4dSpaa75br6cNQHMJ+W7sgmzNb9+tfOjMuaXAi8oEz55L5VibZjFoqv+L7rIFsI61PrOq6IBiY x5nrOhEY2ND+vkEvb/NEMdQa34Ic65JuQFXsnNJUxgNYXu1L+yfFi1jsH5VvyWJ7t74DSQ8mG/hp n7GndxqGnr3JCAccVfgIxy5W40frINFajiIXLqgosEvkUElRc/zhnwJfIJ0lLVseAG0BhegiRbLt PObGJOYTxmgUqF02GWlr1lvdOqcnVWIk2pzF21YAmUqDlaaBqE1PZUaJJlV/RDCQRyroz1uPgul6 0lMdQ7cnvRt8LfMN+C42gKFVpVBcjTanMKlIcCFh0hoPDMRVjnsZc+5kJuWy+FKgsThbjv8TlXbM gdzzyNeawhOhtj/X3TFjYmccuG8NibUZBBLnXq/o1xCiLbv+VKVtNzMRLKy7+oeRwUPMHPC6yj9k lzZYQQTQVSDD6SvuJCajGArc+CC8960MZW70VWjNFkKTe/x8nl783A6TfQ7pgMBDBbPCFb79qVd6 pCgztnnu4pmI8tGe8wH5A6ncQimWH+Uq5ufeD2rMw9Fb4glag2emtTG0P47bElgE7pVxsWO4OfZL pSJ7pMrkFP+q8lY8RXVMP8SLxJZEpHBBDLDX84QgvKDkJtDpO8EQp7u3FOUXEQQBtLDlODaRlgj1 h0trONzVruK8n2GKmcnvQwdOSERLg0jJWNzttVVc2QVxAUgPTYKeqt+SSfNfmChCCLEDD324IXgP PXvWEFBroo5MCAITLpcvTponGOl8ZEJy5vQPbHN1DiKGnJhmkuGML7MwA7lzMaMhcuut7UuZNqMq 7sSsyKa/AfOdHBvrxowxYt9UOgnVkCoPJ89eC9LCDYH55asMNHIhOlo1dr845Sb194+fxyOsFyKP zTtKANiSkRiYtNQ0liOUAVPEzWvysEydKuHvXz4+785tttqme3m0OykwNUTy4i8F9fN+HDsULN3l LRHTmRcj1ivIVBhnQNBOlx08pwdFhI5fbt/4s4AMFWBPEfnJU2wSL6ektQWg71caOKDeXjJyZ3Lu BYOhYLpvkiPaOZfCf4RlR03eyZ5Iupq3v/Q2lat2UTt7Do1vtvDOgop7Ebrbofr1Wxu69GVVf8Aw K1jK+lIXd4wegoWcpySCfUtuH+FfdD0idu+El1IDm//G3nI0hOSurmAHMBPGyBDBYeCdZXbKd6H6 7Ojq7st5xz5YkOT0ChgUe7bZre6ks8aUtNbpd43JH2roNIHKg48PAUZ9QgJBiJflHGJ1kiOZtwCo QKxafdfXnQ+MRKErsnnGB3geLfXEaYJM3dmfNoMF2xNk7tHoF9D5cIwSwwWFbYOJt6vn2apSVBXG 3m2ir8EUb6EHyg6k0PrmEeegsOfrW1LFH/Civwkg7YDnfEZAfLU2qtXmM/Tn8vC/PE3Qy3VII5iF yAH42l3CBVe6EwyN75MV+I0g4sEKkvF0yuBIAD5Tq9Q/hWkslwurCb6QPwlcmLZAnRW0aNLgVR52 vF40f3FfopHGt3Yh/H4GEmv6IQHprEwehHp3wbHH6hRbAyXSUblcQ1rzBjr0bjpuY6pXtShG6R/0 kk8kmyQU5ISMd/DNUg3sLeRO+OKULfwyieeL7c36N9Qsu9Ymf6ZkKOxPISyGkePI7gbM5DXiKkG/ nGHPeIjiEy3EDFCu5UVULMON5YuI+NccYeWNTtfgG4lBfdSK3veeUlA9cfwzVt982Oq5pPKk8DCv cmUFJKEbeHM76e4/0Fglr1hnVOngzV/fePNUhPiAPKi3oMREXXuYEjKwjfhtT3a8yj1OiMkwWhlq UBf8CiMQsoqHxNHzZQAge04ld6f16oR/2XW9JtQCZbcx7UmT1Syy/vp73OSAz0Z5vetjcoqx8SO6 fyfEUQk2K6znVaUdlDYbZHCNAq/Q8sNS92w7sNaQlNklMNEMy9+Ox3ubpSeqWWJq1pBl7v5hsbya zs7doqzAogoQsinYL4YgpwGV8f/Wg4eUoZLVcTamwn0RfhLbaI4KDaWtGNrBoiXq5MhBGPF2Ncdq Kh+sT9moBzeryQRMl+1P+tIQsL5ULd2pildGVkR1jlWDr2juf6ltU7Wy33JOPcXwH9E4+8yNsy8T mMouRfb0K1ZKImw9DwM0LFd0NiYPq+lDun7KBJHX7nXdbXCQMxIEtGFj/eaPMqHNa0IwX4kR2aJR f8MlYhf7iqFnCdoSVk2YL121yP+Q0Cn6iuc1jXA9+WIENVZrTcbfUEQ2TEmRVKqW5mGBzl07JuWY bbLzFfw1R/lDgTIAkOR3u4skb4l8LAQUgj9nD+p04RGOWddYWvVWxcdR+O85FlkybImz7kYTZHGe V+ys66KB+xMQlMXpnZZLieXbuzPZi7DtPk6ixnF8Wbkr3koEfx6p2RUl/rEIUAt+mjIKFMY+60qx Mk9jfkqd3sgVzOAjJ4n4qMGskBhUUHIn8falx4P9VupreTWLVVRT1FWxyGW16frrd6ypWLeFs240 au2gdJZrQECiD/QoJonXvDyjlnqHqaLc25IaL+42hvbtVi1o7YEl+7t896ap3dNR3eXMKhx7x/ew bOsF1tQVKGOEBE8gWKRPpUU8l5oY4IJpvPEzv3qKpuiniU0+BuC+zAJtWyVF2A4yFe8OUKkEUQ2h xrNqAK+wI8kux+hwuu+WKYvYoFABI0wVl/+T+5RNPLViTKoYjugPSN4/PcR6vnfY1wUW28pPiB1n q15OSk+jK9uEuwOXMndZTrV6E8ms2n05TrX5EeBerSpUNjnp3+WyZPEnmcj26YQ1/B4rdlLV76xG nzxn2fF/h5ZsjDHF53ei2LaTGqdxlYEEoCtK3j5pjw12MFICTblIGHPg7XwjbQqHRkxNDO08TIRm rT6+oFRgvSd7BzBqgaNsvFRelWGG2NptBXSY2Lpu97u/BTImFrdhovm6z2nk4mOBqWYak7RaBL3t QT2QfuxRVVCsTIobLAuSSC/u02QGSOoiwTRBkliDJF8T2wZ5Hi3x5Rd8D0FQRuMM0rPWwiiHPq0G j9glHnm5rxvNZJRO1joWH2g1Bx2yr7oxBkftuyXGNGU54H6iuTCZ9FK7uSYdwAKCqqVZ+291y0F0 /ZxBQ2NMNgJmQKXBIPGof8yxGdVOsXyjPjETUAoqu/vTuB2O1bolKCdx//WTDDhO33hM7vk3yz1x m4I7GqavkOf0tTVY9E+DLXeFDHVkmEqTAxUqJ21JA+4RcF6BCVwtNt8uzYvtqJlhOPMibwieWnMd Qfmwn/JZXr82VPueIatGmtZy/Q08swoX1bOMzsYCDNqHnQeOILBiH5wu+aE6PDtRFf+vneK20I/Z LXchB/HdFb1NUz9flHHJ3NR8N9FSrPVqdTeM4L49tGmIBf7adQgtdnnQFGqfn4Yq8olAudOBgsP3 rZ5JeqowIENYrrbr7pHPpLVF7jFOGmJ7TXK1s2Bh4Q2Ijyo8W1MqE9waXeS2+4ldGIVFxzOnFoPL EuoiUrhtpQCZySwi2Y9lx9S0IyydIuVhCSKX9OCJ7sc8FXdvzq2JdCRP8Jp9Rl/ybMKfHL7cR2SD 0iH7u5nDdkEgjLyGjSY0eLBX76LPTveBiU/z5LVukPtVQrWHBcIWBv6JVdIbMsns4b7qSl408/Ds X/7M5cpeNpaOhK64xplpnyHD2YPiBqdohnGio+YvYltFQBU30ClcGwq2WkHWVHFd7Ed2NuhDJDQm cuY3a57BqczKh/4nCdOT2U5gUC0weKVTGA3i3nFGV8DdpPcGIYwu1Z5uTVKLW8hedZA9/Gkv/8fp bhGKbq5KtI7RNwjEmEIo+0woOtBqxrLi33dgs6Yjqku9xfI0jPknKZgwwMdO5AmdDvzbiJQY/iFW ryfU4WtHV/klEc6GvrteWqLQitv+t+cDbXPFR78ZE4umpiICUIRmELlXB5sm0uogr6XJBGJidlaP s41QVxJ1N4/nQIk/YjMXU6bP1SSVw2Opc2iUilJ4f8Rhsqins4jZxLoPpMuzze0bsBI2S/k7ToYK XnuFdWWUL/wM9dMZkzVTmO1QsbEraiNox8AQot4WCyOHCcDQmEqJ+Cw4G7gsuOuHKWdWYfCMadks aKWEB6WzVndEMsAH8cOglid8d7ZcIQHoznYGaNltPhtl5UVcj/lPHwD3xtXxWY6u3RGETbsBAquD ptfWtw6Qet/dXnvWF30iy0b6mmeBzFzpua/qzzXrt9CA9OkjUv3nUGNnvOre7bAhY5e53Qi1y1Oe G1lmNBCuNxVxYxhb2V77YOrkYlO/SqvHid4irB7+V80h/xwEFkK8rZ6mQqgBu5h1zKlsNPFv7NWg qUAIdWvxLw25ZmkF0H9YhsJOv3dccQBtLweg3uL4x5XcaCAX6mWQi5s4+cTSerW4kDDLH188Gy0u IB/pwLf+iYIZapjL5Afw5khqGgITe1MESK8XzEtGWNR3M7ANkiwTN8VuFQuGVzl2yjHzAL5ELWxY mGStvxtLipTCe6yYcXVltnGBjAOvYaPVIlH4S2BOfQaBVz4M53ENGjV9mIhDOahJ5V0772Bj534e lgFnSHlMatTWp7P2zqcQ3hueYxAX9ClaV0bosb9kM/ZdUlKr/w5vH7LYioVNSTUozXCmJVxopGgG gcu42bSz+G6hDtMDEN4A0eDY5Shc3TcFJYSCMU7BX+loWCN2ZoOEu4YmjEtd1+L1aQ6hDjsqh/Gv irsKUhzlsls8NxbDMHe2SULjADQX7SHLzP8e/40puyBjo3mE8vlW2MUz2KF0mdIBqQp7BDP81eO8 dGF++K6okgiK+3u+ATBX7QuxtrFw6N5vd3Ov9hf75pmmpH2rhgwOnymuHfg/om/uJxqbL/p4LPGZ MnWNMp2/BuYSCGXYnWmKXVv4rSVy8mpjBF/GSMf+580ykFgUHzaQnQ8sB0ZqQXp0/erquvBowGQb V50cVy1aQAwPzBHGEXMDLkbK+F4faDVlAvlliibz+CdFzelxcigBfofww0hJw8cjZ3o0Lbn6GaNG t7BEHVN+UlSU/zjaH3SM+FP4Lk2oHG49B8Kv5Kl7qw4Ju/YUFAda1Ucl0Dqo/F4YbRlYgxqyJxZ6 6oPQkSbibOwqDXf1xA7mgo3G0cuMpqmzkKBaXFjRURw26iUsujzpZjBFOu4THTV+tgsEV8u59jka yMAECS/RhfGeOIdUwxodsygN5z+H6ciUQVyMVPyWVyAmVP2WWG496D2JQaEwCVkbf5QdXv5yz/qc Yu39IdxmaHcjfbE2WYOCRqBSPzBPo8Awywks0obwdIOheSEKYkuIC4GYVWoj7Gdbps5zdg/KTuxJ d5E5Y5filfPJvf1dcevZf0vkteENqSK5RRgtYIbvwuijw0LDFZGe/zfIXYzVUqr4mfonM7Q5iG4a 0kO32SsXDVzYsiMAs9VGFUZ6ZvaG+yipq4OO2XfHbDFVOyvQFuWt0L2t/fUb7A758HNfj+yjbo7Q yFZEhxwg40lQqtz2I6Z9nfm4KaE+OrVfw4o6xi2aNX+tx7vYjDJiUqLCy4U09nnpAovpB4r/TMYm srqsi+pZSSGnSnq7Dzb5UFQUpOsU3jLta0mgMv63dQ1nWXqSo1DGzkGUIeRAI2nCcVHkClxAZqFZ k7vQ2xAh7qiL20SHpR/eO+x+YJWXNPfhDG7Ab5jb3I4g7nc4Il2E1J9xH7vpa82X3aSyNYSAL/cP poi6mK1Usf42u9hVHjAz4pwL5zhlwpS0Tz/V6uNWJgyju31bKoZ3g1egbELV7cMLLsS1G0kUsyGa 3Ex7NLSUukHt1OrNUIlNnwzV4Y6cJJr++15pzz8vRw/egF3cJY7Po3/0+R3wQf/q7Pmc3nkn6wMu EgdpTkUB2z4wow+uHG7MNMA+UQoxFZoD/aUC9TnS2bDQ63T5qe48942FRjcii9jQvLKQp/Tczmyl ihHQUNpuIHrtKWKVoVd8jxuENahPUCxnRZH5SWu/xTMixnhi0n5IBRYdHkaVxUDspWFAtUF55y7l VBzhJjLUD0wWSQXSWsKm6+lJIpgoU7bVJXBWhty2EP9Et5fAYzXcuyOzrqSqCfH4yrH1pq9rv/vC Nu/0BFeIdYIYkJMIjbaeOaokQQ8oHgH5jGpmaKVfwTDpilV7vKPKskwslbDwPjMTonuYL3tXHBi7 +PmKbsMH5/c1uZSIxatXJ9lqodnL07NcdW1vQA6QV8QZBYt1iMa4g3sS2Gfxx8HOdwnN6xxHiUeV ZoJClmC9j24LnWOZ9zNv1zipx15dmFmx0u+Eio4CjzbLor4Y1V+pgx1u4RpHT3zi8jvzT2GiSGgX XVsfcaP7olRi3YGYiDMj1SIw2wg+8e4nTTccveJyKn7CEe0iRinTf6W3mAiqkkmuq8IiwnQXiGmd /Oqzjg2f5I5c0fl8Ghfx76xWsq+Uvz7CZXDBSQPl4MytrcRhyj4n4tkWad3tjOhBLXCZkS0QTagd aS6tTqi/UdBBOs20afmIP8avVDzFu/muS2ePFrP7TEISRBeYtyNjjbdDaTFA23mAMsnsQRzspiog p9lPC8OGvDF0Mjw1UwXvh16dfg/M2LBOyJ6k3lP20fLl7lL5RC++zsxRP54+49d9AeNEN3h9YotJ OkxHVEWzChZhoFV19z2lYm8Kn8Sjnpbjbe+Xl5t8DQXMK770/0pPErAh2fYv1V2ASNUf1IgeGkOe y/q+uk0s0zLI8m9c3WCcYlftYWCOYOXR0CpGjVVpmQLrC0KqUYlw5BcF7r8GA+G/+LEv+4MCXuY+ 4R/spPvxVcCBGn1NQRXQuMKgFxUy8TESOhP4OpfOZIU3cX3MlHcYf++HjXw89ir94euiuZcBcd7w NKABXylCbF3A7/eJW23pqecgTJDezF0mfhKpjNxBV4V+Z1plvtIeJXVzpoD0qJQ20HxWuEftjKqA DZ+JVyuyX36Nb/T/NXaxpWut85A1HESwgBPmph6Wf8Aq69nll3wOMaWY8XkfFq9V17h0juHArkne Pa8WteTdY/HcJRpLZy5tOUprQaNKjLcKenIEtzz/5+sf8mEEwxJ31+mHX2ltpJabI/1ij8HYhYSH pLmwwP4T06w6BL1qthGutqHJTHwf4vc4BO6V2FTtbn/WBQE6NZedyc2Mh3mjd9ZdylF4K/u40+tO otCQNjIQLKbYeKa1ypeAG/Uo9jz3gKr8GGvrHd3DsaUzRWhXuqfF89GTYzsCK2S+UL0K8XHlSAOs K/q3TwZtfNPF1mCaG1KukzRvMW3V8YbRGfZ4cAQw3lpiyk/aDArPbRje3Npe1LJ3Dhx0K3olmrni vHkEPPR3Bva1wjIB6ZUJh+FOG9LrPFmsKh1jRDJ123qa+3ZhKkDRfESi4nIqboCNh3KUt80157gj jKys2G9MEIG8dPkw99ERf0WwypNVwjfut8x9Yv15sZIGV9YhOav2rsBielIM0bAIOIYbwHknAeoL uqp/T7c2IMhTA5Px2A8RTBNiRd61XGVhejoJZ+qX3+stDLNuo6gz+Oey27LBxY1Kyfmdm6d+5GW8 TQlZ+Vlm00oXviDbTjP7YMYxce2QZl1oPV27RdeqwHj87R+aC1PsD6wgxTkmxhAwXmtywcO6fZ1x nJEAQUs7tlAJyUWok7hOv1vbwRXYHHeWVbqOb7yqUInpP/JqfLlMySBtk82koi4/D9auze2i83F0 PCEAICN3Q2tyCxL0DhTvwtRAYTMUiHpn3Pc2avEvWGO9JhCA8LlEV1mc7eodZQuBD23+4i9sT0CO nRPh0ClhwmkMIltcngEqOLGhHkluuGz6DPKCFZ8ALLItaG67dF46Lj2mtJqFVpYCFVla/d3El/vJ JoEoCGy8LxLWsdqfLcMZ1sj9dbRGH6k4PlEgUEJRrNguvFF6mO7rz/sSOVsW1GZJSJVfUsa0DSJP e0ZdmULz1U4zDrCyKJ6ZXgVwVD2Y7+YtD1dBy4bUBDSbzKkDDFW9hpRF9lyg0//5fpc4gl2JQ3Za 4rhJxShMdyqK7vR66JL5m+RuHAU81OExVuMtrTjsx9rlbcNbBKPnE1lm4UG7DPokq9UWEOa0RXGn 5TzFfHUFgbRzc374yfdcTkqetaCcho2Bnfm4Lxbj94826l2sPS/Vi+pUowvGiLqaxrYKKS4u3JcO B4AZa2Bo6mRpBOkb/WzzFvNmdD/x8wTH+uer0Ab4pFdIHuxaDK+KW0i3B2ROluVjoWyZtlpDUidS M/jBoE7E9tkO3d9p6KI+9doTs5FnB2vTJXBXssNElfN43uwwMEUTKM0Xh1InCFC41xbKwe4HLqn+ dUhgC9chpuTc++lMmv59Kbcg9zX68Y25SwfnifuqaYkxYlxsuxW9aFlmp0dcVUjD2IeJDVkqbNBm kRW7ZuUYs+IABnxESntzj4x3YgUPNEPA8/r2queZJ1LpyrSUMJ0M/vJhfYUeqljN1iswxl+I7UUU TzCVgFlydUNoTC6NDrGfiNT/FkUbFTaihhG3GEJ8V3s9oehjpkFlBEYW7Tp6VMGciURGxZutgazN H4wNbZnqr8UF6ZqEltNTKLeUxXzRJki3PtAz0TPM7VEBYGOfnnJQmm28XdkkJ5tS5SSedsvgSrto RR/ha2uqMOFX5SyUxhPKQJUUNkoSMSHUxhHYZBbSPGNKSmCq2VKC/M9Z3mo4T0KhznYms5Wb9rDm zlibgkzdEbisg5djbZcLl6ifh0lSORtSsDjuIN68k+bqgJZM5FvVKJcltaPt70e5lPTNqkUSY9tB 7Sd0LGQru82m5qAfzBbez2ryAcrRvJ6+0p73ANBc60RbsNoPeLWnze0cTVPtzUO4Ik6MhFfAcIoy 8m9Yp57Re+04PF82x/WLDttKI+fRpk4S3r/ZNSj1UmtlKoMXpjtvDKLFhd1NdfcX7fkS57AT2G2x LHYAD3OykcoE+rsk0z+T60+e9D8e5bIINrBqV11edFMPcxHEzP+33UYIQjKaWvDr1sR/tzAABObc Px4mitn5vp6MmAR+AHZNnQqKFRaseGxnE5gXsg1T8aduXohOKAmLzphZ80xiA+CnE+NrO061bwhR 60975Q60UrTtG7aOIYkFiZ1uFyOP41ApYcQlNvdBidOmDNlCKKHUAxxyiKISeLAyy7YT2dBTGjQg 2NcuOCMS3RxtsYaeouEcFRNqWu8Ejj8K9GjWScyRkyKlbC8nn2Ukx2yIwJf4GVSQcyTlpoeRChy0 aCqL3RFWk9W8fZsb4NKkj/mvlfQUMWF2KMHseyONI4y7qxS6/ZKfwIsVAZU4Gwot4sfxWPOHQLI+ TnSsW72sJXjeUoJI+exs4qikHU/4GHAb6H5c011ZOw3e+It+FvzBA9sJoTuG+rxqDSuDkzhlvf8t Jni0BgHRdB9gq3IvkBCALd/UOvrVqzrXw6XTe3VqDiswVXpGZQUoOgbHm3P78/wioYkWvzcc+mr8 i4F/pQpF2s8ObQt8XS0hcfrq0DD8ZP2jU1zkLsp9crrSY1BDdW/zhADbmorctF9/IaWlTicy2i+B gwCIw85Y3ezC/rR5qhmnDtOs+1AmVwrxQNFq6q6PZ9HVVfn1ROKyZQ8ZdytmsSE6QhhcjXzsQJ/h n/s1Pa2zhkgt/ErXTBVwco6Te1gpXrfhf8/ffDzJ85rRVNciiX/4J7k8fDEVaxjuIFvtDc90yets vW9b377t4rVh4TUuq4YU3VSuC31ZCa1+6Qb+hXHjNNIBOl8fUDpCmECNJpepNMaJq1TFKmiKdsE6 sAGEL3QrZyjcqzckpqmeFjXUegm7OpZFQtiNFzwzM6+MdKxgN9lmM/Ludsfnn64ewdMEANW8VxGk qQDmMadV/FhWSDbcsxK5X+juo4SqQnq4As3f1lH3Rb+Vqs6DkGPeSDwR7GTsFjGyof7QhB8Wp0dx r7HAq4ey9P/Fo6m7372ymzlFzN7Z62oS0iZUhWrTCLaCEb4Bk1ubLzMZ1n1ooGc1tfbmAoX58G3D 3LEJdc0y79UGfxwDu5ocKNMWWPesNAdf2ahzte0Ns3kM8Bxrpun6B50zJR+5bWdfNozY3M/qQ5xq mZind2zBl2H9a/jnaQ1TuRUjcbRRMAimXaKPavlafi68/9pr1OWfPIx3LIN7DCHPKhbOnYLHgbT1 jAsQHPzLD4o/sPJIY2AwXxt7X0fdc4sTDKnGWm1LSoD44fJQzo4dm2/daAtbXWdn8UcIl4ZW/fVL NxAvxIrhcL/SXyTfCL2dkQqdJfGd1huQsImBl9ZXmIq1S9B7H2o+zNeAIFRLlxrWaR20Emlmzvg5 XZ60I7zhzDU933D5gsLYSsBX7pBWD6/IuQu2Y7gJGqdw6CAMONGDsb9azu/gGVnHAob+zFfa3E40 vdBV7JUs9TDGCvX0byjpV1fwWGldVSL9kkx0y+Eifa5dLW83lgCncyKcEHVl6tnn7f7IkBRYvzpI zAUUD8E7VzQsIYyItWjwzb2r4YGinRgFI2Sv2RVO1/ZGBwhrOYvg9fXDKgsYcmQLHkrTuNSXgHvb soKegvEL7nl9fFN+K2qi5jm+Fk57iaCFM/FTU+rZOCQbF338Ou8QsYrcCjd2K/4A2cucfA6m/QpB i8jHMlfzeAcZGvCPC3h3+YUYHXa5OXLHJTznV0Jij/2PUwZ6KGQ6kTc+4J8NVL6hjBqf3KnzC4jq byK7C4B8X1TW8eZSdo6EyyoPYQB3yz/yRqiYDk4QcLUeP4qC3pCRRzwaShASTAipX4nkMbXHZAQc FJKfTSUc5bH522rYW/tWijI3Gwk1aRx/T+R3asZBTdPPmG6ns69B9/XKCb15jCRMNyGve4ZO880S TzjTMH84t73lDouPT2yTupJl3UIBTd8mPFbh/BTXT32Xuj60sYWSRaCSfMcydwsuGgbL6zmI55K5 bN8IxX58ECXqYmgrEO8tI/38jANLuSY2ssdndJeCfAzrbYKdWvRVEfomJxCv6F9OgOZaqrOjRSUl i3TK1Mrui5+vBUOavXNDXT8Sd8y9PmW7+B0t2q6BzO541LQcK+IYm7XJJDAt8LrZUMFGuaTh29gX tUwsQPz7mOt4ZiLY38ROYTVqFaB9eb9tPTEAOB0boajVpbLkIlxGRkq4Df3XiTYvMrvZCRFWYsFX x0yf/mK9ixahSJnX7g3fgqBJ5xMX/Ze/WGNSkWm7JDIs56wXDZ/uqYpH9ZW2CMdPDy4uDYzm9JND AbY2uY3dTJvq7knwf0BpwkD/EBpPHmVaJ5r/8hIcPHZHZbvxgCLKtjvKSw406Wp2eJYM3sZgE5Qd /rIuvmQyaGblgBGbhHVTLtRLPU5eG9ZJaDgSMWa17HnwwxEvnmK8eicImGcpAEe6VHmv3EwhnXCy 4f3dWa2XnwirRpDDuh1jHlcp9QYrF7hv7tQY7ipk3F9i8VNxEdemWtdBAjbW4yrcgFxtRMrhMaqT gfWAcyEFAec6EC3OFCqhyhZTy1shZfiRPgnivkviLn+d1JhJpaJc9EUQXTQZaa4GAGSg4gossZ6M O9Dtzef+Vyqn2DRwONbfG6WlDvgZkEuNlWcIBZwQrpEmh8lv0S8sJgu17voo6+sJdscn7C9687+F VibGShlyrQZHnMnDSXr2wRwE2cgne26lZ/BSCtrTlE5+j0BhGJxSjfCBcLxoyTXScWvcB3AIpVFm hRiACm/4gZ0EDgSe5VO+9jM6iFpko0bxwUWNW2DfF1e8zRzV8y69+2QxfAgWTDAdJpGp7kp0YK+n iK3rEAJXYbobjMHKFZ58j9Z9eYn+n5Bx16qom3bh1+UZyf+bt1gs6A33q5yU1UucS/ehEd+QOMJB QZUJCKb1bbn2g/PSneaS45p26ite8ly0szw9QyEoJ2uSJ2kD10mATKd9p5xlENG7ouahh1LMr4v3 s3PcE9/6ma1QbjjhjOgTYe2lPamtBVkWZcIgWWJRVsHI8BwL/Eok4PShrsqn/6FrW1VxVD+NfSEE nqSf9IYWxRYtMonEBxplcBdF7laXPMlbexL7NogIeyIP+SXxnYD56yjcJQ//+vrtwetDAk4T7cbf nqzCLLB7312Qa1tjDOEWMA+QigOyhnI01yBKx56RlKDE9V8ogOmBvAKq/ufEqOX9dwmcbdI6CQ8F CP3OFeT/3WUzpg9xXdu0C5mpgT8s6ZcNoZsDalj5e6F9Or6vllI/oI8IjYj5KTDO/VtQ8ZPmEUsZ vEN9jJ8eNETUQ/++7jQNeErrFb3r4/ckh+jnTEtcMTGUj6FPJi8gQo3uCjs8Q7KGm5+GQ34n7gGe IKwxI9gN1AGVUJAZ5HklFjwe0erz/RlOGVqmAGE8MNVhHgib+RPm/NKVp4ZzNgXKkt0OuY7PEv83 MkZQZLeMETq9cSUoFBUHvVpwfploxBnMEwXJSE1iyoD9TQhc6+cayrjHGEP2XqYNsXSmQfag7LZE nEBozG5+5hv2ki5oNWaDbaVt1vJ4qVJseb5eiELOrAG84smlBCCao0jsYgM3ZEfXYfwIIwPi+tVL P/7vCTZCF8cB2tl3KgrttVP3RqWocYRRO5Pp7RLZQob29o6u/B5sAQYcQI7c8jEDP33MpSP98y17 UwRUtqsqnjQkDw44zx/5tsvK12PNeLy0SU3guDfymnGgP8EWOTnU1ip46CXmOU/pkH3qCiedV96k SAgHOiIQu33tQP+faq2Pt5YdzlFMQ31hSUyXEB2+mcL1J07OuebH0/G6Q1RgoOmU5OND7U2ChA6m avL4pEH2aA3EQ/Caq6t236ANqkav9DCCjC+Zb67qikIeIwav16bY2afogQoIl4+iqRZt4FkXubTT oItyMKFzingbsbK6TTWfARX4Q36w2k/HhphqEmDqkG2Q5Ca4BLPjvHCO0dI57+cKjp90/FYRVge6 EhRmQKp5/tv0dMm+8upn37F82FyJmbaMshMt1CDY2B7ONCZOMN60h5S/z49lRRWhkLciImkTmTwk 6WVxu2nGbHsA4AP5V9yzt0UWMz9dxdWfTdkpqvWRr1K+ni+xnepZEIL8NWXThQ7acHaRO4XOWUCy 3H8a1RMD8ESVrzIv9M+8puIb16KxoHfbV6xxHbEn6mmdhRWf2nAW1XgTT46EcO3KwvwyuLSw3Tue g1lFxv/WGGEtw7emcfkSa9E8WvYM9kx9ORxXNcy2917d1tDG7kBjqW3N2nHc0Xl9qhnkVTjzprw0 7LUrgaHizULMQtq4csvm88Ts/S0H4+HosrcmmNYOUA1sZS5dqx18fg81iAjfMWqIa7viq9Yf7PWb gI3AV5ZZfPSSbyJ2qaMMj/SKxu8tzkATrS4pNxjmtLRjhToR03ZCBI02Gqm8oTCwajScoWq6Wp7G DGfdQr+ulv5sKuIKtiWJLtUBLzR00XgqeLoQrp6/t7xLgBZcohQv0GapRcj/vF81UJCgJeB3Xzsa XJiMm+ZsmK6+w+mnuJVzByQU90tAbQG3qYr3F61YBw6guzu61LlsIYSQDO59cNKUhHwECjmiH9Zb Qlj6z51KCyojcYNKdUcDDRATPAjGRrRVzG5fbYinM9JnuVxV/socqCEnzNK3G/zVyGP7bryzWWHn 2UuiYTdnvSLy34WXEh2lEtQ91/k/YRUNojuflDFNDJefaRWKsLY6xTUVSzHSre/btW+9LPxj6ChD /F/ITZYFBsgdDZaJ+cz3etkmlBNYZLYgiYN7u6pvwvkDCyhqBRnCXu6/Qrf/nMioInoe0BwpOsD2 JfT/6UAXlhwqKUcSEDrL8fQuxA2VWzBu4VIPbOihZzhs3T6+mPz+q+CWDCjVZRMEwyd7QMADB13F a8EHOV5m0UMWJNIMYjX3smnFEo6X0kXqAgsSXr5K+R7JVUs8LUFzRUOM6fbOOcUs+Cmgx6tg3+NI KV18tbpEKE79ZnII1ND4rOQqqcCZav6SlC8sg516wpWMkh1aQ29i11UYaAkTkf6jiR6DFsOu6rlR YN2gfQ9hdKuVPYxiNX/OmkagBVoaQlvUEqn0OotJ5L9K+MLAMXvz6MiLiGgmGuMXj7F4FU0dTKAc woNzcO6DaR9XlV1/2RPk+p4d95ULz49HWK1CsBREkS9kyjcMNdp0AyhV4v71TB3KT5TTmpVyiOpP tsC3HJsZIZRs2tE06tRS1AhDf4cGyEl7uXKrFLZuM9SrKlx7g+Poe9h9phV22hslBrMbKQ5RW+Od mIXrBLAp5514zXhwcNHB8Y44bFpcZmUXdUv3nlt7w+1IFXDDF0XJEmmdEa7raP3JDBAwcyHy8Z2Z jDIv8t75W1S6qZxbNiREMbW2afuTpnNIXAbgH4Uvyt0LJl3O2qPS7jzwuNjgWuUpXnWKoHa1cF/F eh4UdZ3nK2vww3Vvn7Yz07vCUvbKUWZxkTyPwezgeWkBqkmAwX9VY3WpupAvmy2gixT5taYYXNfN eWiXbo3o/C9S/lRSN7egnRWf5r4BQZ8y/Pi9Porhr34ZXxQiuNBrx/XJqvN/dIQCsP1R3WWNwGeB aO1N/OM59b5porBIn60OA+m5APs+peKwhZVFr3ClJTTeHsLEHe4ejPbXJuPErmMD69G8rSg401gR kNeTD9A1McALbm07ApjwCYRvpv9lhg3Sfv51OFdx5qggUF2HSXlxzNiToP9YiPEWSxYcb46E67yu 92Ao5QmiBIC1ky/hZb21b19hc3GoE86xguWd1Wn9wcA7uvWwjSx/hAheTtEAV1xARv3SlBunXFyB 1VGVAIzGnOcy+8dF+Mu4qHx35FonB5dsOnhcdOpwTMn3lxthC5Xsobcv1OEXyDxkqZQeMJ+kivgs tccoe6YPVyHouWLHv5NuX9rxIwj/0z9t54kwzG8IdzbN1JO0zNbJXsx7lxH3WhWhrzr5Q4uifRPk q5lAhcnM9U4PFOdTIc2g6XZTPLZfJoXUn9SVOmr2DU6l9763VZYKdyFePjQ1P5Q68OmDOQyP7w7o KW6daX4cQFUkXhrY4smxVZ0D3Qc456UNorb7+seSnYVASl4lv0ofRrCT4DLJkuVGC+ousNVWy2MW egTdKNdLFPDkVU69D1Z1ib+ZPWZL37JOiC9PgwRVagWtD1Z6evit4jsj596eQ/K48JkN/Y7NXwv0 jPyHNiv+VsWV9zE8/xHDxmGdZ2alZxhNAVi4lwHQEhWD9d5KT5dDj67S7rmx+wE/8buv+jc5DX2O 7ZsLHTiCvHuO95//xh5RSufzo5VumzbhzzrLAx2lgmjlTyrMsrOIPQAmNaJVtFyt3QuBAvih/++u qeoGYZe/2PVblp3y5vGqUDSJwputWQv3IrO7/lFqF54LKS2T9vx73sTPdoTvBQx2oZR6XlLVgZFG Ctg2TSJj3+Bng6CzuNhy34MqTiTq5ex368EqdouIa0O+jvEMzUSDKgtxXFXjwzZHFD9B9tOxygX4 USTRMwnL8hV8IjBePGpMC6PlHiECQ519f8wEbPDPXXl/IMPnGVfPMEhXSH/R3DeOgdmchZ+I/eUW 6EdIIN/mo7tq0HvQ72evYKeg3dedPeGP6KZZ5XPPqJp7eUqssm/7vHlSvyHQhJnX02wcO+06jn2O TnrBD9Et1rXNPyQGxCOJgyBXXxaw6CwbXE/rlNAn7CtQ6A8neqsGRRGQa6n02PSvVst2TdB+8uMf fW9w4sLK7+0NnLLFejjQOCHVzRdpefZBx1nsoU0EjkSkGHRCcQPNki/UGThJ3vyU0UDP5f9O9AF2 pfCg3TbfQiSBh+rd6WZieGQe/KVmFwMnz+whmhGaQvD43lymMox21aea2Z87pPNEMYT2QP9crHb6 G4+GGKShsA1n5V5+CEpjmM6LGj+Iy9MrWcCGKZl7p+UJ4qN5cHlhmcrH6JyWz0boP2KSxONOOvlI aj/j5ndeLNRUEs9nezEZhPiTm40eQ6pUobX7gLN4Tupxoie7qCQncuXXHzggfhtNzmGPqnufKY3/ 21RBDK4dgLXFRxLKVD4VjUkT+cLtWjPmX7Pxs3uPBhNXo6MM46KvAkX7xP2v//GRiQbLN/i68z4C g/Yl8u3d7Hk29+S+BWYsYQ+7ZIDG2RbYlhT3CFb4t2uu1eX8mNVvERer/uq5w3qZjzOJYbfNd2EA 3crMEQA75BulwJgMxpGJCn8zSK5RdYIbLxnMOpoPfJim9BmlcxOow96xlP8rJrnhnXMlu4eWBIXz fn6SniGuVz6oEwz1HOfaur+XHFNM8et5arsjKHb+y9dPvONBtdNtPk4F7LWCpySMCk5vCkXJnG8N HcX6YbZnuBLAgGZA5OWXWZyWX3aaDVLQr+tcZ6NThb4EXMCyCM7JXjoYp9BuiKxppycRpJ1u5hB1 m+PmAGxuO2XxjChwBaTPgk+bg2Vx+CX7WLvzY7fynLR4WrAdkQCejkAMidwu2ZxFsn2aZf/P2tpT 4SVREOy7X5xv6UMBnazVsmDz69rZlNNF9n9389kP8uwk1baC/SSXkhOvNeF/sFK60J6pZ1jUAMVI qG35TieDfsBE0T5Dpj9G7M62Iz+oZx2sCwiQ5t01lZC8nF3s6uvFKkWurBwnG3dr8xgNjp9Nc3Sq hupjbHPqUmrn2CgL3r1qJKcYyv44k1FVepjH0Arw1KCWe1MkXN34fCwqxfRaTAcr3YmEQpjCn1XH vwRKzcC+D0/6L0fN5i48QE5O8j/p0e+4GybYD3aaS9oHBnw3naLUNVembEYeGtDLLwslsmblMxQo R73QZDGR6KIw7+bnn2puG9VQS4Ki0F5zSUPcyek0Hp0P+yLPHSy3vJk7ir4nZ5irD3yjqEg8jNYn aFgJEDixivZAS9NjDvoJRI9gQNKFbtgPhWDrOYaqTZxDcN5YDpUxyKV0c7/n/p0DGrum8lrsvx8p QM3G34HzNT6Z1P7G8JzE1xHPpcPOX8UEPhcb5w9c95BZYetc+6gp3qc5yxh6E/vSZ4guQuEmYowN FvQfciGhsjGTxLg3cOyZOzTcK0g9rhAaYLjTzPjbE5PWvmMfUNlBya5N3iolnqx2+apZaDdgwVy7 8u/AHrSGDs/3g55ndcLJlYJzN34ZRDn6i6e8cg3iZ9XWKhj3tUIMHE1pdJ+08ZefW+EtFMzNalSN zeIu4uEfpZyBLxHOpfgMBP7lIRATrAiSVOdBVBRUTHdVw9L2/Bym7pNAu/MujVXSLpQwcwHA5Z6+ BJSvHADDGzjdjXvRFEnnI1x0XG/U00VtE6fB2CC9V+1JHlMc8v42WM2G57yLHDCGHxuCbyq6UiJU VjPhBVqkbnZ1qdaIGG274Ski7F0Kjxszsf4vcwn4tIP2uIFuDpTjwbx7edL6ULA6ET+MvHUA/vwF iREy2kOdW74Ixb5Y2yYN1imZgIciMwK3fGsS72HKqeSVSmR6PRscSnHvePbvYnO1CHw39XYcfKmP +VR9zs2R+b64nuMSW1VroU+tA7ZabrtT91S5OgwVVwdI70szLLXR1lq0OOo+IjwedQS6ioUA6pRv TvR3C7cb1KXIL6sEyYab0Z4AUMf3wOcWYMejpAEJPmMC8gEtrJPqyKW6VJSAKF7YmEY53hGNIRFc NE0k9zJDXtt1jbBKmdfaUxT/k03d+1jB+liqIRsFMJg/X4IGRCgEGa0IZF5uuBDx+px2UwvMZzjK /jdmNHfnnLRzC/psPvMFK1ltlMHNYlGCviGjyq4HkiAAbdTp6GeTD2XUXiDfZnHakO7XuJmOtgmK LMoy/u69cfDKB3rxxSOokKz/xWczfspNdEAHL2rbWEG9zgg9rFVyjWqjkdvHaIHdfWWVtJfS9MFS FGDHXgPadz3mB+BqnggVhwkrSRgTpcyp/sfqVBNIqc/WcZiWqmhLxes5VoDiJ5M3poXjx1EkTfvt N+y63o59+q4asuphp2trEZnviA80H6x72F+zaHOax9QtUNn2em+umFatyQ5w/3HCSAvCdNA8yA+L ipIJ6IjuugqRoDvM8+JCkT4FDSh8FhrgkMbEbVKsXfS75M3G8cQForeKVPH24tyyfx/KhYcHj4Um Akz6bI2ZvAhxQAi75DnRDQLHhjGJUUd2cw+Q6un+2hnQGghYplCMDHM4eeIZgD7+7y/64SaUr9+K xi2HYBwDute9vhdND8zUvn7TXSBgt0DYUzVqJ6uTf7X2maIwiVWanTJH+m9urg2MVpdnUcItZ7xM e15Aie7cD0SHHsPeXKuIaJ5A4uximmjg/VAxv8XhDHFsI/CKzgQq4GsO39PS+63Fsu7TAuBrTUYr 8zOUE1ihAxUCBRTNT4Xqjji/RIB01s44ALnUljc87xL5KnnPx4lAKKptT6DOCZ4iNv/LB8/gwT3r sR/dQV4HL2uocvGxKm+u2efCP5XgCJoB6k8N3esmczhPW+nN4nKyGYv4K+45FQSSXeI6V0uQfURb bPuV40iu3UDLRHwFC56O5CAahSwqoz5G72tedZdcCAmoCQ2Gbg1EY3z+a5Vhg6PqcqMNfkOI4VgS 2i9/HtMLk5xyv+ej7zsyrQGswit6IC0+2oFjzCReCcZfOE+SpR5UyKqwPDxRu+iafZyUa9uH1k3n mrqFGKULebZtAs4Dla31Fyx9eSJtFcxQddnJMz6P7gt5teFtb7IS0BlRa/JnDrEQL7/Hh4fj7vAH anN2Jeq9ulQpwdQYESA98hw6vdvNyeJw/EeaR5ujR8IBLO2o1Q3rCN6fr53zIOx8isxeBs6zQvY4 0//+NAQRC7bhEiEdUlSAf0YNiwxbfC1LNCCVo2OW9hjS2NFTpCuXL9NLTByWnwVh+TG3zMHfzCO6 NyiifwDYiLRAjNBXqxG7xeRwRqo7szYRELP6f+mWOG17Ii9zlqioPsRP/boiORV4eiWa6yrL5hs6 Gnv96F4ExjcPQ9gLXS03sAyWVXrKhUkrw35Kih4I/4K0nMuXctDMmurIV2E2GmbYN0rPD3etPIz4 6Gcd1n8/DctDeWG1tRRjoB3MfJr6EkKdQSxR54Jiksa2M9M4jfianyxV9p3QApKc/nDrzpUcUMhg bE9tW9DCNLrPFdJmphPCcNA88x0NAwQgcnEMZAtJyqFy3j3oc3J8xM9LlyUlzQR/Kpj8ncHk3z4p s5TditbQFZqZND0SlKzZcUyphGe9fVBmvwqMrS4OklJ6XDbfM4yDptd4awmTKzBsWR155HImIejU P6cP5fQg8HrHEPJS3fu58FVr4bqV0K7YESE+awPaQJZ/vE3GSi8e9nilGjTZvVX5Tei94dAFLmfz clwfl0pP5GOG8EZHoRIL8MhSkYxvXE69PK03QpNMTf51Bb83UhqGpo8bBCZoPX6g9AHMPn2l/0bu ng1hytE2sTXQys5ocB/NsYOyAKbGSDCbEIe3jaCYsHT5aKx0jKfaqdrIRfVgVH4sF/v23OEsR5ZX hpUCTe4EJ4+Kxyz/AB2ZcOdLX0n/EMJO6z9AEM01xXCLttf4N8uFRZFelq6J736KpzEhcG0Xoepe PHAOb7F74Vr3R7+44jotZdg9QIDaQIYGmRYHCYfAJTPjQIss4CzY8tZ4KuhXwD4exmqmUHEYnIb1 YzQIUyWkkdr+OsLc7AwfEEBUCN3W2GLnKCsLRjPOLxBU8W1fAwMnkIyESxRjvSy4NmEmptDihu+M WoWeSvoGELHu6jiTYHTkDhRD7fEO10O+csboY5vPtJVQTR5x4kQ0/PUGh09F4CdzZs8Q4QijxzWt knTnrpo1z32IbXldpR3L7mOvoFuvwbwwyvd+aDJXkwHLArYelLD8ar2nzby1eEsf+UZ5bHFeZsE3 HsSh7H5pACC3jrhcdgcW1Nkqj7PV+KI7qso2mjzgXvhbXfnrGshRqxxP28R5GHiCZ59KNw+Hjx2H 6vVb8y99vHUHOa4sG2XzLlXGSUX36HZ0oJ2Ot2LlXtJItcZptquvsQu25B3hIt4FwOmh9eXnUrpL UmPARxyrr8ZJmaiJNrBHbTKrK5kY0vJoJjhLFVZfLUg6+nMW6taLhojrPOvGEX+4mwhyBm/wvoC4 0XCKKymet2mHxCLqqbOqAYG2fuAM0RfXDHYTWakEGaYQsqvJs21e/GkAZ+4Sn1tAl8XW+3imADff tIGjoI14OGLs30dd8F3TIXvRtlJ9I4dbI17BWMvsnFbEIMMigHy15+wwdTuQrLcznXfxtT9Pms+l NPVyj+3vBHFOoU1EmM1UGFjOyXkxY8AiVSoK+SZIPEVUtaeJGfXrJieg3cU1EWvjwed4g53mdOHK EdnpJxpmN/cnaBQ63NTzHw3AnmzjGlOg3DyladxWw18n7jp2CK1+uS/JzEsFBllFurrRvtoNdPqF tTCnU3/ODZbaIZvKkY8uUgxox62KHLmxvqsCApfTFHR40mb0vgmcVuLrZXmQ8a18Dzwp7xqRkaBw vIFn8TRwT3lVdqsmfSMJsSVHToyVC5BALZWIimHWQJjTJAQ8mDFCtKxLi+O/9Bi6fPfuY8jKsD9L SFMjJqAKpVjz7UbvIrEYCEPj6Bpe5QFZkMtvYCKzadIuj7dDCTBJfXsSeCNLExKizXDW7SRpmJ+C Orw3uN/DNR8Ey+C16G666CF56voBNTsiFjecA4llKEne/XOIv2f+wGcODooF7JPF1izoK/cnv4Cw Tf1m1ym/ufd8uxIwJav490/Aglj9trxruZg7ILe4W2nA9UtoCSktaU6YeGl9y4W+vJ7UD9nmu1bI /kE+k+UUBbYuB+9fX3BdruTO0Kww9MaQ+CT7uz5xvdjanabuyBkov+eKrS4l+q4rxaOnlggjyo3V pI5u6eTNNdHpAXpx5q7mAjNiuCd5qak+RuCuUAWaDiVZjA7fMVJxzOu7ogZJOBqm0dcd88FnUc2L S00myNw2eYSEnd1V+CowyqjePZn1GggMi/TECjs0fthRcJ5ZH21xPacDNN9vziD9C2RrAtXwkm9+ g1lnEkl37ubRek+eBIFfabgVim0/qVyoCw41AP6hEQwRx1t1BTvWvo3aLY+cMFOcIKz/r0TGrnGU FYL5IwS5pffpEl/TD7Nr8n4IaNf703D8DS22Sphnokj9MU7KPr3pWgsLxNEg1XLbiN7vwFS1uCZx 8rxWfmcpCZwDDRCQ/CADy6b+i7mtTFwOpC9qxgr0GNvCv6eQoLqSyHvp9TKRAegFvafBeXgf0+YI wlyxc8Z2AQv8j12N708+wgmglOFb+fbL+vsD/UAz0xmgb0UAmJNv4zBdZLExMHMsjfsISmakp4zW PhvMGt6fwlcj+Ql5/+EsQS2efiBEYV8aNNO/kmelj14El8d24IHPrDfm/hiTplS2GoyfC86VtgeH zk/kHb1DAevEBL5FyNd9G33VMFmq7qksCPCcyTFhBenaoOAdmdLBWRwXlkKt5NhzyoSlysyyZedS gX5Oel2XhykLYaHcYCWBOdP0fFMiNnlv1d9J+Vh5W58x79CAkjdHk8+xnVdDxEPsp6emhv78LUBG c059XQ3b1hJkZGGiIYPc+Xi4vJQJYau/w4yFap2HaSBQpMuv2JaAy98IcRBdgE2IMxnSnnGud98E XtoqwattCb8UOLrGGl/7qgzN9z+jZLTSsjlhpNf6xB9dPw9wMwwElnXaDAz/vbQxQ8f+ITAIhCLu kbRFSSPYz/c6suEVceo9p4kOm16PsdHSLthr9eOrU/aVl5jIs1toKdetC+8SwGNhuaOcLAZMEbnu b6nCa3V5VBVhfJTBmCkNA23JGPHiB0SgAFczruToNEGZdF58dDfDqkfLtz+Nf8e1BX7TNXPIw2N0 nMjyK641J/lGOkb9wbrQbIoFFmya+N0tK5cgzOHbHUT5o8LAWqzLDxf32UR/wKQBrJHIjtfQAfGa tZzv5xubbkyIYKCdJNqeKBFTrnh+PM4Gd8Sg130ns2gY3565M+J/sr8b7GEdy0IcJZA5GbJVFUSR T3X15dNr2xar68i6M4d5IP1oNP2aZKjwJiB2KqSw0R3QfgB9A1c3d5PukS3Qrbb1T+zDLVlNRY2C nd7ixvd5P4Ooxth/7P2roJaBS0KQfewjXmKL/lQaTzGS6nRejSBLakx42D5LO+wj64wbL3bee95u P1v+FldijsYhGDF5Kw9wncPdbnLYdMxYBKVrluAAfBH0Jv0k2IomSoL4Y2mqrIKWPdqHsCpm44MM WdGgEYwqgpeE1yfs41TX4ki+rMFx0XlhwcaltcScfFLiEpikd913IhvVlo70qTClXYt1LriZsFsW PZslypplhFkbT2qHi1CD9Jm9507r44i36a4F+/c1yPnqShkl5uTfr7s4OSDxn34FfZh3S42M4hnw XtAPGUk/e/JO9QQXTq06tD31RPlI5W7o2rKynCgJQm1rct91Oy4ZSEtzUm0/jianrbiFzN5rxzDk ZqePTwx2+/z29FkxnTSHPIIlKhGcjeTayeRdq4EX/e2+9aWowoKrJiBm8ONua0yMzx+lJPgpOd26 QgKdWMaeC5dbMGiHAkyfVaKJ8x4iGjSIUVdqhL64WSMEM1hGSx786vOqgv97ymbkawpX82JuVqiX WMRXdDoAhJo6u/atacK5kUYeNG9IT9JQ9Vvee2NEGI+iRDgdXpBZmTrEgfi/BHgBX4nrkm0Ml6H7 rSKXiXPVKyIWYCy8LoOYyrRkAeb9PxLZvooUoyGo5wpDL/NpocIFsEiOM8re3VROcM0VvXjfEtA+ QbJUF8QWdDW+rl8dwjJifuIEE3MdTRHDvDh8HgxZbBy+F1L2yJY/FvbmWwwZi4er1jtZTb3QSZTI VtHuDTBIuarAYHHZitYLSeBfDrPIcnCLOhL5YuYqOAyDCcBnvaRTAxvpS2YuCYUvLH00MDn3L+kl K75Q2b4MzJktNARquy6qQelN9sidv6mO57mrG4B/re44egFjWh8210gpeXXn2YHFPP+O2Gi2Gy/p MziwII4tdXyRQz3wo8zk1EoV2JYiSiHUrrGIZhsH4maUkhwXDc51bwPSt3MTzTVvrINYhIT4YORy BokAjNIMtxpBg2cjC59Y0JcRFMWH+YaseoZBZwS3/zRIbGZ0bB7wwppoYQrDSFsF6oXKjWN52JQd 2h6lZ+aGkiwta/dxpbI+jMXB1DFjx46DeVsK6PoqfPxXAqnTPyLVFPRnE1Dso7YFpZFawEoFt1+D IZU5vQh3utVs2jaeHoS2rn8aK5BZTUbccQJwhACDCPwmapl0pzoUwIseR2Ob9zC3DxNPAy7hhn8a 4K3JAuLCULQgp5Pg7D6iAm2qAyQCH370sHiz+Q7dduzT8AK7bmQRHK0oHULr6hI8kzB+z8Do4Cji Pv+rfm8WqCT5bRyX2Sg/F508z1WHNSUPIgJ1a3Uk7rScsDQgMUrk2lubTmhcqUR8olJ8egACW6SZ kOOSMABM5jiX75PyfeN6j0UnjLcvt73BBLQJhPr8I6AJ0mw9u8cNQ4E27NPwwMq0EAenyFjPwjSk VfD8oXSEwWKRxU7Ap5vfU2ZH/jHlaxKTv8eWoOOI6iwd0Q5Xl1g9K2V7xfecDW8ttmCm49Eeg3Wf qprZ6nS7Lpqc58I/DJokjNvjTJMJ6QFvuWhA5gl+6Ho66sn7xfYaI/Nndhb86PUfkbLanXsho1Zk ZZsSlUBXQqmXgqeUJ7GKyGpXudUTM9al/TLtwTkfEFEfK1bIGpLsQpIf7/7M0cftUcpWDJ1VwF1r cvFy/OC1Y4F8jM9b09kyLwBJ7RnrT7Qd2WsCczXESI713jeGEwvwYEdJHfb/AHEboIRJy6ukg4SJ rdcPavg0/BR0gJ35mW/Vv6x2kCVvA4/H/LiOskXbEAXdfO9YaLi3zFm+LoK6rWsjC+KiERNlDHVg Y0kCADFYI1e5ex5ajYhd5ioGMW0sZ67z6RQfQ0Cb2TM9sRisBs5otD0HfT/EeIfcds6NeJ/cCsoK 5l27pm22A24FcsMu1l+QBgtZnIgG1Nvge00lJ868/dJeGW4U896W1Mxqjfdp9x2zKf9LElUG8x7w E0fSINmo4gHBRCHh2iTmTBCBBA5exdTuNMbTqcjlSAz8sMWACK3k/dl+XPpE8fAgjtV8vW/T825W Sr2fYQWGaaIj6SpQebcpvl0umtPZFlLlOoEaWeSWK6TBz3+7+kq/a1GhN60VRqUB3khynqax02aE 1DMdTZ9MfnOj/mALGlZI25xm4dI89455/epESr7GkIU0tqNSh9KYLdDIparHFsoJJW0h4s7OySqq DFOXIKkJRZ292x80TW9Oy9K5a/pdudSXI/qAQNu29tn0QmOv4P4rxaJA2kfu5X3ysOrBZ0gdQsbf Ss6Ktzy40iCsPGiAXZUAeWBUZao/bipt9iLTISa6h3WZMPlxv15S+lbmkdPBihkKG/rI92qKTdNQ wtdv5U1QUm+DZXXHNj55imsrdcse7GDXcn0EBMrH1eT0XlahGmXnu5g16IyxVL8+uB3ytcsDfRKz vjYvzvmwBNtO9rV1UxBhbKLB3XnNDF82iWrTgbq24x4gLWGtRRoPVEhlV0S0f5UMnh/Ma4zVpRq3 gE2BodZmqZwIWZpb6muenN4Osle3a6LQIQHPi7bV2OFcgf4/PF97sAgNyozoAZr8nYTdUXXYHEpX bjb/pq+zXPS8T5RQ6ScJpiTOOUUfIWr3ZSOAEh7qNnpaEbzP7ZPQ2mWvMWnCPOJ4zYSZd6TwTkbW cTrj20kz8T37WuMamb7XZJrCjVSuAomliGDxnsmnXLJoVhcRu9B4eppscPnzVLLV6SJ7uIdzWjyD Sf6ZkpjKQbwGyx5Buhm3HoxpySuyUif1K8gtDst/yYary9Sy3J0rhzP5SRSzWeUl7UFXSu+Bop+i xeswosFZWQOYFUlo/8/iCwYtMv4u4iP4AQvwOg71EDak9tcVrBasqcitjSnFyrYaRpMRuE4ED9jC ZboCHE89nE2d9UJ1HlBgWayjIqBxnUKQ3RSwTZ23ay/owD0GvV2agteCAw1E37JvYA32H2NZyNaB HGbEH4Ii91SNznRVNbAptqT9lRcv2m9kiBv4unA5z5oMYKJbhAcyY2CAR16T8NlwP60PA415nwdI mu5LNxrxjguNA+WwykUW+jqhkWu90RGt1xEoUkcyQM8ZBaM+B6Kl9hmA5wPxT5FIyJVvDThlZu6b Ehn+JjTeIYWNsuYoYKE4D3RVtxsabGcUR3J/4Cofomto3NmkKzSTRAyUpTNlxaxsp9FM8pjUPmqE pW4rEysivOe2qQJQDloZoLTv2qVGccXqiKd4VR4BERfpWuDGuqnNRK2gI9Vx8e5B+TmEA7map+O+ UokNGGub3XFGn0Cg8Joe75RZDn5ofLJksFIC+wHcn2BSmx/kvCkxhnL3YUqyO13TKYwZMggiMK2M JhCHfoW3mm3yp+KDvwbbJ+oArzHNv4G05YkbhSkMKocu00Uo1TH+CuwR6oMQAupkyl5f8yeXXkql MJYbOAs2U2P3PCMF/Fih6E8X/v2OagIyAqSQOZOLVMW1P/MvvSowXV+pyvQ1gzRKxjXfIcaf0ZDJ Qj51N2eLwhp9amSdCkM/HTzuWkb44ZbJendTv63Y+UL0wL1Gkh8JVr82VKcNsz5wgdX0HUEVJFWx 3XSNZVJNjrBx8MkqI8CJB1eSpJxp0ENkmRDFW+a2tx8HPP1PEGX/504z/03OT+P7FGXlKIVzq5HS Fmv1DywWTeKl1wtvJY9P7qoS+DYgm1QhWuQNs5o8lOQy4VyT9Y/zuNQIf8yF95fLUKbgt0EyxOEo Ib6MWiQhZ2NGYOMwvu5qwROq7zZB6qs790IwOy14NVhEmHoYX7Ts45nY0OAz3IKO6Ry1XtLQsHmH WmBJ35H1bAouprEx+VoN2EIIY8UT6JIe18rNDAZBl48XExobbUDNPGa9w++ed11BkfnHxnXF1+2z B5b4Pdwqgj+M1gtvt1DCA0ssEeGdSWzCIpWnewgbH7L/hmQPN3w8PB6EwtrENBXV87syalf4dmY8 pqvxi7A9z2KSFqEUEWbAkmXRHeLSOpeA2QCxpnaBCNr4r1WBIRqckb+i0dSqkNuhxJOcVlaKkgVF ufVyqfZdwm39SP7SfuJjrc3vXPlO7mmvc+iB2lCVYYH65gPZfe9EwNJyLexlV+J+iL9G+xDvr2hR N1nxLLM44xLm1puNMlforZsKVsi4sAjVS7yNVuf7GSCNEvSI+b92mbhlgYjtEmGbe6ulUixAtecW K6Mmqh5aQdXm0Ktr9NfQMNizEiUXLzsxK2d6WZxbn1czJtsK4rmxSU0j8OCg42+LhiDtx6oOknGB x4k99ENKeNMeFQCCyar/kia+YGpSdndTihv6au5HB8gQvYjoLy/0zshTK7Izx5FvOl9+OZOUUey8 o1ns0m/CCu/8enbYkWLe4aQXvH8JGOJattj4KndUcljZpnjqeE7ceGZBLgOhoK+Kwu8nYEuwD8d5 zV0Ijw0PIv7AkZyw8ldSXNcY+WHcSoFAYqBE4W90F39QhzF8FAVhfdmN677CUiIxW4uKSgFPe5VF 5C9Q4dpfz1ebwjLEKbvGc97pkV1LUhWqiycuNF6mMexLWVuNVlPes/qq/CdPwokCeYrMxzAZzFhu o1xOPIodyyBGL1LITVwrjJR21O8rXrSJuml0EXS8a1fC8kXqxPmfjGI0onV53pi2aKt+7qz1GWrV 9FH/0F19tb8V+96A/6JsgtVnvVJDb5geQ1wa+kjm3dVy2ppxX3WP5V79BDz/XaeNgYeYODL1Gxn+ lLFOht93W6Br+ltm8hCzQtpl+9W8YXSJwaCVCt9UxGFD/ybeaJ04T+xu3Nl1w4JiukuURzDMgsTd oQ4023R97qMb2PxGrZpUrg5BEUG1T2F0a5vxwCqg2ydbuz/BvimDzhbJXgF5KFsSSb9ue8tPJW38 2BJB6hctWuf3nSVuHQDqAeT6wo2dqmlwd0JvBNFxJzfhGVwNA4E93/87vlbdLLOJ/xpUYbvtx5kc rZfJ7CBcHgSK1OROxTJk8AmGuSJjdphwTHaZrLnxtCwGTCJZzm1VVzq01Zv6qKVeWkqWUpArWndt nvqs41JqhYPXOMqr8v3uO7fpzDydpN+7p67B49AKL5x3hP8iKc/qF5+eiccW1R7SbGkRPfj5Khdj 2JgtyKEM3S0kj+Ys7dhlRBlXPsec4sj2LKIOp5bb1jUIQ8tAdoOP8Ae8P0P7/tnH29GFd/LTJ07l ah3funO698BKkSlRKX1lP/uVm5Da7w+RltJOtf5X9Ox7QV2C+6bAbrADO8WVmTOdMvGBuOigYsAr gi4y2JmyQc2deOjMvq31B8QygykIuo6C40Uh7A15IFJU3DWaxj6VE/hUUeb7MH2WGLEurqMKfIt0 9VhoCWM1sUjzCgnb+n1mkyuOz6GkgbrnJPCSEnxKUp/JklOzSttACTssNXZWY4M6xf5W65h2RiEO d07uLMLg5SnCF7oStrVlJnqLWMqY+EQUIUyK5UsI7f+JZqX3J/i9BK16ojZW2eYDoI+LmeEL5N9w aCpwpdM5HXcNfK6KVrHVwyR5JFaFpi1TlYNcuH51IgLZW0ul/Zzvv7ZHuK2Yq/oj3DHVBcQNNvpP nw1V/VRjv2pByZrTRQnvnez0FJK91AtyESVXbO/4xdWp1+bI9h8k7aSeapbqHP7WJJE15vGYchn6 x0LsoAzTXG44B+ghsgcli5eTG7WvXFrxwGOdhkAZP8dQEb1MD/poJUfcUWiNINV1CXqqVfsWMZEU L8g+r/7ntsKOS1d5T7yMD2oMoEOj+mYOpuGPsIxZUi5fbsiob32PUlv3Xk6WQCuKxVEwIlCPnTdK Et9sQkFDVQEQoT5xViMKx0ntGJo0ZPOJnujVbqqpMt9eSCFmv3wBxzt/inpR6LZKrhQkRFCJNjlq 8Ntc+X3cnEg0NFFjBzkE6tPr8GXLj6NUYcmMW2Nr4fruAvwRq1Bhu8jIWI4smjgoibrxQFUlnA6+ VgIIzRTUAR35TDADRg4FpCNEb72zP9iQ8OM3yIAGncUNAUR5CxzAqiKytDLJ5PFdD3237elk48aJ nBFIbe5vBfxzrG+vjCOWZ8GpBWCA6tQMVAHEtUxYw2D6hQVAi1BpBLw9GPfS/Cq3Dta5Bat1P6xJ uwalZPd0lmaQMPUayYvr9RJ/zxfLvS/Pvvft6CgByKXqwwwD7V+yzSQlGh/OLNjoh/znJlWmVK3t +Na0gkbZfr87v4CSoH3cGmd4Z3UWEZaVHSu0Yh/LiSBZ/hHY4RUM5XpGrKz64fUXEqoT+G1Ul5LV eQhLLpQ1wpiWPnI3k+qC0hYkL56lwlhTalHKf3DmHlqdiQl2uKH6pS3EMHekEAnfOMIEhuX9470K zwMfXJBRMS60/JZakbl4Z6sYkSjLGnoFuDQsyD0Mzro/cf7lnEdBIw6I7/VHVkvL8ZLsC6iRm1j9 dObu16F1STWOPH9+tFF9j/fOYBSbpnQwWPWrKKOBqUnHHbv/Gg9mfOMv0MSVxAJVmdINPiTD8djN 6s0fPt5V2cCF+NOFPxsOaSghZDKbKdDVGobj2el9kHKhVYBYXtG+PjYH/HzWrwaFMdBC4I9Ppjg4 4m9NFu1VMWapFUC1q+cazKfEoFka+AjxQVLyiR87pkMWfwmGvDd/zg0qJU8iUnnhsJezzlI9PAB9 1H+fIIWOzfkb0pzIjFfjpEiooDCXvy0hCpILgPPFBxxNbnNKf5fAkFywQWbkKF3r6C18+4W9oKTN 0ynUg9vfsIsxmxknU23c37jt6ZSQgXAPRnBJV7TixXrZiWOLqc7eBNVrW4E+4Cs20zGa+ILqlg0B krhNWWZaLd1SW4UW+H3lmuafvZHXhlkkjC8sxGnGp7AVYX/xh+bwLgvAcfK+5u/SGhqO+6x1AYqU UQFJW+vnRfVU0NXrmB1CbTPxx6x36wZxaKW7lU4dz2f8HXVJabexT00E58RGSvohNJ1aRC/EyFto 7bFsjoBnzo68mIdRf+6wWjSH187ZmspoXx0ceVJ1E7e9UPWiHSRa3K/RQhTbfmev6Njc30QGWPDW O3+HDzd717marVtNl6bp0UgiR+CupFWzYB7DK30AgKVNDNpwErBRrrG1pdIio3joKC5lFDHXdc9q AdrY2q2x5b0MTBoFtyForckfCnuYgAafEZgBXJ7Mki7xaQryc16dYZ+6Vjd63EznieYQ2y4E8ZKw ZUsMoVusXNA0lI6xTx4nLqSbi3XR9E9JtOAiv23bnwkJM3qtr1/THMALwRWwQrVR8h0QLvsUyIhc wyrPzpaccprtS2E9yXSdOPEDBX+DuCvTM3hRDYah0sCfTEz9shB250MMKJFAybmCd/aM2LzL5Qde i+BQxsGa+QRJNhaCGuvFStxIpYOpEZUabRc2kvOUz2fp7369h/UtexUkCsSgu3A5GNtnMVqIE9bO T0p4LSaLciR9jIS8SciHOqEGm2P2l+9vW4TMSDY8YgaWB1zC2kU13rqzDejONULOQqTN0hnVcU5Y 90bQkbr6/6rbOz/QdmP/wF/tZiKYZk5OHau3j4D3yoyekqEZXds7D85DrqdMzRR5KDiJUI9CUE9D xPsw4oqufGuoSTQqoFXKBLHXU4aqY+nCzfPk7rowFWHZIU0WY1sk1dJjxiag4ig9uBRyrkQOe048 qJqmhZeSGI/SCRdsQtEm5TjsKEsuOUt2HaMoEMzNZJr3NIwgIjHa1JlvrpVFVIJc2WPBTDF+PEs4 J6iY+I3neHY5b8rJVla0kUeDXNmMfRj6dRvgi5E8VGl6iWteavKn7CzwpULXnqfj3svnK5pB5JWm dzCnllNo7nAZ3XsaWTQ7EPEQ5KQ55Wg5jmfKjz6P0mK7mSHWikTbneQUNsBY59ddg3Huy9mEyynH qDp3RpyHKJSVU4W0x40rn+jJYBYa47IjMxDPdwgMTCk0NRjJxE4hEN1l/7EGwXIjHN2MICoRiHRy DHlgaHIr5gqfMrNhY+vhB09dTmvPCOTMquIUgjym90UySdqeilJn4w0Nxha9lppeQYDPnSWEwMni +8yJKXNtZDdeVytsNJD0z9JAQxLtjYrIN49DK/19jUtYAXnmv6hedph5SYUGydxpmTrkSdAdg6pe SXlsjwX0arTNMey5zHlXIm5Z6oZCrfKDVmSznt9cWcbBsUxLXE/FpZreJuwds5VmQoJfd36d14eG Fqzmag0j2iJ0dO73BU+xJ/n68qFKj0yV/NB6RBhCnx9mVs+PT5+UE4sf9BFwKCt0lGU51yATSV7n E1u5CEwjiF29Rg2zVUJgjk9BVaCTNTp0sJxM0TBoaQQV/lBrlecCfkRL+lf0nOr+Xma2SPGZdBTJ jddvu2E4Ss4+ge0ICqwVidLotuyZwSv2XRgLNZB2qe5L2sHtiWrYgN9Uzp9nnugOE50MEWmsryw9 QKN3yHpsPZjatQY0wO1EG1pUkSi17LI1XsmNfuDXHilxHNsmdxYui/uBOQg/YDZ/ii/KrrMeuMV5 J2kqi4WMonDPBQbXQ+U2nFegVtzNuLWiuhVPv/tYOl3RQm/BadM+rTYHVG5jhl4wCAlBX0Aqbytv ZSz6whWdMHbXdbNnw1AHEEs/S9HEiw2eZSCnfGxHxT0Yvj+7G25MCq4YRbKT2lpLlWY/ve7IcRE5 mIHU4pKQ6SQ4e6rMj1E4BfJyCiDLuKM0V1qKcXux2Ns5lftnVQ24Lv98ngD+6ANltlWhlPuaujTQ H5H9G7fk6ka/JHDAtBs3FqpFLjIbUglgYUEtzKKoHXmahu69XzqDJMVcY/vgdmlPSFOJkUwrB5cs qDfAmFK2AeD7u3DTuNJRudGx15TF8GZBhnMdH747+LGb792mPw7jHUOOZlJTmv/nBc2XRqX6tmK5 jR0mPwKKxR59uXmW0lhF3xcQ37U+cpppGHNogLeOKCjjw5B86UZqU0VYz1+EgIUzmR2Si+iWO6cV 7SxmYtbJdralzDxplEhNNfvY6i7opcL3VNQpGI5BWeHvXEZi3B2Y5EzmJj7+HKHipGyYJsKBBIyN Gq8IXHmR/THuWPZgSPbNg0j6eg8vXYoYcWXmBuVIYDcG4M0qAir5Y6cVWYnAJ90cN9DctxwVCWA9 JalHOgJHvxyL8sVRl1MFPkcloKuR+YbGCjnYxjGRWUS6TOls+fqi69XarIvalLF4TmRWlu6i8ViJ SBwiBUTkO2TpKs3/G/lMcq9s+dwnLPUkGJ70oEblSGtJMY4og0SIuqTAFPcYudYFFdBQNBXJI4dL 90mZHzYWspm7iV3lIOsvtYfUGjxngl20L0JvHVsbWt2WxNo8vp1yc26SXeJSawjyTXgFJLdHMs8J KM15KLNCP1ztWAhgC7zRU1tVQoek749EB3jdijZg8mxb9hHqt3frd618wjoQXRYAo3XJ2yJV7feQ r61rz2q65rjSxYDxeP6OKsFlq/YdtpsroUFlFfV5kg2RRkJO9pM55CmCp9IDLQFjOSjTzUJ1Zacg 5t598XDGHQJlOZZ0iStCXontp7epql91l1WHeFKoYDxrJx6N1TEKYXyVKWrzzwMcCSevT2V+FqQl 9ZoMrRyHbCR02qyuFdnUZBKl98EQvvFkhPNOjpkSWD34c0IzbPI6muw/UTiM+hUCaeZVWqPbvTUS NhSSH2AK4w9WQJEKgNcDF8HW4JvhuEd8KWh7c96+prKAENOS4OQ85yoidYFRyciP9WrJnGEW0DRK AwyELI/9lwHjD0dJ1/E0HOBcI09Hj+o9xxEQhkWdmDPrgcS9RHfxS5ezwCh15f6EpDUxpjNySO73 sGpoTgVMlV4qUAsrix4mUjJgZp6dRkMtNQ2FzKyG1z88M29sRW1M/vQJEb4vDmnUBT0RpHStoCQG GQxaoHpN7o/eArsuK3QrkZ9Y58I687qw3iQ2X35v2kGiUefxpeyJ3l245etBF95F09qq0ETb/mRU CQuY3hqqKeKgjLSAUgM4Ae99GFWnq00yYCksWCfd+yzCPLC2bILYlU1LGPGITcZB11vHaenPcB8q fcumHMflP1fXxNhaHhcM7U1+qqXkGUWvUwwT7c68daZJMeDL3vVTLHevh44mGqvgcbMyAeHmYo4j buw3gOKYrcSCbwkmC37h/zwM+oEzCh3L99zxvkURHOpw+wKvZ7exZAs7m1p29FRre7lXlp3yrXW8 taMa0hAM7PW67PPobS+qMwV85rdDxcXHzpb+GwocsmR1xc9xzPjfrYi4tfFSzjqFay/3HsiQkmfY 715PL0dUJY/+SIwQ6VDoVb6uwnyj6eghvUDo1JLSTf0y7SJ1Qp8WBSNReimHJGXyX7rGKtcxT39+ FBoKjr+4pGWPkn2TKLDQdN9J9M0hyB9fOp7/ZSpBOAsrV3CVjzoTdV60rdMWaGqPP1+FF+kOR/sd cUBZwZwH1t/ErvMlVNbLnk/3eA31IyEmDabCOflkRvV1qDnkUoQi3quDbJDQ+vovdoSpe65F0ZGR 1IUmSaEn/nNgyauqpn7qbaa4v6vp3DUPyLfzKMvoehZbYFlya79a6WvFMK8ZFB4od0rwV5/U7XeU +ZpWJ+qxgFR3AbLJQgNFag8gj4Btv7PHNrm2bjqlQkc51Cg0HUv5C+Ci4aM7co8yl7AUiE2WTGd9 X/eG+LBRXsJEDPqKr32x78EjwoMgEFEZxC+912WBl0qt3fLZzfMa+K7iXZiRc7kNFCIuNxRLg+pI JHplYXy94eJQdmReFpp93jDDINxh6VswP68RelwNdVedW8t9TG34cdReM4jjmjFC3d8bOSqMax0H KxHqMpj7xBlBeti62JQyTn7xopQlfa+kVAUIb0i4auqK0kSv2YDmtSB4N6sICucx5Qkgo59yRZK3 K2nizp6K0rzXy2wgmWhvZDTF/70yAbK8ADH49Tj6qgJjPyZqvco1+rtSiv/67GKuVwAJlwnKAGK3 ZWSGShKvp6dwLqwFL0MGdIAgxkj5gWCrWXYlfhm1E5ZmgXXeDnZtf5GYfvifBxMPUlYvHs4cbp1v gFRt5dbHkwFeNFD7d9dJTB6I6HhojzYYIKouZAev1ApJx+xHnpZNjLdFa7D6U2WIZf9qOy2BkHWp TsUoI++0hSKASx2xDfGOZuREVqPNaWkZTawu8LEI/0doApqZrOpGVMII8HthmeYGSIkVkAXxnqvU 16ZvENXYk5SXDiYckYXbFkkFFwv9bx/lFToXYMRRzCoaYKMSH60h+yDGbCfO04SBLuo2MLLHVhjd 2/5LCJOPSlfsjrwmzvudyCfo2E/mnezSYcFtAvYK1vT5aJ+tqD/0KrFgPn2fU4QyM4kAvsW21mM9 FhRw8E1mhHMsqH3HZQjRMMQpJZGoOlq0QYBTGNC4OGO3vy946KJRWpouvnz5b8F2IeESIuF9Cqun i0jcoUKePXuY7UdYH0GtV2o3h11RdqhsFWpDTqgJI3Foz/rz1DEYWMKlQSfVix9mqb5uDnXr29tk gcNnpciHbj+m9qBhMwQIJSEokC4eXA01O5rpifLjdvMnGmFHqiZ0QMxX15QvsWdy+xpKQtM3dbvS MflS395iq2eaxWs94fHw9XIk8Fts/LDcyHeYm2mLh4TOzVwteIh0HCWb3I8PgJRiSDFASkuMGeF2 MIzm8GKsWDFe0/sKlnLDRpT4gl4IfOm6scqcjFpoOa8sAjxb/TcjW7O8SxS9ETnAXNHfFa8Q87+z t+YdkLtnZsrkkCQfk2Oi0zdDxysRX6rHLmPFduUqhHbOW9zQqg7XcsvXPAXI0RRGpivMpPaTRrVZ N2MkHB4Ossly3ahHyNZvSkdGs/WohRv+dp8yrbGGYxSbSpI7xKLLERgCTFSe1uB9i0B4/bwOvi1Y ru5+1z19/hmVb3Pgfyu43qn/BVV/aWVbKGB2bj2NmndJThiR+ErXz7fSky2fc4pAAVgh0ad0Aewk h8JaHO1IsPzL7rwfEop1P9tAk/8y69dAU1xPqey6dyfBFMGpo97F0nMUufPgCqi+kv34ZZOvgz/l u0A4Q5XuTtatcWZhMs7JAWN2u5HtzlYsq7tWMRjWJYIs6OwTwkNOT5SEQMBJxfnX9qGR6JmhGVGq CyYJgRGE2Zt+h5FJ9VX+nDf/L7Zh8Q+zGO8bOgSyoa75/KIfqtGH+bLJTWGRE7+DpwpmnTWALji3 cZsNk99RtEE+W9cURC2ICNhEHyliw7wzr56gNk7p38YMtUryYSUUNRxroATMCBLcU6qmcKk1Loaq LmJKxkf7LvSLC8nENP3U106OL3tyUvx44OSX1rlb5xk6kfAHiWS3u65FpSGqwXxzhDGYC1jLUdCN TDv/t2/BcWCoE7iDpWxKlPBR/maDJFXG0ShnemipwrslH+eoGhjuiQjijr0ikTIIKtcQVASFp3X0 K8kIHH3lnx0hem3itKqHyITxEtcNp9xnbeKH3hT4HenYN18oeGu8vQgFo5Hyu0deu7ei3WQ7LhHw qrgT/6reLTdaaifAez/2+0ojxPWFufVhPgcercSn3kH2dxoQs1PAj4HH9zTzAG4YFBQRqWNJ2zhn LnmakhW3CmkiW0vJTDI+dh87vfkXqGAttk5t7QIHzLKcI/MVyI0Z2wO5ubs1XGd6JPshsbDzIIG9 aW2K845AMb9Lby8n7anktixu8vu25dLmKzzpTA7nazemIsGsGunC2N2CY9k99mNMzUkknAvnFMtz FnG53NvrdWL1mBhh19PUSlTe3bV5rQV83SEkjse9T9QlK6ydOj/C1dLVoXe74unatUTazZNGtK/+ cWvQnuO+w3TW3ZOeHBeeU2m/rEp9QEJRbxUSb6D3LPAvQZyyXDNexexe45WWNnACjTOJ0hDEgEU6 xse+SS7VVFqN+6eyF4WamYLXfNudw0W2omEn8LfYN7ZKDUwlsPZWCKjR5i+KxFa3HGosvIrPAv5l TtqfeOqYgy40TZ6ddEKhQuj9KMFZ9kXBHesMrGsnjvuXFp+M0NGXS8lDarRG0sYjdJW1bpj/UYv/ JvdFOmZITOUuIxUo1tiKFOwj1FHVVLqdLOdIxdzPG+v8h/LgIjabhv5gkwvoPufUW3eyIVeoeJfq 7dCk/OAyNblHuuyZWkDQg3xuERmxWnYFVIiHist/Deh2Oke8BnCwKBZSG8gdr8AaR3FXYudbg9vc 9E+yOjrteA4Nb5ZqPdV5piqV/bU5cvVFA/t6XICEkU5oglaL8X1GvtGRBBGm0kOyAy5gF7sslmNu 1pRgIRTXA41xhnwV9U8TiHEJHH+AJ4Q0UnBSfVE0LOVFdnHsy4pQa/zcMvfgwsJtdPGKxMcgiJgg Fhfa+eedMo9O21wns+4bFAU+AxOW51N5HASnhmvtcfTERMg5pwitBF+ij4tVaIRNUQyKCs9n+6K7 oZ7Hiqkd45oyv6yP90nsCyDJjhcHs4OznK7afGLdvBTrRlANMYUYqVt2ULv+g/lMIingqhGIJJfd 1bmaqJWIKnrLZ4wPIuZ3D/Nin59G/Yc+qUpheqnLVEzLNOAzoKrpIUDimQ/FdHVAol70LbhwHrtE W1qyPQwzO93oBerNhYrW4jSrVHHPzhCGN2xK7a10lomk66KRW/Dbj/7Rj9xF+xU3aR6u3RZ9vyex UaRfRonCFBpanPbDARrU63smH9eMrjtZbpTYVErF7BxD8IYAWnaLthvDsrpNVbCwKbCs4XyzdjFj IOeq+3ZfjuTjcKcvgjsW9JoWxQhhvp7EOx2o9CBQN3mQeU/MT6VNPwApUQc0yqud9vhU5qyhBBOA Dx571eRWhHWmeJRgqfB6pMaWKb0PNwFi8QfmLwYNItmpu1y6edFTikCc4RR+1Z7fSRAM0d9orymJ SFxpLXVpLP6aPrRgXSYHsjRjNIfwuWLNeQC7AQEJP2MJvaALpMa61cKiejqQNT2YnM5fRsW2+x82 /Hae354FdCzURFAuyX+w2hCN6z2S89pOONKFOlNdL0RyAUpKLxSvdn+iNPmHSMYV0F8Kh0JqTuXm xy7Bn7X6RQ9Y0qNuCpNwlcjPyfzNgtcYNT9Vhb1UAHx6mEx2u740zZienxsFQbwwZku370NPMOun DEXy5ILgTAyfr4B2P7hWi0kae12DoR59b7+mLrWfrjsIOeNY2QVPVu+7WpXoYdxGQlZLmQxwHFNU nY2BhoiH5B03tZKkiNU+aJvyddZA4wLQdHiNTkKveHEyWP0IyHz66kGPrzf+xEQKLg/OH+zeTkqB NQw7kZt96HzxZbpTyPJeellDcdjF6h+n9utjkDsrl668GeJAWLx10OmBL+5bDZm9ygHpf9aSHPYx B7Jq5k7Kvzexx2R8tEXg2DykvKr3A0yi7YBkZNtXNSIAbgSQ2Mltt7UEolmrLmaY2s6ld6ylHPqa xNRpM7laUI15kU9+oAQZw3U3xbIy+mfsslCNYOUwGo2jX0nAPpzSwBkbmAIGXvqDo2lwF4becwCb EOZhDbexYeiSy9Dc/b/bjBIU2uj2l3inUXjfGhzMYjR/ZmiWtWOOU8EkaF2Fo5TfS9TtVBCM1lJ1 3293l/8A1cM+IHqthKOwPGB5QHE5+sNkKgDuPH11kNRAjaBRHyubx5DawiHuQu8ehLCzmhu2/8Kn rK710/bQE0bVzMs2qNpi3/tn47yBGvAu95XAn4vziRAzB2aQCyujYOLlWbJFHJ8R566LpE+s9ijZ GBbTHESGFxXuJXcf6VyPmtSAuFDUlTxL1D35XtPB3MQH1B6EITcJGgjZ/wSPwVPbOsTLYiwtCAAH b/XpGW1EVR46Ui1xo0IC1W5AdDbf4vW4DwxNpfV0Bvh0xS39bnXDGfBnBTxOfUXSwGCOv5thA1lS g9eXh5/xQcWGnpUqgBJbBIRuKjaF5iDGYre85mVcBwSnD4ZNPU9H1BCDei7XZHHCSxbajEvhYs9/ Et65R4m+v9im5Ls8vfUIBllWRp4tUptIyUs8eTxQqjp+XnLxAus9X+F5XJaxipcNZUvPeNBX6xG0 e4OvEsphv9C31Ythx8Qyvf/c2chpxkSar7PUoIORt7HZECJAEXaDLJd1KU8wQgBPWQvTDdU6pvzS 9+MSlEjPB2eUmtqAaT0UW6KtPJByVDwmSOm3iKrMQ5FcdfInBldfJkuf76SEdJuM6m4bEYTT+pt+ xh1FdmwxqoEiBRm8b6qaRk7nrDBzukj9AL/UbbOQkNdmprCW9g2qrYL+vhGKfp75thtBi9HPqtsX nCfDNuv8611XIYVLqSgxY6sv0sacw4YqvvPD1IBBgQXszXKnTHW3xlE+PXn8KMGt4+AyAkvYYPKc eFNaD4JmA4/RYXw5tYccNe6xXjpzVn0s8z/gmiNzWhQUyZkXScYQv5lcLB6C+WVHwZaWX2b4bx15 HWmvtT71qyxjqdod1Iu7UCqoxZ9hiIVsOhbgMJ2jhuphIefeqD6YDO0FD1tvpPAU6jPX6NzFRAqU JS/1o8PXZQ+1daVbkjW5p92IlMlnOQanYBYdfrjJBeaPXgcNELskk7zWdbquI26PfiTxeWoA3t8M NnvpI3IhLu1KF0kya/7x1kssSKQI2Lt1Div2yifG0UiRJLa5XpD4g6iAaO5NnjoQHvve6FSY7WMM lcAUecFc2SudWlo6WVTTAIHBoxhJ4W40wqyPspGAySZkeIIgZ1qUAoFPosizXYTdY0Zd7WQqdwgb +5CkQwgg0hlATXtI5V5eBuOEy43gQfOUOmLd0uVFuSx0hTl1FQl6Y1dtfhZ/Oe/RXRuwjt+zFYUM xOsqD4hiQT+jE8fZwG0D02cFiijhegwTpUh3aHHQJVr5b/AaQaBOrI8AYmEezvCFa/yTL/2vANS0 /fXxvh8GcEqbUpeSmbzWA7s48YCno6+zU324cE396DpyBTXMloQg81RNEzC68POnoVTcajhFbGn3 cZb3HrizJ5jb/RaZbwGoMONUrNBlgwzBpNB9V013I6OQsqGeP6d4eSmpl4o2vBLKgvWATPwAyrh4 MuM1y7/d102UXKaP7XvKyuK9rOGRL4pCg8VcQXYvoSoWvWEG7S6o9PjOKCrKCI5mAESbFM/Hhkue G/vo1BcvDoN+r3znZbdfWNgC7uCBWqfd/dMCiUGx8R/rWJZfF49JoCdljciJpUmtcrq8KhB3S/H6 6zCz6GghUxImJDf6yjKVoV1ULkFYgo4SwJlkw6TT2qI7kY9HQr1wyqRfacF2CY+BJSLlFylOIfLn +ijwcIrUks+AzXTKlmGBDLY9AOzzWD4OXEqS2HiDOqxExTgQ+0o71zG0oq0ch2Tl9ezr/Nwp31c2 FdL5frBxfLoI3HPhCkSmeZMkJf9YO/oGBGkSffu43mJ3GPGcXdThWNrVVmYsgj9Dt0w5YkLz9n9R aDno1d7QupOrbQXwXE5XOhlzswfYGlhy0G0vcBtk1rDNpLS4M49HAGTdKB2+30Tn/iQiYzCKwvK2 OXf3D3iPCmaWxE2k/Kqq1fdHMYq/oJEHWQhPyP2CfwUKX6wE5E1QYHfr9PtzdjlI9dT9Wq8++Jjo aq+qS6Sf0vG8cC/LrM9ECug0zx5PwJKN6BPROpsr5NCemcAFYzo3C05MgC1q8RJwteFvqoVKcyrS axcFx7jGL6/nakcOuzn81vnTWnGkcdxMIKiadCl4YEsgSgN9dlGKuyLZ0Vq8m7Z2zNJhRH/u9JWs boGW2CkMxTw0ox2mFQNGNY3kViqNgWNbiCU8BVX5vT6Ds9R7XKHJvOZbQo9q/ja48WNcL6SBSyQS R2mWdUMWJm3cQkRaMj4rweuEEDPNPi1wTzm+tAEdC1RPkrdKuzU9nMUYvzTYk7mnumhWrctqN2f0 kW6l5rSGADuGD+zEJy96RnOVM4LpyYNQeYgFomdRLk63l2R5VbpJjJFVSz05hMgxn4Hz5n0JAOZS VrCP0G2j0v1hic7klKh2XWmrdoKAUjcC2FB2waPEoM/N1sA1taDPg28vSuVwZsJVQdbEpg+HpE39 RYoIIgn0NMh1Qo8N5ZmBDwjvzkmYdxlTJ7MRrC+5ZLFoupr4+8fTXEaIQzBeVrn1momDjSlNI7sL ooiaxuJuf5fla+SDi+9RooduveQcaydhWRCHsFYP+55YJKEnb4stsz+C62liK2UjhWnauw8eGDQl nJLHEukSkr98o4KJptasWec86tXeUhvLrIOvL1utYR1MBbqHN5ExNPIO4hvSbU0/m8m6cc3B5qXd n3INtv6uPlVr8BYfyRekdNGuaRJqp2ikbhUDMxsgWzedFY/a+Yld/2kC/AuI/9S/0fJCJ/c625V2 yS9VCxfd1sewGiGgZonPSrrsIrE0Qmdk8Fzd0QS3ZSCR1/5oJ0TP4jps8hzHF2OD+riUbQTIBLGl 9udJ3qPmFeMFiJRJRCjfr2AImJSBvln28kE9963bVVbDjJeu8c4/1CK+8fLIZgzi+xRS7fDaG+Ep eaFQxUMJ/ylnWt9R0Qi4qMUIBmE9nEMLxwLj6eGLfoVkU+fXIxeIYKzgL2M+nugyjegaEDUqPQI/ VkUoYaN8salOHOcU3JQKuF8i6VKEEDvLT4iunfghE5yM0z9ZAkHLitQMvpFHWJEY0kMhaSRqwCL6 s186pv8TH3zzaIyK3f0nAy3lwnDNjtnm5FLEIZTmrv6YU4flFP2BWBVkEE1I7IE+q2KCQTMeUtPq UafXPco1sNV1bk5J5r+wQcVGZS2lkV7k9/mOUB6fdWDHsoQGpilKjTZXjJhZzJwTrD7/WlJA9R1X DMtUQDw4y+zTVRe+dCBaHVZxhtRuw2WsK0z48gvotuHQbwHD9er5GlCHVtyK4VPDiNZ/vHhx1KJx bFIuDuYWFpT6UyX6M+kWpJWjloQ6KeenKV/1IX9TxyLCKxa/wPZ9ILJCcHxn40E9QfFic+ZUChnf v3yvrpEk2GG2tC64RRHFp7tIHTnEsmVPbIV6/bU6HP0F1cq2kBTkZ7iI2KklBE3JXVLQQY7b7s/o csOiefvw4wTWSS6ExxuhTrVrH6BUINYEskQ9VaOQ4KjCv8VjfcScNnW64DnLHNIbRTbo0yIbdORU sZVQ4xXB+eaHfbhVWjr+nJWniTj6g6PIoXSfRxoN0VhsfgyztD3JjUvUkZTTZoKYkX8kR4+Tf39Q bOh5HRUldMyizrBnfoLF7ktXotqjCaUlcwKKThAlsfSldg1xrBypECuyi3Daqk6k9uGoJrHqPH4e C/idcytoO9w+Hsoakb/V7IEF/VsWoshDjtwGnGk/G/Tg4Xw+TGSfhg+5hx4wXL8qYzeg+NuLz/+W RVh7JXureGpmYFcMqCaTzBlzeIDlPevoON362ZfE3Zps2arBAJ9DCab01Q59HyoDqIuKIwWqmMdG DHSRAdDGAOIMFccdGBPJFcVSz4S+IwZrZZ+7G+THMo+mlloBwBDJDAjtUs9P0BM5S+MSDrJfcSfU BdH8/SkEJOzABR+FDFlVLby4XhDmOmfmTGFpx9+FjKGt0yGiwTjy5y88P8LTnpLAa8xLoWB27jlG IbaKsqV6WpMraBF8S2ATNx7YUlW2lJcULZm107AVNeZ+JTa7U1gCkvBl0S7ao3mWx4Q+0EIcdHSq XIK2Qe3uq/IzVEyOHyiHC5a3OCN4vcT66T7Lw76aJ+wakjATx2mPnfnEZu20rhZf5tvCLAdxzCfG K7SNg0AvH0Jns3j5mcxc0IaXQkHowmcT86Sd+VSoGCokcyNc8+ne/To9EDLJ6l3LwjVtUpja74mj 66hTvl5IWCXhurQAOjzrn2yzCJ2w54dcSHxh4W4oxWi0gfqP9bJYetHvK0do8WNXMhIDqNYYQ2yU t3Tl8L/bc8UCfD7c0sFdgZD3uglvcnQQJahCXjuaNw4BZTdhpbiQI9guwpM1TQJTonw4r5i2U2gU 0zEUBOG5E1obgFbFOb+sS6RGhq3/cGVEPLALIOlEz3tjVwinG7Nsn7Y9EiDW22XpUcIXFdThUvCz +t9qEEHafcrRIUuTotaIAcVLmudP1pcVJ2ycYmDDVcG2Stwyf3NcoC5fl/oXR/xMzECstq3YahGM emTmFHQ2QwuZaHkop9RIFfvrn/ku1J57exkLANY9Qu+Ag2iiTNYZOLpcPbE78fvEQQjJYhJ6HZNU ROKENA1FcZwNmnSttVyw30WNCCeQsRvzoRipOaI0hFGS0qPy/ineIXHVnKd5GtX9WfzO9pflMT3W NFbBZmqxPynMmqiHHekV9cJ8Cne9V9GZmI2Aai6jeHzfo6nALTFvszV8cY+sdNLinfg/YZGVO+DK yMyhsYK46IvJnzsFOlJxnh0PHBAuLYGKVep+V86mV0FNN5gDmWZa2lN8QH577x4SmtFCgQl7drBb 4kSO46GCt4BPmBgQIw85K3vW189FasYDXzzmIBx4dzf/YrFOn4Z7noLHzUbYh7i1/DqHOgcs/KvX q4tOAJfxKVAehgXFgMZ8rTFizmhuuFU8AJJ6A6i+CjBmwGkEONvmmY+0ItwM/VBWUwUnfgDzIHw+ +QBG24ROPqMLMDb3HAorIfqKl49KzUTVhOaZqSVobcaV2wmOAxocmosJG6wqimRlRs+ZAcI7iQ+N ccmMj/4/Y75iulPi1OtqrKppDCim1oLxwZoRpZURP/6H+FyEyRR3piih5SewVIe252uK/MwP6GcB ahOJbuQtbgOH2hSpvSC8tJWpo0cVigDEqRleCXNpgCtA5/gbcr43MVZP1COUf5RP0FWLyhjTFrop bjjoLI0qI3sB6MvezLD038IfilwW9HjKp6lNrfTam+bLbvEHVOXvvn5fNe7TaPUFGQjrVELLmwGZ LzauSjbZ88aHKwSe1G95f6Jy7ZmY5nmFtCKY+e9WqxmHStyETNe0x61ZFnHC5XJ1z50bYjxZnYB2 98o5WxNvFtw7zwWm3yC0/MZHM1nPIVhSPgAD3QLJwoSeQP/YnVwd5hwN6q33I0ZptAQ2lSpGKAUe 4zJ/ff0sKiXuJBQ8tOdRDJQSUv/ljptnEf69ZRmzmfm07Akpc0qXxKtNr+blk2Q3umBL6ycIn+8o qY0yggAnes/TJtTgrmhUTDiE6n2p5tyf9Lhb1U+YdcLpfCaoOopLQ12e9PKlDwuFLZd4J+Wau4fN uY0DwfSicobsYuQmAZUEATDNVTaA4pkcMlkNawaEFWfJVjO2Mrej8lrZFm9bI1lmLQvohr7C+AjF U+16JdJuf6YnnkDjm5kQwZ2PhSRdm+N8V22NXHSjouYB6kWuHfQm27i4g95cUE17D35VxhgkZYaM NUGQQNS0JzV1Q+cRD9kPwuyVoJxiFuXry9NeCMkXifqk1ckjMSGjbeQ2ObHy/0kF5M6TMVLyIc4A hGp/MnyYkQQ1493P+u22DBdgRrhQaY2SPZd7saSexcgfu5T/ub2KP3ug8xjRckiuYXxrTIG5un+D bUUlcfYXQy6G9WTpLNlrkVjgssXG0IXskERUKOg4rKspsam/WqmsIdaQaeSAoLCLQjRERT2h61P7 hvddUNDN1LY+ZB4B2i4IAskalip3js20ZjrttuMhk5ccLCwIzPYrnrsByop813afiLTfutn/MaC/ uv8TZxXfYohLCi31rOoSobc4fxmopHd392XxahOffqM1pGchFFGR5a2yeLdszQNtdwsi6DV9kgBE fUnIMCf7oNY7YY8rFAntp/jzBbx5ZjuvBSchki8GunOi8/CTA+tVvjFIpGei5s581L7Zw961ebT2 hA7F6SztMYLbA+eqHJoCbFBkyLIyIjFcuKvmMGnK7b8wXQ0YqT5M7QCDH3UyxNTRyv6oFrulc0sC R+obM6ZkOSCeXrnCNIXGWA5hqYa6WXD9iGpW7t27IIozZFa01gW3dfjq7tWozyaoa4nU0HG1PhyL ogA98nBQn1Ar59T3/yFqvs5SNp8U8bxfNNwA+h2OkMacKBgG1AVpLSZOxQZ4xs88CaR6ccTdAvNe gM/QpGoFK1vodm0TdamNU7KDsPwR1KlUCMViG5gU1t5PZsv0MEByfB0rOidfYyAZsI+bl3OqdiPh GOZrDL6vhZefouhItBo//BwBSQK65B8E2q4PDaPK9ELVm3zqZRyc3iJlQRXIzNyfhaNmrHho9BfO I6xzDj2Dx+4O2mSRZAJc/gbxHQf+/GPkvcNdFXxVKUcwH97WZ7ELMpAq2xsQlUU+OjiV3BskPxa7 vk8WkZeMORPXvKp5jz5CzLWXpxfDJRCIoB6OaRtZxtPKsbu3etuQr+YBVJ+r4aM9avMGg1h/bDbL MJt7a9+zZxU6j3wNi1V9/OQ8bMfESc6pqIP1C/G+tk6VsaVSU9RScNZOXFyb+tX4rNyjgQpIYFgm knqPmf73SfZrU25cfiRcwst8fMqOvJKsjBGvAlneFMwNnvasf9933SJU2jtdzsl+dIDERoKVQQUK /NlYJxfy5UgZw/Nvyfaeg6Kc6E5l3CFJOiaKzLwHzU+3xt07sv64tsIhLdrFkl3c6O9VNai8Q+zG jjlRHj/nTKz/o8B8cvV51WkGVufUj81oFbswy4pkNVyK5FBkVqrltFiSS7YDPdtIJSyMfHlZOvby m6dsK2U2ypU243WHWbAkc+Zfqg8jWpxhmssBO7tleqZMzFFcIRcluXkcTtWTfaC1ReTU3BJsZ6eS i+q/PxxJXGq+cGs/nPDhIksi+05MLY/6IsGezUhnGoaYT4j0hNDPB1HzMbLL+IBbLXdH4GgAr+71 lEnnAOr0HX+ubWNGA50EH8sa8M1X6zcHU+z/VaF9x+OapaR+Weg2Z4mnfUQImyz8ZAwUkn5P8lAh hcNlNisPQDMLAFf6YFs7LcpBfzEa7b5bMM7nIFp5MKpMRmKE48NP6Sk7hLXqTdWgExUBfoh5S10a SoF/mbXIotM6xuuTFbMiU2JBb6XVFq0nQ+5IOgDlAqO/mnDKwRX8Vl1GqTTSmOnS94gVW4YzyDnq XuLT88MyQ+tEfkDa5z3D/QUvOv0QkMnfYPidpXOz5eXTFfJmLlNuW0WMjCUD6WGhHYUQoQoVy6/q nh6/xx6S4Mc61e3G+z1f2LXt2lg3wuXQ+33FZdfBMhh4OQBvcgRGKDkQpTXWYxYd6ELPtKBdwP0J cxC3bHNdWqW38LNGpIEH2GsUjBOneieVlzdtFYcyTfxcxd6b5Y8RqSmU0E3cWiGR38JCYeizf+hn N2UOxQJHPbLxOyp5ILAOP3RElBNr9VfDrLDqvTHoEKgS8ju35u/r0nnzhc3I+pvFQrELk3F7g9Ff KqWHL2Lh6u9Knq7m99irVP31n5YRLTqGBFdfugSyNYUK2EbsqsC5waFVhrSNVSxEFloB1B1MWuI6 TctkClV7yBGYtaKmlfQGP7B8py0MZMmqfXCF/7hiUnsvFP+NfX657hsIlt4c5daH/n2Htc+vFzin ydhIqJBlrIkAk7xr5FKHr1UUKhGPzfQe+Te44uLlz253QPKNr3kASGb9gpCCZ0PiqZqNASnaUiwN 8hLSI8Djf81Ac9KUqInyT4NKnWqWQHKFxZJXxd6rFm8+GAplJ0eBYiOXPktF7yHyM2rQ4SqzDxYP w5SDwTZ5Z181I5kcVDrNeT8C0OXU6GcW26kpnxYDvJydC+rtRtVSA4Axv/8cKFap2amnm48SGQob pjB84LM/AwLNf9v3kEkFR9DI37ttS1eJDGc7Q9hrSGQU3SLTydWHg53AhzLwXo7avniY47CURZ6y 9veWJOmq6B4f3IxcQpR+WG1YGKDPReBs+GbnaioGeQ2yii/ZCgnyQ1Icf4CHR7JHGVYU38Pv67us VPQsq0Vub9Mw95b98fhNFr2jbwmD9BPmMWcsKUUJSft1cdkBkuA3B1l5F8co8ugmcGT8Eex0W+Ij HStivy9WRACdHIg3RVMkyNb4JqG+pw8uF6gZ+7jK/ydpXPyWn2rP1HfKo9vCUFZ+xU1c8MU/fuqQ DSiG2BcN5yRBbPFRO+u+9e3rxt30G1W+M7oFbEtXEMbS7zR3dfauTlJuWNyb4JXOm3+MMgFqOYkY 760xgnDBTxmdjiSkO9yA8LVVVaqErFOBLxryGH88jDPZX/PO3l76G4Ic6x/4s+p4a2E41tU+xfBb pIAQbN5JMfOGtG+Rbb+9vANsLD/Ydotmg8SpXXZtSIpISPMAbq/qWNy+xVSho6VvaWiPp4fuRvlP X5K2cKjsSJo16ZefZnG5uZLKVRBEgW7K04j58eG2coo5GnifGKahl9ShvVkYg75FWmZFNndTtAlm 490Y4hMq/vH6lcxHOH2LkUAe0670H6juSY+MNEiC42IRU2wktlCPhi3kPYKhYiOMR4ia/wwHo7W2 9CJy3+5GeA2coXRmNVuVpQ2nYRj31qstiKh8P9TPWgVmpq2LZNvbWbcm113kUi2caL46SdmJQc8K iRMF5dX2JTVciREmRKdHeVKZiosxvDLt9kjZbiJ0aAx4+wz+OsDiv+01K5JoSV4HBZnZbWu6VSvy tR8sIVHa+3s9qXmDyXLY7sT7KulRxpOJV7d/5C2ILMvFhWoWaGFH8/GDWLrewPcxO3QImOcW57Os krH2S89qZ3jr2nYLhnyzQTjZBG//xC4jQVps2DH1mPPPdnsBvsmQ5XAYthx/stF0EFaLWoHqmXKq YEgSvYeZmiBcG8geDZp+yLuxRvcrnTWRD38rPkfQpIRmDJDBDwmZx0rQ0DY5TruDqUi4Vi6KKJn0 mIX6spqC3XI5TZWdLHOYECL9m3am19332JkJJkeuD2DbZxlMBoGPxMlcAI8mqBZil3MRrHOr12/u 2VH2cE1YhVVGvsb/IjyceH1UftDSP/AFViqyTbV64bbfstFNQNwczeuwRJnilAoYOl6YWeiEnlEd MG8q9OetlQIaAiB+OKyaaSETIfB/8TEIuD9OusdlSQWnv/y6eF0hEhzO+ts3WZdm70MmsJnpWRTB SskBZzkLyHNvS8pE5KRA25Xd2C97mnR4UEDtn4SL6b6mFg5yNLcuh1XlQ1kpezv3+up5xNwJE5Gd zJPTRcTtoqmnbE2naYWVv7IUc/Xgcly0UPAe2PKzGGNKlLWenODEMaL75wCedA4UXlcXmVTuzm2Q cdDuI5SgB0fjpF4+0bW/SVlTZVAZX5dUObsWVzFgkqEcoLBCu2B8jqBfW9rCOly50dYDAbsf801i QyXePvbtOTTJIasBkZBpnfte+pwoS/uNBnc44nz5ofG/sndPNv1TWkemd58ORLUzP8nz7HMth9fD w/cVqRjfinWcp1sTl89dTcuvppto/VSNlzRiTYGZiEosY8b0DpamDE45qIATaA9iIqgwkGZ7Chp2 Cs3ysKN5KkIgj270IDyOJvxNZ74Q5pFxDXrLiVJRV3aHWEujUZC4tSiPpXqLFQOf9MEb9056BD1J vwLwMocaQ9N6ByXx15FNhntLZ5J4ertLPt338WcIeMgsPd2LAP/nntsXYBORb5q8QW05TOdVFUtK vh8rBcs+HXUwU4rXkHYiPYa6YY3/snhmj2vzQwcdVu8zYkGUdkasYRb7/lNMgaZYQMsQZMstqLSl qkxcKn9vCNKa5CrKrg0Te2vPng4ZbURHXkHpHkjCp79Bfj5de78SENGJcHjjnPGk3CCOTEYPmlwP N0Qc6pF8y36qyrkedmOf0+MXIOscihvaNNvuaPokEzsOaGGC6QJBUsBc6/myZ1LJ2jj2DUxpuuxY Szt29GbJaNKWdYts2B4qQu0lRyt6gEPKePz6wIoKbOdCttPK9el/WagSZyCIn+kitYVN5pKBSnGQ Vt/efXKv7tvvrcDcSvdVk3WZcgWwOSyVpJXay+0pS+LB/R597PbvmwofSAJQSmZZvmzMSPvoUlFG UjmeWl1GHb97964Yi00spGKZghNmT1bw5XFHSU99hShYgOgSy0MJCgLRKcD5eY/2XR9FUHvL/L17 NBq5vE95NQ20idvMmE6vlNVUBlPFCZxUr5MEoNVgREbZ7V3+3hzhHB66bRLB7ACKE1Yl44jil5sc wZbh4KjQA80yZtrksuIUEqjFZxjdtawpVXBHji6aJ5ffKzfY9DOVHPaiezdkUAJWer+AlTTfhwaV vGXfqIkeuFE3ItcZ3n4cAbJnDKdGtlC1cpg0XMaav5gXWMPYT+hD9xxQ1o/+tuzLEl1D/YqWGjWJ eEe7jF1JxUONLrfRyovX/El8mmhCXAObnM5PjJN+jYH/ZoFYh5SbdWffwHu4DfETxVyZwXRCDOE9 mgKNV+yxLnvnJhM/F/L8YjsVkoLnMA1GaMIhi9kDifCWKaKyiobyeaSEKqKqnuddNDONGo1JiU3O lgh4QKd9ZCQLvl/qV4JV1OPGeGRtYoD1RsvIiFAeDLjbgXKjhZDYS5wVFG9EcgZ9kovHEdExtIqU 2vzs1tJ9sj2kg1L9OrgvEpCCtBP7Uz+NP4Q6/LSP2YxhtU3JHy5a9YTt2ufUsIzBPkWA9kPl0yRi 4FZFkkTE8c2gnI47l7VH2ZEzcnJu0V5j8/R9KVSyYvoraJamX27HdNbjqk66FdINBqwCXNm0nPc8 7B5X8YSdQiPKuPtX+56VT+OCwi8ugYillRzM3q3kmdKRucRcrM1G4VGQ3DnnFlDBAKo6VJwwuBay 7LmYeNi1d5lncWKN4mm0FI5f5Y6y28z9HtYjvQp+OHVxidOB/3pS54bZy79y4cVuZCWqKP+F6tt0 JVZWG2pFcbPNJ5Y6KIATNFvhtRQjSw/n1bTgdunFCRo66VFpX24plp1un5IZh/6213tkNKT6hnWq DigAe+Eax1vutcvOwhN2wN5/s6IVHUolqLLmWwU3/REqWeFlglg8zkFQQCzTEKM8aVUti5/66yWU 9k7SoW8tPOg4XhpVUEExtfJDGrcRECWv3UC8j0u+H6uAoGgYfPxAfuhZV+XdoMG4SKltx6VjJ71q H9OTq68ORnZyopJrvtIeH3Cx2PQAkhpaFcQlJO3N4id/rEqngeWMg//knfiWAPnzuN3pF5d/4RUt Av2cW7fgJowdv1z1/VbWGcoHx0WmeEUreEn4Ja2Xfum9ABl0pqCjGernBGWaEz4ig8hwniCkcA8J 8Mho9p0b9/FPcPJD5E7qEpBLChlSQgQOQocwGSlF0MER5ee8fqNJM72TaKF06UrwhC9oG7PdSu47 CizF6bsaE9GAUDfmHP06S+429sFxutiC9mHCRSyQdjJUU3QNbufsC7duMkX14FQ9Di8gUGnFOqdO PBu8xBOtEk0yiDy7CKbfYzICJ+0POQTu5O2p9M1AD2+BahVQnz+4geB8a8YTx1y6nDPktvqWPNDe C23QePlAUpl1z09YSUFhqWxxza/orRtUoYYjYW8AWfpUpvyZb+SkGEfklbr/ukc144Pd0xfQqlGz lgIljyp07Hi0nxQimeBp0dWY/1+nlD/5kIIQIF+8XGj2r2DLUsRCPGqMDTw4uRYnJuSKkdn2K0ak IsVrtAM8I/KoRPD/hf2aLwxAfHL1lXwH7qJZJbC3/K0z+obW1Mqm0RZLfDbgudXMaZClVcEfkN2T nktoG/gXykzwgLR9cxq0Gt7F6A2E4+C8o/sCMJE1R5NYFwWKCnpOyYHjiLWfad55py7H40/JJ5um VOpwEe0VjBMexxBYoTCAKPg0bKq0Pj9N5Pv9vQE9WVh2sy9MMXsd3ZcNl1hIvvDzrwJ3VZIfFU1D qSMxJMgBHqm9XVu8PR/ELlE6iQ+zdQAPN87sCzwFf2Kd+cmn2h8ZFCw2GCsgewOw8qVSlWpHjExs Faea942MZlqxY1baC7oBF0sI9GhsEDW+UPPqoGAanE/noLZoCod2oTlzqDqSMgMJHNPP0s0mKtnW fM0VxlxfTJN3+ehVY4ZCScheHRXku7/OPSrPRNjAAGLt566768HitnFVzVjzy1piXQpDbDAnvjRk RzAhZKE/D4NFKcCpFO2e879S8AaZwXPURvrsfOiXtEszSKirkXwn+a2yNv68SCKcPkqkXn42l+Ae 63ZHtqQPQW1UtOrMFCsbf8nFqJMS70MdhyBx3UJHk/ig/wuBnWvcVzuazYZeYJ2eTYL9MNJKeMLb DLFN/Uc5FIrMJ8qF4OLGE/TQgE0DI3SCi4h6PsDBE9/VzT2ZDOzjdTzfki2GtHg/+EOIqf1OtbKD 8Lac0d2qql3GGYuvjlWy1vXLG4MV/x7UreR5Kv4uinPaKtZRaofR+16Fr5gruvgvD8a+dM9APOtN 3PmFwYTxk4F4LepS59Z0c1QRpDlzC9a2N9ApPx47W/CpOIwZiWHiPnpxsi1fGJU6YfMAvxGe41cz /8pKIv4pJNw2AaCHqDhCVcsmJ3DvLBiqo2xkFQA39HJR2abNZP5K+XihbBuDWdS5lmubnLBwtDVs EyOW8LMQSsSjo7RidsxwvglJhPIFudlY03zoR8FdWZRS8mR924Eejg+2E1YPQZXZVoZ6b9T5JEBH SPt0B2KIF1Hfp5Y5BahIeZyokY0lX62u9H8lgfxh8lVw9m7dMuo6N/cI8jKkZq+JYDMcZgnvmnAi 9bepVAcQwMjNl5oXsZlyEreCJP/nSyAL9I+MFWZ4AzgRelnFtK9xI6uqaFAt3d5rNTn6u2lYwleX FyoLiPffLV/4rcBg+Q2K2d3EP8W7CmzOc31xpA/w7ayWTbyJiGBQ1XGsSwtL2r4nFvfE0OMt0mP/ WK42cY4ZX06Wk5p6F4OXx/wcKkzdBOhgbGxim6dHO8Y7N3Ua6XUV5kVxp3BRV/LilLcA8NyCBKR6 oj5zW4OGPSzfIw9hcpCzdRWGrIxKxlNjx3MqoDZukHNG2tm/FiZTU8avx/xnYxidX8rLGpkTCkTU PwIqmYhPMQCmRjobUsZhr5zsJvRnvE2p9OJVwEelRgBgI1YLLqc626Xr1YEWdYwlG34sikHQan2r UrgmGIMSWKAUwLNWfctnu5qaShCI4rY7cxGuQgSD4YcAW5m5gxjcslKQTMZaBqsbk1Vhe4qVfbP1 9Cs60EpScVQQWU/bsi9QLN21yUUHXs5XhHHimFrQUKrZ3d9IxdunME5BTLtK+w6T/AAe7MDRWuRw dgwnovfxUCM/pOut5eB60hc6RVAzs8mODvoPRVx0n3mC7DaYAxIQWSaSRN5D88Psd4WUQ3lW/3/+ ndLTgVFDQq7te9hIm76wvxtAUAT09cXEzNVbQvgYd9xZC9WkNFpYeQhsIr384gx2IU82sItYBoFj PiK49HG1Al3LBKGy93in1T8Z6lXA6NWtcvIyQMXuZHHYiWGEGToAApwkTfnI3uVOlX7fxXXOLki+ nrXZgLyQzBFeCymf6itNf3VEmCpymb2L1fGOSyDSZmpul5qjvqkzetM1z3dz4UhXrqsmFdiDRlaa lnN/wlzdGn0VuMcqbdNAR0mwD+lkJfx+DjUXjGgEcmwl3bB+A/MVKn2ysdV4DZcvKSdbHxQG0wXC 9qPRGM/T49pKYVTXlGojjwtQg9O44hv70lmSpz3WEZPmaP0ttXLqTtgBLagL58YfP6xvUf+PN98h 4nfge03Z8eGXSqYnnGX8OlMlk7SCm8LYO91hflxa9bl96CF/SqCPDocdQXQCy48+1aUbRH4yWTRl ZdGFCOK+92n1GaHIvGl8YOdHvFKStrAP3ZVCFUzMi3qCXlGd8N4ywcstr8lL+3RAwz0sne/b+cST g/Mx2t5Qnu6Ycrwevm1eBVCkNKmTR5XsJQozVAKylePhovPvWruzSOs3CbZtHS9FcNvZyFJFrQj5 EpPwtcv/oyPGVUW/6V+HyQ0F4RSXlC86BQsahGElyCotRaskLXAo7PD1V5OsL0FQh2MaDn+ceN/v 2R2ycIkXsm07nKaQGmo+hnbLWjT6aP19CReGSQE90yUZ8v1ZTzkPhoGdir0HEV0zCMObcAye2eHG HwcBwjUF+AI1NKCsPC4+VfjY2E+uTEaNmdo6HPbS1fN9KDcjRE4Coef64wJs7+W2p/Ob1iytiuj4 kX0blriBICpNfnCT6cviKpnbLz/nSkIDQ2IUxlctiDh27JujqJ0tksVX8x0rh3HRaXtIzOtFT7ZM 8pvJ5RbZr0HfwcH/3BxyLTGDJfCHwWfJ/VFUNU7lONxSYmMcMdcNTDm/PZkCpNSu3fTCD3sO2XCm kk33jhlZequElgh7+5iRGpR0HseRr6b/rUs+vaMM5Or31yGMHqnERTmjXlAeV4bi2MjGm1FH5r5P g40SiUWgoCj5risNyv4B/yHq8O+Q7QiWAL5QTIbWD4TeHHFuikerb3UW5qt+okwIH484q45CP+Tb hwdKEBynRI1/UqNZ3gn1Na1sz7xBDcMQYa8OGx8wMMaTJxM/QNd2NS9YJjbsLVJBmD8iT3Ks1/2A xSQwfmuJjLSgYRZRXkdAPY/6byQSxZ6+PXnmQyo92YZ3yw9WfVEn8vckny3bpoxG1raASO8nc9RL QUMmdbssrivHkdmdEZMHPmUo1ysZZaOeDlTIRvBmHAs0lO8q1k6XE+1nBorLdZAEZ85nVZM8PXRQ L3/EMi4rimjKHsyIgy+mgKQuqMYRmKVqYMAqoCHDDs1ls3LKRve/cney0jyBW6ALjpP8RAkg2+F9 ncRtEuEhfqdy0M4l2iZqTAktdj2u+6UQIPL2xBOCELY3QdgOuZYwfejFH1q7oNhcGov73nWaGfQF 5FOX9XuFr1xVbOwk6nKjgxytyU6xHicNj+vKG8AqtKcpX4TictvmumlKalJGVFxKoJtPJYxdSv2W +EtIF8/b9INqrE6Jj0wmmbMrW2fMTulEf+A7dmcT5p2KdapQSNa48WiZ8F6azY+1+ugKkC+ibnV3 gBcnWPC+yEB1JMMq9kSdoTWZ7ZkVtPMd26pl+h9ynKstZiPzU2v1fuZgjE7EDjhWZLG2+6qBS3tW U9Jbl1KGnIJtl5W5E0q9tiVW1f3cNeO4riVyMmQIILTjFD5aO20HoEaRAcgBR6gNSMuUAAWPwPas 5JN8La2FGuazzi4x/aOEwnND9UG3Ns8R0K/Su1hIhfL93KNnADzd9pH2EtDo3Q7XZiBoVdsQ9wS1 Wa3DLws6mux204aNBPbuavQIzfdR815M0j6hIjbX9JWmbpFwJLTi+1Fti+OD/bot2hlvHCVYszqP BfyVMc2aabXZjnBd3YDSN9FCVRldt3n/68dB0jSm5gBOUT0qf53z5ogPx7gbo9hwOUg5K3L1IFHg JoS+Mc9fdCiay6COAzQJg9frcsJjrTM+xfNn3c5dZuc2YfTlXVWvJpJOH/OVBreeMR0SERLRM1Fn oWiHpl2XuLYUKKYm/bLnmnEeTrZlyukGliMXokxOprnlpUrF//miakaLZGtioqw7mfNBEZZsI27h TUWv+DuTyWGqKwpVZbS1EJbe88Dv4F6l86U+KbIGfYCHVaxWG2c8HkiVKMOs5HeY8EDAg9suxB2G ShWmkXx91GeY4/0GoflicqZARrFaLfh0b+yKBodjEhomjAhcVvIsdlW4yD89reiPpTFG3xsuGurf 8a9NCC3V1nhKQ5yXdOzAxcDxSrz++hm9pjypcWMZq5EZeLsGEI18jhphusPvFdJh3TSh0GBhT7m5 lFvyQRMnE6C7MNhU11q2sOG4PCQY/oeiblxE1Ql6YaOLXSkXBcGvxztkyYkhnu/4CUyy5xflfyGZ ck8RJ7zksRXQ/t4/mxH/scvSoWF+TNbG5jVmOECRgfIfbmRnMwBQZHwuNvs/Km5BZ6lFHGnmHzWq 4uqqt8iQxuZLJhBE7w9rcWaNdy7BHVpYuhafc3XOatlMFgPj2NmRQdcoWjGdaVszCiI1IkZIhpo1 80al/l6mTi4hLVArIH466YVmByizXmhhHjqhTiF2g8P2JK57Uw7BFO8QxmBMvV1i2wK8q7heuLxc UmTHVjGoaFKeY6dtTbgyPXmlK+wWvER1i07N4suugHTIOHWVxdKEtVc4RkJNCiH8kvAMtM0emW56 HjL60h0lhu4IAPYapsDja7wJn43COLA1HP69bxeJ4SZCpllSnQC+LXpdBJvCeORauzYCodTaOERQ LmofkOMbV/vZHxg0eEtORnG7N5T6YPCL7hZUnMuVy7WW6tFrDmURyCfEYbwxTnbYRTLuz22Md3vf WhlYmxZ6lWovKDB6uonUecn4Cst5NOAEBrY8nTYMRNVCb7zmgipEHvGWdLeoyI++v1NSehn+YiDB kFETDthFvpwQpxet89HGLlZXgv/7YN/mQ4H9Ly/e0dUtoqeEa2A4qRGU7hcGL4Wl57l6HO1LAZms 5zB2EJ/w4FHbJONR8uk90OJX2dsFapu/0J6wUqyr4r3m/A5BeWe8fbUm0IEfc265PH+0weXmFGie Dkxndu8fD02AhRCpcKuK4WH2qYxF+fPXF9ijAvmQXg9G/1ZoYpkUCwX40ogCNLWfKzSQiNEOqs9b pFB8hEgUj+mPCKQ5GAKAwOoh/Koczj+QikHbcn0YbEQBFUx+FoIxvXEDv0HLezleokmLPm/hGZJV 7QLxaWHA9DGHfmp3GFbJ7AJzKeppDxHdkhDo/brSEDYoFT1le0IGxQ0CDNa1gadnZGN3I6zIdryd OhDbxMPBxBrLtEMu0R1il+nhRHmIHWJIzm3TuItcvkazTbSw4fw6QdWBvi5i0SzTYnronetUDWBi Ts+h2YE0D+P59Stwt/Zi9FKavPdjBSUnl6otbJjeIFXVmRL+39SnAtKQdv0DMcHQo42GsOgG/4ZB Zxu4Fn3VwPhdvYh397zdgF4FYEgkPtWwriSq8ovlsEYa288iG+h1b/L3wvjizC117l8/iBxJP4av wzLbI/G6jE17UICpQhWCRKPAVPXdNnnKuveRXilKeOGnCwSelLW2cbBPTXhPFzVYw8G6o+9BMlMc aJN+GKtTBCLergzIeK6IHyxv/znDyTAzmPk2zF5TGa0SwJLFiIwIAIojLPjtFwLF5onNACxjKaHA RH1N4bZxvUHgMBXjTLvtnHKb6hi+oRbyZN+tNh8HaQxI523N4C5q5e1PUtFOubzWrtuiXSoFwhB8 YK8Q4Xn00LLuC+UJKVQLsIXC4FQY43TiszoMgMvgvOqZRY9HlUfs6p7j9zvKV86+81PI2p86ORgK nlY+NhTfhkokkUBxDqJu3fFXzPBKb5hAMmYp6pNZoutnRUYrYmk+3rvwQTmAvk+FS00erzTJLGx6 /CJ6GluvrNZ1U/f1OyRfzFDlmk/y0FDAKOk+p9w/4tGPM0STdj+SWFZrnp9rwugUG7p9EOvKyset kl95xlHZxZ8qdP1i3zt5B2wdudY8pOxx3ivJDD95hz25KJwd7gTcwKw3CAuI5bLqD2eKm3DdilEq D86gc3VOU7DuWpm7RWXu2vHuYo+TSl1Ampcr4Mguw5p2lf/XvogkD+y4JJx5nzOtxoWBnvYBcJWH 0MbODAbhnRbNGqO44ZhyJNp0MyhzxALTthiXdikVUtmxafZ5ZjuWDAsF93stG7p6+eWrXP9nyOq5 VdgsDIVNp02OORQ6pNleeXDkL5xJPlUCwyGCyBJm7zb4EdrOxixPz8KeqdidxStC31e4qChHlcCn ncOKg6Ee23/ShkRv+fVczc3cIBWO1xD+J/CW11cgdZ8Z+mdioKfncDDRPCBvrWcTbe6qVcqZkAKf 5FWAphIhnsa+6kwtGa1jpL83ql0piAA8+Kq6rqqWzt+x6CZGK7z1kqfWWNXWVmA0L+IJpC7ZSwEp yLkXVcZt6UE4bHRanyMk7w8h9pqdeuOStvIWs5/nV1GOIMABW5H9LQ2AEbXUy1myNgCPYWWES1Jb sUu2mErSHC7HkPlxHgDBVu640SXeBJxXVlVjjV9rZtAzMkmLXUDzT8QciQ28TqsgVIBEIbcnchaW K+kairzRJpjQn9U99y4H1/6lu43MK6baCoOdOA5RoHMRkiLu+baCdm9uPyThMo+qe/C/bhnr43Ww u4pnJJX8AIVnLpVMYvzs1iuewJAC+STGRpbI57FXxHi3Ptt/7iM9fjx5pGbqIjE7fEspn8pUOulr OSSIksvItRN/xcx0gud1fmNrHYV7ZRJjy17QoeTQ1UenmPn0uRQCDViBod6COrILlYV5QMmemPtk JyR7hR5B0ptmqPkzGPMqhJeoQqCU0IGNjK0TcsZW+vK+QtGbDXye1BI4Sj+qzpVr0D2fkra3c7kp q93xcQdtpCXa/h+bvw522nyQ6N0IlAbn2Pk1nuBCT7VUxYSXHO89D1nl222kJcl/LOufyC6FQHsT OvKKnqrEHS9Nd1o4RQPKuhney9jCOvDpC/Jl44M63QL5HSK4Is3skuBIhUG5dwSlECMGcbBCuQd9 yIMk/kJuydzsBj5oh0E9MJ6aG4hdIdM05EY/7/6H/m6c6FVruU18TVhuuk+yRwPzs5JBk9ZsMBCW a+eJAQsiM4WpFPBDiIc/VU7el344gRQpSJ21m4DLOT11Uhpi4uEJSSGORbnIC6eAT3M3wnVe9Iwq ri4kIF2djYeCcJMIyPg7XjWd+0SuKhMJIXq6UTR6700E4cltu4LIk3ii9C7aCMU0ug0+dGs7LUDC LEwQMxoBqI6JiQE1sjHDtbD1P8+cUymiYKA71sI7/+9liBD1vecicoACTkU1fFURSAWJmnaq/E+t lEg7bYMIU9k0/1ADfwg2q9JjizHIif7SLwgKaCcqLHK/TII4Dc9zlAsgy3p4LmAkaBhsEWigJZE+ 3jjbe4lXK1TrewaYhTSg9qso8fKkeWhGUMZRDdkUBU2kT29Qvg2MrkUVDK+Jl6gkNDy++dco2h5g /5S8qBRQPg92IoF3ZG074S8BBreRTDkeTvaxL1+BbqiORBnkfAXhy3s2m//ykmi2OGIr8r94iiG3 ltckhva7xUIMKtfvUV49WNtLI59xLbxRMj4m4O5xDlKcVHh9kn4UgsEFWbGF8udmJq5DbP7vOjd3 hWxUImpaVfAOF9CBIaU0CuXeVlAtYOsqvqMwonHbuY/nRO5YYxaUIfurmy9TeWU8RYyxRMq7fmnV 64td5XDojpENiv7tTQT3xAEnBxKcrF2P9nFTf35mqqHSuhEeRcHa5a3a5rdNr7V/cD3JYfnzTrkM kcD4KOXWQJfsj6BuKh2fTvZM4lUtLrK1IAFCfB/MTe4yJRpsmxepmDoOoXK+eBrmYOq6wzDTHPjo Bmuvciw6fdH+RbTypRZNb346i/AomiM33TBI3PwGDQ0kzEhEYyPgqzIAw4Yhddm5+92bUqEWBmJM h5s9028FJ7gvsTWNi2RzkpmhMYGNVOMe1WMpQ11y+rLN7qJLmyRhmwM8ZrQXaeGUq8rRvCsrjthj WEISFiQo0kzCdCOuEF9MBh3UR9lvyXLjiUvWM/uXN8uYjZfEtEupxk1Zq2+h/00s6vEz0z8cLquE LWeX1K06WD3dQLuQuEUPco9OtdHMI/jGHvfVKUmeekqnvwYL3wRxhmctIE+wTf2/L7WHJmvowxNZ kGVm2vd3Ah/R2KFZCIewL85Pjj4C9an5X9zOlJunT/ngUGkMRHelxBOcTAaqitKIazn2JfRSh7J9 Pyw1NIZx2UEgocCf/YV8ao/cCXPx9NL1G/J36Ukouwzp2/v5OOcXv3dludsYgWWp3aSNp4V/UAoL UU7pJ5UnBLkYbS4+d6NdmoGgVAx5Vk4sFjAoOYauJFQLFj3Bx2HoS5YSFHezaXyfa06XxzUjTjEg Kgr9HR7zLogJW1dtz7qpbCo1CUdXlBmzUh71E5TIQoYh/xP08vs96qjpUoc0ixAS/QZZ8SiM+7PK uJfMz7feIro6qplHtPw4fTtU8hJho8JQQnTFikxY/BV3Pxxk00qD7bh5K/omWe7Pxw8XiiaAc2ai fXdGEccUJNpccBQetkr4AbjAyKgnqiYj1CYzna0FoD3/iW4E6E7vqsDJUEgQJUmA5yD2n7labm7p jYPJVcNJN73EFw5lrVzabrwFUZmOiqXiM4QbXaom+/bsqs6/93mEQEF8Q4dQ20DOe4y8pPenUu2w rWGL2UMCqh7Z9lgdie24AsdtxW3svOrlfP4F1fEdwrWTMQGAkszWQ+8wXyzu9gB58ikCrD970Zun LonbK2NeQpwxVF4I3dFPdaxBGttBtjZDV+b+HgEjgeY9fQMXeaY0E+UkU00ifI/zqiFcpAM2Dcxe Wgqtq9KUoFSnNQRt1LsXJkboMySaCF4DYbrZ7naSuWW5nLQOn/iDd+9GITWfcIJkgH1oRQ/kBg2s SoUOGTwhl/9NB1iAyomImb/kVYkYySDIrAJ//5eITVFev/utWRr8H+Djgx+5v1DIpv+GWX7j0K3i 6JFhXaNPpzYsS8DU1RpsDj/cNFIFhqjjF/Bd4IpKMaZooCMYnv2qB0oqHv6WWhtp/p/tWe/klYwR 40yfALEmPTbTYTqrFb3YR55AEQENz61SfhszrsqrnNSVo4QfdXvlaLif97508/l08zH5zvjfYfgW lIQOtRfmfSG4MjOYNv4cd/BX3GlSuKe8468BKJjunqhnnRL1yz9ymD5zVVhFqZAWGyFDpSkx42K4 GbxuVnk/T8wUyMaGmR3owGDOutpkbD8qNwnGfN7z5iuvaFAyc0CMSZO4G/HHvt6exxH13NYq2Y0O 5hRXsj+lHhG5rUEpVkFWhP1BqBIZ0pPEvfc1Id53fn0RRxoQxr7z2YbP/5pJIKXklgW1JhiUqu2o ixIDqFgxecSjO6Mzd+iMHc1W3pOG1ku4YQWLiAZp8TxwERi2oJNVJ/VucKzWZsJi9SS5ijgSJGhj PaScHK1ZyAtz0KF4jE6F/WIhbLIH1ENxFKLAAymomO8WrGbUaB9DzwJvzf8nde7qk1RB1omFwDJp yUZzTP4JAFKVALy+Yb37ku+jbhtbcN9qDaz4VKTCNuBIbLDqvKPJh9TSEBmDgHUtt9aeokpKtcoq wAB4lQGlS/t/wRkcap8KAYYgRiMrFaFlCKsm9gCYrqkJMptiAFFKDGnfyjZvIwSSy6MdLQZbaYek rjBhtqqyurqpBcMT1aP407oUimMgVsGucy4NCaYYMrWLpQ1wrws5Zsz5c/Qrstw9eDSikLylAby2 +DDPwc2CeaPA5+r8KdXIR8//Ji+uF98X7hZvG1v+786oQrBHGg5dk0DkfPM3LfET5lYGan8gN1hL xeTcLG6HKSgrnoYdfKA6D27xtsgVW1pgGSgeK+zpBFpmFCM/vcnkStWlabwxspkV1AM56YBXEzjm 8jK6Gxgx0eXh8jdXeUcu+/+p3jMK2NzF35Lzc7kKr13eRP4eQFcWL2iTw1POvrHn3XNWUmySKdGF sFFkybGvknMBHkWYUsgIK8bykN/j1lkdiJVjgkjJr9SNncTvCRmxiCHA3En7/Zs+Iw960nkxN6zz c/hetmc2kiR4JCFmut2YvseUxpcuEUw0S6VZ8eFcqC9QDYbsK9vLPyZXqxQ/Uak8HknKslxC/89R RrewufB+hX4Ic0BjkII2WZELGWZTgBjMZizjFwCYbJnNE8blcSi3SdI84MSpz96YK68HThkry/fj Fhy6Xyw0BviOzPhCzTMwuqWiOr6acrx/1nlABcCAuR06ILxFTtBgCgPZ87FPpdoVVfSOV+vlG3dc /oSCg1p98WPVfqO6RW1SW1YiauNyi17cnvannlSsbxw4L4G/VqGlKJVw9ds3w5hu2VeXL1EIWwE3 sqhf4FFfbsJOlgmSMVkQgUpmQ9pi4tc++CcqL8fH1YcZs0BaUx75Q/TN9RVtIzJ4kFAo5vG//ppb v2EwU8JE9qq46x+W1AgWrShb6JXIvlhx4cgL2vSXv66JVoxPPpgqKTGkiEhB+XZUIZFKjBpVC89o KWV8TQAvOVfd7ol70dmqDCU/OPaG+2gpBTQ2hvG7Dx1zXWCVtCfkQcu/k3TvPXqwALXVEihpXFNs tWbWbILooATgv+/wCbmaPVCS26JZLOryTNuh85+kylE146hI3ZrD+eyfCG0YAUGPi3JGdpbcqUE/ fXclmaWDY2LBYU/k3UJciNaBmHGSS5pKUDMEjE/5wQY/kg+W2q60c0FcrGlslqdERa2G7+bSd0xc 5EcDrJWDZzJaIC8ETUfL/tL5xldujhyOJubRak2B+iuLI+o2ydpNTVUwhzKxlIrSPTeA8fzHg5OG WQk/2QA5xU4y3TTnj9SNyQlP9hDCc8K0xITPhyVKYhSzOl49Hss8BDM/Lc1YJO4s/RLf/5lvWq1K HAnOfX8NK7McvcafZvqcKapJSAsjPicRelZVe+kywWofteGhP4CplyVo4lcy1a06M9EFDO8IuvBX Zzgr7TknamLRALgOTWF0BX9DRFMxGPIW5DeP9QvE+buy0lvzWvSNR+5SjISpRlGJjmnXIWO1xk5h Hchdfdjb0hswhsn/tcpuUzvbhROKZXXgXoxFXuzr3wQRXGNQIjGFqnZMMCODuU7XOxesF5bX4Gmo rStTqqdLfp+Nv5mhxRScgOTKfZ1c98vnWv96jkLzeDE3DSawaB5Rj+6U33Aa0RfrNnf31joTn0J5 HYqTetTIIu72PJax3iJvqSPvqwLYFHTPHCKEHd0qSt0N2jAnXWv+7YZGbjBi0M7YarPoCEA0dC0p IB0mSAKxHpK5CutBe4Fw8lv/Jpf06SmM2kmpNlSigzekUNPc4Y/1cHwWfgUShUdyZLxYiTYD2WF5 /yeEoeN9QSIY1+iAuh2ij4E/LBNE+Vhy6a2RUeN/fPcb6pgCN1Z/A4MSxWBz3zm4SryLB1ttuakj Ln96J6NlVROIsU7NencEgC3KkFsAuqamZHxCCktsdONa0JjntbCyD6mlAyBiGf+DICd2UG+RHJOI 5Vg0VGi6XBZN+DoNvr8i/d6D9a+6PcnTe6mdRqEeIVhGp+zGm/Q/j4SBJHTjRtkwboHj6Qm0n7k9 DBKk9BSz6e6OP5RyODhslocRAox13xCXUFjLR/P/DWRg4Bg+r2i3tRiRF0jfmOSITcsR644qht7T rUrSanERYZpAYPapnZIe8enFqtoD41e65beU0Vs4fi0I03vVF6C75jr/I2qXeFvEiOnb3ICzlnLN kLeczXlIAYiMcMjI2uSzhJo+dPPZjsfvMGuXeJDC7tp6z9EwmfFrLjp3vrCn4CLvO1y/7CdViMA3 wklliHbewP8I4JpbIl8F1Y5A+51A+M6T2WcNva7FP+lWKVggSutFMZqusUsKJ+h23R5QQyTa3MVh kRJafPEWjT8YKjILpmeMnX9p59lDJqlHljacJPomwdc5KG7a8VLtOsDzyE4YuhYzIriNLBPUbhMU iuxsUeOpQF2M9otlD2EVdAfU+u4EBYwN7kZqB12Hq5luiz7NrkzESgNh+/JgIfr1raAs4WsqXZbO gHGvaYezCs0wLfzk1y0pyaN12YK2IEjpksSArHq4rznXYBOUOWxZir2h/6iQ7PAII93TfhbUuCqT 6zRnGHUVjr085zmjeaRyPucK7dQFJQFfNpsSahumnLfgCNA2T6chbByi/dQDUWIL9ogZvTcXlJoZ w1zErsXqpB9rWtLgI1EtueHi4eDNkDYI2x14DUmaruw5q316nlBsZ42437gnvNGcmD+0GdFvlXQ1 aii9RJGrbRRtPAXjeewczuJmARC/cF8GJRRE5qkEHXsPBtHBET5fVI4eFQ6nvCpcumI00vW3AZ4x P8H8NwH+zJ3nxVaaMB67rVKayLWsqs6f/hYXsBPOsFH+T/AEqQNXvf7H983Ok/9PoJ+Au0ddcmzU rBWY7Nvge7SP/dKAqPeqXk+D+DvNosOldLhnsi5JkFQwM02HTMoMLUtHJ5DZyj6EZHc1BGYYa+J9 0Q9b0Z35Ya9+gW/4C7WgUhZN0yxf5YbtSvVSOUQcHjwO2vJLeRYt7RNU4bRIjuPhfBLirAkNTLkq iegHdSGfEK0+Q+zASqZV+vtVqXbif3D5a39HU6LjEFIwA7gybWv17fhQ7tlWOckfY6s2x7G6hoU4 ky2Q3nwuJXqUWhaZ8Y98O19n3/1Dirivu2DgJLAwf5eyJ4AuBE0DfvTEEs+GWHB4rAR6WALTTtFw aG+u312xMtiPYwS19ZDePgWSDPdoNqNbnBC6oWiD3EfwSPKtRTSIVZJebsFGLCd2CBj2Sx2kSheK BM4jYW7VpZOSWA6CErL4YaxsO4AeDXOt9WQl+T+NxWuVQpUORS4MBiwP2w5oTXHk4EBjxFqv9OQh MPfNK987v4QnZoqHLQkC9QFGvcfPyzllrgr2FjqxsfHCs5rli40wE/u+qnf5WfWPt4y6sC3ICQpj DQtHK6EvGB/hGEdle90glpZDdzIfaXVASRYQW+HDb9F07qmQ6luh3sdDWW4TF7phMQeqtli3IPaV 0UFw58SZxiob6B/QcOuYelYq8nbyIaFF7xtdAFLBPm4grdy+mTU5DWXacxSUQ39kxkOsA7O9dCzn k9z2hU/Xm6M7lJuLUdaWGkF/FzTWnbNvuOoJ35KCsgxezPhqhgZvb9fihTdhNj/Fitsc9qW2QB6k /tRttXo0jq20UHqwyRZ+i0GF1MAyrD8TSDO2ul0miU4KbekYhwLXALojXCgXoVVNZadsj2v4PvTg BpavqkIaUcRNbU39lyCgSUx3onz+InURInUnTCYx5JJUqx8GbIS6K35K3rvW46GEZSXmmjqvgEk4 WOBJ+BDh1BsElWm8AiSgMiSgGkCnVtK00h16IeXmWcqrxkS6FgdK7r8JpMwewiEF3WnM+Yd92DZH edydG8mkgidEnGSADby5wzf/6vDRZ+sLeN5qqMPLX8mvmiGDAh3Vw8chugCKsytyr8D9nrjT7wKe zwkUH5qhvH6iPPmIzdHfKr043Zy2mCt1h82xzbgLMpkQdo67Sixa5Tp1k5myjjpmS2o8au/PTBEr u1MxLyOvypPVHy3T+yI5g6Xl229BEYl6r4agpA1NUu7aHFeiUeO+3m+kMor8BPHOu5eT2c8AqDJ0 RHRh2Lyvxr7+qr/aWbncEBzgOPrEiZCt2OZPkCHW81zW/11jLWZF/UflOsXxrU+LgEdIziSv56hH 9DPk35cnojHSKLfvzRg2zr1GJM8XeQr2R3ccZyaGA1MYN3yP+yG9ZSRGptTjfeysd15Na9ZJ5GLU iJkXYxnLvWfjXodhng4soQbZtjRuipJNNhtIFsnEDNu0X5RxIUOIBkA+9TC8Cg0i7+Tmieui34Qe GrfddL+Z92csoiQTFuBzFn22dour0mS6UB8MNZZ7HNuhOOuzt12gcNkhKYcjBjtzRhVuad9yXACK Fujrt+y4NqCB5c1p8DOrRNPt5Ggb3LXTEf8JD/R0N406Qdkm5GEBF8CHQ0cb2apyw18x0sKe0HVh J10pbMaD/AMcM7Fi13up1deaVA/AcKrRUM5lzemUChrjkCVyKItkkB9b/CElheUrM31s95ytTY3S f7jJ+93bd5vzvoT+qqNMycKGgJpgGZVzxyLiGmcpjFh/45UrsU+QoV7DKdEH2wrnpcPgIV9RwDo1 v+6KIeSLBAfKqLyKFoWOBzXWVWz9LCXhMEpgYbZYp3J/g3F3H2YMkRcYgMzDkWJRQ5oX2boZzHs8 6XKgl/QO2mL/lxsF1s/Dg8z83451mASQYFajz8qTBmmx1C21750zfYLaaq5U58iHwD6QimL8RSku FiarFKuZlTnwwTwxvwBxd2X5iLwGxbJ3xrWLWNLbRrFrX3YSBxmh0LIXagMivtj1NyQeqFZle4Xn lIjn4o4nYgCdds0WtBLJnig9+2ZtA4JQxc8kPpjaQDFdkNyTiaF1/6SdYINpV/EID2lTq4AS4HBW SmvR4cBAw47PMlXbtVZxsrHendf/z3BHxCkP47u9nsTebJWIlu+eacEp9m6/aewUXxY/f+yk7Onv vLlieNheuWOl8ZgJ04DMNlNFKZ6LbQMdQx88/OB9ikp0hx49UDpYS3U+4UI+dYv2CGz23DgPczrS ktoIPZSoi+YsZFAc2wcrqmbLFgVIEomsHG85097fuzyU6FMHhvplLTenqaYQaZHGsCzHQ1APJJd3 S4TS+cwnNDtLX1A5MaJGJLnWsWtc1UaaK5l7BBpzdWKhLZun/zYbR3HQhyiCpwVnfkz2lcfA/pQf QwOvIG3H8HIpVtIlD8Ps1AgThTbSdsBvprI1pP6rqkxk3QIrEp1E0LWYaid/Amn/gTkdp53iTgvs +OBRvn039DeESU8/3GsKvRuAz2IwnlS7ydpnp4p3RRL6Btbeu7OrtdhGuBojVwLqLfA4QVihJoyG CKCZV8yElJnY93pb2HPmS6+jI7IJ17+hGD2RYVKV30MfBvTaqr1DKK2hM/ipHTt2iBmWDeHQK/Tp +kR17lFFO70UH++/uy0EaCt8qZpPtajwaN9nOGK7QRuGrY5NOxD4XN0sIJLSS28Y1/jeJ8JKP9rX T5M5l6GtAiCEaC6u/qx2mKCOUpGH6uhCNYxSa3oQFmkZfzKyUs7pAoA8ybF0RGApIIObluw6q1/E J4xWpJoCdlLhqu6pVrMv0QZ6yNAoQYYWou+Wghm9X4fSs6VE15vHqnhIhmYKp6fpNd/HitPGyPY/ UoDXEqhTH747QPjyiDH9c26tEL00lX6MlH8swc8pGIy51ztUKSFMPIdPtzSoTnI3VQaLCjzhYQe3 v6DYFQPeA7yhoO8mH0YT9Ci/5IfuzaP+0fvhkPjsbdFkJuZE9ufIYA0QB1EOrdp7liJLFTx1Q8gF 71mUXvwTqDzwEJMFxQ7djTdZMGfj0oNB4bGc7Zl8mShWzIFFY8R8S9bBxJ190jVeTIUuIpQ+/XR0 DT5pjq63mVBAf2Wki64vTU+Jg60S+8Ak2f0b2R89WPkHoZm2rddbrxgCU2V/7R5WOjOgzw9BC/1z lTkWXQb+803qO4kElw/WR5X02oKAJovX7bouswhmuySE533eFAJU95qThhxxDq2napT5icc7yjQe x8yHY495tCPeiLgngsD8Cd5u6ssNre5z0xojbZ7SBPsjPrmpBkfUB2W0+tRUbiXBF6Bgmc6dg4ff sEWCzHkhkChEdLUhG3Xno2GLOGpXH+JSgmKHUlsAyLD4JlhCWB+nd8zYQlCqGiVJH730TXB5DJdJ MuolPRbDc1/GpL3tZ9E5aCbEdSKk5ls7kmM897U4ryYmpHl/yHS5yRc+PIg65Wk3R+A3yPZtehuF mKlsGMxRBmdaR0O12o2wp6sf++K4qSQfvw6Q9C+/7G62it+9ladcL82wtA/IpB0A5SBYRIn1tTok seSfzRX65RiAU2p7BLQI9UtO/a1Qw4/S5zGZHb26tC8XBrvNhyVPVzl8nUOIElK4PVay/4E/A9Dx CY5+mqWOUjY0mR5FdGdUJsL+/M0db7f5xhtPjRZF9LtIPij9wjY/1F73K/T+KNqYKoHgjaYVNK5M hzqv4Hn4q2g2SIZb5spN4WiHfVO6lcGq+p3ub+3hnT3fqt8VqTWk1fS46+8aLXyVsvduvcY1EMCR Ilr5qHgeicW3FXNNA/JDVeWe57giJJfy0yLplOEr5i4g5WCQpyXEbiyqoxYMUegrnPesPvTtC4JI zFJUPSgA++1BAGl8LaERGGPP/6cl33hm6KumICOzRbJVIRjKDDsy9Vb9ULn1JzwCsfY1/aYZsPm9 x5zYDGhH1NXuFJ4J4ReNREt3lAd2bkzw24Pc0hwwA6xsQ6wVPRXwjlu7PKB7ZOA0BEtytIbLv0i1 OANNgqrO5cJwOz1+1t81lel/wQBmNWu1ESuY1o+wdcASGRv0X3dehCNO+SjBN6X8sOR8MmFUnVhv TUTZP7tw5F07ypyQAt/bWiXwP2xVA+kW3XHLO3AS+T3AVMz7/y+bm01Oh9c5dgxVtGDDdyTy2XPD yN8H8zvD0KWSLWu6w2FbI845avDIgSmDS4Nbqou/WkIcKkUZL5uuLUlzjLZvmb9Xw0QP+Jo0xwoi qzUrDXfmRV8rSbA2sRp3U2epMOhzKAbz0QHaKjaf0yqE30phDUW6be94iAIzkoQCn9KlMigWBkto 6J8k0MMwvkcHG+fFNQDLw+pgxqfjnSwfEKHe9wFiAV4PB9B2uTDdaRpv/oaQKS8Ha2B427r0XYH4 ZU383ytNPOH7Rfr/Dyg9iRoFInnVQAt4N4q2BaEibNwvafDzEzwFAxBdsx4S7uN8KwxHhM4agTQG 8FHrlS8wYzh5JpVghmXzfUkvIgm2UvX4kqvUIhhGXYPXDdMoI3AblhP1P7NcbZf322TMGfriFM8h kmSq7UCukrXCyHSAQLyC1IrAuB8KK7H1rapFB1egoK9cbgWWRY6YE1ZQMMdI1I/VcDsnduXP5ZpE 95qvgfZ8d6USyRcuSW7yhZkdfVKon6crxqFdu0xjdC/JoRlEg8SUcu85eHpRjBEf8l5HaoG7cIiN UO3/12eaXu9ayU/g5WHMgC1Z1fDp1eweRu2TIXY0sH+QjolSDhYOlTscGSuWXoUsetXcb9Ix4xjk /AnLTWDI83Y8Lw/WE6i5mzFl7UY9jDf90xrjUebaJrDzwPQYbcge1sVsNgFr9tznck/RTvTA6q2w 6NemlOunpFSmUlU+BareWuZXHbUcd7zkdi2PgCDb4hY4AQkV34Yt7Cdj2aNcxIeLSN59V0pnCR32 n2kCFdjsSRfoj/XPt8q+KOJUgs5SGy2Vopn1hm4ZtWvDVlfH7WBsVVorf8qXBZ1ZCHjC94TrVHyZ yTJcIJKdtgevVNak8ntZzqEKVwjX4dQcvS/+61motqPTGZ3Boip9vlvLmS71KvckcYZmj7Glo3VV GtxPvgJB/prQQq/QD830mnN9JfGyMSp0T0SO4yJm7eiUk+Pib82OHSipJzWEy2h7hx9OVSXSEHwD 6LTmQqjgs7JvJOBZnQo/PfoLQwrzgpiRSVU4UEl6/T381Bw+dBA2EOxU9StIo+sU9Fmt0++pXuA9 Wi6aVhi2vzqc2Z/GbDLyXHYQnNbhLlZQL0uLfXKCSl6RICuoV4HeRJSfSxupk7bUZNG7Pc2+u+4D N9uf1dhLwPZVC3x2lEWFpFMbxPCmMwyV7/nNth7gWuVg2JWBowyhln7p+eJMjeQie2os1/1H7/7e n1cZWAdU+QPr+XmnmFWKcxTGiRePjopZF5buVaObEYDW6x9wQUZtWt2Yd8Tuvxw60ufYW9QgUtJn 7RsDh1IQJvysZK7rcD0o4ovCIRgR+z4kPG9lHSNNowTDqIQZZuaHeCjkBAo6k/J5irbAeKBerhYj 4keGYi3bziT2NN1KA50TJcF0iaDEsWs92IiMPLk2LEogIaFoHF8ngGtVrIZk9sQ1cbGDxrWjwnfO wBas3h1CdA8ehIq4SUcdaZooTJ21OhKNLp0AxrPK2LNg2V4JERyB4okEhJT6vaEAqrLOHXvjU9tP C9n626cX1u84gopkrFv0DBkpMXaIjAbcjuu4RomcTmSsVUFd1baFMMOGB7fOA1tW6Pyi9ZqG9Yvy q//SQOYWwsKV+ETBXi5VS6FbcxwyQugqMqHcY3c7Seg99Qm2dyH0bo2s99w6c/OXpkbNitmjyuJE caqNQMTAdcv1bEBPi169YKdUcf4aXYmzE9iK+PVg/co2+ePq9ADbHRQVcmm4setOkoC0yzziyhZF v6Tbdz6hOLUZEWfkXCAFBPUWIDkWUpk8Eonfq9+JaFb8TzntJb07riCrx9kaJ9zYuTWkIcNY+kYx zdp53GkXDgbljXj9XGuVSHUIItO2blcmyf9zX7RGQLrUVtmypMnP29WpgYvR869DyIF665AK/7xq x+npA6ADsDQKi+EDc0biQaOkHBphPgS0cjfFboLUxFwnVZf/BgJlg+5W9icgSXFtOkQdobqJZA1b 5BGWyQLnJPivqebvu8wu3ikgpf+f0660A4vg4Lpcu7MgzMfmQolIUZ6elkcrSdWTWhd7TPzH66xq UoXUCtiroLoi2HmZqaXSM8ApXhG3zNNydIbP65PpzPMw1fu1tIt5BgqcGo/zJ5tidOR6RR2841cG C4KMj5JNW5+AJ8n40pInAuhph6b1o38/jx20g/J+iCSgPz/a2GvjIaJrP6zSQjQ/eaoGIlIHLlJm lziqqCafnfsSF6T+V+CidhdQ+aMJHU4xQPp9IRauEH4v793fQbQg+YOLUVyb1vWjfyax3bM1qmX9 qTm3TIsmVPtIMuEw26NhANg/QRtWtITQ9ET1Lw5wN/aHpHwMNZQ3FI4azTTTmb2SeLlg5bg1/Mva yTJtZXiFOsOTHCZKxJd53vXulKX0RfuV+gNfAJYAAYQt9704Fw1oz6n4jzE5NpMd9MOZ7MHvN2QN dQpXd+9sGVQuxhIGV2eKU/zq4CQj9nSf/ETH5khb9nMPRxZB22/qz2HTMZVbC3bH8rYTtmaFPTXg 9ACMhcTn35cDzdOvW6NA7B9EuDRPnb/z2BEGCxGyZPRzyC3B/91OjRP0fTt4Ju2Avms8cpnNOixV LU6eNZykZzNQ+UB7yrsOBEcZ7WRS+F9g+leuT8waxzPU3F+AvZmEM/yxY2WFN4/6Xb6kXTybzYwZ 7kFY3sY17mm/+bMkQHtwvLgLMljNGHBfve9uyDEf4cuByOa1GTWIwpyMhEKZEp+7Tp43k2Vz8OfD 7ayzzsZIuCsONIS3dDbtp6qLaBj0ZeRscJuKkzpW15T0618VxRUF4ihDaocTd+GosvKXIO417tUB NB6fTGYsOU7J6RapbK69BbPqUWypsDZwtO3mLgGLdtAg0/tCsK1VC7H6Qy/J59jBcuny2oEUpaHI wSC8DFD6nJNOhHT3j4pPxlZLAFB3Acxk1K9Wyd3DN9JDRkCg1hkU94C9CUSo7he0gzjz0CBjFfRf qcFupemP2oGJA7BuVA8cRpfO7HQnkSgZw9/VTxylKYYDtQAzW6GWhCDhQaI1sGW1J5V7usLIoabY t3/zihtrxovSL2cjMOljzTBo+Lr2H6Ao7MuqIzeOviSEgBBtYYI31Q2f81ThmvAaJhNe5spLzq5L xa4QkSab2B4OifTX8bAnyk5qnQ7isdFKWPc1KQPX8nDI5iPADLjmRdER3g+8R2l1Hzz+Z5+9FlVb BJsqvBrtEiw7K95EWI6/DOf446eHBcmraDXDazvMxWwyvGgvBtAfEK752jAtkT7ta6Yq9i78MKRj KJXNG3uEycggwat3XlfrX9fFZovREdDPJbALPJMqmfYAMDfDnZOwZFs8TXPgXcUjkKQ/H74BpYJs /BKXCtgyswVg300xGDgT0zO24Wy7IlfvjFlpcssyRwbG1xEqNSKrqMI8IvqTp+Cx+4eZ9ljgSB1d jTgfdpgT7Cl6hJaLlET0OrFvHmd8WjfIt4z5ViTA+Xiqk3kBjfn/BlYGCqHqPVPb2Q36gk7FUeHA EPGFYk910IgmSgMnV9sGl0yYd9bfMlvGfmQl7gUEQNoojA/smp2zEzq/TLkYgnA/YGMabvOPljDq 6dQXs0Pv6POk26CYH98eFqRXRCyHNxRUZUj7nR+GECq6MK0+eYhRuAwrFmlu76rcbGFZaVkcJVKK Q6MLMte4Zv/qiTlDHOJoSulFzxuh0yudO2HGoQjGCoY/PE7U6WuZNdoxFrLWZkBbjmeOmtNRPSDY WEuaBlIwYFnllEiKBOBYqdryDexzlrp+PcYXEa/8O5toa3nPXoNO94uUJB5Uhwt2oPmmJ3gDhwJf HcOdSppaEvOeUbcrlMI6ToFUh74+L14Z9/iNZ8Iy55Uo1swF8A8s9rs+p/1ccvmQnXGaT0miFqN1 0fAKZlhqpbSURMWRwB7FF2Bsg2VsUMD6oEQK2VjM9tlex4uDLRG13/H+qL1odwfG/Lxa8duGlEJ7 6/3IT8EzxTHUZsIepu5afF/G9Pb1Qgb3GVIuaH7eWjl7chATZfVXtQCDQWwardjYGKHNuOmNqVum vLKYCEuGskF69a7zdfIhm/SpN9BoQVtD+LgAIFaEdb0z3AdIPG1DekmIED7Kp36jXSvTCiJxrw1G 7FBlDywfMLRKnh+2+iZhUmu13zEa6GD1WwWrk6op8enLfLH1x+6JMCC2kN4ZpOOpQiWCT0wbp3kO +d0yVOneC5FA3jVc5/ahHTRVdHCqar6gEL3WbZp5s2y5SFrzBKj6NFaVh1ubztQDo61DvvqHqkMQ X2zNEj76tkms1ORY/7hnFJUMzIzXRG6UewxkpJstutstZOeaLgdQ6tKxhzINPLtV1g48hREmhZwm XUccW9R3yajtUihBfffCt7MVmkI4E5ubBze+AXELpCdTGvicUtdxnfNmOMvIGXXvkmFRkKIecU7K 2lQmJH5yyAAm3uJiJbR5iIbHnj4FicOgBYb0/j+kzlMalhKAFmfu7BsY6zs3hK3z8yPB4OkIoC0n JuBUG+IEllOQawMPfYu7YobpGoTkhyzCkcsGV6N46HMpuPo70Ul3jULKCHFBC58RlUHTGPKW5U3X CSN+9bMO8NHkPaADpjtd4kY1rcLrZBgLy6qGjVwrmqNLTNWUFsX3gME3nC4BBPJIepMMUJnwFja6 4Ob3ON3sz70vH/sYjE7LQZD4le30O1c281vGcVqv/NHiy21zX08XBRwNffAX3Uq/80Rgvgc/9OkJ kmbh8XNBJEo14YQ5AmOZST96EiVJxhYZSASbaqMqINzX2PoRz3nswxduFoWLwoaQJ3hRoObU/00C KkOeOX+AJmgeWLGF75JpEbaZowFQdF/jrAeAW+joSB5mUDqLDuIz20sb5iBYnyu/cLZI5o7GBY4D smNl6PIRO6453UUZPe5qh9rturr2wq1RbP9glpu7RUAMD9pUDaeP87p8RKguhrKHyyvB/N8WRRaI KRdCHnjisGLd/JmeV/UYTdTYM6KfAxLiF7KCJde8WUR3IIcpMHnRQ7A2GFlyeeX8Cu0d3xc/5x78 vtwfdp8IF/1IZdEUQz0cuCPbdbajLdmNqDJaTQ20DhO6HiSBlPVE12qeBeuDMMjp09Y7K9yMz4NF 4RZjqRUjjWeCDnMFwXJ0F3XvX63HJpD4xmFIjoKt9Rcox82fNMCXy0gvPH6leIdPtNc7d8YMtW6W qC9r/ghizrRm2E/2A3ZZKDkNHrv/Pr0u+CYCSuycfxIw+F1zVzSXANQfyNr9vrfIMCXzHhSG7813 f+uXxFOoBViLaShmO0uuZHn5TnqelnnP9YvXY+uVFwd/fmytVC1TPAbmdDvuO8vW8Y0icMypOlBU 2Pah1r03LYP+UpWhGz+tN5OnxGw+kihWWKfy+wg47rqxUCGOn1j+PJ89dVIFUUunCUNB1Y1CglvX L4TgTxjACEptl+mIb0ugJ1JHnsKH8o4KU3M7xUhTwG0naNb0AC91GFMNVGdsCmsn9SDG0JO1nwUj C4a+qTmhPsQYyDty0LCSzecp9DIlkoFVr2Gfd1JZyWLsPS3/qlZTNqlnJKRr0lt1reyTE2PBdWnE 1mytuNauTY32ESsQJE5i/TKQCyRY3woj1aj43ViHDo718kFCjQjnhLWCOLL399yoXS3Yqdp1HjoV vO27LbkyyGWoK3vAExDHbzMynnsfqgWbr87QvC5asGiWCQbvCWCCjPXKW6CaEJTanplpXoiCVWD1 BpNwCmf7isrsi1ep0KapiSgm9gneY+/o1cls6TOkwOJ3iGD/TakHreCwdqYcuvEgg33knCLjgRX4 weqv0rA+FZNG1ceosKYAY2A+391yeZHRJnkkwjP0qjU4/VLvRN//kNhKgDo9xgJDuJmKNk0r29hJ pmg9c/hol7IaVUVK72y5fkSEJDaCWbuRji0OU1FgtDrcisoRPLQpq81IVNsTzJtbApzOieQzk6YZ CtPsY/qVvEFlcapBTVvbUzrS6voUFg+CU4Gx3glUpYr60B7TyWM8ewH77r76rQX4XCdzpX54IwNq EafZ6GOkEkVFbIfgu8q9ElQKOd8ZvEZ5nuxFebH23uwMHiaar8MWlb8nwq4/9mtlZBnOHcDQx7Ha Bosy57sclJtkZzemuLO27yWGYXIhkLYF+VR1pUd7lhGQnDmvUsIIDTEhrJUvs3Jv3vDo3mxBmynX mzvh8qicOZDEEG3YsyMLHbVBc0hYCjVRSM1e5TnMzGhBHlvvi7+nb61ej/CZF+633KvCBFJ3f/Rz E/PMb2xe8orKHjWgMsgBE1Lim4ucwOgavnFYImbhgdHRKkJSKg1raFe+E416mUW43M253Cf2DDwL NNfwCA2jnsLDruDSWfnLCNM8CSggLcgrFa4bw40Eu6g551UO+ugvH4FrH18z96x/B8q5UJykx7YC b3zsPcv2uHEJ5dHn5mRKz/pGcQFvsBRqvnrVrpTkBs5nDa3+R5vUD7vSM2MZ0H6QcXXYUCCSWjF2 5YcQcHr38KRr8N2Zf9VJ9bheVTZRLxfWYixAudRbdCtRTVCI7CVqmM93UgaKtEySH0Kc6HCWY581 53/bdU0eMFPO1hEPmpT7lehEGEgLZShHFOVMQSp34E6384xn42Lnt3/XVvm8hKIAHyzH+xNgbbki 4z2B3qaCBxLsaFhXKw4DtfqO07MAw4LpYiqq0MsTvoIb3CoY6VzsAlLzfTDGHPzVN3XCtGVPwsne xVa+zvqDm/1xTygjmXMpFFYFibVz81qr6szFCKmA+cyz7ErJBrRgpkb/Dtiae7Gx+5VmZK1gyL1c DmA8iQFkyM8zGyNTKe/helAnmPk0A1V+pyYjhelNl09t7L5lY0RZdtlzjeI0VTvblupfsqvjKZ3J QIk3NZXN7YVvS7kkc5ppJkT6Z42MFohAWCFblrQudt9foRJcheCh9yeodrF/rz+C5VfMjRslQMNb nzKGl8jAHyztdC9tgaBlNn+/SoVXWOPNZyOZJIKXaObWSubllGMIrGs13gRjw3rtqGcMEDrgme6S wT0eeLOdp42AnMn2fZ7x2cCdV3dWsAyojJxBSgOnljY1ry3jpoHUsgkNdrPBz3+aqvYljfPWYwSN qSEM2he/JFmCS4z4rmcLAjzz4EPwbuskG4WfBIMeyNb0m0z+A5wMvL1ShI49u4hVNTSi+EeYoQSj dnPXo7vH5Rln8BVzYKar/k0RPZmWzL6W6W351qhhe6Ppl5exJYQeWXpELw5dtwd7VoxYgx6/vC/I iujV0XB0SY50T5rEMfWquTv6iDAwMMRUF8EsFm/D9IoZwmGdu4fj8nkIPT2DJIa/AaaKtiZspjGB 9T9vrur187E43pbKRQEJB/3ycKWjxqze2j4KpYE1ROxvkLQO82Fb1qma+M1LG7sP2HXpn+pA6s4/ +fH1v/XvIXvZlIcdU9SWEVkNyg8B7QQcDx25bIS0GI/cT74FxRComiVLDoXLaT2W6hrXioSLErGn WPbCPMvhXueVRHtmwIxgnCvcFeMyfyuR1KGoiCCk92tIajNflrjFY79ZoSLGleqkdlkiOB7kXy/y xQnfxjrq49jpU7SfeiYZOY5mUdG5FBnQN8WUZAjhWgKDRJKnmj+m3ue++pdIu3hRGFktomL0vGRt TUI1uBOnhWk2bsr0y5JpBTz09GahNjsxGoTZTtWIXYSjOTHIeITYQ8hsahh1xAQxrlUXXkSN7cx4 cY9D+yP7Q2cZsF/fncuUxEHR4G9dko2OXlwPBiSofzv3reFSVdpTT0C0p9JGJS0C9fxxDpt0ML4d isuHRUcRatkQ5vGnW8Tt3hTIDwcDCnydY0b6KSnetHWl8CjNfxsph2oY9Rh7rCJCXSoNCo4CQ+yJ 2CgcKxln5jdLzEsncc39SvA66/erpMBdOTv8m2PoyFHKZydNnCCMa6L38KlQaMgEBhNke3wp3KWJ vM4kqoPXJ7lP8aanx3dZKPjMIcxCJdL0ZNA0qXUT9qyfA3Q/5AmLUABTr2xCGM9DywejKCVDWd4X EV1XQss+zdNAef0nJtpwaOQ+PY9Yam6sOFh9+9f27ujZyyblX59dUOTlpr8YFvmggx8u/sMqLXf8 P22azJFKUHYFkXLmnSx8QBfvdjIgrV/RKbe848PTUPiK5jUtHadPDa+/ArrkrYrolhLewWJ2bUUi Dptl646B6bcq/uH94fQamqUzEupxMYg/J3qSHOmFVa/67X3WSAPbXDKn8+j2ZjLZYIxXAMbGul4m 0gfLsMQBH9LbXFqYqPlCpwig4ND7c6OdlBtKTB1jbxUNtA7quDP7572w7rIPBIVXJ5MonGXLtWHj GFbFgX1JqReQ6NiEuGiAwX+LcrI06litjfqcDQDMdpiwmMd4tDIRft+y5TAoJhDvVnemQZt0nUEs RAaCZyBiOdIsRgq5/954WLhkv32b0y6V1pb4yupZEWBXa4fnutynYeJEtR9M5jtqf/IckbE6+wGL Z/5497vrI2UYzZRNGAHey3r6Us7DYT4prSPhuJrPlIRPyShkuJnZgfybyzs9NUTQmdoD97/LeZ+K 3ooxL5I6+9TCpuzQJwL4Zo75PqccmvfQ1Ta+Ut44DWgXdWlXXL4S7fraHiSeDmob4d7pSQlcc7Ot yWrO/K9vmOwAsFsM7eifVPeKo1jkrf4NGJcoV8YbfMCgA3zWOIH/IDDDPGPPOXDvPGQ+vXFmqoj9 6IUcWR25p6jL3msg7WaoqjGCU2Od+JyV0PAwtWlPlWVmEKiKIrC06WNoyz2+702s8wCZ6ZwmcXnT bmbV80MvhrLrFAY+SQEBcIPoD9G2lf8q38VlwsNLm6z4ZZ6kuxWe2QFj1b1gKFL1xV2KAcuS7vK7 nGJXZOx7a0Rk/nQVc1PvNOA8I5XdqR6omsO0qEw1cDt4lsxoRD16AQMZi2WbPIZ2L2Dn4okzaVGJ HRQ96vy44/lRsfzcVPUImXKykfkGG01W/A5VtTBl9MaIaE5w4mFRR9JL9AHjs6S61X2PiFHjGiIQ Cvd6mHTmYs1wxDDAGQPicEUrwLbgBMtFcewlf8HsV+XoBl8P9ODcHB4d8HYn735FI06oFFhaOebf Zast2Wzl3jLUYeEDbE8OJipWPWNZxPFizv3DBvK+J7qMQQyW1XIrlz5yquprMkx+O8haGD7m9r59 PpeFTcQmCMAmclcPjM7hhTTELZsW+Uh42slg27GwYK7Aoh5FGPdK0sLoCiDu5wO+O9h6bD3W2L1c CZZOlL5k9ngS5P8cOBMGarDoH0dNUGqnCQhfn5zNetHSAH13ExvXp9e54bTdG+FRrDU935VAM63I BW5IJU6cqXpQMLqqlujcczfG6clkdcSt8Xgomi+9ZT9M2sz5ZUMfQf5lf0cz80jpROoK6q2Y85Tm v0YPlouMH1KLBFKbUeUc+MnlEctmVJMF/QRArMFRNz29ikiqCdFYbrmA+DCWH4+xRQEA6yVdkLN8 5tR/lPurLInBqEG6Eo+AnxONiOetTJa/zEwRJ9m/leYFetBRCcetDIWZJlRVSW6FpQGTSd3PuOHz izjjJduULXeUM3ggLZs8xiUUJmO8IVDSmFQOfD+nPCW2u5WJLkmAWjF4we1xNBD1iVVtjqNLpP2s hIY4P0q/X2KYasvIkDMMMB9biD1PstKenwwSCP0Azj1FNB5kQnbeCqRMHMttv9eGx2cJEMDzCg8k zhIxVx/1gzyWGkfXzThJWFLHfdHZI722WoNZDf7PxQ50r6FRArEkA6jEbxQVRePALR5xf2pNh5V6 RNQDG82eSG1LXADON3ZS4esPH2Ud5QnLQxYuNs0Mog3E9ND3S9txnXJZ6Pd+WFa4JIa+cqbeWzvw UunHdahPLMthkEbp7J0CJCxHTCZL1BKpt1dTPClSvycsD0uYLBci/IQq5cuAIZBpEEhfyLNGvaYj xF07V9RMxVnP5Do3oEnFC855IljVp8wjbh7HRi7ToN/JOuieh5Nqx2Ck7Bz47Ylf2ubwutPZqD2a B5WPyhmXMasPd7ThucAwyHIT+uCn3DrFF1SmnJlcIx4Xjj+dvpOQXQdf3KSq5bPFkuPxGOUtqCXY UDsiRYnTajohvg9PXEbXLcCOvp1u+JTEcUCvDW+2Y+gaWqL57VEP6nYG8xINBGDskauy8tisoEW+ 3FIsbPKx+fz84ayv/ppVJajzzPOvzDxkMuP/0HyDkld974Z4LnQsjLfkrxRBcoWv8329XCmzBgZY Iokpf81S01LE2e4h99hHOAER9l6VT/LaMJ+ognckLVPwvTf84JKof+I+2jFT0njdyJPhv9d+iwQO quf0zV/Yz5o/3cmtGeKNWuPrg9zyB9qlgdFBgmr6w9QSyAsUNN/DWkb4UzmXvVkbSSPcklpz/hjC 8HwdTaG88JiXlMecvA6xRuB/FSW8AaSxIr0mxeZhD86ypbb3uPXn5DFizxGtlC8cu5hMD4a8EUie p/t7BYQJoxoE+iOl4DxDMpAw3BGICSJim1PYWOUfoCIPkXjOn99KnW/T1lcuEYM/3c1UP7aHZso2 5f64k6ePUQ/nJMhnTWIhbNdk2DAUOTWrf6kv+zxRuWHEwrN4/u07+VDB++COwu0bvnpyw01wgUge i4pMlG4qVTIAaIyBxG4I/144b5uW9G8kzHKm2oIc7Y/4vyd02HbieKQFGIUmphTcfkkvcnMr61bU VpEdNw/b1gvrgw2IQRJl+RqF6HpEqiDAwIYpVt93vAs2yLmtYQuq8TJ+f7frfhEJZRXt15IwLTbs FyP84XXHqD0C2kvyrO2+qxpDhb3VyVvofiH9q3/cveSpr+f0oki1UwQHniTvyPxDx2brrPBVDllj 4AEuaEEK0vW6jk1IWcrZN9djITdaKE/OIPEKFZeHFL/KcgXmvIrz2f1qiDUwEMqmnADy7Z8zKHwM CO/UVgrKR+SHOpFI0jgVmoepl47nULe4KqxGBe/MiADq06Zjbc1CUXHHYgWA48koi1E7POZyDcvH 6hsARnXZc5CzR3bsE9X3mO7gKPHg2q1NRMQDLZHMqA3QToUies6bqjE7D4PIlqa6HxafhCbEY52k eLzNLW2Ot78y7aWsBF9uFepuqIXHeBi0z6nH65cpYkMr6WREnDRVM/v8vCUAOe7bMmYmBTgHcHRu FBkewunHem7UjZlbwqoMvH8mlcA5UGd7FHZXx40IeS5DAtAS2CJW0BgXLZee5lpMFP6kT5pyFpY7 xUP5wW5CGMkWUk1DiYLLHtLYjHrYBqhsKChqT/JEx1kvzNZj6XXoPY8kBVm/IFH8Jt6fjF7hA/P9 SkuWi+X7f1Gda9xcrMYfjo3DKdA97E0uXI9z0or0ceeFhFKkebZHMD+bbIv7Yl5pktXsat4euGHj A8eETnngl3u+5KHfLoEbdzT8iSTzrNl1Ui/qn5+ty9QlfOwaFPQS/3neHdY3u+kEpAezNfnTcK7x ZjL9ivPbBh7vLqA3yR9NG2/EcEnk/wTQTIRk95b4+MEMFlytiWlWdNJF/b7GKuynT8mecct58MKN d195UeVvMYYtuVorITVjFPI3hDm4T54fQ3lTCFuCipx2l+PkVcB+y7T5FkBQ4wgWbZB5m4sHSQO/ c704UfepgYKVEhuKXbk43LPkbdXut3eLQZA4vgPcxhYnPjcCFBD4puLVm4svDg+3QKQFd1Jp6m3o HHbsPZ3wH0zXHZziG/UZlL/wobn8aFWhf9YGIR3ATvvut3VcLbQZ8mSVEJEXZ83+exz/QgxiqIc8 NdOo1nXkmQb5ShVhnVwzkFsh6tukYUSFkJXQd4qvg4SZeFbaRe9XKRmGC9a4el2Zw/IbzoeMMNRr ORBU2CVkxPlWL6jiKWdyp2LNrPgVsRmNHgW246NnHAV6zKdz8NGVjcGaWRmEDNpaJ2XO48wNlTnH qUKhBsl1IcY7SVuoY5JJY4p+SYrzJzM7cWu/d3L646M6J+QboG3ssEkXh1VNHhGxE6KZHmgdWsCF nBme9d8wq1K+xfFzQ7uOujZ+CaVQQosXonKeracYsCzB0kJyEXNT+TZEl8tVAcK63R2f77dqLuTz 4TbQy9JkgrwuaJdqEUfyLmg4darwYHM1GkGjUL+lzXDjnw7orL4c2V6rweZKGBQnKFHGWsPDf7UO WE7FZiJQeqWZRLuxvRq5GLrp8jhuEPS2cUxzSXNH77hv8DBOOJ8ZQ7a89HIsL8wGDlRG259yun05 +v1yc9PPId5pfPv64kVwJmKBkaoKjhak3+60lA1hTN3l8vFrBiFD0SIHc6zSjd+ESabXEyEyGjRa 14sYt107vHJbypdZNCIDOj97gTR+nWvhMtetED6fiK06Wil3XLDBWXRERDnnQCRt5NsI0LwYhVEv M9hKpFI81eVrAqnfMmwmOsdwOJlUAomE+p15Z1FWAK7O8sKBwOJ5Y3Q13ZoqE4bN92onQYSCjYwZ uaah4jwNHglGUBDEiDZDvsu5oeaf0CJIERrkHDQDjKYBVTQTas74DBMY07wBf0zBL4AKuPNNi05w SPRZ2jEhjqycTVd4Vo63E94sgkZMgLStGTgdc2vUFRSdSxNRI/MbhRupyTokwNCSSbbVL82vU2px lLpQpyJLOZSFczuEl86FkOkt+cWOCl7lPCN4UVffLoYY1k0T9Oo4KUYbl9nNVCFg4RAiUyvXMMQe D7XuoeeC86ES5n+LolizKdygqbVeYg3lWKXA8fyMMJcccEfgrKTBlM77IZFmbsctvlgST6dZYBbi zBS5K/6Dqr+nIFN1eMI5FTK99FaKV0i34IeL2dMzTFCU+HhR05SST2VWEEOS2j5p7UFZS+paZPfM DN+7fCBH6xYkgWFjyKf5KzZfutemjbNRMQPGBqsiQCLhAl3nHWJ1LU+yqLtPk2UU6SOoVcfv3KWH 8qxS1q7oE5SnmL863/0KXARVyMWOLH9g8bM823DGUONtt+xOJdmFpo+DyGMeb4GTlquZSRyYz2Jo cY23vhb29HcusB3L0gmel4+BwR3Yd3tilk13FkoMLOfMy28IqG/5eLyDzy00YuMguEAe1Ywx0XPP v/QDlkKC8S+GlD01LXeoyCwNpXC+is+/4PXcJH2X/ejM5NPdLRwlqSe0iq0UiFn/3mfjN58RZThQ tFxY9TsvDjh2XL70Z5pemM1wZypXhVODdfcLH784hoQ3hQ8o6Doj8JvVQHZOBTTalqcUfFmKhfNW V/kpeq294eMPRb0WjyA4zHYZqxL3JzdlGdlut5P/YyLFz8TaH0/BktafUIZGGSCE4kc2y7VwozYh sK3u8IbFHkSmEztiCqAYfPX/Lb49ib6+zWQa2e//r5Kp2p6ZHwCC37IiASPmLFgWsulaNm3ieb6Y fz/HEx1HtPHTLECF5TXtY7SrZrqErKXwFBLs+KlZv7Mh4Wx3Jw4x0ZJand6imTDIsQJDrczckIzi RlKsY7F6jSyBzsgMhlzCUdJz246sdAdaPtzyKeuRGABFLGUT6Qghw1rGigjSECt21Tfb8vHgpE9v JaKeqsyJgl3YRmBtauQey19DlpeTQXL8hBTPQli7tYll7DYsv0rA048WHP8NBYGI4bwN3fWzozwe s1oNxWwKv9qvKOcmUW+G0GlZVp2vx8Ux4QMfhOwKQUMXHrNf7swWnG0l2xz3QzpGAtyvLx8s0Hvw UP6elmT4oxdsMcik/vNo7016LGvpW1ix7e6EGU1UW7UyKZbUAL1rACRsXHvONDhlnHlF2zOJCQ3r k6SWMTpi5AaCe85AFSk7CQG8w5L3Ceg5BDc2gwiZqOhBLO3WUFLI/tiicqjxcY3r2jG+1hKmnjk3 C6RXB7rbdc/KmU2frKHkvzJAwaWboppkUHxDYgw066oxfakd/uYDZWMx5qGG0BWxj3adUCLpoKpL 8DPez9CcTE15tMCnQQF8Fwo+hKLWVdIThPZ8bgeiuigwP86Q/GiLhLQakdaCzi+oqVxGhyg0eeuH +pBjoynDNrcEJmWXxI2eYxcR6jjr4VR2xl1TNTFz/iL/F8Psc4nwZBNJo62VdKtrYj2L/doMZsmE 4R5WgW0QD6PtimiaTSbstKFq6i1T1P2+PwIe3rW2tx6XlRJOWxqZEtosgsPxAbaysmdS1KXTgU6t cytZ/bW0xhBvcU9fh9cZ3csKQU1MYvy2FEY6BEDEFGovPdp7+d22uVcDmtkcaNICHbBMTR3E2/wp 9vwFTJQqfqS3w6GpHWdEPHLa6SIUjChWeZqqcJPsrxBxK3VhhghrOIQ+1CC2/+Kc2eIvWgUjg2Yc a580UO/2D9BNhZJzOar7QodDuLPbnTkbe/8m4a+mzsu9eDl6IW8fFElmB3J6HL7aoeIgcD+FAb9I ZqBXoCvdNsylpDW2drfFUMETp1CUbjx6Ry5toVSmH7rkl4RWK27MtBDoIuFJilg/9AAjhyJT/zDw TrlW6c6scLmItl1fWgf1xKd6QMbcjxjYBAXVoMbZRXeUnYuBsRzCX/VWoeA3crVe1PStGh/yWYb3 pG4+C7vriwn8iC1OqQA+lkvT5Qvwy2/vIIQnp+wKH5ejavmaG72YacA7x77DU+AZsFB+8pzu8+Aw U6+Nzdred5reoec9DZUMfwzQYy0IZ8ZU9sAaPfj2AEtafsP/xE6lVkTUly15xE+WZyMMJQCXN9xm /W9Oo/kNSpCoyeka89PCf2aURPDm62Yt2Zz6Q0cQd1DEyWvdp3ySboTZVd89chgyAlndS/HEWids yIzudc1TLRfVxS2ni/iKhS32fpnsd4Stbs8fPwMHCkpk85RmSos3JB1cN23q6mN+gfJ1beGMonnu mjKn9oRROW/K9718iMtMEp9SwQzCSm6IxmcuekJYD2IKVsnfTbGm0U4/YYh3uVm2gA6E6tg2n7ag a0MuoyMYg+hyTtbF8a0+qh66VmjqY7VeuIR+zdgrRwsylX8AERv8qVTGXWVnuKn3YMP7Ic+jMFCF a7JA4i/6gzdwQtsLaCDM578Wqv9OhQ3pi9XptsfcM/ItinAo+Q+nybYclPb2iX5JCXc/Tm6Mv1pi F6NHo2iiMxwyyxoOVy+Nw1UTwAoMQZIsFLaGH994JILJfOQgjjPiQBrGPGhnLfyTDWiStS87hq5h 5/ILlg/2oWGWq4qpBEuGdZ4r91CTHowUEJyNC9BfDYn2U9DF6qblRs9RiEJx52z0hjMRv/narpDB sV4wQimEr7o5m2hfG/H4pmPp0Je8MefeefUTJBPbHgTgBW1EvvQzSVsZWRO0NwuzP3gDH62mBhJn GdJ+XB6elq+s29U83h2/OKTU100H+g4HfV2PJEsPLKcNKYF3ElYtxALnElqCR4TvkFrminHmqDTb wWiax8b296JTOKHrVldTQRNd8bvIic+wqQhHhgLAPdB6jyh301GxzIhYNhD/1OV0/PTsVj8Fi5dB gdUfWg0BlwmdHOfJCKelly6FweNfUCFEdhoI+sXQO1u62llAnsp7f19ZwMcEtYRbf6lVj5uBZjP+ bq6JAl6a4WI++KW5xAFsqseDDbzTWjohkQ7QbUQ9Cfkylpo4MXsPZVeW3GERqja4aNYafuw4+Kcf PeL1A98bHlJro+qYM3olapvG5wPVNUWIqrwMKZTj/VRNPiZQQ/eMnx1WKaA4E1asKVqtuTz6ujMc h55yOi1vafMnjfoy5V1D1EfZ4QYy1+kxCgwIwB/RmvxG7AycJbPS9fpnnwcAzMGseN6VQm/F64K3 5Ye/1w7BnbSPY/RiX2bTm/g+F7u+tyqTypmRoPy/NT/S9O5b3SjqmQmvjUKNFVARtO1ZjAtTcxtk aKEx17GDx77rpNPUW2su/euTyQ12EGsHcUvDkedTDsjN/W5FoAnf8m4zVbt/OV+RUFKHX8zj8QPH NS+sL2o6HT1qHHXoJbyuISY1BE/kOFpEYe5FUXhER74hYYSAr0SNaafIhBX0YaDYzQstRAYdMk9o 9eICFQZ8irZOvxSAg6VAquos9FL4/DSGgmfYV3JWpm8MlrPFmEH7gsoCcZCSAM0zqPLbMgUuIJy2 3wQhDK760xDTrwC9NebfTejUO0O59+RVvKXp+afUO+6ZP7FSFTW/e3dj4Zr0vF8GYGAfkWhk2/5D x0NFhM4myH688tPUHP1t2bGQ4Bf/e4DYqiIaaQH2OqvYOYWEBVNisnPEFRj8ajWKla852phpa8i4 LENLqWxBIKyFmxtFJsXg3Ry+1KIVBBOAzgDAyEefqiwe1YP7twGQdxhhjsazxPRviouqGeatD+Kg JFhPcBTClZ2r5/1IoqMWUCPkae8wuqHEs0u7DebGEzF9KTX75OaqShZKOUw2cjLUn2RtHbU9F57v FoP17hrPSKMqiqjOOT8NQu8Ge4qPhdFShbqj5M1XSq8JdHh48qTrLU06nv2Hc5vXUCZo55KIk+mW ne2RXCmxneRdwC9HCICI6gndTEe79VAnSFUKrr54A8nXWi+PvR4ICqhUI6KTgD+ggNGwXYtTjpSD qEBMEi0pzP/0GPfIAbdPPP13txi372weCoflZoQIoMzkK9y1Cq3BITjyYQnn6KhSRzltot+boErk 8vyhiMMpcsGNIdMq966amBojhmcnvN2XjuipKY8ONAzC9V+93J58dkr8JQMrqfKxJWiEIIfG0sTm 7+KuJKeN/YRP0QHCUlRXMrHb4reN9c1dpAjjcUvC19Bz7FmAhXD7AAzEQQr2ggaBYAlhPXpwvt8J Sens+ePOKjcshBk8m0FcR5UxWuiVbGGhXZHkLytOlyJJysiOkeUkR1PqvwPLHaq6SZ22d9uNr3iu +X/w1YXuhINn6+WUhJiyY7+dxiRSHeGLvKi5NcvQarUp/ZvU8nkqhqHYvKghNXXMQ2T88FDZh2AH QPQ/YJac1H4WE1VtjWQB3OSg6Q7gT6nILO1UQwLgAIbrHvrpjLrlQK9kaeQEm9ZjGYxN5GrtDBqD UJBbBYnK+QtfqzVCYtiCxCDKxdIGUo86lxxptVV1F/x8l7vdVaAvoLfQBWqzM4+HcAWV5+rmZZt2 Jm5SfsLZVqTyDwQKH/3Yo1e7ucCgZiMSwQvYC52OSLm/0LtclVapSR4XzNt4Wjk+0NS0d+gtLlw+ ZVcLsQP+jIG1Eq5SH+2/hw6cIAZK72VOnwlS9Hp6JPDHbXoCX5guW06JL/bYkwwckW12HZWM1dE2 F6ypkjS3z1L9rglmlYNWamAbT4sixaX/Nxrpyu9k3AAOtqRkTwSJSlzwugNNAv/JvwIaqtF6cOy9 EQJjH3BUEDkK+lGzbTrxP3yblEhp+Ywn2fG3749qeu9y2XklYrs1xJuYWsUKQFsMuGh0iEyArnV4 9fAIKQ55YTDpdaaGEfMLY9GvEbcHW/oe/8Cn/T88zan63swwF6CKlsxsTmK4FKgnvulnz+MIFhLO VflWC78jWKWFmJKNK+q0Mu+8RcECKUe3SIAhzr8W0ivHBubeXe2GUXcPBhKzWPPJ/ILJqn2grZpu yOQBBowHS6gO/oFr46F6cFruOkjM3vdaGyhEdnA/sxE6+zuuCaBK19IHN8JIhx8X5tzPhOZeoosK gBLJcLVhdHp//IxZOE3e0IPE3GztjgWKO8ROFi1Wj0gQgqvcqXYMqrDLjUhY49nAc1qiSxlMifV5 JTX+aNpKlOC/O3L3cfZyc1pmY3Olum2gaddAiinSBGSgLjI7mMuOxfm5sZqaBZzrJlY+wAqtGQUi /dn/ZlL/nrYhlVKlGDfDNkOjAqpgVIvVkOdjJS1OwEwkw1SBHVLSe8qlEwEB3WW5E7BQ6e2qLVf8 xnM8R1St3bIQ+RjcJGMgmQTxhk4vahFt2/0JY4VEFkIa8Lvr0dQCckGdKxukCwz6yxKyaCk/MC32 Z/jZVJQjsP6mDZTgJaD/yjZ8ecmzJwtuNBWdcIlrXCBRDHweMi+GVErWikBcbdhIpk2Az/cTV/F9 fay5r1aYWt5foipJ5KISjqiel/wQmD1fz88phVH4BHfjDXvt350A5imajkUZGXjza0z9HPcYoHxm EIcYK9qGyztcfX/IHZXkNLQKJ9acBz8O5rj0lml8xul15ZUBgpAUvcE3mYV+GpZ0D4bVbNUUzheu EnqXEpSmc0RUcZaqxAZBkZmySEQuPI0MZ+jwJg00RyktCtbIM5Zyp/Uehg/X72s3j+56VM+yB5+/ BjWzdqE/x7VHN8hLgxowclcF3XiNEvpAFKPMKENl24HZVB/TyliPjEiblNc2Sb1o+n7DOhKfesWj SW6lWfSbGv4BZmmtVtTjM+bIMB6uyxdirH4oo8QivwSqy9PhXEIYaPbA0WCOVrVtXmpA/uFYPkjH xPaG9PKIbapjcettlzO2MVlHN0JsPZtixqzXaY0aNSPUZ5Q9EosdtvLl4gc1h0decT3nm75M1lsk hKQzaJRY1G7R0Ca7C6mEIqOcNOXfOptyIPELcNgM0iVUtCInwgjphar6aoKz2z7ukbuMUboP2o3H LobTeRuWy4HiGltXva7kQPYHfs7C1uqbGuuUCN1K9X94pqF7amZ+pF4zOHQKBInH+rR0z4/7P660 NoWaSC8BKmOTyRTTDgCIXnfFK7w3Xa1SAmEL7cDueLl3lgQbHmKVQCM6n3b08x1YTPchOsRNpVtp mB70/SQCdIllqXgFy8A4VHmn0hcbARlho6Beoyq+TDbE+4s4mhQhakFUbXDJa0xSE5495Jksm3hq 0TiwKdao/H/rySyhvgWYDZyGSRXFd1u1KyOzZoc03//WJ7duzVI5iEVlIhlcFwc7r/rK0R0vFjWc zm/rOQpZWG610dY6lKtK6yd70+1a9lHGp4ArrI69LV5IIV7p5V8AG/osH5FUQ36qDU+R2sHzHFkt soNH7BS0bF+DgYhq+9CtzoEzS8hEtD9ib5KYPgTVYjHB9RDVRkjwAcwo5V3ktHeZjJnBw0d+JjtH zPd/zns3P4+pU+4KWPAmk7zrovrwVPJOEibPw/IC2cEOeM+a/JFPMgS6hrxsQs9kDDyE2LDJTgOH g5vzZiBsQLh2FGuiP/pKiRdIWqcb4Iz25g1urCmurIwb5b27UpJnp6SqzwYua5dg3c/yO+SE1199 1t8C1pb43jZTGtpU7SkX9eXNQNYwRFEmCEOdTaWuaFta/BJAH5Z8ZYP6sHRKM5Evvbh8iKiRRhMa t3ezx2JZhrKhTe/iK+HaORDWelHb5vW4lk9Ne/YTRXx1hqTId8ZlUgccNjxn7X0tQw5DwahpfUS9 B3HJHNegtAdP9mSQR0Gvaca8cuncAdZv/kyohm7TDaXEUwJtXeG9mZBdZ7MIUMoESjGUonB1oj8c bst+D7UtYTWi1FoTshNI2SwrjuJzKHgTYL6qPR513wRsLtbFZYun/xzjRkdja0FHyt0GR3Bv6UIh aV6FLg/8BcM7wi22ObyYcgnytfS628IHB1yqiuDMTmNtsD71+KXTfhv5lher8EiPLHlCXY8a9XAv vFrDJ5JaW2sJuWrkmKx85BnwaFAHDOAuDzMlmJFxhPI4y+BlG2m/lcEcHF/Oj6XDEJPuXxVyjdwj L2//nPZChyo5Q/gy5hglCUVdNyKOe43LGOJ1CskDf9GUzT2HV3ovR5CCYqY4wbWE71K6z6dR0fFg onctYydIM8XrKCT/JZfHYzNqsXMlE01JxDWVDfd7hyizsiwXbt9BeYM70K9Rr55ZCsg5XzIx7xAp Pg5pZRZpVgADLkbEVhXdasuzjlhbgyX+F7GqVxXDA0xzKIs3FsdL3n3AvXfHX5N4PERKVox2dh2b 6CuOZBXVflxQljVZ5zT1/4zI1AAJQdu53VyCC6yWwsbErbRt6N3IwIGfFz2Mcxp9FbpY/IiRfCsj k1dk4V5oXiczXJQux5XaCmRvU2N3Hojg+LXcuqF4P3blvvDb7zITWUbkoeQA+Mw9L0GRA0qosmD1 kqADbhStCbPs9DDpmHzy2nYx62XyYugwUjNro7RLj15EXBDwR4dXF0F45TV7WftYxTgl16Th+suZ oYIbO25ZR0LMcV/cdx28EnC6BMcBiQYIMIC/PTgpeM/8lOAdqh5TNoBAtHdWFiAQS7vc21v7fAVk CYh0pAlM71v27/OOtEk3u/l6fJtLUzwbI4uy+jdvbW9dYFaf/SK2df7oWM7eeVqdgfyIC3q7axt7 Q09ySTLKWFyPvAeP/tDA4/mhaja/zWQaQ9kkWOas+rHKwTWhFYYqOFRej+fRAwg6hx/eY+T0VPrW hfRgQpi/0evMgtnlrGeLW6uqfGYnyuscfuU7ogJVfWwNe2lpova4Zz1/cKFGuGSFKjceXn4SjEnJ 16NCoCRyykSDI6TknpCdBaEZTM7Jnqa1QLs2DsJktaJ+Soz4wdBvCbBHwb2dvgiZZn1tK713RV/Z TVB6I32WHHYSSma+b+AV/ykbqMqUqDbaikawQAbC+5i29AOjKg7U+HT2BzIgRSroISf1MDF5DHUZ VcPJ5W9l+KLJlu93+M6jg/FPPp5KocYXEcpIz4mtdu4/std4R/MPol/hglEZJZgNDw7eB/SfEyHl tsO9NjksMfeY7GLZQKM9zdlU1dbDpCyVk1swraJ5SCLS4my2EhCM2m39f4rspmjNXT8JL9wgl8La GN+8lurTgKPKvnZ5h8dLSW5WxsLvQy6COxXSleYKRF1+xd1jJONNdpJA97qmaW0t0Z5SznF11SM8 Nyb/mKaD8ZQOyqMCiOu+XNSHzfdgORYYph/IVq3EDD2rj+KlxVr5R8XWhRKLtPvFZxoiYnxxsYyX IaabK72Ts1KGEqPakDpcpIFXfXB2574CN2DrUtpFZkn36qhnAfA0B7kWFcYgwdWw5Y1vkqoPzdcY YjfSA7mnP4IZf5tp78HpOpt1EAQo6gFMblQqzeLlR3qHqiUL4T9UhdRpFjBhi2DZnBSFM0SOg12v lqQhQ4MjBlNSZK6tvJshHMajZCs4Z6KYgfO2nP+themyZIjMwPpFI5iAC97EsS6t/31ygyIPCMLL bDuFHmlr/4hmEDuVsC2FkX6xp75gAa/37kStmSwq/fut80rGl4IglfR9wktzeWdgCjdin2yJA1Om AcIDBlaj7Pw8EiRt4uWBzb+c39cUrIqqBukueIUQJwfRjVnWlj9oqHrbj1stfq4Jkas6P6hFrdhg DDCGHUG6OzkMIK6uOL4E/qQ4QvIsVFOSLO3DERMlqXbhpQ9qQ7XiEjoF8LXt10yAxnZzkGraXMLL wLEOUISpdq8mit+L5qY9ft1IlW5o0sg0ZLfPtunOfLGfkb92uM2k/NRMbM0V9FSUNWxkWdpnsCff tOJD1+nzVB9RWx6xifc9t6zlt+IXnLHrwidzewskpOhUwm9qDIc2JjgV1OeTZx5cdKL6X9a3iyn3 Ah5EHd7lE4hMFfHUnYp7LRE/yP3JkCytiNIZc903+xdMFLmLyWwqCNk8Wv4gKpvh7taiSnZEUscS t/5/wfVoDMp6hb01nw5ssbUE2fV12GdBSs4YJ0Eeob3RS5OzP0aoj37ztTVqM5Zfsi/J7yND+/UU 08cZS7QhF6q9ABLFXf+ud5fD97psVZN800KjAtXc0us2/gKF8Wzjj8yoNR+gFmmIEkB+Ea6z5aR2 8ifSxJanu83rvQg2397bdkv89egMQQ6HP2s7QA6G3j61jkRsj4xaxS1a9QYwky7LXZuekrE/zSRI PdGhSOWoDxgi3WYAVYYldWdIs5UXgUr2sng3oAo45MWn1BrMCjeMsIUKaQyrx2eXezvvv8tWo1wp 8w1VUX2KLre4say9GpRwJtEOOqszxPhMAjl58I4ZP4b7b7XeJBlECnNivNNZa1nJZlN+EMWYkg39 8VkL16Cwy+erP0fbRJ4+HRhSTaEEkvXfep/w3r55hiSECuxZAA8emNHcKCEpUZtaBpm5EiUBf5gV U2xrlnyk41A+SudzaCBR2X+6Qq4vxOIoAO09rsI65DQS65QI1O4l6GhiUT3A8B25l4w5OjtwLO+4 jushAXmJ2IT57gdO4DNk+1i7oOfVYiu6qgGk5lnZ+r5DUxJjgeKaK4pqVJYHJPJANLBdhrBBUKHr ebemb4ozyvYxeQ15eADFqk3btbQU4e5oipknzoumpYy2k11m5Ej7MAgN0ew3aOnuYQJ8M1qGp106 +mwnffXxHecXqP6HzNID7W49rDayp2du3XY7wXaxJYYSrGhQUt+BhXDzZ674vrqOY2CY/7RZuvD0 m5T7Yf5J2ZoL7PZ84CdvZdzm/nBQXCqa3hwj9koOKtZNtoyZVupHecpVcnkE3BVLO7x1k7YlLmpG yLSDYOmHvVazK+wy2H61EuV4s9ctPfIOxesA378OdeC0gX3AsAQkXfHRWugQ7AYJR4aL8g7YqXiF 6TkcRGofZQqBtSH79lPyLzDeXrrfbx/totX4Dmlt2W15BoTGydkOYX4Vdq90FsaERFeWpa4ncbhY kBQbo+29W1/+zVxwk3RrOdlW4R15yc4O5kf47Ibq56CtThOBvV5wi0AF0wsagUZSUlwWeNAbFCVU SnjpCl1dJBzh6vCsyHUxmfVZqKU8h7gEvJUZKhnHOztVIsZQr9zzyGm+OLp7MTlP/9K/FMzpVcvF lHls5Jq5eh8+bhPdlJDvosNN51+FZ3hv2LmtO8dxPapGttzrCfwTvT3p/MFDUE091E/B9seZKeN9 OyogdZ7El+lsjnHfT3RujKE4HD5au53ThSgS8+LWdOYOVlwNfgWpMJvlfB/HYF3R28MwuCu+5AD3 ES0wRdP7SJVyyJ3yA1iZtQrOzmtXpWO3j2GX3woOT+qNnaLqFYBPj45F6CQgdX81oFp4/XUCN6Xt hUzWCSDPOcYfsbunqyUu3L2CDgbm7EaD5p69+buglTYrTswUGQB/h+Dg6ZOJ64mHfDK0hLv78KcG jZMXueDeqpPFm3WrgzZ3fFXIE9zCFY7VybXlu2RYf6z0zEkuD+akasFE/up/ulzr5YwYJEOAdGO7 gFlcbQmvC8TlbgWSs1XM+rjkByToWwPQpZ3HyMJ3CUnQRfxpxQ1bLWF42JCjXPI2vDNh6IgTlMn5 AxPQIL79tA1gaQTwVh+BKOkVTd5KwPjPRNZTQrAbNjsy4ijypG1cap6t0gjgH4oL2uJJ4Aggvfhk vNxnOlAovsEQwBXmkZMW560HjQNiUQLCd+aKxoj/yGvpvAkZC8YGfLquf3Eg1Kyrn077Uhl4v4nN bFifXbYyIuHZqEjrcl7632vkYlgiLVf0Bk/y434AOq0KxGLloQKX2zqTrLqlwxv2pw02ASEOZnF8 Y5TqvnbiN+Q8Y43PtgM5un1rb7gHnt5vMscAoMuPjDLIDRu4e23glXgiMc5lQtDm0/fiGn+L2Ees iAjS/6K90oBHSexBe2VpZjvPjtWNdmFFHF+QFXXvPrvd2BCwPMx4M4CUFRZe4Fhixx0IHqbC5Kac yw68ebbFELmEFv3k/FldVVg1w8gmbisGtPdt/wv7dBiurx9HgVNcVnC7vivtgJPJx7vjX8/CAeDP 8YbgnQGjjTA0lIKs2XIl/ryx6a9mJGnFKIw+UfV1rAYZVTnuHQeI3K0a8H9Vr1gJVrdt0KCpYAwy SAmGZvZj6zm3WFM2JsXJQP8ZjTUr3JKBXtD7hJ4Az/DPyFwo4xuTRKgeGEnSzmliiM8G418yztHM MD4M0R9b7nsnN3zjbP3Kr3vMwSeG4Pueir+TVhl83StvuRG2UfoUxhZFGkIKgGvGdM3oDaPXVuaE 1pzNy4pKrawpNu2HjnLdlHvYc1uII57ALIhnknUK0UzprvWm5yvkCaXH+/kVQpLlMsW9ZT4Ngo4Z fej8qJ9KdzLXflCyFYb0eQ3sAYGqwnkrGZrLLPQWT0Isan8QKHP76rHLgC9gi6gBCVtBibVoVQz3 XexpXueMofcI9qlOb+J8WPawuHomEHgijoZ9Rmrhb/RSiSi/DFnc6sUl1nwp1XD/dlYPXCm+faUR gYU2ojI3FIOyqeiXPCQh7J/zMB7WU4/ElsHZ8spLKUDEh7BE4uATTWS5PI841xiianlDfw2efjO7 OZ0GvoMTjKU1iAuzPC2FwfhWm3MdKM85wfQsOOfWY+Dk9VB7zVNbkc8IeuGED0P6l0QJ3e28EUtQ yLTEE87r2GQLAuIpZm6NZPmwdrCV7n8u3ckNzIy2/cUN6Xd10PJNFGL9p84z4nbEWo/ndcauSSoB 5jwY5F9DMY9sdUVGf71X/MSE8eizf31/uF7SJELI86wVfLiSN9X5PMe86j9h68filS8djoRn4ZpC uLr1xrwfHw3Og5oi0qEZgGmbSV7BHEBcd4yIpOTPX4/TBqCMwIQW7wUVhnDNhGAaRQqJ4rI3BqxC GU1dKGc+WgH0VEnu7yzrFCOUwVXRDYx+ujopaoNyzAuasQ950vJwNMFB3qDedVwBWkzX7PmKc7Bl 0D5gZpdDcDTbtSOqwpgs4aM9pBxV8torMvxVCNKRXui6hUncGdaConmh1MBhkxdRDYRddkS+VLxx 8whFW8ev+V77iF9cXXyAOTOHOULPKz81ILRNCV2V5t8XR62A67E3IlHIAqwNrJGsI4zBS4Ta5tjt ez7Az/+w/TKWsEYpVXX4p119E617RqkKD8qaK7v5skmlbmu+Acfzcm01QVrMMelo40czp2LoFOHd HBFYEp4/0OfPr8xKIM6DkKXmtPZDgWgwk9l0ZzC16Wwlh72Gsl4anrgJ6R/pJn7N3LaOVMQD6gLw NzhoflNbmsym1O90NcwqT19EXgPt+l47tr2jT+LWwitQqGbuKo66j4WvIzhD+0UfNKyks8/EM0Bz NniHXs6wD+qEycBDXPVGsGpSLgi18Apu5ZsBXCC9mpBQgeN7M/c8XBEsvTXmAeP+dTnyYa7rVT/0 gZN+I+pOYbK7NZs9l7opfYUWfHhaRbntobMBeXJEK4FnEk/vQWUa0Nk3mTwQDo6TxuNL+AcBuxsI KyEV0aLz1agJqrixHd4gAaD1oy1VrayilQHxbI5CMfibG4mypg/gSJ2eYVMQSTH88/+iwnQaonDV EstyFzwcXg+TT+xoZ7kz1ac+ZP6vwk0nlh6eMnKYRyuHpWfr/sDpzqZdtXQ2s8umTVBYuRRZfdbt O3I/MR7JmFV75ccAeKCKzTMVrXSHLPs9GjoSVgS3XqK4jtVaUCubsnsbYEJLlH7/FzNzk/DCmpL+ fsAopAW1OshIhvBvutWtl8Q9scel4ICSgfeSkZ5+g39y4kuRX4K556YP3yjvmmBBXJS4cHoCdA0q j14n+Wnpfwg8g7d8msTwH9wkjC/+/7P/VRLxwXTVbUMrrERNPje6tLyhgzXbGePO+o8gGYK++cF1 PVCRsDu/0lEoy2krltKUGMjBfQ8NDw9MovB7p6BjRO6Qd0wjyK43fc6NjvXWnS5JFhzAs8e9Uiuf uWN2O8xKdpO0s6vQjzmc7P5B/VwLXk4C1k36Cc6snX6s/QxtZi0BRbptyxA/747G48a+TCIANBXo 0H10tzqG/cxLI8Bcq2TEF6/qGWTZv9mWrYIRK1yYs2RnlMSccy7HsDL4H/n2802d23Hnp6hQXx6/ 5mvG2xVFcIsNx6EV6JDT80bcCvScLAeKTWAcBl39NfT3zbWGpT/2+TsGlSIN4X7dbkXNS5GKdunI CXeXlKA7DEnyECgsw2BmVkjc+QpHDmZ0ofDMiq7Xh90Yzh2tLJsSzvAFYprhRYTpH/GLLlF4Zmbh b2vYYZqec7j1Ca8YWZQl+MersXC8qN1yJEOdu7wbRXbyHIbggXlnxrZuyTVOEOifp6dgqkGuGN0c dvCjMrw2gv3q8wGuR0eP1+Tsna9HD8JXarY55cI5mKHgI8cHQ7iYzlFLdEbn6Mqck4e+uMiYkrEi sE1882xIe0GvVzwBzpNPvI6W8wh8k/RyaUbrl96i58lEDTUe38K5k25yIT5JIq39czw0xvNYLtLa /Dt73RfmGJx2nprRO/ozpOgOF97DDsZoQ0VCSp85thv8mXpBx27DbTToXgqTFV3boORv7z0Scyrp WyzRWWtOoKdFK832mXCnAbeNw9OqP02ZDoXBsbO3lIrBOsUQpWi2eH1uv5bLVOH1gr/cmy6M1oeR 6SP1WT0yQk8YYx9Xhryr8SHf25lW46m6qRFZbhdvQ4RAMkLTArM/+UWPteVUWA/INc9Tw7qLeBbI LNOAdybzIbHqlm4vz0w01Yl3lNc33R3ieUZ/XMtHXbB3B8vmIj/GzuWonR+lYXvzkhOm8gA9/QJi kNTCddnp47FDJQdop4cpqdBDhBkxcmVL9ej9/t8VwmiNaufOQcS3HB3H2HyEo3xr0LdE6TtaYi4z yYyfc7TRfQgmCFMbyQ8it22oiyalZPX56eVJcV9vjIQ9KVicfvlG/A0nUumcM71FvcFPJ2uCcqwo P8lAp8by2gYVrM+QnvSrwRhJtc0n1zaaeDKCuDHwXz0BfOrLbNFyySCTWtOJOHz8WtoEcl1Gyd5y A6sFs5f+ngtjcJ/0GNqWqvK96+kbHxC7LOXsPWW0x6JxxIDbuh8pDvphMY5G157vGZujB0vPUpKA 8tD0WKxtqDIF/JeWTYATR8X8emzwy3w99RmjS8b2LlaLI2gRNJ9tsmxEJnmNOGti0hwLru55z4Oq u0WpiP3IfQq0NUBr+X9jE4p4ZqnLxbQU5zTtXLEadqyUO5xQVVmko7KH3nSjNklfoADEKRpmwco2 PXPAdblZievlnJdpFOotw7ls/lWROcjTOPFLKnkmAnHNLi9wxPnuRLpqohnP68yIcZi8lzDWgCOC Gd5gR6SxAwFkAEH/s+LeHd5bt8yC0OTuZwXbEXWl6bJc6KRyCsqvxNMwBqUxcpTLPjq6OM6s3sy7 zsKjLA9ElxEbeg6zKyG+8gpF5bb0Qk0Wa7Y+SUJ6Qdpi9OJsMBmxJOyT8IqVLgxYb72eYMZKOhH/ /o/4tuaJ/e/kzZTOqgvubmp1pNAS+WuxbvN7dl00LhTRckyEtDkI1Fnlkb3rSn3JBwBHONQ8adT/ GXfPTWiytqratCdCyVAtX9g9UhSyIZk5UmR09eWLfVLevJwShQ1UxhTAWytT/BHbBa7ipq0X0Fod yHOde19gcpQTwWiQBo3nkOs9k4dCxzQJLz4cILaSZxIhjUk+IS8h3hwZEK5JSzPlgE/CU5PuTTFJ 4M+zqbZOjs2Z9UVu7cZrM+bBWchRF4kESzgPbRdzx2JZQxn2qrnlI26kzbGZwEMcZ0tn23nQncxV 1vHuMoXagSluNwkDqQP/yYlk2SY0fi3dyd0pvYfx1ZNkFXwu6HQcwDRKY4tUJS2GdbPHKcNKlFWB cikhvucMLeap6TEMvCchN4FrbbyanaGza/6+Q9vKOMt3tDu/gFu++dZ3L/3V7iwLVIoVfKqDtWNW U5/ZqFmidD09q8mRpAXAM2Y948t/KfxDCXCbQsm6A8DhZ6roVyEfoQnY8tgT7e8n3YROnTecbMIu VrWFFhN4cFTH76K+It63Nn+LTFmZD7abq9zgkTx+w7oQ/IIDDVrYbHKyVgI9LQTOOZ3ORnozsPIi hgBJ6tvq9mYIhLrwbPrK7EGxxdzhtW7N0TBQPeM41Yzdgw3PpzEHk5gEeXczlGWxs37r0e4XHFst Tjnvnb1glcATGhrRiOnOo4tMuY5q0k7MZj5WqCgIQ9mm0NOcvjh70K0FjbrVDJu1Xc7YW1knZZys DmuEZPS5Sa88Nh1LjMmkdvpKrfaOLZFtptiRE/f18dUnvOMKBEUv8o9hsoyQ/rpVOi2/mTPQ7RdU Nq7hoKKa++/YGEDQ2CWxWUJ2j/WhKY+4o10lih+csj8ZKwMUkIrlkFApyKbc7majXOHkdRPbOk6l xbvYWRfw1PnhrWylqkwj1LTMDQV83ynlDdD16GUi5NaE6o2tdMvxqM0dNum+lHMKOggvV5t6MIx+ OvtD7UVrGvkag7OPGtzuQl00jP+1bPXJAPLfGPnZcyDMhqX6nbb2kQ4gu12eL6/83i1MBeXA3a9N PoNO3rZ9QPpw59Ccwf550ckgJHEEKHQExAgRoimLeb/qiV2lnWJ8yAKHyiNRMYKMRFTvpe2NeJy7 ZPtqmCdwuEhRHRDa2NupAvwqDTDfhdvq+ZucBiMtMd+jdtzg0Ug8npG8RCZbPF5wDFFuIJxj8F9E PKDzdaBcHEANkBwrHwZ/y99QemxxKTfGRW76uTsTwjUnHjz9JjSbhEdTWD27pRlZxIT7HXLhayva ooLLMDilW9sXA+07UH5o1TbtjOSIGw2HM3cl0AqXxcE90gbORPOixhMngyNSVdn6gk+XM6FC7xDh C+2pf5udJ9h9YppYyXe2iLKhJVOlY5VUwFqXi5wMBTpDVz+hcLGxS4X/TgCLGASVpRAQhHQOubYg p+syyoLR9fE4Zi06PAd6g8vRZ2HIbLb9rqVHKXnO5XHWa91hazoNQM9i+xJ/PYZC8zs2e2LDiYIT lVJPDX9MWap/KEYTxhpSGGy/qnuMkDFDtWe4n2Nb56feBRMNAdLbf3nz9/JB0gI1+CaH6KB/NgX8 1SjTklq55k+ACVv3vHy65UO7As+KGr6jJgryidTYF5faPY304MBQGJJWhLbaavC7C3HMTdlNxWFv Fo51g/Or4rYj1Tz8pOWrQCsRI5OtXpTNvjBxBD0h09I2O2diqoAsGb6A2E6SRI/MtHrG6VmFqo/H H7RAtmvUAdLzhImnmK5S64yNKoGFrVI3prnvfrwThzOV0vDPbXseIpDFWPkr9T/b6EMspm5/qcPL QK8XYrJ8VR68DbdMK1bO0KWJAyP57O0BtG9WPbMgP5Ocq6OXWOf+oHiMS7kTeeR8HeTGF0SmRJAM JgvoAQMu6dIKaRg849geSBwqh2kN4cgZ7q8LNrwMr/pCaNQ/xKLys1KrdLIMTWfjJ8xlh99VqMTP wCRz/qdUzcKnfosdDwK2cq3HB6g034CIkaRK4wIos5P5t8ZV2TkaCSFUgRlAoX20nVIJLpDaupKl aYhwNfz6s0SgKW6Goc0gzFFPOB0XtLBpzrsXm6JoJN6dJRlEuxuqbfHpkgEbzgJY3FvP+jogOXBy BfINLdMOlrebsdkm+xMcY1iB8whn0aShuspBR3EDAVI/SDlzHywEIXVvsSnquDJQjJoGFQ+BARdU syd3nyIDdT+uA8pHIwoQWi4Aj4PbIZ2dlKVBQeKVAGxKIVUjX0dB4zZPri7i7gItANAmXMXIitJZ TdoOseXH0rsfmXhRpY5L6oCG+lKoD6B+7zQBpqc+2s5kH49tnmVFO7qMx8EvBjJUtEH6FN2QjRaD 0VY5IvarapQR9iEccO9hsQ6MuW5W5EkNeyZdRq7B0B/JB917PMY7x7wNh0BQg/Q0NE2Wh0Rzq3sx DcbCYEjI4Ifdof8fHs/d8QQE2gY3TPYMPDmr1pPis33yxL9mdgRf3n1zQ59GhOWbbdUu630O+6og K2/vMUEsag4J982S9rBY+DEPqou5iYZJmgavJTyuE8Jy1WDzN2FbEr+coBcJYWHd1wKHJebwCLm/ HDBIv7ifPu04Oo0ZhAHGpfq8Ee32r+5iHj5arQ7GIyGbuE/Grt6QlbwygUoqy2oS6Ec2gtp2esYx vYAkYx63Oafuaqh/ewNDyzwaKoVbYN7YTNy7u8PnWkxrp9byzBZdMolBBdXInjq3iDwSBuPqCLa2 FMF4Ooo4UhO3jKYvYab7klgjvmpDuh29Y42xXVB6iDxMKkw3793J4DxF7JP6xHPq8226P8GK7Zc7 KMexWuSDNrRnGkG4DgbxHFVn/TDadZ6fo+SjD8yRZoEFdHDLfHU3vi2vCGRpWSTPWaRkwz3ZzznE mnGMByql+sD9giOrrekmkgINr6s77eWGrxeoi4n0ikEs9t8gZYmgUGgLfimZTaLQDkqlX7vDfiAQ WEWCU8rBd4HTdm6ig4YgIDUFnnLwdit6UBuisTHg6Euqo8zUQ30ikomebB9/B3DKrzbhsJXw3CGW NY7FYRjTfK+0iX12u+0ZvuonNF/TDLUQnw2iP89lTJAMwbHmuKSEwRgg2IZD9Go7S6IqgdrHh8Ud PtoCRqx2XKE/Fys4pkdvX6DXeheVFRar4z1B2Eg1s1gVKzEfJZ259UEyZKBzYGcoi06gvjPeuquP eFFDMagzPIYfPzglpNk2OHKg6LH4Xgsb7YAb+BtHwvSbFhrtHaTkREpVT5lFJ02vc3bcmOUbWcXi Zq/g+d+PNrRS2haYCoVnVV95HCiGPGtKeSH1wgm/8qbp1Iwkzs0uYwS4QBymSwPuv67PjZ8CuGQU HNkmrTDMtcXEGl1SEslttxiqDzwDuXiH317CbyxgQCysDwL3YkNUK3YiRLhu0mIFkH87lkZfqvMP EK66ZPgKWBxHgm9VgwTmDdTNez1GJwmfgUhu9Hr4OEb5Px/Pu6RnOcV+j1Czy0ggTJ6u6dSIZFxI UOvhAS1KrQe9g287Q1No5vUkrKU3Ytp+aCbMfG+rAGNE3w8k2I9LwFOx9d4TZ/tU1OSbBKNXZjuA nODio9T+xkLcoWIYpdXZmsbqgcx/U+CoXk6o+a0M4r/mYYsuwq9ZVo70HO8fnW0Il040e4l6YZ3/ 21kXhvbOcKaEsFDYnknhitRoni9w25G7FeB5QwSeSxZAbk4klGfV+hzfRHk8h45l/yqvZFHe5N9Q Yy6yv98RSK+jLhDmk5xpyO4weUb9FNa+RE1a23L5IDcrTMGZVgpiYlLct4I1FcIE+c65vxFym3IW 8KvSIS4csS5p3OW7Gc4Zpq+NeAwC8hNaro/12X0Nb4smqoUgVdBS90xj8pjlEcsscrt2tnqCV4jI fd5AI4sV3DYsdPn1M10EuozFYS4/nvzHMkXei4WYLHmsTKXVEgjikBojl8J7owiY/LZIrqEe57mE 6xYTzFw6SX+4SxbabvB16b94Xogb8CIP4Dp/DymV9clUIlMs6rUcMnf10fOG4S/psqepA52kzxzP nFgO38vUk5FXq5r/DQohbPxku+x5iRB/9EslHNlTlAHNAlI6YAO5V7waV1LJhWOsqEYbDoDAhqcG 8YaJrRLdL2pGB5ZHwaDnGiNVov98FtuM7x+dmJ/Pjgcrymt0mAfR3O05VM2mjkX0xRRJG26UrUoy 7RKFRdRJF9q967CRs/Bia3xybWiIxhVyIHH014B2gFdBkfzmpIDVgUfakSG1ClDr1C2qV7uCdTgQ 8SAZ7DyxrbaesxbUHvbNJxt9IbMGbjwg3O2g658fin221GQmnbRq+PehZ8jal4HgGg4xdXhsyHNJ 76HigoNyoMcUB1tfXa50A4Yo7XN9mGwhqwQJ9vdK/MhFIqrsb9cDNiZO417zbPa1MKudboOST/tj tmIYCd9f893GGCg3+rro7YKCUNTHGrwtcI+GCVH0YQkcHy8sfYrM8nKN0tukNM5guItyHoudYHKL b5S8W5oImTb2kPigxRrPx6aWeFBhjVhH6e5gvZ2I5R4OswLuJizypK9mDstdDkyDBGfL0EPIUu2N C0fJ73XkDaRGswFgbxudMzvKWuH/vx6RQLePNOiaosI4BBR5Pz0Z1LUzvqvfn+klmk4mqhZzGdy7 4ZTMQhYMcuzgUmnhKl0xLIZx1pNg7eb7hAfun0CQC5/EvZlnto0w4Z6B2+p8kcACjFkQMJzR+CHd qSTzgUNwrUPzFEiYTuJi7Fqc5Mfj3KK4JQ9uTdGBc2e+ntYer1ffAvVn0XzHVl2IOcq770JBxocB 3Z6J0kK+YRKJdePYOmRev8zb0JXSaeBfdLaHtFvDrBXQQTkNSdCxIZQQmg+PpgNjn6jFIqkyk7Nd C7NtZ9IenJ/7Zy4bpARXxgfVI9w1QbQR+7VNUuBe5J7hBwe/SeZKXXn0i1bSvbtC1iArdm6CQPkE 16TAS+u2oLh3aKlU6q+BIPHVe5IdiTZ6spkCp+i9Zx7lGdam4btjcdT7vMTC2nA4hArW/Wy4g3fe 5WUoyvYc3hUALl6qHbq5z2UlFpgRYDDYNoPpoef47wWeT4CopZNDTfwNsW4oPyfLdUtMDApMvacl MXrA3emtNEQ4V6VYk7Ut2jj1F92FpgvCkzuZT7fr/WJjOs7mnbFZPYJnGS9o8bcONMWKH9mghyOi jsHobiQIs1kKVSGqyaZGC67AUzbaqDIritQuPfaXo9Ck94oL0Dcp1ZntRaYdm7TxUozUpHyqm4L7 K7b1eikEH551fZCpbumQpXMb2hk80483I3qU3OhnpGw47OuFl1fj5KhpuQcXcm6MvljerJcS9Ks8 Nunz6jgfVhHvHNqCqeVyixLS+IgK2BR6tHoz3j5xCp2VCcQJzghp0saoqfIhNv4N3J4OF2XHPYsC f4vaXXV651GPkxxRUb9Qnh7GXCxf9T4L+QFjaRpvafAPUrpMRwjd6aEoQhSe7aNJpC/IaxTy1ZQr O5TZUsy92h5snpG7DhSi+yoYWlFH7niMn86IMRnUueAXJaAoEkdzh8ksruyJbJxXc8D1vgbDZFZE rOuzQwhhRJY0gj1P7C4CVvMJoM8h6L7R6U13GSkYnuIRxL23sWmFAQpQGNcebspSdjRlzIPfxu6L Q9HdT3EkoOoLcwma3B/7fjENx2VLOfTrNH5HS1lQDIdrszJv8JZZXYyelrIoXu12SzkT8pm48XQZ qPbhrevkhbeqh45j4easI1egeialG/OqafKk9F5GZdneNwH+M7LCybO7sF6jec9Yrblat/DMLm1K CuNcyXDqEH0HJheicjJn2LONYuvFUDU2SNKWlioJ1HtlnWHsoun/AoV5TTbxvoa10VCTpldc/0Ti SjaJHPckKUbgpc2p0SLmb+EgO+VfqFFQPVIc2mkG4ZskSMaG/XX8du3e6RzmDtfFlQ49lK2Ymbwt pkQQyxmlMAAzvYpTUTHiODvDmatD+zRuSedcA2Hug+Y2UYOZAKHlc7qaZJMntBn7eI13BKivYer7 QHFGymjybWcuNSGq2rc/XTrBrR21e41UNh+PHbqHOZ9/woFnLmKN78j10u1P9yqmayZmbfzlWK4M O9eycet28349cKQYLlwIoUGOrYACPlkAerZWYhKzSvCzZ6pBpv42O859ahsYdB1i3Z0v7DO7c6Lv CAjqiMQAre5dm7uL8d3qyNv6c6u/8kHW4Dt2icG3I6j8jPSRCB1MkDCGr9+cujeJMGg6HlpSHFAg 3i1O5uhzamIqMSkYM2fvW7/O4qUe6nb/LwBTctIjEwu4ShCO/4l6In9jqDhr7ndKuFDWn7K8wpyK eQTGQSdm8/132RA8Q7YOEv7ap3IJCuSyXUzXCgKbHpx3I8OSKuhYEQ2RRmHXIZX9dtcw8YdipuOd qfP1PV3KT76+NIGuSilSgmpvU9ybfNZY7BHAOXPu1iyB/+aifudgtcRLP4zsz9NwTm5iA+FejLiH hnLg/5MMCC5cCTqT8gJ/L9S4ZC5sUrjLR+T2W0vccjwm/K/czLZBfI0MuF4SY1M10+gLR+4ylSPG eSq3me5iQ9uvykyyKxMq8RDYaQp4ApR2mtsS/UsKsbTv3uMHEwJ7z1qJUnaHLYt6Yd2LH344iyTZ ZMScFhd4DIsCF5uzyx1+FVIlr0qW4SP9TFMI5usfJKeMNOtdMPId0w6NA4lnvl4gF1NNThrWI3RW Dq8JisHDm2k/dNFdpnDbKKgzhIAbipZN5TYD1oONrEFW/b3etw0M3HkDkw6KEF/f78N2zp0fNiGp 9DxKHN1o5qOHiSHdFnOthHiI9gk7EifjwgF9C0gG450ZZnJBGr4Sp8TRr7zoXsAV8F1ZE+hiE0d8 PxEdF+oX70c2k2V/eXfVriaFi/a7URP/hfjaqrdGuxfQgbIxQWwfyLhTaSKvxhfnGw/d9lNNV1qh EYYZmPinC80Bll1l/RQ2twuQyfybz0cLlMFG67uXI6CRBfnSGY6ddmirO6Yp359FWso4SbQS6MAm /RakqwFwJ038zyZChXhWymP3KbhfLDmcNhV7J9M+8BbHu7ogjOE+WFZw0TrTmJrWd8+e55QhIkN8 wL8X63gTML9+//tT7Dlz47cGXQi6DykcrVIJdeSb/ydFusEadO63IJfJIHXW1Nn1PEBRCd1slY3K uPUYdsWNIMxsZlefUE+ZvHU2wnN2Iqu35WQ5KSlIu3QvYXjvzjO2XjuAZZeIfewcXGK9IWj7691Z deg2wgVMx6se0GTDzXl3ar7gZvvT2mx+7a4mX/wZkYz0EB+CNgl+Df2Wl8m4Ie5IgYvkj8VXRi+Z jsYFMc+UDF00rZ5f26kHB8xpqfHuYQ7WLXRdnCI5Ly0UbXdsDBs3tETyDBjIWAllE0gIWa8YR8NW 3AUR7lqDCbegzIU+2Km7pEcvNpJ+gPI+qAx8LrOI+NSYGcG/5pvpmHkDnKcL7PCG+TlibZH3o2ij bOcQGyuEz7lrYIr10joDlL+4ddmMjuADbfw9heBF+jYyFpVOKVz2xJ4Dv1B0KyGCkYdQlbWOiwMZ GO7Ia2cFhjp8v9Axyv0o/4g8JnIFn9qwUGQehFlEZqacL/x8i/4ByJUAiOvzXs3aL3BTLPw0/eCV T3tFXwqx7H7RmZekOvHPi0oauEsmRznHQDiOqbnU4lqRdB8De9JrVa1ts+rICwzk/8rhX9kdHOgG 7dWE3so05A1n9XH3I7IwCdD4VZca6i0d9adPHrcfmdmVKMAbr73tFpebpRutV1URTLZOSAfgOHNV QKX+ZzD5+asNiV91Cx0RGIV+I3olW+mgBxW0ztSu6lG7JPTpV2tx/zYZB+Iy7bSnKb6oygijvVaP YKKMbBcwR1NvEq3GS5WiCchAUCmWw1D0LdQ10mLKuwIV7iyNiJL+fDBJ+KI4js//9oVpZ2KeIcW8 pM9hCCwfOMdFtgk9gHdj3tFnNbrgFdimT0jD+mQD3/pVz52UPtLO1P+qsU50gBazf1dL4MeBOUhV tbVSTQ9jFckbIITHZllA/9jg6yXmSpX14FeTaTzB+VTBkkVqAcY7EFAd9oIcWatWlwcSDrBIHUNP yeHh4OUjwdk9FcpVQElcD2bMup2RR0L+nxpaIDFIQfi1nSzkuH2/Dd2bHjCxqQTdk5sLCTRlgg/Y Hu37A33N6XzccOVmpUPkK9K0wycS6xAAs5lmoietqrKNxjMwCtdStt0krEZ0FYQWhGVhX5mn9l3w 7nNg/Sqb3KbYVeUuy7pPYb+snmmV/Rk84KK9vuG5ND3gjQvnGKiVRjrhimLvnSRbHqHO50IUpL0M 49TcSXwEsQFFJlATX/x8KdJrQJ2ciBiUk18Rp2mxTsMY68lq57IivXAnDtcNDFtk7KH2oWR/NYQj DeaWiAk2ltH68tKgOp/CdkEmUtlfgJgyYKAknTfiE2fwRvsv31f1vJxcNA37sabVjC5+pgSN/g2M 1sg06jjS3AMnqKEesmKO3iGCkVIOh3eDHx7al/GKGR/lzuZ55eaFHryQc26A4iMYFcV4v91Hy6ed 3NnetRV+AC7BVfRdcU6wK2BDs3crqEMdUQFrKIBlOj5lp1NSBm1wT1fZPMkotCvFELWouvkyhDaq XiYZA2ed3y9+SGd/9usRJxA8/eS3KM/l+2dJo4oNVWZ/pu1f+IF9D2+3dBShAL5DblxaTB8sfOth l6PiF5nqgThHq2s20qhA1/264C/0FRPlZ45B3YNuucSm8U1pnFPyW25b3PD+KxsdjWiea/XtYn3w tZO4pWVBaz9WoFTy/2r564F/OSs6C6bmT/9MmQRV4SRP2XF3KfUhnZG2GrXFqRqjERBSfc3JxFXy j35sO+TXWneuF0TVaLskDyyUCjbjBVG4dUiAw3FKe2oFCL4+xJ1qJizGjvp6h2R75JLfCD5WNjH+ /bdjWHF53GjB0DgqWYS+BobO2NdY5rWzZtOcEfgxMLbPLbYqkR+b+MlGGTAaLrnQ3WYNlYkAxcvO yGlDzPfatbztjd7twwI/XV0JUysdKGvdQ2VxctAba8mmHTKHJgk/xaa14jL/a81wTOc4yBn1D1XY vrQdRSzuXSfH7al7WOu6V/0uPVp1KkxulmRJnRtPbHOz640vMBzc59LiTeZflhiVLUT4MIlXMvOD ebD+2Ci93aLe1KcX2oRYbuadsWThc1/tDqcovHeWjezb6A8ndC0mKCzl35HjtWd7MER+Xz3mFLoQ vNx8krN5Fy4v9+yyA0rQLIGqX1MpLILoWkP1IPgX/0RnxhqfebTWJgsxwA/8VWK8TwfeO0vZPUnv mC9LgzvOOZPhymNGyYMAkf0KUI4ywR8ufnCQuyAOlZup1BhN46W35u/X1nWUbeGld47xiOk9dY1b pstJlKmuWQ/7P5YTAX1Oi/beFk64tXn0izdM7ir5hrck4JZu0KiKzeFsS2z9JGrS6ZNnxe5kzRfX VYzGPulur/P3yIxOvLhYw1LFrXXWNt57C735NzbCOgwN3uBiVAFFRtCmuWrkcZmKRP/m8SH1hFuv K8JOpcB9Flv5fv8SjDTUsxj7vtzzmlsIiyeXHr8Cco8GeaNEpLtIzkPQkufL2YEG90xsJMEgHjAj YOFWOX7E6Wsd7idxsnCB/5TvHOkdJjaLYXRK+vM/C+vWcxcSTpu/AW2YCBTEN0eK2pGxBNWpp1fp eIqQq3w8DQjxc0nCJGoNENc2ro7UxcxmP6feMpEfsCtxp0JWh7YPDp+KXh8cYjTkPivSEe4PHOEl MQFBDYZT4zX7c1/lYZtM52iwZnlCxcooMDo3NXlvqUVcVbO7vNxCiEiiAQkcPfp8wg1TjGS5z/6n lYLJz6hFbdDHWeA5CdfQ29UvB5wgcvJ5N+w2ZVioUxHWi5QuEJinmB8Lfi+p7NNszyTWjsX9BKb1 cNO0pEWT1pDp/Vr3ZIIkyOt+KaAKfI+OKi8OULhHfque16unvPmjkIIqBSFbiTCKYDPhH5BFu78F cKlxxgfBX1Q4YpXclqG+PqqppbmUmN4bPP6oAcSQWI7YQ/NkYXCDhJI9Ovse/87L7R9K4SeckqAt DJHei0GzcCYJGlnJosRDF4wgvFUXomSfdJo7DzXPxMOrBq63mjMnm2BlqU8/8Gv0daXFL8KDznrM Z41WOUvWnT6OJnM7mIkgUXZj7LGhOKdAuUDkBvUVimeSxeMpbOU1Tn5Rldo2itcCafxy+gJX/Dkc IAX7qw2dv7irkuusYnAcrPaZahD7m21Vr8DwjOfST/ul4pbZY69rGiCWJbtxT2m/pVKgJUG/TnAv C3JnROyOn5GXYC8CF9JmX1fhTVc+a5K4t+v0aLwY7SgzpsC+a5AWK55XdWuSRm9WXM5AJwkgRQyH O8Mg6pZuetmS1/uHswLOjbUXdDXamYozl9qpVrrvJB3vOJmxxEFj2hjwDGM5VZPoNCI1BkZWOydd 4qLVUvbZhrxWWdZ6FNnaWwJB//i+kiPGCV9ZVZJ9M0rrC96LL36t1EKmTtQSO9g6PcnlB25EfaGW qNpU24YS9jvHL7nlnP71fHczpoFeKlcC6FmWYFTbTLHlAxo0gZM6VrVAY0WarNOAM+5zwNpl9z2e yjAwT9Fk3zofa3RIyxU5v1MjKuQwU9Nq/IYaxn9T7PfkdSxifc4z2KCHeE8+UPKOPxMQHOpKl6ds 8cioe7EC2UcLF1dRLDbt5dl3MNlN2hKo9TRoP5pQQxjOavUaEjNwzbGOQjdR5J1j7hxySJQxuH4d LQMzwCM2bZ/2efsjxyCC0FHMm5DUdHo1VlO4Ee4ZXR0xREbDNIssnTEu5l4I2ELN6J4FgonCSgZk GlX7FNbAr3mvol65iFnqOiPOLRky/TT509Pk3/QydkS/QRdV/Se1DuO0h1sNkPPO6mQO8+bunocZ q0bPiJkmzHQhxgzDjizZHoGPXLDb9zhW9Joq783SIAhB4P2nt+Q6mjs2Bw9anRG8P1O1i/R5Hsa1 8IRCvU/SwelyFM6n49uTwmV7HRmjnH7mBBxv5FJN/+AO1lS6oAW5MaBGDtoqVH0MiErSu70Hf1IR JT6zhAsR+foYf4prZFYpUfLOTttyk2glS3fkzh59P6GIpylPCK/f06+JocqQX101F5qFmSpjGruT rQ6x3WHWZYH+qip5KzCUoSzvPABYfaYwhDZnEEHhifSs+PZ4C1VJnApDq8eYai7u0WhYdiIgLtAq dw928mZuO133hgcwam4kKj15xog2wSQSDfKsbixwpCDkJiV+/T71cVvbg++rzCJ4+xPPBO0BunNx XqtaUEJ2boRsiiT6krgwpWHN2buBQx/NY51hZ9TVl3mPju/q4stsIQs0PUA0ULir3f3djcJBCkbr 3KOiR8jtx1u+BCC3n4kqZVSmJ/lSAg4TLx/4YRiiHrDNtwunrTFH6nR5DCHNZQFv++JFu69Bx/uC 09/EKgzrWZreUXAIUoDvhNxqTkQR9jYXFpUwIWUr6IQi9zkWLYrEfOFD69gncuKLYxlPLbmxT9Lo 3v72/HRp8kCxZxxNqo999WNxYApsYpG+h7ONxw4/+GS0rYTzK0huMjoo0k57mZTFz0HEME2Oiet4 gqphLlVVYURnS35vFeONiNZFPzDgvKL49zV8AsOlVZ1USYGn68FSHAtkw163/qJzOJADoZ5U0EPx zsq24I61NT1RkOery0taaEs1tVfeJAJW05JY4h02wmMAEIt5PuRKiFEcxLwzWrZ3mM1TKEF1tH/G 5GnbuwmKXfS2ognVsUb/vxhafebTfBfCqzzIVM5o4Ni1JMbfaJxsSxG/H6l2ewWE8sHYTP2wk3pw 9i5qIIo3G8fZl6JYiKRwDdb/uS2hQCwH/znhKbyDh1xJ0QlQbXujCR7FnGJC505trHBPXwXxJTW3 NRAN1k2INZeGiq74XSe7PdEWAPyGL+dIwlXHdEd/9LSV3ivwcwwb/uoN/vW1lqpAN50aw5PBb+fG u1ZOeoWeR0zyEHeL4NOfCwE30W8vBihPJWecTZvY1YIlv4GcCETO6XfIhAlLDQdVbZxVjxMq/+Nb tPTXXasFOQHfZmVLMRJ9ozyYeAK4ZsKSiKjOtCttbOsQK6QbTp0/dErSlaVuOkTmqmL9rboxAUAi C1yqDB6cIJQw1oGG/+MazfPWtLroK8oDXrxAcM26mwoEpCKEb5GwpGk0C0iaGoFV354BZky+MB+L 7hl/UH44whrXqsUGQk6b6/G0gUADAsSMY7fzaI05JQsyBMZacJZvyw1OxmRbepHc9IoDXiNg4YMJ KE/0lq5pobe7iMagQ+fyiTbs06seYHB9OqWQpz+6ST0vRIvxNXNE6ZTgquIxX2zGyKNffZqhctb/ TJ9jCuvURnAxqXJRnl1VV0hqXhXwG6UhCmeWJdqPU2fHoKcjb4W8DokghHOEEmCzGCvLfPHq4EMp aCWWCoqNAWHfsYDV450S1g58Ot6PqTYsmeBlfBK9lHg26/bnWYH4ZmgeBc9gb7Nlq4xha7tvI8s5 wG14l/1Kuvd75AzKRpXZ6m4f+n5KNrGQWWtqzgiZ4utllGUOAdeD5xNO6CKiXCgXzjfljWCOFJq6 DgSnkiDsc3AjHTX2yT8bJFX9JC+S+CFaAn5oTZUWNTW8qYIgvZOTxjTWeQn8TtReIu60/fd1F6wa M/E2qSK7uvNzw/VoiYXajXyyeNVHPMnosKrRq8A2MHNA+be22sCGeI+ap2+zibP9aZLtN2InOiIk 4bT1iLadJ6Rtv+mszAmD9uCfvr84gKJtjgESDmOhSFlmDoF29+vJcWBWf4ZN8U6h0bqReA0kdOur 8hSfFsTpURJ+62Ej1eBsaR+nUEHxNiHlKshUtgyelN9LSZTS9TIPMnEN7HE7g9W2Epu9qJsHDDn2 n5aVkbPj3bMKYlp7Vgzv/n+AG6NhXnGckSv6OaULvxp3NGQcbaxTYmpBA7dK1cJhQB7xE+4pEJj0 f6ggdzCyxp8CEyMLY+BvFuyI+IAd3wLSK09lvD3WJK8XzRi8rgE8TqU5f4v05lCaVW+fzbZcgV3x lUs813DnM3mkqh2r7nfXkgGtGbCd2F2pRNTfkEzuEzPUmz3BX+M/0peKgyF1UyaEWSV0JwuOAgCx PeAMr/iq5X/HZ+JRL93h2/o6h2nwyOz2rRbROxJJ6AyXUxC1/uD53nIFZYfh+lcDjCVnG9Y/mlhg qatfQI1u9UFuA0dNL5U4ZnJlqCafW2gDpl4xD8UTmJP15shwagZGW9oW6gw0l0Jzg3Q6I0OzqkEJ JukxwPISrkguA+nPlu/GBVHGGCgGA3tEKRlt+akSTmca1KsnZgP2kWhfCoHPoENlam2p6V9UKL4C ZyGR9TiakULcO6QoLSWOBiBUIsD0TQbDLyetaQDyIgUBPVIeh5IbFFpFIEoMt+LA5SIdsgYgfk70 VNbswBhK/RFf/n6fc13O1qqj8koSN9t+BQ5a+fzxNfDe6oJI9CUH3PPCaaWnPyicDK1FPl09rvbS RqbTI/PWAhO4MZQ+iVNUaastljsWWBDHk2fiisLBD+qLa5V0O5Whlpv3w5vLsFW0ADoO2R/CNdHT IsE0KeIWI8pYUHpaseiCk+zQn+RG/tZtvVAP70Dk/ABqzPQjyI3DykIFqvQLnaqZpgI4XIh44ES0 9WifLNAiAITVNxFUnAvyMDlNwbpqQTPOBd2tjoSBGry8fsavzBjS6u4iI725UV2te15l6pMYZ5ZN LbmQq6ieyn7Y25G06wsXEkM4pRF9ZOCKIpzLubo8sE5UfGh2k8am8IDjEnsPFFAqr4jw+O+93on3 fpsK1PUgSBli7ghKlhZLgwwd9GpVdMz9gwQvUbcrwq3s3xrob6+jCd2OF8nrtn7VJU0qdV0xEr63 aumvX5H3dKjCvpcoByjurO1KWwJSt7JecwxevEekPdUViZKNqe2Eaa0454tJ2sye5kSjNf12auKi +GBouvSDHZBag/5f6Ck4+dcTu7aDmWmoxeA91Uaor4x+rWU7gCPfYjyUSDciSM0O0ARNys+d1I2R oPW7HcJ4AwCd86sikLJb//GxIf7VlCu7o5jHu5DfUUFh+lv6z4fPe7tCzahA2Tikj0dizBgAQ47f QRZe3y2vLnB2vxalwjOuQ7zTZVz5u1+RzWUkuT4+KdpghBjQiJ6JP82uW8GoOy4MbPdRKLkibUtX aGI9CCDFXrTN87ZLFAvV0rekq3YIb9wOcmU/nBtywIjD33O2Y2//M9sGmKiFH4u55fM7fCgNzTd+ SomsJip7RJ60Aw4Pcq0u0OmKQS9YW5nsEAf2dh0rUSi2GHnl/Zxc5XB7a3ggS82hCASvkUHVhnpw Uj4G4clcVcQbnfmmCsGNCqawrSbmyMKBchTEH31tZhPqd0uA4rAzF9OUfQQuosztweHmYBBC1Fvm 5Heum7adE9gGkNwZ8iDKHX9+tWnbAPtXKXI78A2taDqdcrz/j59xE714U6/QwCMmJs73Pl8UDmVf SX9pK6ys4fqIRT8s1heUHlAWJNFFBu92Du+K2/pi0I3Tp2+4uD+k5255Fn/2TN+b1eTTghEn55s3 FyUX3c2ysiiHcUaLWtYDSFjYAoq3E3b+FAQiyllBIXDNlaG014ZW41VWnGTRdYBPKoulqLMIF9Ks KsliL3qaxIaeeV3iuxc7HihRMzwwIXhG2bqqjGTkif1JOsXganFYfgHewxYg+bo25t2Elzz/gU4+ ftHyqVNWNhQlR0KzpArYA2CMTwwpJRMWK6shNl3XT3zLfrJrCOdIAMNyffNfe/8ItgBAWqdx/Nhs Pq7/scLPnyPOUbsR0ZEgfHyF9UPLgb8fywLR4U++HS9pK7BpP40BQP+aS1n35EDMtf0lFiifZSF7 D+sbd7FVL6HcfROx/zrun6adFQ2Da4+fHrLMU/Ck7pFc+CriXTReqA83SyC/gS+MLHraGAXmlZV0 6LDM14WTqHW8OKZRIfeW5srbZjqSihm6/YG0l1DUnM3QzyX9BX73Hi5pmF0MFcsOEzFIlte6CpuU 1/uOMn73C1yIbNAQd8lU1wsyBHePBffs5aEke+NgCaCWNB/6ffgP41KdA/J0cv9v25a5/fBN4b2a Se+a2/AjTq/HI92qckIQzv2NfFR6Dmd2tRuo5m/Eao+2HgnFu49vp+pvbBm+9rS+O3e4olIK65mN TSXDSHPvFVLiqXOhvcxqZua1uXgLahiS+kGkM6Op1QRW9dn8/WyDHQcfZQgJAKBqPyOFjoFHDM83 BGHtoJYS476Ll48HSxqEcDNgiRekWqvA+drT+eiK3YQJra4oW8SMujblEaC+bvNL7yPSQbKOknuO +mArxslDxqAMQDnPiFndV9owFC9JNiTSzg9dEEAt72YLf8vmFKcJ1xyS1btQjj6C3T0Xbw+7vCCO NHa+txngEPm3MY6AJZmk8D+Rs5gH01/6vubMTL/3hCpABQikKwMOVLjPh3F616IWkNbID9dQ2EOZ Tw6/bjOZ/6uTpCXLY03NLqs2Xdz/9rQLivXQkxomGXSyUHY42p5NvVVUKTbhys4JJ3G5Q+DxV+zf zMmX2EIHh1xX7RMNzYIPXXrBZYSX7PhgeFI91lKlmtKmGPwxRgP4XjQCuRS2TE6Ccflof4zcL0A+ RMey2/HKCvUCbhZw4XzOJm8FATKeel+VlSYWL7fb53uopiQiGUABpMLh4I3Tffgpmgeo5R/mfkbl TXhCMWb+N+FzEYiDjT5djvoP57DADsuXucO7VKNvntPHvY8qRZ4KSFzOGzCpVT/tSXc1vqVm89Sd IR9su7KLshHR+A8D3y4+BUHwdDkv8gkB11MW3NiQ5tznsZnitlceiMJcNibYVf1//A24fNZ0A2N+ XFneYXBvfueWTMyultiM3SlrXWBEaQzdVWPaOsbKaQwVvN16DLxdLW1g6yo/GKjEdTWfcqN9E2Px RuCiN9TLHCppOzq+NZOkvkTMS1kY94IGpyLDnvNp+LZjPi4W5aDYDs7uVQ/jR1mGiHLZI5S7m/sr jgQxt53gyIWTizLq5JYIq7Gh+2nqcxKmKOotzSSRnNSDodsLfXXnPfJdsr2ggJXzL6MtlF59KrUj I+OfCMMeDVnO2jiiYSQzpxcYw/DQHouyVgdDNRTE4LGT48lGmwz9dPVQD2LZG58j6WiPVIfqN1s9 fQBvGvhHxe2RthsCLG4+k1yHP5lppsx8S9q+gC9HrhNXNqttFiIaPhJLuhaCdH1eZE7eLCl1d9hB ENNoi4GOoGmuQjFXYWepg20uYpoNAq1TxEmx7/17nNnXmPAgNfMDhB8Dz8IL7BEyEeBPC4B7CiMx sNI1a4uKla0HmYLqLt2oHshnrz0WrCQrCoADtDalomP2M/1fPlBNnQ1nvaMpB8lRNXa3heQBFiUq ErsvdKDhGDRwxS0BqIVLPgXYR7PAPOMMtsq2TZHiWE9+eWebPXc0OVUODcLjosaVOrurKXRiXQFf rZZqLwd3+PuVA7qtVDHSKwdC7vFU3VnQUtLBqAyR31K89Ew9AyErDox8pSQ2W0EwITqIo+iWEhdX SDEp6F2v4HZr0ilHlnd7YtAHpn55jjcs16XAqeK1CtBsAlaMCOgo0lAW75eYt79iNhQv/3TDY+JF Zwrt+YcdTp9CdczfSqYB54MuF3+FBIMzOkcA74IwTqlkhomo2SNfFmv93qg0GBgWwJUmydYEJn1X VLZ4Khzwnlie6xHOIF9YR9G1bhBWlohpzhlps30eKpjDLu91k+nE4QV/MUYpkbQ1CEr5fcKB0Xfd ybXuQCEwTYl46K/NNx9Fk+N7ebILq/SYt4I/ufjb5GhSHad7NvIxMUuT2pmvGGdWnX8Jb7Av489b h1l927v4cTOyJlS7Hmzwgot4ZczlSC+e8EdCwbNcEbu609WIDpfakCw8gw9BTlmUhg8pfW6VWatc yf3S3w8xx7iYmUb2sOj1n/EpAlBLwFZ/MnD5JMqj7VQSFHQ7GZLggtJqYI0OmeyJpxZr/MXNHldS Wc3gpaRwJeFrk1qNLVOGOz+2nEktr6jBYjVE+JuHkdD+P4Vnda30zk/d69HvsP4wdC2ar1PRDAr1 3rDOeJQcjsgGuuB9LfxrPU/qu+Se9kkXK6coagpUi84QYLaFJnNKBsJK9pZ2CjsMTyxqGoB8JQAe 0Kdgw6n4SplW6GrtVWBI99ncvd+/9+SjKZ04UWVlLZpaGs411CZk6wVj01x8dH5B9amSa5PLRBLF Vi/UYaQsENRekFKLzU+R1o0atHXgp07WJK6sSqou5Eq2SkBFg3XaeXhIR0BOvKi2bI5uDMIa8Bix Pmr9L97+UEPVm9+rd0548kPYVHaqaLhuP3OVPdHYNJQnbjUPeWe36fpUCImVswLiVOkC6tzvBQ0Y CDhwySANkmxPsgUioWz6koS0ya2TZ10ScZNR9MNCNbwqXQfLNZfyaRxgQuJBc6ODF+amKFbVlDbm 8jmon40BloIPyn8ibNRfIV9ZGbp951vuXOx0FQnlqAx9UF56KgHHjiJYIOLF80Ft1TZN8Pn1uneU lqXyTi3yoQh2IyocQq10zZGQARoyjUS0LiSqRGhK6XNtp3kSp4VPEBgONdhfYcPIb6Ikd7HcK96C sGEByPJ5wW7hUR5UsPiVl85BJxFHZ4cZaxer5WFCe6uvevM47LkPDVlHFsgzRqg4i3f88ANxPEgS khxKec1atB7bMzLSVI76L/mMd8Zpu1FQTasAyRpSWDtlHuUZwXop8a5HHhago38oiyC1OlmYtZ9n 9s35kIRMmjBkWTWMehdly2x8csZzavIXKXlIWWfSZYyKLUz80+6CHcQcbPuCda2I9UVAyXafB4VQ Cpb6vxvar03WDY1hyPbLAfXJvpqF0k9bs2qR6jYvbNCkeWSk30eQcuncFzYRePc9l2FWbtWypB8Q 1tc50sKpDDmZdhPPyhky7wILcNyW0bc4h/W/Im0QUZF1BKQtIOZzwklDraV9zJ1fucVU8hRnt3yL jQCGbcAJmugDeNrVUb5vhBOtz2+fKTA2LH+iPaXfORptWRrqqC8jKjuQoNCgrahTtmdP02OfOlb1 lpyMxDtUJItuiNDTS7S+v9fBlNb2J4lgn0ekSbi7TRG4UTvXD1Yi21m9WFAbwmqs+QOeT9iMZjyD iBXfkKdIV/vfsvQMwEGOFiQ1vaqA+t+WWvU/6HQu7zuTEgGltqmL5W+fENtyDXEEEwykrHxJkNp5 GTWjMaPT1TXE2i6MEe3+j5C86E8oG1mS3OcA4vx8N4IOpgIyXClTkg/HjPM9em7z+YJTIsRZUg4s r5dU+d++W2Ux5RQqxCUrL+XTZNlBBEFwmaaCkp6EcFVDLa8DT8+TJsj5/RampSptdc/fh1cDo530 3D7ACneofRD1eX/o/nuwkmowzSfCRHQfxnumnUyLvr7Tj8ZH6QPp/VGrTekA96k6lt/UQs6YsxpT brvsIhsGL18nRM40oTienl3Pjag692q2TG+YJCispj/K3Ts4KymYf3G9GqVxYJ5/FllhY7hvH3Bh wAPHCdTF+3VHfjWQxL5TXmKr4Jqrb0vq9LxvjjaAqKi365DLTKqfQWdptUdXRmUIIK5R47fe6AKk M10qSCYAhbPgTOI9XN1/oomDZszkmfAEhAu2xQJDg/Ob1CESmjvnUhpb3jS8AB9zn5a83/lp8CXE vtj7FJ6q/XbYKVsNZOI87YywH6g32XaQL+j8YnFwiXUsiPXBS7h8VW0EdWRYx9Jbd0SzVdAbaFb0 GM7h5spvT7zCtNfY2ieHXl2fdKbyB9Qeu5NuXuWaMJHSSBANPp7PAuoDPx7pdDWvHDcwVIicDgwY ZYxbM37Okw5hNmY0hgEW6sK38hFSD23vCwZHGA3RVIuvXGvwsOWljRzX77ZHOIPhuiX7uFInQ8QF r/7Pa59oLzqES2BkcPRfJdwY2haEPP0wXwvq6wIgSRwbWy7epulKfKWYLZwuBWsN4t1QYcg94SvF mX3RdAYbvu3CaT4fNEZSXuLvkGimpln0uVn/LEcCQ24YcBKCpF+Iw0OsRwMbrC1ZFZqGZR7XoCjz p8XIQ3Y6U+VQZBHQKT4LRauOZpJ0ueUF88dsCzEACFSjckwWqZcMSN6Y5d5eBVW8oKBOCIsbHvF9 GZBZLgbgWOuyo8wKxoDTPXN0thdJ6nNPZ6TGHL2P/keMmyIcYhOeDpFDyn8vw/v1S5DIvxu5Wm2V Wpz/xSmMeDHtmlP6iQ1P67tjLSCXeOZE3tVzGRAbCYk65huwZsBolLcudbLWp9A/yzFlYwGfmOey sR3lHL67Y1YCOv5JCW4dRpqisv5ytY4HGc/tjIEp4VNuuKVQPlDWyZhaUrLGfUwpWvLaemE1SG+U NhqVk7UEzQ1dYrIDvN5xwDg02ti/R3Pqo+q8WElVmCs9A7zGKTVqfYmv4RW07QZvbyQJfxm0ad0V T/bc1OrGuvmbc7lzGcabLmofPzItqlCoqaM6Cv7cYUxgd0YbF/cIbuFOMRTedJ0zInkv84HSQW/F yU3fON2DB6PpgIUz5p4JNXVYG8XHD+5cR/+QoH/O1yckNzXkarBsPTyniuibq9nHsnUzvsvWnJ5O vSPfEggyHqcUM7UJukv02+ad6zQ2lunx2a4hH0gnSp5cI6HmtcTM2YssK8B5lAbtzut6vNJel5vl yD1Uwc6f0qhCyvD1NGHDAydVM4eu1tTSTBzm5n4FbeDi0GjRWqyWmhYe8DaIX8XTHi5mT7MZHRkk JDmreZ5Vp55PCfhlf/D3Dv1FEfco8p1mBKsML0ItpasIpCxa/irBy1hGU8HWHlnjQa5bN4Lx4ZI7 ePQqYA1G/+xMlZ1W5FztcbRpPbqfuXtSzM+yyE9zfedoCAiHdhbkpfTLkzzWKWVIqNU2yLVOk8X0 Del7C6UOqjsyuC0mhSOdtrSahmuxxnKs67nfktvXIHwbzwALWCVCPiF5kjgnJwzzl7ZsE0IBBE8a MYaPbFx+TrBsnlZdz3wloQiTNqS8yOjN4MYtSD5XLSLeEGpmePjpFS21UBEgTA7n5jrSSZEVVyHj Q8G3iUTE+23VHtV+czA47jokWtRDvCVMggYWXkfumD11yV+sEfl5rnmCNcFqcknfijvCoM9Kl0PQ loGqn0N1YbECzOvi+MEjp75ltLuFXlMhMnUl+ZF82PTgZ3IQ/c6dM/rQ/m8Nlp9SZ/yr7IOWwuN7 FYEj8r8bH9AWUfDfy3uHyH39t1M1rFCuP1xebudU4ZkO8ibbWPHhwcCtdeDLEOllW0x5HR9Vpum/ e8xZ0QXjjXLZyvvXfKfpPt24CMN4jd5Bdhz3w1OWJjdfl0uwRTdxiF9wnbVh5A+37VdPr/VIHyuR oAbeYvP7AR/MakTtJFgZjLr/G7YNtovIS/LkhaE5BA3W71QUiNbASFwY5U2FDpe78cf1ATXSXqap AHfu/W+EjR57PEjlAcFYyRki+KD0h+XVEkvUV8KduaiSLIBZvG5BNaq4DUDngtA8KKXj9ljvTAiR DFTiC7FgVW927N1jOJ4I6+4lZucmHNHUhGTMthS2PncPKn8Z8dPaSQYeMIiZIIYBKk4iZrcMxKzR Y6MqT+LvrDbQmyocMsO5/dVDxLzbGOOvWqjGPybn4uxTsNqkmzzTAA8P6B0H9gtChLXtTk8U9QNz rdXUCyQlozBFnom15SZdvFc185afEJMVOeeMq3YCsq7anfNbDH+1kNgAP8+iW7+YSsjti5M/jLQt 2PJPGzVwA8x0B5LR9F6Bon/ZRUXa5Ku5kCuCKcqt6PtChAyZ2tXfjfkjOSOkJxGp7mS/2ywiY7h8 mCgVwyjjoitH9Fqqbx+Gdx/4G1Vy+mvCju7MnZB71aVdF5nmgmA3s6eYk/VsIBTwG2HpQeIgmdF3 4rqyiNyxp4bbvFGU+jPTyaJYIpx23V8QM2osqONjsc1hJyM5PztA6Hqc57lZCKVIupb0bnNg+DQ+ jI3rwzZrvKiJ1F6WY9Dj74qy21rcPeaQGysmQxXK4TiolrAYKttBdzsAeLvzRIiUdADilQQ2KtRi ARLIl2ETm6SWBEHgjMb4pxqkigj13FrbCQD0smSKYVbaGrv87D2QSerzGEcZ1bBrcvcngroEBAvO p4o7Esdi5MLgS+ep+FhRQ7EVistTtfpKq5eV/+p0G5A43gPogxGQHJdMsdXqzh8PsmWD42xUpUq3 t3cxkk3jfvb3CzZNMyhnfd7dyN/EdtXREHUenWfSZaya7AEQezNQrbkBCnh0r5z9hwXhzbdP7UMj vhXOYhjMgu/kDoIvKsad2eXfPIGQV7Yrp/iZzjLaVZ4pHYDCHajJJyLzrTQugK2jkVYUWsj4HmEI v7p1dKq8mST/FVqUACpY09yVkTbdEDwUyZ2OZ+sKEyarcFlTKxz9D+5lRNqTVJzNASlI4jn+91RM QZYe/3hYkUgF15I8ye7RPYmMMZNMiED/cKuSmkwwy3Mw5qpNk0kD1tsqk2JnyY7xfDejfFz0gAGC MgVX5/M30QZF5biQMy74ohhg45gNWO9Ien6IoTeRO7tSWwPC7YmPAupP8LeWZ+MmBBa+C9ozrl0v TVQjh23DhPuUpYlZPdzNKin8F7bi9Wm7pc4zwWnokbhjRKzWDKktdT3/BM3fphnAPDrQiOjUEPIT n5/W3PeAmGyeCGxoBukP3xKvx9SKIJAxIcyjAITNCR7qQHbxac7WqIJO0gR14rko4itVWrpdNHVB 1ePfw8hUBwyxmxNQFsMwYfNbAycpxf2zJRM+5wzZXParCDGT8naAfN+cGCngLjRiJ+Tbv3uGU3Aj YSHn04m/mAY8PQ69gEApX7alh9f92Xr+fVHKwcKH65ZUcmZICKVd7RLq+8AInZ56HowPQWKNJiA8 F2bLbT0HLw2ApKvYTaCgtuQa7Me0e0c3eWBIQio8yWMqLOpjRZvGZmZhZbrqoXt8fghuawejaM7+ 7H/6yCoi1bQvNcvIjS3sn154GGd2JOeWWqfmKJE/mfgqUIFr7a72hdjru7C3fyrmab6BUfUVhx0g 4+YIVQ7fF5M/gwJB7qkOiXiC7VBRHgQaESq/VW4UCiscMC+yvSlchcwZHXuMvsFoTQeqL35mOBhb 9+7quHqRDoD9p1vTN6rjpGCngUcqgAJnHxTUrzH1dDPmtr6TnqV9eNXOea95ZuJeXXvg1wURPRzw K4FCcdhmwG8950OVyns+7qf0XRLNd1CEjZpyBHcx4Vf1I4i9GThNTsOiaXvAHbq75E37Bjv3ts4H 60dPDGAhjQ8MB0MpT9IAL7d8nKDi0kJsrYfURca4+A/uCAfJTuTr2zNYdYKdncronLkD+nXTaGie RHWNAx4GnRxnyCM3IYpHhHzxc1oNQy3fSOCjXHsBZag+452YG1X51NN2qSxfeEzd/9W1j6U/LbJo enrkXMw2qlXABSOkumsVBwuPcwvHwRmTw4Mfh2AYNSphflgjAthvJIQxCU+Gjk7n6ifh7pYjjo+P X4Tw96G/M/UDBntYp+sDjY7tcKHtvX+M7h4aW/kM8N5ZYhA3/wFiZLFBD3/glPAIDIK4xBYAAOIN AVPFIAQ3ekwHdls/pARvsU150JWagWjJP0A6GRKoj1VtlSYnYNkr3t/rkU+oWZIIT0p6aaf+acNJ +yQMsIStVWMDsvvap/P3aUMQLO1vXf2/+MqGEu/DaMWR0YdMmwXeUva1EOJnbScPW/5wgLn4Jhne adhH7YSz8/SfDbxJKj9SfBqPE5yQd0OlLZbi03JmbuB36Z38Wh1OuGlGo2xaVOgFz9O0UH78C6R2 5l3ifOngOiW2qRM2c9rf1RWt5RPC+2ccT/aY6orrupNAbBquUV6502dbPUrU5eJQI3d6paVjNIFL vUgA5JUJTpzms0KZg5xIQrpysuXeUxzrZVP+ye1F0xZSI+OUO0tF8Q2T2ythpLidCGokQi94g8T1 GMdp4unemjOYq3e9W9ojO/Nb6l9yaxs8sVD0qoRnCH9dWobpVFBgMYkbGSkpmFsdtXAlS7LEhdOj oRC0soqDXXSn6YkdSYBLhzg+b4dT+cYdnGEE0KiyFDhTkj5PR8CghW/rcFRAPg1gtYkz5oYvAexM 4vnjkmkgEhaAxata2mTbiwj5FxQddEKRoZ2OvQvNz0ZWXACzvcJxIkAW5LmWPxoQsyaelccyOZRK rMEqYn1bc8YD1HlbUTTJKOrKch1EZJoJySqEgYJPn2c8JaKcA1BmkQmPSDT16HSxh7pLzwkafgkc 23bfKS0RCuHgj7dJ7pa+Icg9bD0vKkJfdyxO93Lb3tnZCjuoLQygtixzTG0xIe3O+C2FIE92pW/X n9d0OyK476+oVh85ns/nedX1KbtUlI2o4WJE8pZrUDs3MVx49VyPDLw/9bhLku3nb7lKwnKOWF0Z rsI8/kI3K5aFtdKeLRZanBm+x1rtXCt4yVTkrmCPdA8O+3EXKnZ8dZZslAzcsX76Xg+f032eH/YE t5ZpwMONaYTqMMeXX46/kwsPB27cclGILrVnp7rV8UaFQwR32MSvY1zTQ7cUnS0bfL/a0d6mc6DA No6/GwVEw6HP3X/PWxSzdo+jpGtlgg2Hq7xPzWjmp28uSKT64hto454mEyX0s0D9Yj9XbNLkBrGS dn5UY/1wxBGk/teGpGVWfnVtnXKLQ37gQvxS/pSqTldVKKRCub788cX6ao5uFss5nYEhzxC0Fzjh 5n9PZVo4R+7y7gQL5B+p3QHBiUivUPbTgxkjyZKs5oui6K4ATzAVUBlft8X36xNtBdv0hjTz3N3X nBahCKP0BpFYK1Hz1PFakMBuY8VJju4Cw9EaF1mtPbpkF3gH0aMUH3P1wkA9Me7RP8qRraixSIG3 nrOUtPXcBIJ4G51y6JTD7yTWE6fIzOhZDW/rpb0jBwiQoo4sfxDhX8LCiHHbo5RJlzdCGmSs976l tAoskS8NxCF72OTul4MSbXQcSYFn0dnHADeH8Ib0C10/gIX0SBqHISfCv+NnH/qCistO0PMHl5ki gjZfXjGYy6JC9acoxIs1cZLoE3ha+nAYR2pOhbiZ7UMq2u/lrjdpPR8nYHfvTg1uFgGxEk6G68IQ 4uA+o6taE011yOvu7GnaR/E5b5Gae/PuYGTU6n4qeWX3S3gt0F25NPEzZX6fWX1JYXGPeoiTFKfM ab6kd1JJs+eFg6urX1VFN37Oe5W9s+24mCQvn1AWydB5459WdFfMfjyBkLhu2Mk+nSxGjzL8y+sP AJ73CPYSnqce5Qw9Lehdqxj8kzU3ytxE1Jr0rNRU6Ed7SnSdrYBcarTebCYKVtaB6opPq3N8JQ5T ABKCf5GoFYeXoy1Usgnq+1x0VN8pXSZGvkuU7QVcgKYuKr05oW272OMgRjrC76+sUggsorMlCYE5 2WZ/4O3JZllwps3XjdSWnPpFZwPds2iLjaLD/7hcSl3OvZUp0rvcHbv6EN2ALKv34+VlE5FWr3gD MYA3TE78RHM76YmQl/JbAxmSKfPr9Vbfg7GXqcjH4j0fE01A4L6jWNjTWqzeWbUanwHIslWjhKjr rpf9nFFUWUfip2yuxAU959kV7VOjjFpg5zOU8YNShZwdHPrQZfcKdaKuYlhzaf8HDSb7Mb8DTblO 3R1JTIWbYmKrm+lLUHQdZyPll2/hoBh04z8cfEp45fPEmm5vgcu7CpDTB3Fp4ZfFJhGKCdza2O5K tf4AVMCTikhO5ikBH+b/fyKIM9m4JBjDuHexDvVlnwAc6BwhipsBF/MLR4yA3xhCr/tR/jJeAYvX JWuKEg+GOO8rR4aGPYGHAY5R5ohMGD/TlM0oafyQZdhS818ZGTG7BTF23xjUmlzpZ3IgaBKizLSH UQmy4wnRsLYCEuyMujvjsvUTpJ2l3FhPNgF3AxMoc0XvpuzgEzuphW6CNImyY+wgzAzudTe07bKc 7fR9cpx5uR0nBNquRI2WoMYad8LIOjuGc2goOcxWTvr8OqsEbCvpY2anitXOSz1YBZuWjtRvWDLV JwjU3RMm1qanlf5d2viJAeEHkwd2bcFtimc5HGHLUiSN/f2ehYONUis9sP4vaVqAUmcLufsaJgL5 fSplG6kTutWKhBkyx04x9D2FC54zXwarZ69tVLDz44u1CXAEKHTRX6CtHyJ1vphoHw3v+Kw+wMjg MW226pFz8Gxgjr9w1MQ5rntvZ4dGEzzdLroApQG/I8HQpkUrt2868RnGFGdleQzED3qFqEgEuywx vbv08m/UEFWKzSLS1GNcy3MR+AFRyHjLmZZRyqV6p5iAXEw7YomScOqEfDYJoDbBOAZHznkE5300 Ss4h14yTc4uAEroiVm3iTLYdUBq05E5Q+kdJPwT9H6jZkjXdgRbGMtHP+eIOKdoSA+x/EHB/pS9c ThLm/gxEf1qUAVcFd+Q8rhZclpAsGMZ9vxrKBfQOIo8xlbw6TRpiJeFNkW18hD8fxSRPIdH+HHm6 3rgA1S5q0A3PW27DIp8sGZw9nCpsEKy4lWLk4fhQ5EfYxKDJEC0jVFmSyX6c7ptmV6fY+E4g9Ax/ RAjS8CNsa1kEF3caGSkumKMSbbHU16v6Qr+6HGHFT/p6UIGAujfhUYoME0aOoBfnxpkkBE0tIcnf Uutt678frj+ATZLk1MLrBVpqFXUr4bStWW7AHa8fC801uKHGJrkkH4DSakITRhm8t15LbvpNAUt3 GLtiD4ENRwlO9QFO4VTi+Aj4gwjMJO4srlcvRJN/DBVLVHF5WGxhh76OxQuJ+HXh3YkhiiKRdR6c KUwMqVO+mOKqK0m1wH3rQy8EOFsAPKhfUUP28lriXXdv6H2f8HPPKybyCIxx6gHjO3jPB+rgwONW V7RaS4T5TcYfFA/6ZnmiCz4OmIxnt+/1vN9XvHIu2dUMbj0KQ+qrHhjF08s0tq0r+E9xdZk+oIDQ UgbhrHlo9PgBXlDzSBCz1bj5gQB/iROGI/fwrkT7SfD8CTgbNz8cOJaoF74700sRFPJ1k3DrRdxp EdWfFjW2XfpJkSrr1emeG3swox/octluUCtkSupkoSAZoJG1HIaIwE75ci8o9AJzhbAxSCU1j392 laS/mG+eZCNd3NHxOToDBgz4eDtYMMlnoH3XYJzcEYhTjkt3du3I6tYDO8RzL3Vl/c10mjF4Yg4I Au3RduTYPzIqKy26OhN/OujXoAXxkC4aBRLEWhkqCL9g502cdwClj2KSnwSqTNANbkhtLq0I5buG tXjHjlXplL6JQEjLvn3d2suBp7Zi7AuR0/jvTKxGlncfqdvASPUdYoPNNeFq/5qA2q+wB4UTqqNe +aF0Lfx45LxBBa0TrhYmIWfuNolIU4wOj9UK8eyk8XvZnxuSahlr0/9pN73ZGk0EGb4W9rKbVQqw hyrX61TzYOPVer3jY1VnsOIb6gUZ9p1I0PJtKZEZcR6J6PtYF6136DXt/XJKsjflCpRdr+IA+8VB eJX5d6Lnasu75kkFXIOpuH5UvOqjW0MwGKrkaVnDIXEXyk9VG2CdSlocDc91tsxIooTRIYY3RixR 5lCCg0vKWJjnbwKduMCxKsJZGuvigvK8W5rKfw3+CPMK7mg9Tu7dPQUmLaKQDYTs4E1admdAGQ7t rFIms3UH/ywmUmWUOlyZzaWaRyVf/rBn/FwAkOwKdX1Mb/Ou7Nj4e1yTokhMDc125YNUotSLQVzU QAeLBJYPDurt60KLPDy1pparYgGSqlil+Ntwu7rlhIfz+++pKbKdXoU/YXxiQl7ZCsKwS/UK72td TTkKYsole+N6XdgHtmG2YDLmUgZ4EVXvLr8q/xGgUr01vhB6V3gyEQ4EU1idvHaqVJ7RKu+Qm0W9 n3+s5SUqbofnA5GG4AETQCCPhItrYaWrlSk3y6ftnv1fxk2bB8PXsyfNzx0kxasF+j/QJCkYsAoR QtWK4nQGGdzapV6BgJSX6a0PqiiwtHw+idD2TbF0WtDYhEWa/6YcjxeswU57Sh2ykIZP2wrh8U1J 68hD1T4JmoVBs7mJDn+MeLCoLTyfdtBg5nzdpyonTbjnHwwljGA3OQmrWQai1o0ULNlu/r8jDDLG 8csabp0EoqabRksOKkhAVPLXi/TcHSMdxl9EiFrEe8D48P2TKPvbrdRQdaBkuS/YST0ltRu5B9SS QnmyBayWNkZWJK5ICuacGFw0dUfGDFVjFGm48ZrDFhgVWmLcKYl7CMkhSjGpSi1GACzTOTAqsHqK eRL+l3whazDZbSI+lTBovrp2SMpJdtFP3mLcgWnA4evq60q6bKJwZbPW4WUXxdI8W3CAlXkECwUQ Utfafl15sFOVqRqQSWEM/L5jDy9ImiTTkEjLdjvxGM9Y3S2T2qu8p/ggRsMrf1P4B/hYqsTqTn4/ 6gx62fDKydSV3/0NmnaYNph5O33ID2CPHC4/biauIrZaYerhY0+uSg/ncuXW41kynmgBVSFHkTdH FjEI4wAymYzL3yKBONhfvSPKZL5KoE1lr0Bjb0D8chNxgvL7ERhRuH0ChYsbmpDH9tLhbNyliIdl XbuV+18cF2pE83uVL1lHRfIKGeVEA9QuQDZl1ezDY+8W64GvxYE6xUZ3kw1OqDruUKUoNUG1vGI5 DA4nbE9EussaR96z7MXozv4sAZ5lvSHz3yV4xWpTkCC3IAb3sWSeIaDtBgjFpbSBgm4z8nepYc24 DxMUuvGl2/G/qPmFEzoPx9H3UZR6T53tAavIkWVOMZXGmiRU7gj84Xy3z5AenRl/1Ys5NBMtdARI f1O5J3mW5c5bjHNOeyIlYXVIyoA96YsIuejm8SZ/9l1rG+iEmOcORabJx0wI0R7AjXGbtKzyw9Y6 2ot3sjNIAZSpmrpeWg9Eh/E6+Q/wMI+AX5PpE9fNwJuNTtIrtB20QxRKBVt9bnM8PBbDqrAxTCtl 3gHKTsvIBoZZN6OSaRABVY4bbkQN7XNQd5HrA7RzQfwfvSyXeVJ25kMq8GhI8XLBFWaHyRUtP4Xd Fy7SsX1J4l1sfpYuC7qOD/ESPqJ14/Y/x+ywePRgGhV2wfyQDNkbGzmemVB++paw87FfbIVbMQdl b9tD/nIJHAoKcaPCjGytO4UcXLLjLrhHr4FCUW2NVUXKNpVgcFKAppuCSDqtLnd6nxs5v1oGnM30 yGCtQnJP9lc24Ad2+JIk6FT+n85iA+JXj9n7LeeMZNgeQ9UuLyoRmPRmKOTtFLYzgakvy9OHlDWu UpfY7i7tGYux4cCiLVeLWGcu6xltnL9HdHTgekgQWgZEa0Cn8TodO15ZnD1E0TEul0GEOPJ3v8cm ljALqsvLiOrRkpGDZKy4R3sh6kJ9CeO87Uet8SPQAo3zrFLHEptYKtgAHWgV4dH1hi3JfjR8jDij qstCH3hvCUClRckgNl5ivnc6hbKNbRYefUb/wRugB6QRlSbu2AnlPr6AfkJjw+c/JlytD3vifiLH yzN8YwHCMWQqSAtLXRYL92vwARvDk5vPy7L97VUQ74BZ4S5UZQRpi5MN//vxKZWDZoqEkERi6jhf wg6aMo2BY7fvG0fSC/IHns3CSUFO3our39JSrVRkpZG82FiCQTE1wmJ5D8+8f2gUTV0B43fg4421 yiTag4ruejQZ8ActxFyqZghjJBJZgbvmHZNeOSzwjYdEPUAEzcVHf/hTtQAx8onRwivAYOZdZ8pz hcSOVwTCldx7ixhEcWQkz28/ILqfj10tzCGetpXsByrYoUfC2EtPuJ9cvSAbxLowAR0KmdzP9SJ6 yW04KYbZbxccIQZeEbRE+CYCiBR/NmcYeCCe+h9oZaaqAPWyBrGd85kxT71wrUDVQWub6GkUe2wm 6Q58/WduaOMiazrBTNCNlhCtxgKDSpFVvFFfgrTsARTkWCe1FiVeIy2iuxn0z3kLQbdPb3qYnI4a 8AegJG6k4dqJ5gDfGVeBRKzPhf1KSUHmTV18Tph4P4YyVZPZGzqEe/qWti6Jd1qpBm5kwHtJPBWn PGEeF30yH/Ba42aj5yC0gC2qiEpV3pCFZtQlkwPIdm+K5dHSo8MMBRiNUACSN+OZlW8VZ03pTb19 F1/PqtiuQhawhYBiUe3SWgPfWHXQwUhbBJJAD6osjB6KW5fQVRgam3E7OXJj3qprZVrkn0TIME8x U5bH91RBLxZwaODElyUlqUzr3nnB0tHfx7vmQVm7FyOfcgMy+2mzBRFfk+SlXHgDqbkaugxxto8N VA1Y1m8L5m2TB1PQXXKFCaG3LFv2WD3hE8pcnX6IODIvzWMZyH2HSPh6OzTwV06OWByTMY7k8p5a ExDxHrO7lSW/0iSfsoirl+vA8ZmND8cl42vUeihUVdQa5k5UpL3Q7HzdkNiU58i7PruWzBKFlFQ8 cZYdRNsgUYyMXXoH34iztO049X/cHxYh2ky/Q2pla23VP7bjT/H2YOW+KF2EMRf3MaK4k1Oh/GM4 Om+p9vtEBdz3uLx4zc3pwjqKiJO/vR7360XVdJPkE6/OedhAhOv8DLKpsvwH9+hg/FL+XeZpFnsT 34SBpPeVgyXee0uLEMQwFXmPjHCS0w30v3ZPX0urK36O5LwIXua/nYXga2BjwKoizpIRbJ0tZFov Y4m5gEdH+BoV2DlD1iCh22WNHvU4mkoo59pFNKIr7s05ETOH5MVBTwjp+smzZZSqGsSUDUoEIZry q5W3jrtnhimQPY6sIT49j0kWZHeXXYIMLmHdrO+mYXW8eCupvkCQG21HDJR8WC/VokabCLp6fhCy yNS2R/XGarhVvgcbwtCRQC0yt/GiU6RAx0CQL8Su+22WXQLZGvWqTetd/LpE6/G1qPfqmsQu3NLQ dnR1bI3N28DTTHdMQZGrSIcJ/JLwaGVJ+JxYxO6CMq1+dg8hs5aQC05K7FqLUAUd2HPrDRNc4Vxi e41VazYITaypeodgi8xc0/0QMFD6DneciWVBaX5zIoYNYYZn6dJMfgkH5550MRRGWq9W/S/l39bH 4nG61oZgPN8vMNJdyVn88a8wPN7RPpuZn9xjEdfOym49FEIl86phj70b8mNU4mtUaJWJpyuFC1Z3 9EuOhqu+AzUv6HKQOu5H253qP/3I45hKOD1mw7zxnLa4VXYs8NBRC1S+9L7mD6AOqYxd9QwzH+OM oAOjJ9BQeDBQng9aZRD7U8L9m0OZIbAr8DSeqHJAZuLBJrj9IYcl/TVRDh93r9AqiVMxY5lviLoo 5DOOfUrtjApVY0yfJ9KhYpn77DBTKzokzGvse/QovENWVvt2sswcPCRD/W3fpDv3ArhH2KMXEpFt FO8jGhlVGO4OCTICFmH83rKKYpmQovKDSBg5KDKnYtp7GitX3zwcq6gnKjhDlhMxRLvwxScohadh N5sfsjEpN+DGaNQA7FjU5gAQiOy4rZ2isRX4Ur8dyDwr5IEtaxlEPX1xMxZf/BpgO6xaq2itMY9o c2qnavHTJ9ptOHNvFP4a5IgKdMjSHhNq7/z211x+FcefaiaNjPuPMSgriODMm35o3zladhuSb8Gd Tw+0pkrj4CLM6HJctVSPzTOkI9V9ChnfrJTdIMS+4IzDkLSDVZDVTqp0DWMCnkHSyvqA2JGSPeZh 3/XKfFLgjxGXNuQ9c9N8gO7dXN+ud94PjDjuE6o9IYYgIpyZVY05rOguy5QbffxX8DMD4jTjrZe1 G79e8hXE9Z5vKgpPf8OiYX/Ez5Tfyva1Br5+OlpeFLbOHGt5fYWr6zz3zcGJPCiEOpPSxRIwF2k4 gZQFbov14cIXWscywNYIFD7AtGQrWaUSfqrifWr1Tsu367AncDoMTMB2FG+WjkOE7s0tl6i5p9xI uuOlVrMYJy0DfFu347jKmgzNDIUPRKwftMb2bYqTYotDYNrQu04VX2X39V4bP4BWu7SwFJApAaFK Qaa5bNQNSDgRzo8iN1xKrUKKOr9f9EtFy1jObAVXqnf16432ZfHGDnq02VllfKFsUY4VDljSzFwY 7H/+6x2OyGfRhuBKz7C+vYq5fAxdfPwUP3EaXHmJPDnonb0vVXxlCRqBetG9YfIAu2VD3JIvo6Vj OaStT6Ex0S6/Sh8cD3py68IXTL1mx1pfLC5RerFGVPJ+EZmOFFF2okSvMF74IfMsO0Pra7g+pULK sq/UzOjavRS6Vcv+1+L1KcM25BTZuhER+jczffl+1YFWJQmu+LnR3l2ZtUzIoc42LRVu8XBaGrUP UzHl+j4wOevECeUFYUcQpomkNTgN5U1vvcuoDamQ4SAw48fvqg+7T8Qtbk/AUO4uoJdVeuTIaEVO 7jJuDG8y5By4e5qqniQciLoeqFypWm4g470WGdnWswg9u1HLL9YcxlPAwBrEcyyGLHNNyrgKcqng z5nFVzLeAOf3OS3LQItsZWcDhVi1rx0FXZQyskUXkwC93YdNEJq9wKoJvH3LLVUmxvi4OrAobqAt jYlcE6BCBJiE0n/w5UE2XfyPBgO51tMuA/1c7nNm437Pl4IP0ZXeF0wLUeyaZJ7K/yQvUwKox+X7 B7Wts/QDgIyTZRfjWkpyrm2QI6UsH7o1kTiOSfbby+iXa5JGW8SBT0l4ggGZPxlyi803C25/8b+t woDM7KnFccygFsHpIY1DvdCu066OoX8zjsTt/rue0UiSPxCstayWr3lEWjs/IgP3ucAXC9PVmWN3 WfLZZp5+kgbadek+YR8XBGaKvo1VPh0ipHCunYvlPNr6ZjGTNkNWux4RLZSYMeE4CBPD4EuZy/Ng 4OLTkif1H7GLnsPkUbvbee/Y85FjNn+sq0B5AFMAIEMgsqGSN3MrirPoDIfQWZYQQJz4qDWenOeQ izcHuRZLtIVJCf2wBoF4XWIBHnKncR6PhnL8t8YTvNbvRL74LAu6BSURC3Q+RqlZnoaiPa7LEPlL Z1EFRjV5qERbEFAnzDaVPw/B71H8juMJQPJzBv9hLGyB8pRQ6cvNpAduulfOfJ5mfVtWIh+6qvuq XsK1RR/hFZaPMsdsi5A+fLXbO/6+YEea6fQ5uRfAixP/0a/G92FuJ/Zb5vflpaHn2gmrKCDBtxks WSnmcyMMzvYRU52CvsZBA/CHWxtGl/ZNRIDJ0DRUi5IVYVGNMD30kzRg3M34JJH0hfhpwcRkeDSg +MdLiz7+SoI3HpztaiACZMvtqI5nivbcvKQsOrjwAmNVqc0umn18DUliUhThBVuP7Cpi+yYzki6e y7Am1dvFsXSf8oGayXk/WHHD7VQNXUy+Dm6UyOC9KIlU2tiF/w3TUF81TBaBiVy8aPQ7t4TEaDOp C3M0Pj9ZI6cu9AoYc0YZ04lwfSRGCBedQaPVucVUG8dMeuZ5KqXWOzWNS3mJuGRDa93Xkx2cB70F DuQohqSS1mc+pGCqu6kMp1H7+yFjjsrcBJNg53rt57Z09zT3m+3sTy80R8XA9XLx7EM/B8vJJov6 sLFU8vkVSf8nSo8isZxfeEcKTd9QzQocCSbOSNHSTLqdPjMlGTT/EzokLUx2uH0S8gl+F6/yhgw1 RlBBIE3EHL6uS+pLDgAt+SBBTXUqGnFQPQITN7/FF0KhoUJIknbPT8u/5kDObXCpO4E9Q7ncG9EQ aVue4A1loJqsiVJ9avwLnyvHjETrupVEHVIrPFQc3rQfOwdO+TKoR0GIW84dMn4TE+9WS4ulvNS6 UPvUoG7AjjFMh6G20E5c7lqr1WS6a3pnGZjy5DlJqVmbg8cIcEAWu/8R3zfo7pmo43e7I1OMrjKd meJUPTKygCa7QmnATfYEVsFEXpsVrabrB/c5ULdAJfbdaeR96lpg6Bj3MmtO+387Y9dPNdkXlnPv bw7+n3FPQDRThuPnrKLwmNZx6Xd4zUSELueM51UUWqWhBEK+fPvP21KMRDwb02ZtUFWwkNmNHGRs dYhNEPEh4oYXSiadU9rokQLTXoULl0KqBbTp5/Wqv7qD4X9QyWfkm/AuiZx8calDKEwYfgc/QJJM h6bQpyHdz9bpcSlduozCIs/omadcx8uluZxw7qJvaDDHZr6trbN43ZTiZiHSom0OLoqV48TrWkaJ /vaQeLs0thhiiSuEv1UKsmhTy5XFMapd+ijff7TMIejYu/Y+J4+JcPv2ffmAqeuyt4715cSwoEZF Ye7oygeEjeQUbzpt9D+21m0P5xZU6UjYXhRh1SpdF0lkDp8TY221qeIVeUdERPwfq7ZZZ+SbfOs6 2rO98N5U3zKrRoi5d05Vr3UbPwtFtD7PUZZRYLKOGkZEZDhH50EoVZtJh8vSAelrSlxpmTE1ulgi n92zQesQdVuiWa6ixqr3hQF9uTZQb2xpGaqCM0gq2byRrxZw6nZOiLax596BAS+Or8e+PHh5wAKK jGNCPxcbXv4uI+1vWpB0kra9B17zJTKgBZMSd+BDJvDP9nAO7jN8JnE9pXDb6y5+6fBXb2Ylmu2p r75+OKERjtE88foAb8Fjm7wrkfnY6KwI4I7t0sm0I1gqIcgBGPg1aYg6WnOPE/VJEHeoMWC3tjJ4 LD0tqPhb+XGdVEKqp9o6gcHkF+6by/lg9ZopHsvGIm13sbc2l/B8vs23k/m02G0PxCtQgs+oAysA q0lwBphbAV8cJkpygx5xYNMLlcZP71WsDJpPH/RA6FDEvhVxRH5awFHJCcPns39q2kroX59pO7vj IEflG+fCCUM8127AGgyEHLngQtg00h+1cJUrzB5WREz2siqGatuBuGoe2bssVgbw5GBaOb22VeL6 RiLutaRYb1QJrs1MzHK703BpwNm/YJWBaYjVuSxXM1tGI/ge7REP7z330MyfJNdpFCuCDmmJPPf9 WYAnNNl+RwAeEZBzOq47EBUeCpv9Hs4VmuV87qJYlJv5kRI+F6ZYfOvLpui9X78sv/oJc41H1Wyg KroXsK8lQE+xwb8yn6DwRl4gdLC8z8CT2g1El24lBk/D6QA1i8NkTYOH/aUgvUyvBnFnbVp3/687 D/4B6AEPUx+7a7gQ1ngNqzxc+DBSPQciNmZ1X/sJEuSEdbZGUx4ek0HbJg5pe9ptDgoMx5qE4j8i pJBnEcDsfUJUmnryoH61gXnMDIToSjuETYWA4qDmtjORwC0yxEQUaxlStd+XABNLPBmIAHF+TPvZ 5siUrZjLqcm1UoXLcZ/f2/dggGxKbQ6rbeHDrk0uL1xDjO472L1rGy4/48MpAhUjNY1VX8EVHh5w Otgt0SZFS/tdjKMVnNAQge40GfpuBfpnoulmbxGwCr/BPR0EA4c+wzk6TPp2eARhZGtVbEaTno5P CanWSUUbdC2oSfiaLa5+f6+MN+y5gCSgHu7SYkplLqkkp2qhSZZa94Va9fjKODSRQ16p41E5+PUi OknIgY6R+fXxkOwTrwJMbBb9OIsLhQpmrpFeMAYo4gEZ6xsC8ZGMKf4SEYjMfkBMRK/FaHh6U6yM 6xLapP1wTLttqjiAyvSNspIg2eERDfyE7Octb7lFslrgNxgMgAAk6/MRkei4QTU0Z5Kumub8sAGB FNmxepH2qi6azTC8Yh8Foq4W4AYhHTlQNEv1h48wXGs/z3Sz8PUXyvar2wRAxmUqgGsNFbpr4hB2 iDDbTxpnXBId8W3miO67yb4b13VUUxdAZxFJ6atTxGVBSKJG0QEuZCTvEBkBFq0r0olup9BJTufZ VAK5h0Er7BV2b5kGr4oK8Yhj5ZTJYG+PAGDaahdJZK+5q7q9TSyhd3ZUkYK9EMEvAhvqnNyJ+6AS 1E6an+4nM8q1HyLEGF8jf1TB62e+te1GdezDKX/jHGyJn2lPOvwvupEFzSB/xckue386MZa3Fs6W LhlbBgTX0qvqXxIjUClq3sZ7baGM544r/2bb6vDxmWd7LAE/KCk7VlmTzbBwYbwHSYSC7k6ibwOY LCj2rpAyhc0vz1eERW35ODRnuQFBGQ7R69J2x7R//B+Vf+7gTUWBGH2NEzXoxo4oZL/BhZKjbfDW js2DTv1hItbMwEh3rveZm+E1By9Eqi8AJvpoT0Dq2SHhAklT4pnzlbIvcydTYktIy/9wJ1f+1mJg E+i39CGeB1KJYFJYPdhJ82/GwJSbYKzGQYNjSdEo9DzXUxApDCm8yv5YYnJvddH4H8PwtgXooXo9 SzV+zOHDsxBulGWmqvuTETJ4u5HqRaaZzGDdjndJiQzPdRDgLzzZ6jImmhZQjgM8Ayn04vE02Dw8 EvYbf7mlDPd29Xhkp9ekjabMVtiV/M8R9t4OZrpAeo52lBZ3qzzKQYXRt2hQ3m6q/VWkDZQw0wgp Fcx2RZv1ivyLhJf6zcwPD/ZyKMKi0Jmr794foy94SMsNQRVKYwMYh8/xGaZFIvG2JZxgrB7yRMuF F2XHeEthG4GoKQSUG+0gE73BBpsbkiJuUSkaDsGAEtlmVW7cmA534aJOamf3vZNjaG5jByEe2Xyx jumT9P/8wjaN563r6OcGx+ah2EJP2gdhTtmVKNL99A5+sc9FKcHKHDFU1Nn51cv1fo87uNJlsqGj AVkBKkLu+N00faCob2ak8jUHumchEFuwcl+h1l7ss7C2cHHKMNsdqMYwF+JOtYT9q6BgPIS4N4/C 2PGlCvySU4LmA39nELxH1pndzDHPD7zF2tOegzCiZcLo+LTAH60wulmGuNJaksTaznzBEfe9e4Z5 HvvWxNW7AlV6VLS6LxQ+hDWfGEN1DBVPkekkUKNtuZdCkZBtI4SUsMms+GdELhcGamkcU25abGyX X2cuSJjSNuVzAyVt+6lN/lqa/1MuC2vKKnbhCMPCKc6NwR+hfMD/OoGMyCSa9lMNcnpQKajYtDhs vc8EImZUYA8dAUTWzI8ITDlrmYZA6I64hN03cAGadh6Mrfs76XBePeNlWHp3aTYEYmOeaMXLicTF IXjSJJqSuhNSY8edImF2e45nHISm8Igf4OSNzROYp5S7EDtIHOuNkiV605l+xB47U2Li2Oz3AlnC wwEi9wk+lXwBrJBdOIU4kekrVAX9Wp4/GZc43Ibx7wl6Y7mH2l40huYKDw+XkSQsM3JEPIL0INfl /YHkEfLCmOeg0JkmAZxh/aa3j1yZulXH83aagF0mzGCItdLOUXQpw1XGPoVE+yzm6llCwBHxC2Gc 6KtP/JbusfP4uIEL+/Dq3pc89FY+7r8K7OVhPNh0+imLUCdEXi2vf0MJnfve+RRZmSlRxF61n61F gsgAwug1q/2eeOs33zOetJ9nhd7YMFEnwo5FCSHWdQhGV59QDdkzM4JxKIlF8AWvFH+TzMINfPjf DJMqhXdASWfv1qtvlAP3wMI9vj621Ka0RwzanXYhSpQVnN7c+M8o/UB+lIazoNQbpuBg40j25Jfv rcwxEcGFyvixveSCQmo6abOA9kce2yGuobtvrUFPptTwe15pFFM8C07MdJhbIgYC9E++gWrRou3w KpKL9monm/Dr9FRKLY7aKDnkiYLupuOvd8lO5kQ64CV6x+t5Ad2WvyRVKWVXCng5wqfluHVv2dA9 K3ZKPjCGH1K86SAFfMB4WY18Ut9FijbiWQtYNGyW0Er6VQTdgR9qXKpzpmUsmPu9K0uAQH9IkXlE 5eo9EYKsel8APOljdBjHnMpljLVbwk0zpAzgMZ/CL9DQbBErJS7sJbXipknLBvnWEGFdUMG69zTm XGb8LuPLEcjpMuGxEdasKKExtSOZ3iNOeR9s7IQFMk4kHR91oKXTbLasfcrggCT/9k3Nci87CgkS bP/q+e+KilXLVa+MScZEfF07BnMusdri2oNFmP3nIfpjmWO53GFuREB3ogjo1VzFgMkW6a1zSGVQ cIwCc17MGgfeQE3aMw/YxNzqQ3/6TxM6bsZCYOtpm7LS/j57G2ZaQJYr1y4wWQnRl4pc8IWlpagA SBaLc9Pnoxynwhb/lnnRfaO+DUQLPK59BzOPPTsK+cYARzkkQNI0+IcqS2/FPn3rIuZ8aVIeP28Y oSmbQlsx07DpqizZ1GpLQ3bnENqxt52dYC5sQieeAiEJbp+QxqG54GBlq0eXz8qWwlqkb4oeGOaG pseLjabywaEN5IFkKfMobyMznYNuEDgz307nm9KgtsDyfRqKiaBEn+iQuE72fliPpDN41+9Fbczb 8CVlzJySqexaiLZq91Ci6vFO2zUm+yEjXbvd3L6iIMyKH0DDGZ2uoIgJnbXqMfABGXq71IBlF/vj H47SG+V2ZU2d/bdDle49HdmZda1923+QziiLAMaY76CEgXyw04NFdV3ZqKpqoOV/3OaIfbPv9zgM qv9HV6JTxtb8Y5+CVFut3Nt/nWC5/w3bUbNhijbgA42Uzn25T66MqAmMju631ns+3VRoTijUGD0r 1lnO7Bf6S/ROj0cxPy7NrmG9B+lvyM+OKQrtc+go8an/UQyZxXFWSYP91iZMLaRUEbJplAEm5KWr okoBiLlPLjfMHQF/irlBovZvqWotAycFNNMWkaXWbC+ESVNRZasaLorEfaFl2d/G4LHiCULtwcQ3 BEIDaqnPoTf7ATVTxi77aWUYHrgAXzJiqvKdLbAvUuANK/HmMXxiFTbgjCZ2pJTfXLExJ7+0tFsg TqHbYeSW0VcCeVvLIL2n1AwolHvvRL5UimQOZMpEiJpg+Xc/3SJ8hSXi97OTjMISEKZ0JvACkKhR TpgdOkncjLT0AG+W4ctI4NBCwY+BlgE7SLLGdb8kwMRvKlKnn39g+UUNRVQDQPAPQEwUbZCQFapD Ujc0Vefchmfj4BqUZerqHyRi4oqgJq1JdyRGH8D7pSZx//77UWQ6A3urlnOv1wAuWBlibKZplxJw bpHb+B8MQA62n5Nr3aDWs/Ei9RmNQeCbi1Afar3w//9WnWBYrjIrQK8E8O4/jLMlZJOEfu8QrO03 9BIpyxOVL+c0Q2KLACcIul0XmQ/60rc6FA0ZY0s8hkRkwngaDGadpw5/D9EVCGbYr9jG24OX9uYH wj/MzYdRSfGjK7lMMqCL8+h9uRbk5sLJpqlGY/858DhjFGHKigJafL0VkwdbiaxsWPkggByMFq/h ktbGx9MOt7SnX3H6G9P2vQrESuHuABd9/G1ty79tx5onC64ENblSGrBsnoJNMCQPbNxvE+mqskCN aILvbmx006CzFuo2PFkYAAOdJDq8Li32GQgFGxjhBy+dZBVANrJZ//LD1PqAeDw6MdwaC7AUSh6O KNGHUaJ10rDkBlr1fjw1LIa7WXorZB5xxjJQuNSGTBSEbZdEV9OJtnZxM55t5d19GyKsqfSSczSA QDehLexMs8YDXAcZPcoF4NEP0crCApZkg50M0C+XTaR9wCCMWqScDdaVgdb87PAtPkmJPhm6jqNA B9sxfetd9uHXgJqjT3PH0fjzUbT9d8wc6PFiBXQIxC18x5ZVSEeo0vkv6Xvk126Y8swneivWSPkc R8LcTCgWRfeC/ec/ST3Aj+AwMydlCIptEB3OmMpD7oIpcOZTQUaVKjkqBEWPdOkaUr6mM1oOWV6b U3sk2O5XwUF1tXDhGiv63NLF0oGH9IBc3ASJgVdf8DzehsHkAmpS2risWa9ANgocmB8RxmHRmWlI cXksj1Ja3Xp+Nan/HjewREWSKqrNQI4IzXhqMB7IqZok+Z12/tRXuw8HfkR0QgwTnUFbp3znFpaf oZJh18Y4r28Us/mO8ShKJJIBqae1FVavJmix0Lru6Atxp7eWU1Gyrd32LEdAL7hTBxfQfCSHmS+4 CFRJuM7W7ndT78/n7rceRW0r/SpJP3QNl6ysvINGvklbMax/i5iGoUfNQkdGxrLho+wF05wxc8Oi i/UJ0qNqBx9ZtsIen0l/GfbVzkuFezmVtfREMJLx/QHTo2CALRDLnJ5vC5MUwCb2iiRF4LubYS0C YJ55UvczZyYa5JOEnuf7llO5grXiHFwvb205pWI5cjhcJCNd2lWWiiZ9j38BZgrsGi/YPS0yKVUc ZV7gHaa3s80OlnSYB1zYn5yZRWDAHWsNpZp/mNq2Nxo2712eIwC8P71pjdJT5VnBXBC2xRnNjTfO fuKiOMFAx/dWywcKHx+5T4BGJsnUnp9YcebVXUh8tFuUTfi53tqwXYm4COl/JcE1I5sly9CnOvHs 8X23sdcKhEiZTHWCkBYG09dQzgE6n0kNnwqjvnrwNWSTpMV9iAh1NP2IFYa/wRl48bzIOPIvA0Ef hXuC3PmK2iAJYKWqrppEDMDT+zOWWng61ygZI191w6cVCvOepnG/bz06+t5Nsk4vnWrUDgnUfDVy BodogWlDjDkJ2RtsjWctnQyHz1BUbaQO0IxAS2ExJdi0F4GgjIo76eW4mHzoMyqdp7fD+6eNdL1t UujSneHa51oAvkX92EiWBuIL898qGj6TgCYtqRkdxxhdN7VYintCmt9PkyeO0ackReczp2LbgoDt IsjwRQ6ZTWgh5DGe/DSiYJ8eaX/wO912Cs5ASLm13UwAzTv8FrqHHjdAnGHFbKplLD6t05twRLPS fizg1P6d3ofZ2avE2iOPlIfHc13L1UWz+r85o955va0QpXp6ZblwuWg4sS/y5tWNoLMhEcqngBrw TnuDwwImIVAh0txCDVMSu919Dq9cmxTjnFDlgmv1BuYXNwVYjudE5vA8Ovqk9O6DTPiH2IWk1T3V 8Hq3R4c5BgLhyRIOprZFzS91W21za/IH/G3WQI0IPhLWZUVMc3+ucg3usePeSGuVoNKRYE2uI7vz n8cnPxukjXL/qEXTZ/cVHTeJ9bGBJ70a8eW5kln0lMMxR11jpDiWzWLxms/s8WJN809prlkFkiqM cXd7WhvIpdcTQbRO7QFZ81Emyd7LyScCV9xOOTZbIHhOp8TvyakPrNeO6jpcSWv8acRLu28t/fth BYLI62YY6V/yJr2zhMoluhCxsVTTz+xC4HhdSaeww6Lf7kac6C92QjAGgKVuqbI2yRt/jU+F+wOR SjsweR9PXu7ebIRd2rIL+K9GuvS/TC3/tXAPG+PTxEt21YW+Dx0t0mqD7FY3BQIZeaSf1b63DFJW D0aaRXgsAIsoX/buPYoB2hrSPFibm5vKD4gKJtMrItmWGIdOJcnT3hN22B+5NP5h2a4cKn+MIg3e UJzWF+1VxFMjn+ubfqzEHQcKV8xzsS10aVEnDXHYckIhjVtDxOxo5+vT+VUO/wNqIrsYAHIV/dqb c5pEehsm1A9j4Sxm2bum4c9ADcM45sDf2Z3lQiXNN08KvMVlcPWBL+nEHJroVbG3YWADHDtgX72c C0gSANTH16CqIZoMO7nKB2CJ2qhvtP4EMfFDvr/Fg1EsQofckCtS39qYW3gRSZE18g/L/Z4IqgjI YbpxMVFYBh9QIeAFZF36OjkiBNHtxc2rxKSkip6ONsAbxLtz24Qu0B6/Q3xg5NuSITM/CE7DDYp4 IQcBtN3YtTEafG/GkS72bRFHCOztj6JrnCDeU2F/IqLqObHePAnjP8vdhB+KNx+Qlblgd4aW/yjk 2tNy9i8iBkRyOqQoB0atxQFwcdys83juvG4qNayjYccKiTGBrocBbi6y1o7ztkgtngbkqrxzxp+n bF6LRQMFCMzyiJJs0kLRad2+fxtFmi1Xu6iPeRPfrXgrK0JyXTKbduBpyzb2QNJ8Sr634ASBMAHs ROgBWEhfPy67NSVhmRacIRQ6yPLxJ6e7PLvgSNlVCRJgJe8HgTsFZb1rO3S84MiO+ed4+OPxoynj QNnE4twr+/EPb/fCmij2VH3wr87E97GQfhfprp1f2tz3XIqF/bSEEmKtVHTnJQYXK3FXgrJs1I/S aTBvJJpVfWvM2ceTOzZuDeh/2RnWYaTa+ZamCFHQMc/ursqDUq8AyT+PHVbGTF0h4To/MZ5E9GVb oxTWgw+UBCOob4wQ6VzfnCu5A837w8ouELSy6bvNqIOUJhBl3GReFmyaXZICWD4szPDoo0VsmTDS +MY4sbZvqbpXOgDH4mYTUXsGmO8n7KJ09viFd5vlvqhsIdBCViYh30iaxGgHxF+dwSDRnGHcP2CL OuU6vQ2Tr/vBYuU8UOd4GBq7wWL3WVvBXOSo7h1mM+SXW6gMfN5jeJJ1wtYHGk+ao/ynjpTnVjhG Uimv47PZ2F0VeH+hqGCRTqIyW6JXa7Hytkm6NvlFIC7gW6YFKz7sc+INhOqhFR0j2F8S4kQurRUj e4XW2KyNpVWLTrrVHu6u2AvxSAC+BnKjq6h64WoX+o6lgQ8uPEufRVDuFFqdoHsBXYyezInZgeiX Lfpn+0VQs/l2G/kn1Iynf2mKiWE5b56C1W25mjSlWdBLKQHJyPzymQitt0kWWsoyNx9YV9eJMEKL hV8tgF6us3D/TWCo/sD1xw5ilGThXwnVJyroFDBX0aNmIHyUjHFpcp9nELtkVr+WSwtOXzyMF+mp HDYvy6Y1tbUREfiNRnO6aiPEcWtlbj2PZcFglMaNOAE6rzIJXO+wllVNi673ZbKlMpQze94NI86y 1AJDWNCRd/tFYDEuTucfiG0dk9rKDuFUHAkYquSoZP/YYcSYOvm5ZzbBH9VgCSl6krozbwgEOpet x+lM7L6OrhsSiCdtEcqEDpOTYc4XnaoFzXV11i581YdueTr5FDPe+Wcchkp5tU96JuXFt8gVDUvB g9wq4iOO8WpnGtIwG5Ww0RKk750G9emwclBZo8UnlsmF8mM0z5hVYXpIwei16lD1fnbUJFNqEhbG tCvzItbFIlnl4ynDoGkq/UxILpmML0XSowXscDt5yhcrQ8zviBnh6Dx1OHK0Xiw0Aynf8ZiIgvH9 WZn1djwZv+twWoTogrolCu7RZAo3Mx5vy9xVFq+vGC/tTuKpVWTq8s+n5RPN0R/QFMAijbH4NZUU /e2fI6gRXROKE9v0TCLttuDomExfRLbwMShvy5nfvHiJ8lqqleuy/eNwLnpTB9PjSUcTVqnmxlfN 0vpMIQWUFyV4PSxc9y1OLzF5hk2utNqNy2ENZmpaLhRHbqjSkIqeNYhXXJGHIsTyYau8U0tkgRmm LVU+FR5e6J/hRm8ozvD0S3ebFSqQBmvVIdHlv2CRlRaQB+VakLrJUqPxTW6PYf6te2dR+TPavLIM Ym4a8yylUn1Xi8Nl80S4jlJlh3DbfDVDZT5ZoD6MhhriCnzvFZVqFSL2gWqxHk6cwQWIXyhUiBDT s2Cya5kAogRX5UjKicZst1oGgVcDHK+aIuM///tzfinghQ0Ji7DoJgXkK7ws+ZNH7/UQwf3BURH0 GA9yCo28ur6n9e8m/pKtSaVFdeu1dRmgejHK4/E8yZjSDejYJV8MfaaA79EI6B0l+hV0Fdxnjd/c rdDtWpYGksx77vFdIVUpB2KJmAg65nmjRDkQChDE4Gngb2te5AjpBXsqJmxuZsmfH9v4l7i8wc0G GVaNzEQSMPHQrkyzIWTfsaSPJx15xObDKC0/2IFZm14RPLVQMe2l7sAxfPE21WjGNNhsuS49/agO mA0oRtRcnOLLYekvx/poLEHf9ew4Q0IdD5sUL1JSu+ST2jmEkPybV511627YNZEgRsgXgbj0QdPy FvAV7YlN+inW6gjcX1JBohbDW1pzQuFxWAitw9RbDl/ODDtcZuIIaFRk/T8Ek4I6Hl/7x8p0PZUV WSnwJXMc8OkG5kXq+s+dqO0kupI2UMy/BtqrVwDuO0rIZRttsO/26/g9+P9itJJ6etXVndobumE6 3tqLFaWytz94TY8Gqh+tjKtLFhpiprgZ99Qx6W6scB7Fhkd4TWGP15OBFgmJzGJFjBZnoUry4BlP bfwM4TnuTwq+bVwqeqyDffSCPRypjVymGkuLlyK46v5mDxdb1Aid5blIJPa+GTn0KiAV01Q2yA9E KamCScycCzgZ32mv/ahFxOJ6A/+3cdCBrNgRV+B+Ij4Y7Jo6kQTAx2mG6BQC/3CQo8IKm8v2PIKf cBBnPqEOwQouEG3lnvRp3+4mxTuvulLPPy1uLnu5Fgb7ycS6gpQL0wcx7qCJzPYwUxhCGULka4sG 3fTI/O7gYPx8JEBkFTL9Dpi31PpZuVOGjPMZ7zrSXNKg5n7dar61ht4dFCqzOdJ17Oi9sG+ZAyuC 3YAJ5sPyalZfe+cD6PyDd6NBcUA2eYVYBEKeH7wz6L1jJKQhRtDoCIArljL5NWa/CnOCcDTB4Mdo NI0TjTagq6BJTjfBR4BOdAOjMdavh0HntPQDpNj4YhpcL2VKmZDUGphCN6bCtZ9sMhSGtnw4dhxw QqXDJIfjj/thlvkwds019AeKnS4Kh0MMYgW7iYqqfkEhv/8RscRh9QBk4Ra0zVKdTc2hzPt9r1yZ oGWX4cc/M07w6X7UKhkYcOItspaxnYOSsw9Mfz2iF/ai+AhP6gfMbF5xzznuIk+dTT1JJR1lMcLp lidnt7XmRP8zavVWmVLmZk0ug03UjEMjExaF7H+jApzfoOCF4hEaRM/E1sBIF3v2R1KByS/Kz9FN 2aXtWhyd/VcOR2WD3etbfywNjICgXVWp+00+eTsU94d+tqUMFji+ab36UmULGHOOgdi0zkKq+gzL nLGft4O6r/oJPHlngYHKIE3GaQwal2GIkovgMRsKHFQvGYRj6NWqufSbFaJMKvQIRA8eMOnwPQgU K2OJIQ/IwMfHOYKHwk0ACyC8gCO6mOgdxbiApA6GCTFkV2ePlrCeeasbvTvw5E/sOtARPD0BlSY9 vOq14TGPR34UAeKYHUdjzJQlu0rNZhqReL+T6IJd9WIhAhdrGQtdYGjM+1gCZuHnIZtN321f6ul3 WvIChGu008OGe8a5srznXaZjR/NuDoZv9HnfrAmPnVnO38RoRCTDfYt+2n53uE26DhbtA8g7vahe FIDGm673GZT6nKBAI5xl4wuLtgFcyezPOSNbaGyAISpqe6XzrpYvqqulfryhrpLpGYuKSg6vJj03 ZiaeXPi62h+8SsRdG7S5SkKhUcuF3GWDscVA8uv1UehdaTLnTrhmtA/q0bCUVAdSFZT6Yd2p2ggp Y7kBllHkwimOKbEZnawSS+YuNm+UkHimnZxF3SRvyvAqv7Hcvdj0n/JzqlyBDJ3dDarPneQp/p1X 2z/b8ldR6u9iDdVcV9uSdiAEEXEI9MdxBafauRZWxhdMTRKvE/oFmkSsvp8qkYp2GM66FsMWFj7x Igq2euAZ239wv6WrhQ0npBi45c2lPZHJdfhpf2qPOl7n94cfSsG/8S0C6405hdZ8Szt9hbEAZMXa 8E0TVhUSMOokZnlihg3DTjhHd6V6Nk/fhouSD5ea+czNI21HjNsXAqdGBlncm/LD/KnoHIVWu201 kVKrFxAiEOjYYFfKb4hKKMHVCGlEjP+Ks3luw9FKnm2ybpvZbjnCF7bKzXXXnIxnMP0wTSoLwWoi bN+Zf9fyaACwDStrBKtAuysGFLkCusT4iJaPrxXeCcBRyRH8E9Tm9uPcLN0HoKLc9tawYLgGv0fe ill/f2fE5fuqV/vdXr8zmvQCOSLyqw1phz6Atw2lhlzni8Eb9tSZQI8dq3+beeCi6U1c9fDo8hfW fhOobLsgefnO9KAvNGY+Uxl/1DEiDh92IUoy5HKrft1/JfwsJNBqMs4Zd2EcwNEkFUKoIt4P9jD6 JfG46Ag5zO9ojHm/5IsXpAObLypnYID8adssKg8cxwBi7fWaonJquNsEw5KhXUQxFDnUyMb/lZz+ iK8ECqlc4MoT7i5En5kQHZMkCOV5ceXPNkgkgNZbu0zH+5FNknDMRtM6sjLCGadcDdpmKoUaqPHe HOavatjoSeWEoVX1JVRRqlojwiA67rJUyrvqBZrp57CKkOT0NaVkuNTL28E9EtRUX2ccfqvNWPu4 NpBGzPjSZL1lmmqStWVxYbdjr/u53A0vzOndapyagq7eqo1Zs/nmH9PYO4FiF+SVIAWOlDQVok2J iRWl+Nb4KGY2gCcigobQ5vT2FKnQ5FzEelfozt8oeWjatBWvouqkTyTQP9OJ9oD+ycwYJfjx7GNm M92R4Iuyg4eYLe/rHL/uVDR7utG59r2Ft/E27I3aRI/bhZ6CuZFH7wfXzW/8pnqOn770y3LUAAUj xTcZEMoawqMn8nScJHKojpA1NIRNiv3G2FG6UAlwDZw8k4wIvdU3RmsE/9GIxZClSpX9fnfY78Ci 69koarR8t9pwtH24GagefXXpMZC27GOhNUEnxrnp/6nI/a5LJ35Bia1tOhWRwklcrOBqqiyyMbCj ZCufWG0lfXOE4Z4DiZLuWcJSazGIMvXGPaSROgHmx0RW2ENrv7K5GGykBB2BDSDbnShi0luKfh4/ TOeI6rHyz2N2rkybrAS5/NrBQcXQGfPPlGrGrPLYe86nr+ambq+PhPGQOLsYnOc4jXOs91H4+9ws UnPrNWOZmw6L0CICIj2RSN/ipzhGbZHKftnSCojKxumOFLp8U+LiaIQshaEd01M1hJ1EEG4ibSln 90sky7OHJyK9ecU5y/n6gaeerqyrGr7S+5GxCtCfHoyIRpivmGi2zX4HPXlE2mp6U4K9OTbo6mFD XhYvbgDbDXtsShskBZktggZRuxm5a0VlaMpDU2og3rymraEuISWWBVQESlQcf3f/mJVlfkswTh0L G/ZKvx7MPNfsZ+j5v/olHU7c5WZM8FEX3ArU768DIIFmMmxnPG04MwykSAjJmSlum9a1Bx71E4Dw PcsOmJelS8nEkl3czGv/fPDeinRMrHXlmuZZH8XF8fbwdWpNowhBj/dTvJLcNcjmBPbL3lWxbQ8w 9FVF3XOb7vwkJPiQVP3+XGLmbH/HO9ucr0PF5aYAYJKBlJVndkMpUYWrRJDJzzemoEpGVDVECl7M 7HJkbAWwhnQ4WpKIhc1NbL1vLhdmqn9g3CuelvKse8IJDgv5X9v3KRyLUaat6ZYrl5c68YC5FnZf h37/wxEkgv8JAWlKIH2bucxBIJPdVB9Op6UMbf03YQkiphIbr8Z5Y01zIfiZZeGALEFlJ+d3zKX8 muLDsZRA4Yt1v14jjxU+dxllUJmAKMSVn4+KjZzIKsHz0e8D9umnHoUORrT1AwcMn0nbNTGd5MYu 0AfTzsbTifSIMdfF+9X7gHJBRosJwdoR0ZwpdJdhumoklg8wIlQIAwauYIZrYc7rgAVMOI8dPoDJ OO/Xae/h4P+zYsA2QDifQCrvCwFlW2u7uyCW1NQftC92MG6tCX2XuUTJWLgIRv0aiC0+LVaNSLkD EzbrZZvk4vdQeb88ng9DEcTAyBkWsF7dv9E/HpneuANOeRwUCHKpt9Vv38rKFihWqu+td5xB1RWG VUXwGA70JYfuj3feCGT3KxraCNe1Si+D8JNPoZ/6NjH4MFdS5IneG8P0FX0vWgTGcD2jBrtXQgRs b4ubXOSnnINMp9MWF6K2smStjwS4CxekfnqBfcxi9rcH3U3cQpEQH+lxC40llOc6LXwKroyRUOMm Iq9AGEvpN1IhEuZ1TofSYI0WipIdDim2wiy02ulwo79umowF5U0Ki6azExj9zqnUu0DEW/XH7lQo yHQG/oY0MgWhOyrftY3CxxkHjecuUh9Q9tLOSol0Uy24Iw7CtEXOhndATjxLMCBaUTbxDTMdzR/e goTwW4njDhBselCB4x2h98UsWc3W5EjHkv3ZSN8V/CxoPJ+owfQniJtdPZMnVU9ihXJoUuuUuxid qfXDsojSjpV8TZBR4SVrSzyus4+hf9ILPK3s7OpDxnoW5pjEL0aso5YFk1A9BNyf8sjOkMUpVuIt kWAfzxG6SN/xEm6wNfC15D3co8QiM9stnvEIPsuSYcCGa6Okxd/l4/aBxRZk2QInuwlbzaJnb8lN 2aG6rY8rvkdREnT60N7RArCHlLsctDQ9W9AZYbcGAWkUlVoaStlYeSzahahpmz0rn9QC392UpuzL p1C9pbMEUOqXRBJ5SQ1ehf8+GU6ke5s1bkw5U8OitCZAhhVPMhgRruDH7uCwBpnp/0sd6FmFlOo6 RPwls1D/8+hLRRTqPzndFTK/c5WXqO7VbopxR5eJvSEtJ1KMHIBtSrsbeyvgdLFWNrG+IwxYq67a Y3jyVgvkKjoj6IE13qp64DmLN01Y6FutEP0X+iKNXVmLgvq+VQHZn96U2yBKs0LmQfa6LU6j2QVb aCbRcg2IXs/BzI/85zHJHaX3SvLexNNEphUVBiHg1yM7lfIJRCl96WcnEPqqm4omtBWCL0Z68k94 ZgDoVoldp8UsDLfK2DAcVKKR1hdEdVLEmdg1ixX6ngJWSQqvrsnuDhuP4fEfvb82ZPXWzwF+4GW6 v4IT1IrK05w69Sj5gSct3kyOVdB9hrCtC/PQ31wFjsKiTClHt7+9J/QKbE+KEejjD1QNYsgWIZb8 GSkis7OROI2R1S1WRjpGI2NPE6XarYrTq4cQPJydg1hFW5jlWePOXBiEMKuVlEFfQIr1KpkyaQKI nO937XhMuZRsjn3Oi2E35RycCZG0y2h6O3MiJgqHtxdJ8T/+zAhS3SZ+Anz49hxQ23lO++JJGUq9 Y0mOMW3W08zkoE8EV75e0JY8uohFPlEg5nfLcsH/qdwbWS1xNOXbNFtqemUctMnuwmXUxqqo8Bb8 4HwMDlk1LyF9ijh1bqeIAFWzKn0G2hQ5N/D0V3R5ad3eK1Vqzkq17WYW0jwSXn9fVM5AMaBRoC1y Cn56608Bz+5bIEkJHIRZIOXYrl+77/kKsNoQIQEUxDW5boKyaklsOG5n/hjrmVdtDVpjltdLLXf9 M6oEsH5//cQA5EgVZW9zPok36GeaXlfv4OvJmVOyABI4FPVd5NIgHG90VMbzIoARthAxua8RHeW4 aBlJWUgQfNpE0GBbdf3S5svwZu7LypZZnsC6Q7onLQ2XLbn1BZbSRmCG9W0psleEcTzF1wGVRu6l dhmFt0Je5QGdEsvYoSdnrgyI4PPPhFPzsGYPAnmhGDXjmNI57SqfVftryQsDrjGfpPe7rlTVR3PW Gfer0L3Q6ArN8RVm+D+Qypn1sTq7EeTJsQTg5AtT6iAzVmv+emgcZjdyspjqlGfnzg== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/shift_ram.vhd
2
70023
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block a824VAhKBUlqlJkPGlbjH+GOVvyc0zeBdK1hJW7Q0pseFhSkhIfoi+70Ex+eBZY9L3ZchRoz3/xz VEE8XsUCXg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Fak/87bNiXrZv8c4q2AwG6wPJdZkq/lICyIzbWvepijc+XxQ+cP4uMOCn7/9CcyWA//uCR/E0kh9 D0inlDQ6Wa/4XvbjYKCNHUbzUaw9KwaJ+8jYcOEieTQ1SnwsvINtOfpOpfqng8JWeTcxZGlfDgXy /hL+5nrB7yeaGeZviK0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block v+xd9vvFuDe5aNDdvDVXpBcIOlYjOA9k5vPnVqutLlJ9VWqBX7qYpkTobqRGLluma7AhecdTXcJe 3bwjuMTaiIeNog5o4b9pbwO9UAhF1PXhluszOQT65xCGUCcoKcw9WMb1IyryxCuN92MP1s+zXrnK apM3ifC4AMFQS0wgQg7vbO/1Udos3eYgs22QOvsPqw8l8fX7iyxNiEEFERGCo40fvyYYNDlL9ntI /5W+oiAQ7BO1qN9gXLo/oNpg4sUFjZc9hQCJvAMKzIpYj/5lOn0PqUdpEhPTjwGMMqFf+62spxjd emVlAYR67a+wmMbX9hLNPvs3wGc6tjAbjWZaqw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RkM35wO63hpPZMChAVSr6OUgl7sXUBlqHMAWfs7oKoXhch7Gw4ljhbAmx3ZDS2q4405YoaUxjKGm CFGrGDY4H2GPOpC5UypuIDq541M0K0mSf6ZhMPjzuE+uZuQXSBJ4ZbYcQOlsZSNVypOAe6/bgYTz 9a8w9MpgLwej15rrMVQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D3GUkxiGbZVGzfWRln48o2/QWfET3eim7r8sLBk0dS9vKYd6lWLC+dusyhVsZ8hpukJzJVzXsE3j x3HQpgEgqVJ3lP0d8t2UvMYYPxZyvoElOSJdJ+SosohIyxXAQbwi5an3Cyun5SDImJdGwwKK8te3 7W/eP0cV3CYQ4G7kyhWc2fPciFQtSk11IZtUD+Ob2Rz+k6MyDgrB8lc9UBYJ+ivrei9oHRjyOxy4 IMqEIuqAVZgYLt/0AsvrayKXQV8MAkW0XW4NvjWMaTrESBKX4ldXJoj5FvU0NqJK3l0hguZc2NPd IzgQ9MjssfgJkb49rAx9zPxfy/H51Gs/LsvZbA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50096) `protect data_block wHLyTTHolx3bKqCMcNSmdQ1FWWSjw/b6IzMjY4iM8KMglNyoGgovb2SEEWiawI/Rb5x8OngoePlD kf3OCcK/bIKbfJVS5vJWkUKV8yGK6TzGrauQsT6EoMqCzo3W314vg3512NpIk/YTOYhA0PBYUwOi qM5enR43hQOumVlIj+SBssBLPT6VCzmRovPDiVA2tukLyzehqkJ/DJr8WgpAuU/0fO87vuGz9MoU hATKeokVvhpSM7/ds94E96CFvGHO9fzheBWJQBBbFZmojF1o1L4SB3Lw9gWPCtnPyWg3HErM2ri+ MTPV+jaI6VUGNxluSlRH4WNgr2F3n6+Bcy7OgKwuaBrSEV7aqevdH7McQrDRxPr3qomb7h9I8h9t 6s9QDzxKpalL7wR4R4e/Sl3gMFS8nuuJSJ1bo8ZzKkozenOh6pLLpW+bbH3C7tpeIHLdWVB87huU Q0PqIB8JBL/dE2tF/ZLdh/XogitO7qA7QKeuA4nLOUACFxpHBUEdMcbdygFyg76jFzXJz7Ly39rq BXlTcFR60pYLsvWOjANxp9woz1CTYV1n6jNJQs/AHupf9TQ91oqsSecXLP5uwNADjGNAGZ7+N6zi HOpka7EIMXJGFGdFqMC1HyLZIse4YpITyuUrUt2NndsuKo0RPYQluD6/NMl7kt9iTtTjaaEdwPwZ zmcKmXs1CLSuuCA4DZtZFv/3k9h9H1Snximr9AfxuaWf4yFY8uEr+cIGJdYUD+IQDIlskLw2ueUU qNIP7vVost/EChmp0wAruiEmS2UJ+0XKB2upzP5e5fIfmpqo8WHRHCVb1Vw5xynGE5gWvI+ZViYQ P7OpLN3HouH3+brsJBDI3sOeCIw3K21vzIL/BUl9HhnZlkAFg+JjF4hMRggyQiwlHGWmps5jSbVg oM12ytDTaEnAeSz0oMTEVSFTujIyEp6PpheJcln7rcSUWkRgusxGqg2X/v1Pg2ipPZu0aNeLnZUt ZeZW5Fm4aW6AZdn5m6D/carXEMXtV3Yf9VCRLZSmO48zpzuRV6m98cuRYm8Wi9Tbv8wA99UVDMrh 3BHZnI4kMsSPo9w0hJ/jUhiL0wTlS4xYXmeyvens7hs25dDp8ls4dfLmAJShJAv5wv+4ZaFRR5FK 3d3Xrc+ql4cmC3Q4jEtcT+LPxApkbrpnIQuuuKW/daRSrjWJmWCRkuyX4ch/C7y+DzkA/sknbFpD PR2nHst9O2DbJN7QVQNYJuNFh2f/ruo9+bKTnKGW9lercoBZ2DDBqHEo+HwjQ+wd0QOTBHd90M3l F73HTKenSRqzHm4qsmr+fmuE+dxbB3yQzSHqvJj85Syk4I6qhSQBW6BPXhHxve28hEE+qXCu46Df hBm973+fHirKd1Y/PUiofJn2aTCaYCwk1cpry1KiCSLaueZcYGLL/6Wk0r1IZqKNJSUQK0REu/H1 DtEBChxm+xjVzF5+RN67rU/wCMrks0zB/yh2t5WHE4nxJUlj89VNn2OKJHfVy+ATXixxQajyHpNH 8guy41D0Xk3cqgnCuwvIwkDFCmC6l1Z00dJXL9cfRcuKuu03Zj6VN91APc+zgtDIrS499HN5+eMz C6gaorKPZVTHTFmeh2S7JuG2ywvr2mrJ09rRMLB0admrRLw4CIxqVJ50YhtySZZkR35EoVxiuaSY h8BQcV/NU2Y4+SM7KGU1wYOj6bnSbx9RDWhReh1GcOsDIxtw4KDIoWRLj1Zh8dHSAGcroUukm3Rg b3eWmBArys1MutjXZKflDLg0xOU6Wq6bFn+YtrH4+9n7XeTszEXx0w6W54Ibtf3F5v5w2ADhnWEO ECUx1iaIv4Wl79FcFIhm13bW/mEQtvJvgtMFZIE5V8l2OCxnpt0whnNDJKXwgNItT3XpHRcLEbCx L+OwB/vgNDt8KQtUPGaNOYR4FC+uc5zC2VXJQ5QqowcsW2m31CaIe7kFbZ7iV2Tj3F/5yYu+4tc9 P5PkzWEvFnMDQFukgzor9jdmBKnpEHpoaVg9B0a8zbBb4UrW8IQB87aw0rMs98Y8vEvl9/GBfM/G 5R3zMawagcCLefoxiGMyS7KQl/PWU7C4kjOHDcmZu3SnmbOtz9ztvqgN9C63r+QXooeT3syatnpY TfO7z3A3g7IzOo7U+dzR6QG0ALereZvG/u8XHh+FTvESt65JYOuQsfY7G4FUSNEeAFeKQwBrYXfU FyUurVOAz64cBeA2h23fbhNhSIKfne/tVIp2mQaq6Sqx0FArzmLtK2eUqflCH81doCDUlfy5lU2B OJ1OvJsVU2wOy+F5VxBudVy/xaUUTrPBzBixFyoP0hpkCn7ORd7dJc0+fGTWeRwddaCDkC2DrMu6 nHA7Vf7MB7SS7Tkyt18gVymKZc2hQsZ4XGUHT4/LSNKH20/hgLl47s+mfSkCSZr/7FZDVyhBRnXw TCcgYjv05OfbwzK2elZbx/5bYsqUDGc59wC4d1ApPgrxs2S15i6a0IqSHVe/YXOd5r/wbO+CN1c6 9mPSH6d9hiZgY3SRsVc8O0mM8/1BLZUTbNN48zgfHT64FsYLCydpAEsVfzuRRnprKGXrAa27naet AlPFjIoyo/D0i8jPqUwvK0gXY7AAqDll7GvCoR/h035XWyhFvyjglStkDDVgXdBex+DsJ2NkvyZ6 bSWF4QNQuN/WMZorahbIXgjHQrFJjHChsIeqvClGpAQSM0oUWCDPQwphCr1Fa69tq7pRrwAQAELN Day+S/J1V01a82OImnNRTd9sOZaG2Y3WvUekaKm1hnKXPgFjmahhO+BFJo4XYk7wClxLpVZq9TQZ xkRMDCPVzPZNmX+4nqVuwjhtAHYJpfBl3fJxhNUGUNshNNjIK9FOfBeDAl7inBd3vXPp0xpjrD3m fACJS6oFoM/qwO9gwvQO7wCmwbZUm+jOBPErmP2j1BfzIrMZvcLnLEVhaON4Hh+WmIAF/0nzsbxm B3s/clCYzI/GCqzKnJAtxBMQLwHPk4jiuKR5d5ison0kt6BZ8RdMTL9NYuSuyA4rQuABdC0d0OhN wD1QTx/+hTODOkNO56+i04jz3qIJgloUYpZCCGUf0Br30tVvYJy/F6CmMTyrdDJwZZtfEWaatdyN +a/GRUA9quMgpj7MIk00RUbQcNjkRO7AY5faeCGp62spA2fwxuZnvvHHigXAic2KXfLvse897I7X q7j6sOvV0hnJbWS7ge2hK99Bq9zWZYG4nEPjRCEL9/HFZj9fXnkVHHlJ1+zuxSPE4FfAjs+qj8CT DrbPZVPL/4r+aJCQTEjVSX95gzvwbJ9oA/q09dRt5W6AWmBWTFkq5+FPzos0ssUeHqVGG8K3oyXK WvjOVRr9kkDAfOKlW6F9HC9EXg+gDDkz1cxtJsK7Z8hfVfA2WXiWlMhIWCu/grPMQeiCIBPcW+RK sDHPmNMDI3ctm2S7O7KBZAhpjS9pbhAf80M96ATvfCZX2D2V6yLts5wlhL2JXKJmzEm7E+wVCqmd pk0MlCoJqf1mcKGFRStGvQrU6xRKSN5QBzyuenGv021vuL8dJl8arsKnRU/95ViuKKjpbYBjxgP4 A/yb5NXI6KejW+0nqHJndfVdbnYhEjuEudcIvS/zQnP5NNtGTN5R6VREt/gCq6Pzcwfp2tD7ZHzw z5YVyz+QD37oyOBTGnzBuZ0OJslb5dxpJti5ThoQ/8UoL+3B97fau1SWcVNefGSE/8FbLdpTPqv+ WkeUPcjo0py2o23zsjs9aI2Z5Wbq6bd8wWTQN4ffGbltsk5T4NVjw0W8xP0SHDiOm8kq6T+ZFtx2 eMMZ56S4qlCV+YLAefxp0PtY5p7nVqD1UaXQd5NV7dFJWJB2bmcef1Tk2PzzT3kCh0qCaMwHPHAK Hiqiq5nZS7MBprMNUf2IfycbOyGlcUgmIAbXH1gZgkq/mZy5uuwOpvjNaBnIXWVZbZvmiafiut2x wYe3E1vRK8cD4z/zn2V0i0LM9Q/QahXIsogArkvRh0PrlhFZEL9NREAWeNA7r/QPlvKjvneswNjO 8BeP9r3Y78X26yvH6T/Ti1pE6D2MAKpgV0+AO3RJ0AYKNFeef5Vf7WTwPJRw1/DZQSBCFTlX/KPM BwD7b53+l+0Lk98OWAjFCTqtWaJC+MExgaHIVBDiocqIvR+51ARQ1HDy0CmUa40jtnCx+FK512MN EZemINJhc4gwX05Z5DMyg46SBVmV/kDeN8p+xqnu5InHkPm+sH7LmE5s9VbREr0IFrGR3RQEQZGy m3Lp0jfvqiMHKQvJsZzCTMyK/kqWdcPK/NU8H83XQpgfhNJXMmXPKRBwZ8h0MiarNXuMMqkXx0ni Egc9R1+yUrAV73xbd1+ykW1QreG5bwUrJdBiRrHBd3Bx7+j2uJlJgCgUvPlOcePBR8BCva2k0AXP adnTHaKUdV0QhMUFwbJNA187nh45kkOfgR3bJGthmlQNzXmmHN0lghDVWJM1z1UF9MOKOiVfLeNE TBIoc73Vyf3aRX69524oI6Pc3dxXYgIsSjV5oguGS7aRSnrloHBwWjUKaBJWWOMYT4xtXWY2wPF1 UAQPDxPOUvHEjRqz7DaJtrLw4de3zuE/rovi+aF577tU+frGJLMjYhPznK8UoMDKsqpe/FGYJ+VX 9YFaHxxClwy3lcSsnKSBxgc5TsBY1KK8vSKFSRc5g7GBq/73VXxRyKSk5plj+ZG08zU7Bs6RnfOo rzycSOws+tH8pRnxER93b0WYcu+ZZW2EPVAjwZSrdoXdgvLhhMD/w2CJg7DmoJyGBRFTSQO3ed8Y cKIyzgSQ6HsmRtfbFiam0XX/qNZmj93IKEZV+5lRI/cK8MS5fOrqXIwlo9pEFMqm7mHChPwDQ8yg kN+yFGY8GY+C1P1uY1uWNIvHD+pYv90FPpBxicwiWQE34zza92mwP9cfzdLykbsrds8h+Fex+giI aApu2hRdPKUNaXadT04Hp5ePSmmXTONBbznpkzPMPtXWL2iUI5nh+rwcnQlAmxt15o7Fg2RaJOtA 0xHAviO5HQryWSBa7hPXXEKdOtTlNN4gSyzwDkLea2awoj5eRbFzI3bb45mYkxwaxG001ASvR5iH bh8/jBxFYRHss5ihuQ0ZCiQKIzTpw6hhu9MDjLy7k6w7vifA0K9QPdJK272r6h+VcDF5yJxymDnq LOmTJmhzcsloPFHgIhHbcxIAq18C29i6rMV8UTHx9mmxavK2zmWdZ88n1NY/d791jPnld15/ndVE vCzvPAqVY6HFx9vhfB9BeykJcw0aPH0GHEzPiRXcBcJdSxdvXExsRVQkCUvfh60yVz8KcFZPXqf9 iq1VhTmu1Hg77adCxqVFrd5QyKUKhy/FOpTissTLVkMlocUKlcbgE908hV6zkBrunUEaWNbHrh0h AqiRNHs6o9orO0nfB1VQ4ek+c90I/XMvjMzs+NzsIkPg5NjMR8lC9yQ8wkRDT9LThkIITvUHkJId qIYsZAg9vKQJTfJbWv3Qh8k5N0rZ+8LtxdgQ7YWbkW7kYhv6fJarlPPy69LhjsD8K3QRrNyEq8B3 FF3Ehxm+dcF/VQ+Tgt/sfa36IFwylNqCFozd+aG3nYfcZKp0yYmQPqYril5Ynhems8Wj9BOfS4bq jiYLlOTIdu80cdf+c8a8U1+0jQfl0Sg+RpRDk/M81wo+yTQpZN7psmJooC6sWysgzQLoeVvdcLNk 5awV7Hrh7wze67KnhQBpXZgdhuw6lsrhXinYp2aIuj1HfI3NuJsl0+HO6olb9CAPSIyt4DoFX5n2 uvVGUFkRA+KyeCJAZsizrsRDhvxfOlY7vNUoZhRto88XZZTHfIqxfAm3sJ5KLUhzbuBv65vWy4i/ MlFcGpKPrn41/TcXp5siraHvs7TegSfr81pwudsl1myYlbJJb+VjPGdN7Gch+CBarE2SRutc55Or CvVX4tshoIKe5Vv3MLkfyeWqyhSOoaXwFaxByvG0yHQEF978s7A9fq+YlxqAWqg92n7wMwwnoPA5 XXZdqMuUpIWBBySzEnYB95uteZ193fQUvlYxCya5i5J3EiRTVo5AfSW+8TzfMp97+Vf2VELfwkAe nXx1BvI4ck1UtScqUN8e2Dxo/59RiZZVD8NWgK8ldSDycUJ1NJiNokJhKOknAf+zx1TnMjhQftT7 JlGNcWSmo3zAbaP892EVfZZ8mhgZpTAXTmfgn3UsLKonFstDH2DjfYLesSXxRm/H+BbIZdnwcmpg w0fJphIWlc4BOyIRLwuO40Srz7A1yYbenbkmjpYL+degEPRNV+2mIFseJGW3qDCFgzSj1QJp9eaS 6RIFUHdGZ1iAKGBiV1CLWE8B+sbY8HwN3zYkUB/7QSJZmRThhp3XAZ/2UfNQogrcTmSabxCr9bVp ptzira+7JAWaRJngLShNBkDpTkfYzqmb4lxewTshD1if/iRD7YGqdZJc2TMQSvY0i+GHkKrvSoyX JulruN9lf06i+UeboMfBNrTgyxC2H9bC2wFXhn9vA2lsMN6zoChGnhxv/ZA/l8xQb2jzwILzLL8f cUegXbXJUnS4o+v0Q9GF358cdaREPJ4hwM6bV4s5sWNIojgcX5Yw6U3Nwlt5Ip+rbySLaaFDVCos waGdJ1HDjL9YjGhJe96TRwAeR+WjOjGW/Ux9Ltt/0/4FyNNiU6GV9i3LsGHMgDmQ07tFcgixVltm MZMgSW2Vf4ApUAN2KNovdoQWqyvlp4u4szz71CPI2hKErf2Of/IUlZbWjWxcjQChOuMIyfCzTnvu 3oCl6GjT9u0zAOoLbeLkNwHeqfZLcT+Gmv9YIIj7JqoxMhqr8axugjkTGCv48fHOnsiWfVsaVh1L mdkdZQCFJSXpoGkFZNfBkNPx7feIjlv7nm0k/sJJvgmQQPgp6GV+ixwgq8E+TtNnqm8c5al0sXhR S/XkMXpAAZKUJiLKQwuvt0fNLtDp0WVNjl/kyQLUHqsOk1HNE2ut4b+fxKrlhekFdRdsUAh/GjDm u0q/bS9gElyRoWBPRxzS2agx9Y7OZT5UBYsk1VLnRpe6Cfqsf1QByY8rbcGIcW7HW2PfEn7q+c6Y UeFkpHcMca4kyV3Lxb8tHRYnlNE8vqlms9Lbb5TjGThcznwQrUkIkLXh849rrTueP1TPmhiU8fSb SgJ2Mo6K8wRaiBJbUlc6MAzV+asLBsSM+p4BW41qCuRJVbejUL00n2tT5LHSJvVOxl0LdMh71DkD eXXtMbsp4SBJYZd/L048GB+kKlsdWB4klSJXU+xdnghzvD6tmrXtnPDiRaUE5hPX029zfrzAdOUW jHERWjiZxuJG3ccsWp6iVw5OIUQdS3tDQWe7epHHbtAZhCx90Uz0f7oIlAWmiiADyP2xDfINxCTp m4LtonNaijF507vW0XyzikpM/V4FsSOMNRbBBDJAwiKGCNaVGUvfhRjCIxzuGdlZeUx03yLUIvXT O/XmgaBVEszpvoFPZ7uSd12f0M5t2TXAPGvQjKNGcdzWcHrd3TaWHV9DAX/RCsfWpNF0uOjFkBPD Smah7YZ1xZIh046D+iFmMdN7WWUFv3IOqne5WfrCNG0YHH80PL4+p4YVFwfYZMCqGfQTNWxt1WxX RuGdSz1EaY5d+77Xy0AAsfzmqx+f9CQsXYhSwXf16clOGsAD70CGEpubNCKXSsmSCeNdB0DQAYES GThSE54nxOjqDF3fOfpV3fBWc7//YtCn4x/F4I2S3/AH/K9IndFvvJeriIXIbpMUXrpF60vazy4V NWkW8NZztx0b4XgOXZkpWVJ5XQlp0M21KgkezMw1DSJJ80xI6ULojNpulCoDdQtXgmQw4vZ6IsNC p6y1ZLhFwCPEOEyEN9e5+cj9csVEopdSFHHSM95QJ0gfCYpV45HewRPPUXEar9sUx98+MRXtOXrl Jg6mXDn1mjcDo2Znf13MMk/SB7HG8IU4egiIXGjltQMebXriADx9L29+upWGngGK6UlmcCsn3cYD Oqkij21NvAY1/zKcYxURBwIaiFYmmGAvByYdNSyI6pzU6E4phhXSHzv4NpN2leyY1PovN/Vgoz4Y aueq6CUjgCflcbAHri+3PN6fh4fBw9+DZLgerFF+jx+Wm3wFluHzd8UBL9hZmdwnjBGOG3zmwzxd 4LLfdnLmbPfEJZ/faNFBrzqCXrzXg5b6vLssy4aZq/aUBhiIpDLdSSYM9NEBH1ojixOe3jEK+CYm bj1lPS5QHp6bi2voXnCZK3Fav4asW33D2JBGtDjiwWotBuCkg5p57rjouFTjAXTUmMpRKbJWIpxd trPwszOo5d/sPupFRlXiV6WfpVMxfXCyYvPuWxjOeolNXTHvSsYacO3gjHGDQQo9q6qV6kpNP3g+ H+Z7RmnphzT3+E+u7aAEhb/AZH3i+eLS7FPGs+x58iwHby9pG8iB2DwpbOttTQYPBW97nu+PHykz gC2oEOWI28tyE1Dg4PwA41Jsuegvg51w8aDz2FcNkcddCIb5pSY1b0G2z5wFABjEIlnJtL3U7OnE jpDx0mv+chWJOq6SZiICh/2eTmCqTxin8o+NhgYAyDau5Mf1bJeuupkC4SQ3eMj9LzjU4aB72Hwz ub7lp/KjvcUL1QYNgCaafuEAj1cwbJKE+wrl18gbqdA1WAzMYyc5IO5WX0Ze68HOueovTkC5iVMz jRcp9f+lFH5Btnld9dwGYqqeR+opvwaaVYKoAOnh/kbEuqRzLaB7bodUaSZPos3tEwhvJCgooIrx H9f0bh/i7Cs2WgZyWMl2Fhkic+34zMiG4cy6CGEfF8HSTdb3AX7Qe2earg5s4GGFOjASfptqT1IY 9Per3fakfreRZ6hotPy8FWJDSKLZEzIkuHljLNtexotl81C9viJ39Y4f1XyX/M+j+OCLr2L4eX3P K69Z//bISjFcJjS5dwmGc/Bq7yJFbI0CFOAm5Hag7doFi+dPftBc2e16+1avxgocaJIv63B4ufm1 plF2wEoFvkQDSAQ4OReb5dxJW9+IQo3gNskqSoh0Xm+t0wBwZZQB/zCB3VEJLs8b9c9BIftfaZg7 i6kkU7Z6fKrZmCsLum7oB6qqxTnv6P5HE6Y4Kkcww8OlisNoaEOyxzwyxd3b1iTC4P67a3cm2+8Z 9vdr9Urm3RiavXK7vLw4TyI15o5FbXLH279zreJAT+RK74wIeOCUpcyCpP1TrD/3CceBt8u5rxO1 pBWbver+xnYUhqqVc2rSYH1H6kaqV1i9OegkZiak36FO6lTbLczaUKMYPKFXmoWqcFPvfOIjUuWQ JxNKqQ21RRiftvGAquoNQwQ3rkqveWPKXRyN22f6C73AyUDmChQ4beu1Dp4NBMhLb7MaOA4HeiJy prXSsxHkp29N72lid2CDHDbn0z+LV+eQTL9T+da6cgLcBrsBDC1SKvZC5EG2ndX9iMo1CxB/hzUO 2xkPo4hx8S7+QMJGX8V5vITN3KFVE9rtmR5fOXDIuu5T8f0wKO2Hg8Edb+YSSYfrU/TbPCS/8BfS E6NmZcjYJz+AtsFJqQupah4m/I8F9/k17BSBKFS5Btgt1g0+wpH22bGw7cWORgCNFR4GSUZSUUsJ 5C+btKmVNIHj22pT4PDm/pIoxbLMPe93aISUEfJvW7ImFVVIZo6yvxvSqEJD/fMEdYIEae9lyBQd 2tmXyc44KFCrDvF+cPUZyRqz3xl5Xtd2iJU9b69A48K2BBzYliz8U6Yrg0Qt79/ZBex/ke8UIF7X MmmCa5jTux0hv0aUOtZ7V+HaITAa6RuxHfJoR/VP1aQmkK7Hgfq30+0A0X0xScSl4FD9HJM0sYDj dWpV6SNfWu99/nVLtCSyuIO2vUiBG2yfAEKQAFlQPCl6PWr6LQxMiQZFkQTcCs+PI6CsecWO1P9U TSOJ8HfGBPd6m+C2X7w7XEowB4WIUXxnqaTA3uIHhp1i/A13R6PXdqfJcVebu8rarzv7UGt/B6MA Y5SpdP3htpihNk3vwSqeVkMwOTKdtWd20ZLr7QWuWGsnTluOuHNcWiHzXk1GWOp33gqXhZdy0jmy Hw1e2WrjguEjgDBM1qDTYwhnozis30HbSk3SyDLSk6aE88KOwMZF4+hNLEOgUF2QcEVMKUwYFw4d XmUptHfHeTveePbqzgSFZpbyndwhWRgpTC2XCUo/neVWnEoW26HAMDR6iPznDcLqRcqHx5p7Blgd iC8fdRDyOAewfvBjorVdzisa7r3H9WH3tOT8cSvOEy1sDKOSf2G2cxzqMFMI3M0VDAfCTMLRo1ns uvvoMVzYMKwJaY2nmtg2EgUbb3anWLRBzqDP8vaUjTRsMhxvIaUhtO1soC3ZF7bn2+jqtMlCgWdD IgSk9Uf/JASwV8fSrXr3Ek6vFDmWDFMbMDj6kD5mPveAxQVv5BesEVAr9etI4xxU9BJkvAbHkXET R74xH1Hx3UuEhLPwOzqoIfN8PqIHd91QPTW2u0Go0NYMAM8vOvBArTHHhLFcybYP1JZmRZKn2rWm yNpbOkm3qc3CNmro4N6+djmJxhQhf0RzdAayHaEb51Dbs6vS44oo0phfThbjreEfwQyiRbBiAe9H RVhbt/5gBMsT+sf8wX5s9SiLpx0ItbJfnYB2ePd09Tk3m2WuzLByc3Pon91ysdZ8ErUvl8rE4pDI Fs3vXh5UCk93TWcSJJe13ipcyFcn42e7NuPls2KWiOeyKsyE2PAqJP/5RhaFz019mX3i8kGbmLQM aEIEv0gCE13FN16YmTgY3L7tM3ksf8gM9HzXv+XwWNIIIFkvVTH+znim+l9VU1klNlFDpZWaPCwg uF+f5KllpNdaJMjrnWmFsWIMUxN54TzDytczPEmyq+FsnxIsRMDN3ae+XHE6NLTFlgD5uZUUz5/L ybD6hDjxmqICh7LLV+XsP4YLbfwxhPL22xms2RkehvdGLrsFjuGGIeqMcabOtgjWkpPSyCIMdW2X 3yyFuLSn5bID7cFgtQPebWG5FDjv58UONW6ri7xNV1QIKUardy94Fkuptj/tcTiwlYtReK6CIzpU kYizEVF5YGc7PSEN/4OA6B9/ras6OpkCogG0nE7xNmc2hY7ctbZkan93ZbPCJUJUfaySGVeBarao n7EaRXlhR5tpalEA3e7wAYfwiYRu3TVM/Xv63XVb9TBLg0D628joKd3cUMdfXP06IMhPEmzwnGZp peXQ/abgAfN17JMEbsnPoynZBfqJ1KzPs2Sf8z/0VUMRpxA4K8lqZeAVatnYevBwtj3n3h4/DNSL DufoFeopjFk4pkjXwNqo0wkrcJKpO3MATc0zDwgR2+ZUaZy0/OKCp9heqYgeQeVnVzCn62d+bE7G YSIyoBadu+V7OaGoQhEZOOx8Ei8gjOHa4P7hHlU/y5RJ/C78bKdaBVG7g1Ga4YuUrGwIfurtZfno BBpS0nwoZcgKP32kf6NKw/P/wPW+viBdZRY9lrijMH3mmUoKpwI04AV0x0+iXGs6w9lrKmaUCHy8 wM36Wo1YHx6uB1v9FdKCeAI9lVwv1BzLBfL/HGbuU344NH95hb1ULPtoo3GYHAZbHX8oSN9gbCTi mxr/9dDqzQ6jnReTTpZb6o2XEwgn9BJwtDOW56Qhqn8vrgBaiyWLT8f6FUmlWqLbsQgzS4wiXEsG IbRH29TzI6XTOZIcyNUF9L26h6oeUgSnKPzHSh2TBIhplWJ3tPPsArvXnzrNv2i9Aj1Qt8m3KoCQ h8RiOPFoxZvzrEwez7N6vj9GUH65k2R8EV4cVrQvbKnBk+1Sqlz5+ZwaOgYX3BTm6IhnF3qbAv6w dQqRzS7ZpHj3D7vLHhqVZxCsaEi2ibBezTt4pWXHYKnTO7mM552aQU4i22b2ysUBS2nLaeuqTEJe I+Pv6hmZqiejIKTttcVfFWB0jCSvlovnocZF3Z0uzKNtz+/jrp0CtZ2qH1Wgx4Pz0OfJYiyYDnQm U8R6BeB7LA5ML3pwlU8NfgieX2YH45O/aSRq2oOXC1LaV7/mj2hM/2pgHPps/ZKj/Q1I2vuTMzE8 lABRDwp0RB/+Vi0VysDBp8eoudlIOotHvwjpUBjXBayFqN1ZjHfHUFEjRbgIczRsZpgUFeu90f/b DdDrXs3cP8+ymXQS/BvDi4ilb7R3TpQk7eak+Osg2t9wdAQFHNqkYW8mo/s6bEr9rySxLoF3bWUC tDqOMCsYPEqu7sxovidZQMo2de0cKbik++QItZ4j5RUD0PH+m8utX1jd9Yo9seKcrhJHAqhgzBst yV1otXd0wnL5GtHQKVstEi1uoXfQ7s8tfpAFgAFTo37KzEZqW66qHWoWMrK48QILjb+2kHvhcECD Yx3BJT6JcbaflcqNY4QhPrVsG2l/t4b2b0KQuv2BtksReHVIuCaCve9rF65UHtL1ws6g0NZKYLYi /31RjVGi4+lAu9UyCzHA3BZqbQ8mej9lwoLpJ3goYCJeLN1pQ2BACoU5HQlYCdwnU7cAjwAlPj4X /D5ynXd+BPrZVuhQbt121RycQk7gXhEJd7020DfQ/33zKRGJrzc678g8dfToPNLVkZYxiIth7+Ry Mp0w3al2vxvGevYya51ipKENDFUP0tEfY65OT2S6x3wjGlKLqQ/mG2WTwaFrMQV1ZbtoPMyZC2Me HjPAP1aLs6slWDcsYN2789xLgyOUqfCE+eqZ0FpCu7fsI7602FS9PIs/nf/BW0tlrWDFIoeo9FOt +sGQN/gy8Iil0WzodBNEvfGvevgpXw8jRdrrGTIyK9m2gw+yVT+Wk2DFj8770dlUO19gkfKt+8eH AfKcn4hPSJJNa8GQlka5j+WLKQKhXuyXOEHfzL8yIATNpZhM3FlX5De83p0y5g5/V5/EprCJr/qE 4DTjThlWq0NmDjp+57N7cDigijLN/WLv3Pq3w6qvkQH7iYyH7GhyyzwK/n82SGhWqlzWY4xeOXj/ 2vngqVO3otTLfncNasAuj1uydAgvsACNXk4/wRx3Pf+AhCMSuqgquIPtEI8bTTLP1uS8Rclwy9D6 /9zyDATabQRYJ02wVWMuiW6pUsCcrfiDBAu/uH5ioA+dkjGhQTvkRABF+05otAjdou/j93ghtnbd WxcPJhjuSiO1yROq9bEt1E47yERsHl2QdlFgt/OH8iSDTaT2xg8r6wNNtFuS3Lk+uZ1yqnarH+0H 7l5Xy6dzFicYkvi8oy67wSLz1mFr+blbQckjijcTs2VhIYUinYui0QaGqDRAi7Jz39IVlpgBnI4q FQk5cv2zBc6du28YeXhR6kScHHXWrddAe7CIJcbEtzot+axwawTt6Qr8lYT+4E4RhnIKx2yZvJOI evQe8e5cpxyjZuxPJ9ehZJSfPwhrNDccihY1i44Tgl66f5x5zJhclc82mf+sgZng0LN5YJ3woiCq g8pVyktc3cEvB8pPezK3N4aoRy0iiNbq57oYhDYrOAOH6eHfNPpRijncIW/tuLDmss925NDMOWFS +rOtfUGXh8UyYzPITlBTNPG/H/lZGcbEn3R7ievgMTxZlfPPp2TlMNk2oNHg86EXrn6Shdvhmq7/ RH9J27Qyy4zQBlQuUXX3u+hjBe4Z/l+bSKIu3eY6M7PcWoHnpit3qtbJOqO+tSnp9EIiB4UubhOm iAIftYGGKD7jAKA5orXSiWQgtqufjhBUOuWdKsyPEABT6GMDuLXBTiwKs7V+t41I7bUj52OZ+Iwd 3P/+uv6e/RCPBhq+UwaXAM5TLNFs8BDlldPL24lUq/YXaln77jlAAElMDIog/zwWNAMH/p6jHnHA jQEBOZMbRXRAkhmbgrkXuaA4OpDrLsslxQ/VAc5VMbjlHUT+LKkaAzFWXhmlYnbAajYJ5CYLd2R+ 1U9225+SRhqcTZt3gzgL7GDhSnpvxVnywhwRJoyY109liVl/W9QQP7foW8dbxsVaUcb+uHYF+xjc tW7FkErsTl11PkC2++ArqajGldK2Uv9suv43bvw2MX7JIfmR6vvWEphw0FBjys4GVN2oi+vDPlvA K/s1iXQU1/UfAuXhy0h7CvuZXQa3Jzjizq5Dm/grgqD8FlbwO5NWoM64zHPOoCSneTwH1Kx0r/nm TllRKgw+5yQWGPU3vC7wFgdcKDMoKgeRCwE5SJ4r68Kd3kWQKWGCp/3Gz16guWcXZLEiJqL0cxIy LRVQkNpqgBASfKJko1pdDafwLFxxaAtgE8LsnalnAQ1nIi8RkGagOkB34+i5cHKhoxCQfi1aj2CC b0mWP0N1bgUDPswg6ZQc6BJjegmRBZeoDncBuMCcZTBqpXfKwgQ0FKpb5ZSJzZpR5UC4TFMeng5+ QzBGmx3tODXx8C9PLM1BZt2oXA/RaWtUYpVKvOPfI1fQ79xgb81ae7dnmOBUx+mgs4FNLosWxDxw IwlncxvT2ZvWilQWuQhlHtK+Bz4KqqhO9ZEGYXYQA5d+32wi95wFteWdZcqKxTNt56O1i+DeBu7N s1wgXZR15cdZ6/XvZYAos//EUh0tR3u2MFSZbHuwNnQdYlHMW+EJf0mRwF2PVcJNgji9wKpE9EqN JYkQCwXCf/O2rfWguOnXSx4ghRgJjGJjUVCTV/STCcg2Tv6kjMuB38IL0kd6It2Jnp+RcJZ3wKnn k6oZTMXjB9Dtbo1vXnitrQPOfZysAT7fX1wXnkv+ZeJIqUQN81z9N5Dr1W4PVYvHzrVxr/A1gkjL ZNafDo7YMR3mH1lVeAoFRHPtoqhd8+8DLNFKFJpN4NcmN9m3C31mgSOCuJvxXNRSkZeEMN9bKf/i TGVN3S/dHPFEVQB/dopWKNuF6LenBVyhuAzVc2ugktZWLl511lkb0QMrMa47htBtnknok3f1EzCP XjbXWX+AcXnChJIPuENZ7HqjYT0Ok6p4+VJhq+FJl9j1BVNNQsKy2AT7Xh1RKyM3B7Gh9KCIJvP4 lXkFHpJdZS/bSqNsVkEHklkSNtqEWeXMQ2dTtdzqMwOPAl3PPdQvGZBuMfhJu9SjsDzNkQ1UfzNQ EbG1AdwvHgipt3MMgl3YdiRSt895UNbsJFKF4QSEi1jIiWFdXRPQqmpemHatBkQgDR/yn5mHBKRp Pux8iCqO/QaPqBXo7syinVNrYwHT9PMYAGhhLuM0Gv7KHAJaVT6jZqFG6fUBivc9qQkD/nbNg64c JMsTAavx+xEWU/72q/DCQNdhk4TtnEk0ux4c4HrSh3wn9D2AGRmyrvaVglRQedUCLBLlN0LyUDls T0tse/OBf577LtPcDm8GdKOewhfLs5M+Qths9D9avXcqLukr4cobOY9JaARLmFxpK60JoFOVFm+i UP9apBoMplVDXWB0vX0nf1zXRgvWasbp+ZG7Jtr+H4h9mMyBOrOO2aT12qm00CfWV08vDAGHwIml PL2I3DMrQVtOLsWXPOEQo5a+aNFuudVfD86mpmMs9dXrtMRi6iO1vxiGL2KPrNZ2xhEmX7VsflIo 0frwDZchwo0jhR6NRn7xvfe7vpr9enWwFVVr8fNySHJQjaLU2/ED/GWZKytH9eadGoCo1YOeFz6z q8C+X30AIWhM0/YYJeBz0giVuvwX+v3UX3DSRKLju1MNG/yh+i+oTQNtQLdavm8FAq8GIoBTmnem p8nuD+g4BhzumO48RPZaJux+VFMd7R6iO6/jZEb5BMYjuuMSPiPBn8Eu0lvhoqdQdu6lGiKDDDxV mSea3fFJ7pkKBB6uISFExgitZyOA5M9WFLCuvzPsFjln1yHfkPXaOO3PkQpCCQjJFMPI+kAXG/aZ Yp08z6ZhuS9K6PSy9Cah6bNIPQhVqjmzAtrY6KEum+DHYryLmhEf0mKGVwK+Py3bZ1rS45CS+PzX fXZM5rwr+Pu9EEJXva0wvjK9FLhR5zUMIsns11DpJ0RY2HH4avnkCEsIA2oCGY7hkb5mG4uzP8o6 S/il+wGIydEj7zQ450XQiqHQZBRWeuo19xy8wxtxYy8FYipj3YtGPoC2i+/lwPwztPFu11WXvpsi J01jk+WgorfhYfXruncCSY4Ikxaz54V00jN+fIvwOI0iTVxih4T/Fjks1280+zJd2RPXSoVSPV6e vwxL4jOWjM4GuVxxR4+zGdWTkg8+oe2/Uon9t1cF29MhAMDAhRzjVfYXT9e7o8HsrIrPTEnrCq8R gVmcJ1v8F7gVILOiahp/dMoarnQWO29LGWj8oqSYW/7rnBEIpz3Vw0nRn4bHx5SXAdmXYH6SpPcF AMKz2VTCjJZ9iAk6OKpBWYua6/xqn6/ORI9alF/Ln7pPV3o9dE372/rtL7dj8lnEsjWTIf1kNrxB jJhmNUH8XLNit9ZembfSuXkjjBd1l6db6lyDBUzoRef6zm4a8vyOlHCOZ24OX8X+VBEGXVHeo9JL lyE20sLy7V2uNuIAn0c4odkdBzZJDAq/XNfS0wTU57xpyMb9MGmgVd5f7N4Q+X5euo1ZCMNWQRnl SHCt8rL3o+UkXEzlt5dHXANUPzNi0Xzmd/VeyL9g8+7Yc4svFI4Z2y3ceufZglAZnMpeAYCluJvC cgnzY1c3ALb3rXdly4EtMXhmphS3egDHruOvpcgUxgkFlBUXM6edJiHEpv5uMgUUh5qAKFkyi/hm 40LfqoCLZc2cCbUvMT0cyJoghh762fs0Xr1qVRWJDdicgIyXiV7r8QV9tqru6IE2B4y+eg93fAQB FX9cqxbETdQts4KCPz7lFS99eh0xc8kXm7uxfa8edpsKZVPKjyKFbDrsx+B+1zhohrBmh22E9/tt Xb0sIiH+usR9kLZcg5daPiEhcAT90SFrSKUkAn9cHV0vc3prAttt7qqOxu4xwjwDF9twcLHwHLsk 9ywSLy69UEsf8DHvx15n3Ctc/r6qcLVqA9k9H/+XemvAEetFYHgeT9cFKAm0hkZnZOADQLaXOT5d gWCQouKznwsTd6qXexPDktICqfRmFOvmKc8ko8t7ch90MRynkUPc0JdSv3sp2VVzJ23F6yX5XH+P NVzVA7Yfs+qlp+IIcnXHugDb26tyU4VUdp8cbFCjyC/lmcMhsytuttg0ur+UrJHK7TrFDAMg6FGK 1GAHtVwoMy50CFKcbt6IO4y3BlN/oVTv9m/ycImIQ7dMHsByj/gouwjCR2wL5DlLod7S/hYukmzj J8ANsd44IXT363QA3RJpS6/ooRI1X/jtbFeRd4rtG9+cMUvdUYh2NmZA9wMaGzlrbD3I2WrWS9+R QxB0+ZF1ShXUsusxv7pyrZkxNnfBYMXtI4nwdQfUCDMlfrxYcXwGOcdZi0mgvduRKYPtCtj6P1h1 qh1d9+/nFYmj6X442Lg98b/O4qoPYUnqwltyEbyJFt5qCqqa3A1gW4Xt0lOAV5XUWWJnIMqaMZPN F6tKg1iDhhc904pWN0w4ZI5Vf5n2uRfnl6YyK6LyFmowb4fnP/ZWNz3C8fo190fPrSRuf9aVhsCj zBJhJaT++JBqRcNLFv3KrPKMYWKKFw4wpuVBhfONkxc+hv/uQUiKuPgoO3XlHpb140KKCJ9HKb81 xWLY1hJWqHE0Vs9x/IoMo731xRA4nAmNSfKLw1h0fX/W65gQNrgN1aws0mH7dnSP/3LwhKGnJZzH vzGcN7YzQ7wYI3zBzd53wIzVQNff6ANWnSNbXK1lqkqmPv7R5oUU3P4JT9xCfm13EGTl76NcRA+p WriYTDgQYubxQKW9ulKUbMXYYRr67L7NMoE6YYmrZ70gilsF9tsgfaerd1V1fxY3GxrrVjDE7nnV /j6Wg19cmwZZnWwVKsLcq6aJPTVByjeIyMUyikTIabDEZ2bZqq3fUMdOogL1IYHPKF2WPeyTthtX GoO89nYB4FzoOseZMrFuYpZz4FFGdegtU3XHhK4k2N1J8ZKNoHbssUFrGBf9p1p+5aX28uyGhi0N fvY4k8r7yFaEnJ5gJw+SGbu6QICqrXqFtjFBVWZij8/3WZ2JyFCJTvYop3zm/nMFKFiFKTxeK+3y 26xmfN+RdrZD3nuvyI3e24bkoFPr6EQZJrF8vKV8ij6N+EPm70Qc3GvLkT+BEItqKyTQQM0kiqCG JydCRdLkDHUOIo1chzXiSm14PN19QVtF6K1Z0GBUd7ki4EGYEft7ryl5jq+LXJMc5XzJV4t1qb5C OKAJD/mrji1jn4Ba5O4ys62N6dWglZ0qnP0NQ50+4paH92c6Zopmb0xHryHUJfGfySdefkQ6oM+F OtNCtsDUAfxdPPQCrK2UXvM+v2J1AuO3fqCXoQHQoKhpDs+9sUSAX8NG6ZyegFw9mz23nb4jaE1j TkvIPerzLr7XU3wQ3KoIOBiu6Qnd5Dl7opSdTn6UtA1q2K4t+z3/xQACZ5+V47YftXjppmYpDXmA BgtLg4KDZUBsUmBEKdt1pb+FHEUuYIpF/asxc1RUZRpJx/auJony0358q5kN0bECWhdLUBrO5pjY p1cLw7QSmm9ArT8tr+x+FTQZW3hneThvVwEfgKVsz5YqUdPCoXPff0Tz5zo2LLIIEAUP+hTXg7nV jK64GXcLWNph75wzAqXJIVUS8tQZUz4ZQrBVtuYpBwnQQGgFMeqjkvjoE1BniAtCUmbYCfjP7+gH qoYymKspZ25VMU4qvxXyAcMaYKKrIDgyCCjmzaVOgyxVJvby7rj2AAZZFHwlCu2WDhLOVw03DLVw US7BqLzAyd/veYztRBZgoGniiEoWkKPWY1krFohlx8WFXR5GaNoGv8BsTwirfCgXCU/9W9BDFNUq BC4PIqqg7ZS/pWVTPz+a1t9X7fi+gC7G0ghisbre6F4BZd0NfCFSQ1gsBqIj9ni/ww6C/JL398ov aJs9oEvC0NPig3yEirXDAYAVm0FNfGv/ELomg8RMs4euGrv5hX9S/4n5MayzQJYqOD9Vq1+N81cC tts+ST3ZT4BJA1qleiYlvYdBpjEuJXtCpsGv5Q7xqUxxDA9nT0/WzlawGSWC1/AQYu1jCQ8LPtjQ FqVy5eSc4pzeU35F0rC3Ku9VEp81agHaG1iRW95W8pVi0z1WD9jZsmUr7nr1G2EnCBmt26iW+Fhi 81niqHP8Ntt65Ca/6p2gFdgGPUGQ4LaBvjrLWSKNC8gtGa93SHXov4TDogZlT4vdAiIibbTza+z6 f+Yrlf4S148wYjwMqKvBsJnFIvVO+io5Sgz4EH9Y5IYXEOezNaSnpM1X48s1RuWxaWWND87MODQ1 rQhuTuuyaMzmwNtnBFCdekFY6xUgW8HJVhW+B1+SqcO/xT8tDGK/1CGpy1kPVa2DifIxqPfaBhpa tvkQx2SCCZVdS2Q4jKz3o2wptzV2NiA3hUd4UA83J3r+/fd4B5HLIQnJiuSOmhafumMpM6kxJBNs RNM3846gmZN0tfQR1AX8LWooWPRgpsOvw3DcyHLXDQJnUto80SAJ8Fxtb/T8nz0d4UlGfFanCcvV KFWohnQvMRc8O2U33oephGlWU+L33Zikow232GMaAWOzlxpRbgFqHzlaV9lj9WQ57wgZiytCmrh9 JyqaiBqJkcC+v2zrnInRitzWtKTpSRHjb8KJ7XmiK7rEF5C3XrIWrfLaF855TtxB4IrKsikEyi2j YoNKQFgBzqndS3atZ63rFE7WQ9Eez4QCEdAWnLkp3A20b1oN8QQBm+EUjR3moOj/GtqjNGc9BMMH 4zYRR7ghMpNbhZEQ2O3ewixA++M9eqpJyxZe2Fz0woDk16cNPtgv+3nfO/EeUiVy30Dx9wpd9FHZ RhfXjpeekvoNVk9Zd1EyW67/GjNLgh1A+2tMR/LA3d1KxhG3VfNo9Vu95UeHOL4iuRC9rSc6KIEk OpZHV5R6+8Kri1YgnZq+yIHKg7yLY9UZAM7ieeRs+5Ns+RQmdBFvefXBDZ1nSrYzBtF+fin4MYPx zhrKzokEYmthZxgtiCuqikwjMVF4vNyO6hIYdXPM71X+ImVBNXlV/YuLpdO5x0ZlhjkOMspjcf7M vuPq1VKzjVmMdYcGsh1rV4wfgPFSNPFso/iPC40wQgoundG2aXd9z6EnB/7A8JQoPOdirBTTQ0SY ybFL+Y0az59dc4Az4HPJ5zCbpELre9//j+GXMCthSB0fbuSe/CPA9VBnnaqwGkj4EnVMxLlRemv4 1inCaW4L8d2mK3V8+XWgNnr/7NCkQhtqEt7c++jQpZOyuql4OWaDUOBCjg64iTh70QfZk81BUJXF 01pMajc/zei56lJPFXx41p5S5qNTrN87h2WkeR2npczu+pA85fk0JrWgbX1go3U9MkAX0x/xKtFt a4t/xqgZNRwHBBDKEsLzpGN+ZtzjvyXrrEQR2bPOzQciM3fv4tXAkYwgTUdqGCkC8qRL51TmgHZ1 krxbfxu8cIzr0/VT4jSeqnAuumAVAl4c1yjulgR5bokGp2M7xBzWPxMjH872Oxa9kD1AjVyoDlrP k0s+bNK9rtCkM+4Sr0Tm97vEK1k57SQIsqReP5sijkMJJb0Omzu9mutaHzBA6gUVDAMg/e0CMgEv AHTR1+oE+rucy1SvhSHjMOzJeJ5ZBwDTQs2pfqSF/8PoWUjkgu5DbIDPI09yWJvTYnJVd92obmQp QfALlpoY9sNk0qSodEdr6OgUSwSg5zVSWoWyXSbqn1MElH2SPMu+KlfegKrNKsjJEVF35oRMNudX IV9gdJPJ3rkQ6vZw5YG1LRqLqDVB3lEVXhJ6frN0FAM1kNTv1faCpoAhMapG0ZcZ6vBMVIvqykqk LtoZ+uSjJUH8Q+qmuBPfuiTJm4w0OnV0FWYOpCwSILegOw+l2g83pYYDOM3mhhv3QY6lYafZdjYs BnSyI8pDAcG948JgF4tP69TwFlWHdeXpoL/5VJ7C0eq2TLuIVaC89naaawe8zQVHqsANmTe9B4nj EapeqAJHY6qAwCQ1qxSlTtP4zBYNfnevGzdDzppF3knzlwDMOAPzbaXG0rlTKWfAUT0QN8a/CmcX zCXzh8dIeYLwxn7uAH6gukJefaotbZuCuiSHxcbCnzd8OtrDd/6rMXxI5IVHJm9exz882Uov/yZe P76i7ngl9T7JhXPtowFruuTZCOtV9HUmYjT1jxoQAzpGfutOdoo+2eZPp2Pn6IVn03x9nBxmHC/h xuulzAF2BuD+8iYHhMTy5rc9vTBto/CyY1TixhCX6qmXPZ1jXeuO5q4/Q4sCGaSVx4YOYQl17jRj 0v9sHHIkUuQsHeIT88Qc8iozthAjm9VplHnUhtpqCOh23dDhvFiGYXSoQFQE43sZqhPqe2/5EqG+ rqcJ6kzYs4lNnZMtPqNsKzXM2fWj7teirNnYOEEfKB/wesL+io5qm34LFhYKHdSeKJjyDrf+oKOX S6ROO6VEgtOl8ESeMNV+/LTmuEB5W0+tC6Ij6LW05Dtie46U8hY8wpMocUIGh6rsh9eJoCJwRa47 73g0AyDN1/SmDgG8NuI4GOw5QlLiwdqqRJnaGF+OPJtsU/nBDI7u9DbkJUQYRrKE18x7TpK7yHwD lAAbFqwow84NDqazsX0Rz7BfVrVF4N06oGQyBipSj4yznr3iScpa5SIToNq/1VF4MLdcYLjBdMyf n39jcnbU8cJEymsoRFV03xh9hX1ZtLniRU5+S8+zmb9vphG7xyEZnW9pkXsbV1ZonTZEhat7mPuT y3vz2Hg0XKaOwFTkVloUrym0sFR5t2qDZbJpXRxNqeUF0+4DluEiTYv08E9CTlb5nUHTe/HJiR/9 q1OxM0II1KM7XPFQA0KxYkC2o3qB2NFbmI27RZx+irqTlX8lcDQuEpYOMqaAuW/I+/ntmB2Na27C 8r1orumbuGfG5S7jL07kNcFW/zDqasoZ1uGszEK1ikSpteaBXzxLtqCMmfHY9Fu7LchfuBlEM70W GzSNg48flmn2+ksC0YYVxJNfici3KHlSZ8cvv5fY9umEVkpDHDI2dGmiNrtlmsexl1P+iUl4KbnF vysbW9oDgYfjWyQ3zGTfShwUL/4mTII6LOzlcym4ZDUN1pMXpqwVnSo2GbVr1weHfwIvKMg5j6ZV 3hK3HjGDHXg1zovpYHAyNQ/OuEmwuIw8C062xWLHYBYonf33+dCjtaJF/Mt8PDYVCeQjtuGF+3nh z5uysPSK3zEEnSSQn0FtPznVrt6l++4CRFYkW4JafLMVV+0iGWzHeEkCWMNLaFZIvVC8iWooddv8 ShpWNBNVayyfXFMxDEdAIbHNw4P63NH3dLNiHvEOpQYM2O0ZE8Km1V656kJpnnxhAyrVAhroo3EI NLgnx8Nr3U+CWHBhABYfIUTncd4LR1nMluKIfNk8IZkjmPgtMab0fW5ND398Mcs20I92sWafdzu2 KikaRrGf2/vQRRvugmqk15UitkvUDdr3wmzOA9P7OIvZVhN1kknTfXZbwBQ8tchhZKkcnxC7w26n Cv0P9pvxr/hH0StEtxVvMBO3gtdc3Ypen1JpgvWeq+TLtJSMAS6wGkiX/RSAcmcEWVg6vZ/5kR7S o7Hh6mN1KxEh0wsqezOCUYUWDIJdOGeYkEL+EuHrv8nDpc6xpYmfXgQldvI/mAD6Npi3DOaGsksc HfF540tnkomRfvubHlT20D1ouP7AQF6WY7EckpLUzqObyOwCyeufDDDo+7pNaFpMAYlX2veWIdYc 5PAhb9uyf9LLO5HB/54CHZtnJv7RUDCO9fk0kB/WqjVolj7aKnw63iAcKmSl7pbkW1DXHTFsLxdQ sp6Uh0sIYU6dsQTta1mC0H3wIOJnKP6Kp8fFQrE/+4VjFOk1uzyK9Lq+blSEt+yv/0Dp+N8CGcCX Nve1xhp865e16o7WA5f6V8xMcATbdtzQG050uSDnnVB6X63yLwFqYfwXGj6HRvnAUTb2YHfNdtaA xIzA18lCVfvQk3XIZvrFZIxi67fbULaXp/KgTShaHnNyzGJT3czFYyuRqUSWStp5i7QFSEtP+y7X NGWlY90gN7BJaEYCUlZq9hAKWecr/wJTmBddlP60rFhFdwGuuFnlrKPELXmalvIhhZG2CfoMCl2z +St9Amd5h6tP6KoCMhMY0hiwiaI99fuOi8PHDCAloBux8KvwniKgYzaZtayl4iq/F9s/nbIVLPeG aTI4HjEJfLbff/YDrMnc1YCJmdPTXoNW80znJbxpMiYHCfnsQFcJPCcKtQ5ApNsqa5/THAJ0mdVg As6uwp04TDtY2LkQexSyguBPuaAigDVVjNgu2cirBsbA5g1QzGq/XoM32ON6Ib0J0eG8TIYrSK+w 396UpCaDlIMxwkO7AHkv1+hHkPVUVAhxUvix/PfxluINfONYDm8pI/scgRhVoeTOvtDzEcfKMFWB lv38VZ0APBjbxBhBPJ8p1O7DXHIbUCCx3eKyBbdMOqHrcSSzoZUtCBG1mPnIUSUFDOpEoqIbR3m5 5KDSI+ry17uovpms8h2SxHwrVe9hquleXF0310BgCoTLFg6vxyFSpS2OxDUW0PMmQdiJsG2H6W4a 1hC7l24BuJ4AW49NuX2FOTKO+eWveGwh2uBz3I0F42RaAngSHLnLhel5qvcJb11pQxTwOqNE8CpG R9QirjHvgj9hUwfzc7EqKKETVm9U2OR+2KSLtEPfA0vwugI8yYr5OnFGSn4/HPf4yqRfzWbN5BWg 5OLpBVf/N1r1NQBLLfWG0aoF4LTM5IGMU4Ho7LP9PdL33ZyZR5WLCcaetcONlEsjtGipQfR2i2Lw z2VSaotN5aRcOPzcl+seicYel76QQNNHTrK9N/kGU2wKiZzHmn6cfBQ3CMs5+1o1XREn7SQ5KNQR EcgKEH96YQMuy0EJ3zjrd9el0hN9p7cFW6llhjzIN0Lk7PFSLndhaxyTZJVyP2PYPi3H2nPAFOlI tvn6y+RbuwYocnSaDOcPF4fdCdfeTumo658KdpYmMUD5tYW2U/OMTmcnggKx4gQfqmTU8jCY4rMJ njFQ1KZhKWUAPm330Y9qy7I76vv9kk8r8Q7lL1EZlPZV48CTaBdRKxfiR/JNsvxaTZBavMhD4NRi kn/YT9DrDYHMEomtz7AQlv6zv/Yeby63Yvfzq+1SDRGdEzSF36J/PUTKZDD7DhRJlsjLeOaz8x3r ukOL2UWWAyIjHgwpCy5MJdnhZQ2jw13byDz5LLhqWUQ3tA1956WshWa5pJKQ7+Hlc4ZBkD2iKIbv WinFM+xNeNJwHUEpjqBrsdoUFBfTDN+AZxsf7lDqjbgNBq73UkyRLacna0uS1Mp8GdUrxo+FQjOd OcPqcVDtA1T7OqIXmHyyiMsh9pdPnGSiYD77TRK2+loSK66qdlzBAn4xZ9lJ5MupH3+ASlgZM61x D+NQ0e6zHoyzwyYVlu+VEbcZdqz4DyW/ue8tzpIy7/XawlO8aDHbkAAhJz6GIpThv5k17oG4PRXy Ko9dSwO4yWsN6wyOlUIbjqZmvsWW7lm8W3ZzxsIMuRC4VN2H6slGUV5sa+8bVAMNnbnjkrUl72SG J0Ip9OATcbWP8y3LAX1npUeMR030ddfqBNITpfYe0C+sZVXhPxphKN6ggDcaHZIFjm/mN8jB/3Yr wRrjSJrMqK18q97CyjVZ/9d+AFuLvmmRKqDiVyP5pztQDmwpqeS6TkZvdoCC1NOBhOOBLIhdn+Vf GPSD6EOEiU+ebOzR3NdioM2xU0odR/LcgRVhLJO69JKg/3hu7uy7cJX/tRfdMgCMcwv+ZZ+L8I4b TjfLLmA5E8Icrq99iaxD314Cr8qUd7qvqX/7/QBRnjvQIWBnuBHrR3W9f+KG2oxRABkF4lR2hHig BS1G7dL7ejOTZOZ68AFJAnJCFYN7mPT5ct3tjqG9hl4ReRSY6YtT9uEbYXdNocGNDwIMCNyfywtA 5jCl+KVGgKRVkIJTmOgDFff/yfHEf8y0BpoEQKJ3Inz0S+09xK94N0pAmdjUXU2sKeBQoBvsnGPF iY7P4FEpu1YTSd20kYboylp+xfZolHia1VTjAey/Hx7bHGWuzKNFNH+BXoxgDhvD+AvZi7zU/n+H BJy8QjV3sJI/WXJgduhmIjPhKrwFTi5JREpSX3844KAoH3UMK6eVdsBVceZqrn/H3UDkvc6UL60B BmTZovVWwYSHIsQQ8HnmQrZkjbwR+06kV0GJbaKhVXa2PEvGLDDAjrwcuZkzaf6Vv/O7QY9KMuiB KilqJLmmXXH6C8mXy6CSFTLQOsUikcwU+f21qtCT02E3E+grYssw9Mzw1NqWOwXZsLIVDB1yX0Yz 3t0zXfIIyPvb5qAhRHmiy66x0xVY1toTBLjLk34tkY8/S0LpDJFe4D+mNjPa1VtzczVWiiA8gXgH HfzTaenJys2uRbk+ZXCHtFFnElGE70y5s4fJ7z8uLL+3ffB3FJK2ZOT//yA5uf6n4JoOTFb43Pem LERPNNhLGmTD6SS5zPEtK+ErrHB4i/UcsSLTvgVUoj70hffap9uaWCdhn5SondtxXOQjewWH2Gax NKGdlFk384Efu+NsPU2BkseugSsG63in9YSAfGKAAWCMklxH8wBq4gYNVsV72KLbM4+UM/KvPi0T mbBIvlxC4RHFVRuNz05yaWMcic/eiIxR1YKJ6EjTWX/Emo2d4qAeTKzm4wowTUoMcQlo07TZF2EW TOa6F9W9AcggDPaO8oNGJwovfF0DgD1Zxl4RqgzEEvd6BbUjuznKxbuYgJDprG1cDOyhLnOM3WNj E+8TBCVNlZ9hhlTvXzfz29jE7Cy9Ui57ENq76tQMbURlkq6JkbUcYy3Y3QcTVnMGr8euexfKJcrJ xRhFGofT9HRUeN24pnvdqFXLoYJZsoaNXBookHbzYfTDpvSU5ls87MeRcpzoSi8wsdZZgEoErhMJ /XFSfTiqikPPIRHGvhIqFMdFnNNasGhSLj1uxhfciUR8ctGhHfbJHaQGT5DtzgIaebhKvJR0PXQ0 rLZ8dS5aSK5tuQICpjHaZSC4I/PwlCdnZ5ruFDeQHGMp2eaJ9OVN6+fnLdCnA0b5Ujam7S0EnsUy Mao9igVMQKk4badVobwoxWfxb+7l/Fnl3vn4PL8R7CljIDDjfSArzLlzASlzQFhbiumvZvVTUK+o YZcPVvP/AMwq5FQpM+KIN9NbFTOgLwO85RW9Cjb9/UOUNq9D4rjfs6YcqGYbcS8Cy3NQeOr3k9Yq dRvw/tDUSiOBa9zbZ0o2MIZrVGu4utW75hc5bl5H5p63VcbnjKTc2yKAxQrkd4uvNRgUiP9cBtJ3 DX6xZP7KvtrdsUqq5zIzxQSZp/bqrVDXHV2enDA6t4WPUfwyu0H1prlwe2CMsIePkB78eWIfXkB8 sFAseL0Z1/jdX7zTP4NxHrerSC7I7CNksb2PJmomTzHtQjCXxa6CecuyLo4iyIO8BY2TkJfickZD CkUZYTqOnH+/Ya/4NNjhDNb5jEam0nqgYXm6l385PfTxPGvLZXoIYQWjKT2ySEJzwjzEj28g39A7 jjJpwn9FPW72kR/5QqcACSKyg2xGbvpxKwBbxkRluG6nQCYOKsCUQ73RtZo+5YPaIkwDJHoNjooO uBXUIRTXveHWteqOZSClqoJIdP0/LvVdOgJMiSShTKXpLOtcBaCwufq+qouvckFTgAhWhSztIEaL JKZIZ8VxL4uEKbRLSWYc9D2uPe1gpyZ/9rPhK6pjf6L73ndMMIKflqPzDRBzC6TfnGicLYVpgf4G dSxxPLWZ4/HbeJIm5YmfpoVDSMsqjsAni+HO0iacQ5rBBqEB6fSEo5kubJQzEyKBeeVm+jVHWEm7 xENOGT8WdX9KKUAhegwExT/3lucFs7f7oYfN771M7z5AThde6lPpxtfHlnRHNRl1m4M/z1b/o0n9 5OuUIqMD7WqjmtVa+Why4QbSZB02jaqGRmLcPny8/CrR76u5xbE4BT3E31oVFqrL5N1p5neNXZPu j+XsJRaF3bMldC04ZvBDmPVKlMybFfWWTjhOD5mhNbNh6voKWlkSeZOY2YiHLo7RA9HnlsxNgiXp 4tI+evKuk+2rzNc9NJ9KU+//xZfDCimXXgG8Zca27ZgOlpCW5Nj+XWMoebbiUY6Cgx6Q+JkZfRYx Snmc4ydoGUzxatNc4gItmkB+ht0OLvBgbwwOCJ1IR2jkJHzr835O4N5nljydx6BF+3zVgMbmbAdn Gwa79PxMW4HlCr5y4pXIZndC11cjbG+amyP9UvGVH99wvgzPp5Kmw/nDuVsgFQGH3AjjZTcGaACM AOYlXj4XwvOENHB3GhSg+Suuj1lExJaovyTtFsoNIyqf/zgII/WdJv5WBSb/A2wMDIwmE6jjnauo syFJKT1DoTnQK7hfhRCPVBLmHrVnSIsMx0pIIgRum953sqqhQUh3lna1RYIGpkgKx1p0m143bXQY lIl9WRuQupPBgvDB+zYGBAs39ZNZGvXJg7IMfLscE4PtLRih6uRIpZOncK2HcjHkGbI5I6lroci6 reCL1pMfoFrGUZhkgySihbAluP9udHbXEI+yhqmvY9Ir9BKcceQZkahT1+EBiIf7ICRwRpwfZbN6 3BkefPU7nJWAdnFj+MIeV+n8P0SXEPU23zie+mctEry2p2nTbaA5F+00qhawdwIjRy3wPs7XbR5f PZrxtFJsJUd6VBehOa8tXqcG/2J96BitXMpcLmdnP/1Ccwt38ZX2D0sfQsawpnVTpsmnF8zUBGfe nrO7JRjUwlyErW9rhN0YJcr8FR9JKmqSvEKTZbXcDQVuARzk3oTS/TKy399rb0AvC+DNaHsk/iOT KBa+9wTHx54Tn2wIhoNCC648WvDwE8yyRf6qU9ZIkrfPGqJ5D1PMCKBm6av2y6ZFzboNAfn0Wp68 AliKZAIt9rtCEZHHm9NCkRPJBC7g6CuaG5vBUx0dLrgAjYUNExYrwoSle9zGlwojiyLbq0gpZCzW 8JJoqcrtXDYdSQeIH7c+PP8awzwKzKHJvTzOcqeeTRRwdU0wXHdCJ+tepBoWFFX7nQ5pUXPQ0PTO nN26M1JH11AfL2LZK4HvX5LdFYDoHuDxK396uY41Enc+jK89b1osuTwVKtOa0rbeBrgPZ2mQapT3 tVeW3DBTYaiCqfG495bBVXDB5O3I/Tj2Jc+2cgYzfHw2SkxmxSd5s6oswDAKYnQd8QIKzijHjFMK dD86bZpw8kZWWiJKQUZVJQxB/04vAeK2Ez1KnjJjGXpoBZzt1bqe3dLBVv4oVFQyPzWxRmxdBHJ5 2EsaNe+AGQSj+jWa3z8xfNTiwPdQfu8hSP7aRtKpWz9AuawdfoILiJKbAZTr3pMDtaruCuAZsViT 3QCx55CGIeBaYyypAFVdFTo8bP0l4NcNUn8/qBSIa5vh4q53i6ee2SwuBVptBq7WiCFPF8JVssvb m8G/Bi6YIRp7XJkEAKmEgpQd43tG3s/kwDJ/YuEdPZUWS/iSML1jKy2U/INRtCaUo9B+OqlEj7NT A4wyHLYUo05ThzxjEbikCGJCHVEjNbs7N1kE+3xTFvrmdYIypaylVW5b/yYd6c9nivTNvSB25n4m IRZd0B2rs9Zg0RJOek4+SdTn484sVJxB6ZtdpPce0Ybzdz1vw+90xUz/IIFNi4fgDAg1LV5BiGr4 8MW7/hOtlPtzYVFv2uPp7H1mN7ureRPVUzHupExa9SgI24aYTIMq821iL+xIUo1CiY0RL0ZLbk9M p1+4Uhs8QDkfx4DiorooJbTgvWFZvb2c2s8x6G3VSBYLawgdHlFgvEPE3rNjb9yXLy7v8MRmuxBj 8ktvPcPFTEBlulDDj39pN2mFDlhNTqTzLImfoZisDiEWTX5XcMCMVTzOSQllErBI3bsoKovW5VYd teQshoORt3qPpKfxbS/3zltVyltMxvHecP3yM6RRr7E1aRNtLMC5JO+OKO0x7S6fdeayXND4qBpb hXwyNieIPqz+fwxxkdYsLDNki8PqDGYfeWjTX2Rowhkar5rcoxru5DP/3d8/736fBTHPhQOtRdxP wJ924+OEMNt+JXdND7gnC047llIT+GAkV0juXvHccMXpxIoIoiPMIeb2EusjiGMdiwZXB3zfn8ID pofAwXwW6ThEmNLjeUOe4o9b16ke0+1V1ErowXpeT0wq1B4DcWPYVwn83tDrlNNV+NivcjlIBEAl jVb0iNy56t2mOFcEN1vbwJ5sllfsgIADqV/xsBiB/ZSklxPi5nt1BnKRuKd1UBDvl9bCsyF/mLPb dab6hmDjgR5t+Tp0YRzCnPTmkgFUWW2CfDJdaAo3wSBHU21Y6po3JQbCCiIe5NuFYJkl4qPx8NEh obwMWd+jBMcnKa+wSLHp3QrCSt3Hd/V+GirzfLnRhNMBdbQnOSIyBsnFd7/hQNfVVw1+2DCqoKfY c0Tcx/Q3HYR2WFOjcZFiAOb5QFKqABRyrhQG8x1Eyp9/VHEEXVNGZFwg7ylAeCtYQt4Imu28G6np Otxa+6Xm9brxgCFjr+mv9N7A2+5/MtsTvv3K3pFIHPlt3u4iXTjcF8cWpyi3OxMXmk3QqeGmQtpI DjpRB2WV/NIIZNJaKPeoGXiZYVBGRC6wOUKI1z2u9KD51EfbwgCemReKDIlg+1GytXdcCpQWFzdc N9hL1e9LlcDyIb9hvdXD+QLBsiw3B7FXQmnuHbokhvwEyeOsD6PXR864hZcKoWwNSVhiDwOTxrmz srIqRWLbSf5o44iZ4J3+6KN9i3fc3GViOU2SOrdpRTADnmd9TizLI+tNOBausrbDeKztOpBOPOTQ 4GYg5C7zb2rloRUsogjYbUpvHNRIVllHR7ohxIJWEPITPpvgRKJJvJi7BxEi/l8r5ejCKVHpKX3p aTEeOcWw/mL3kZP+1PU8lDXyUR/BzYMn2crbzm5Q7ZT44FQnFdST/q+l7/YhbbBm4+2l0qAKSstc viGAxx/gZuaIbfGvdrmZ2BhDk/XE4kqGnD4bJRYTbpOY3zhUNW8gknF5b8tQwpdwGTsBhmCPU+GG nMQWBl6FQrRXFxgIimf5uuwJUFsKl9p3HXWFgaZGRyhO5ofvqu5JVM2so/6B93nAsnAGwwtOCwFJ yYZ1P5cmKMvM9Q9Z2x8FxJC/E3rcfqwSZ/svP9VX8fJIxd+Cwuxk180jsEnVSViliAuOO/a/h5bz MuGkIHIiT/imFu9WSUFagjK2XYvM/l6HF/Uh3OXxGScjreGZH82J8I6velwmPd+2Zpw9grwLnqhG NxaEpCic54/m6szz7UlCTPKZEIgGIn1hyFl3bRNRpZbCVs4Nnoge5qhqHcrnrXdMH4iMOsi5V4Zf MVYyauob0pEZgHWXW5sHPhX13bbfDkhI86sD9d+AI04lLjk9WjQB93mJmPHSiqyPvFClKySa6n0b VK4OF8QUp65PD3KbFxCG5GefEteS7OFUv/LJF7Um7lQcYdNIdL6lF7QHMSWmvS8dEuhSO8qFTVCz YiAB7tb0jmqXxevPaDQHEhjv/ij+zJHkOT4fuZkg/K3F2sR/FsZMKpiFD7v7xd2fCbe5iBqGwK6F +NJ1mLTzWI+sQD8X4DJzWo+NU+lMmrpmw4b1c7bs0QUQESqhuEJNpTQRujlcCzf9lCOseE9lsSgW bXG8fjovimM4LtFJdqlqeOL4MaZPsoicW1EsOCNp8FYE8qZEGWxmfFQzPW8j7xZ7yGat9t5qy3ml U3j3Ja6JRNeyXfFzCzpPB30oEExpXGk030VG9DUnoAnDiJQSyi84A8VHFhVPTgd+M5B2NiyC/0pT PScKvjdoi1POMT2tcFSx+Gcjg+JImsgWk2LDvsy6TA/K02FXt+yoARuW6LebRqkIPsiPFRIXagqi 7Q/KKHV/rpeNSmX4WcSfLxykOxH/LwmvA47LrgRLSpnxhxU4nJk1TTp217mImO2Ve4fwkQVlTYGV WGXW/S4K5aXXi/6zuBM0eFlu0iZP2tkJ8JpNDQWDNR9dbcJdhjDEjS1QLNHGp6d7JwPROvKqla6t L+K8pvGhIGe6omtGTEfMKuHvXEAvU6c/XPwylNCespVNdExH1TqAyWTsR1+yb0r8y1Gnbi9fJYwK /4DfCM+c4UpFhurWFUSq1MJ4Rl+RpdFWR4ueQMICyPN0g2osZbOOiAF+QgaGCXONEm5yHg5o5QVy 7L/RukaG9TE7XgZuozpHarCPUTUyNgiiop0VJINutS5MQu5okVk8X41/LUzi6yANYWcDJBP0W6GJ eSQpnQbvkhhmYam33Oov0QTj3UAn4dfMKM52Z23VKMwM6bWCD9KT/xznO2sTCjbH22OZ5GtZHmuI X6+4Raxfw7fxSYC80ofc2QqQRGySUBPbw/PcIaEWNhjsSLyKP3NpBgNFiQNpZQesPczWz47MLkfW apGfZu+gVGkw3l2YX5GuTCP+6aa0Y8UjUVVnjSW5JZwlrne81318xe1pEG/OFs5dUcb9ivfRcmew SHPxWBPIp3JJQvpcjsIIEuDywIFaOe1Y3Rzv7GegOxgLV5x7Gk0OYQknWem8YEK89/qLpQMcJTDh QUc7AJ3LmfdFTrWDhelsI6qevT1940Q2+1S5E/MptUBJMCVYGvFpx1CSMMWOPqzY7W1vNv1mcyTn OJ2Nmh/d11mV1JgH7WJM7ya82VSTLeTwwrN0l+Ltz3IjATTc/7hAGAPJUKAeoI7WKLvwTW302AjT p96kQwZbhIdKvq1dfCe0cM7/YDrgiCEBzQHV2+NoX14ueYl7DI/cUfJ20U2NAElQMokk7b1YkbrR YdqpEzwp0cExrl5fgkZMhbBaT9qatftcDKQML624dBrTd4xnsAJX66BMgaern2PGHRUvQ8PzAC31 cDC4MQdAcfxS4itzjPq3AS9dNIZcRRW3QqZpALKP5W/fdSyAN/sWHQaS+vfQKhrYu2mNlXQg2EC0 5HFmDjg7mRil6hGm7bD4jJLefJ79ym1Y0cv2WK72Z32AIIL3w1IEkLHYo1n9cMi6g670nXWu35gB bfn+YrMvXA5s4ngODMhFhcX9+l9znY3GkRnE8rc12nGj9N4X0qm2avEJJTdE+xhn/1RqN0AK+Pst 9t8Mj5saQFc1Tkc/NSeUCDuOMIu1lU4TE/7vKXIXgoiM4Zv5bk4KKI6Ri01ekw+pJ0d06f6XU0SR NEQvFZAj/xcz9oYjztioI+pFPAMK4mQZSu0EtVqpNOtcxRdjCyaiW07juUYknpnnoy8F7mrqL6+4 3+gsLB/pvY/Wtf29Uk9NUt0iPOL1WYLD2k9qE7X+Js0ZchdwSAFLBV5f2LUq6mZUhwv98HjSpnII ttxW2eEeoamhQrXTqdNvRpsYH3CJ+NRMRdfxRfklKIhxL49CiAykqAFGOu2ujZcbG0WW3nKSNgAk exmZ1a0JhRYKHjfpc2q2573Wlzn0hIXbsDUTBx+z/CUjvs7lnejIuKsvhDzyIy9uFws8nodkH4v0 tIWyr8GV4gAjv6FhbOwA2cSjlQgK3CGJmZdVPmhIWFLpU0HPwA+iidN/q4Y2PXJiYKdZxMcbnAh4 Qkf2VnEXK7dDG6EZPdudvYexiZWFZggL95bPeubXLuUfsZdJHqPWHFGV3ML9ufHaNQZXVqSyk0id 5iUIT24DtSVMXn5w00EdpIBMif3ZcvPXfU1UjVpyKHrS8UGP+FfF/WGAjGQGHVeI53AvSanrmiod LvzY89RNXaJCff5d2woP4EVeKXdsJ3QXFW1m9M07+WPkyjQoi/SWc5No3dWe7xQrDQIkJC5X0ba4 9SBpeE+tof2w5QwulITmamoGIXZrpsXl1dxuTfle7s9tWAfTEQWplQzE1g4C+qsSoovyPdQJ5oIG pr8CYGyb0c+aSINGt7LyZO9DmnAkIuGblNHr4wQhXPn3/NhBoU4mY9Tf/E6S1UfpzIZ1mddfejZL At2+n9DaPWIWnCFtcyRZ42CHYnju+WkAEdFwCBlw7r6c3H7ShtlUvhFZWQHeqDY0aiSvKAwtZXE1 EL4hQUg5UXryeBu+qGMg3fVjOB+gVZBBkEYvizx0RTZq8AK/eLBV+K3E/7uR6K1cAbu72AakAMO1 eEoS3eq34zLyMGmBXCKrtzu5/n7AGpOqPlLwL5INgk/cNcc4K4VgTFWRDSAGwG8S8476fvvAgvsK ch2vtrwMqRbrxVipkVEKjk7qxZVtuC0tmnTqIEnbo2pSm4MQ2jVEqDeoYzMLuvmJgIK4lkPVsysR eaey9dv45c6nQ4TFGR4afTCrcXtgiXEPEbEefgIAq1IbF1l2HIPT8Ga436hXE4xX0zqh9JmMPawC 0NtFVxQ/+uTCIKCSDFkZQN7n5OPqfeF0Sr6DpjLCvTAjPiAmr+AvBLZqODjaiA19OLWz8nb6IxCa YK5PL1GW0hwb9C7Jr9PZG8bMZqcF+7vLW6JBmUggJ7Fj/rE4//VeK6XFg1jx2F9ROCClzWwxKuq8 KMcHK4YPuf0C8LJHffVvuTzX10beQojrX8ABi1GB8rDmztTLA1t/JXzRak5f6XM9TpkZDEetChkp hTXabw3lP7UHhiZk8xs4/Gja+OgFkyuyfLBuJ8pN89xTluHg5cH80B4qHRf/cFpxLXADgGbgYlm/ pOa/L+p8t8T+BoMTqrkhBX/o6lSZ+dGPIXe7/YcLmBI9F9NxeE5fPJLzrGgNqoFm4hucbAYy1j8f EV80T/1GM43KbWyeXSdYa+TEcXe5WiRBgENaS3+NDINi8nCDWx2ZbU7osvPoczmxKfLFg33qWldq bkLuKg+kWrB3oAJfU4CTawnPSUrXRYo/R8+weAo4rhjZ5MDKwVOHZIX3Xkuu1kkRPhz59heOy7gE WMMk0NoAs8/HPYomw1DJmtXG3Y9YDK/LuVUUgo/LhK14W4la9WVBfwu2AXtqTQrjwiAQgsTlQkJB 2OnV6SO5WfrIK9y82OTC0OpFNu8ma4BvhXjCCjMYaWtLPCO/AW9n94gqBE+dXOlimlJnnwXZCN6v NC4zXqOgpIwdvl2iniv+6hQbhraR9huyyJDRVZM7F5zKkuIqDBd2vZbADLuFUGhullTkbIQ1QXMo SBGBkH0BYWaHtoYdPIw+Vr3DbxZqhlJ2x1fHIY6Fyw0EKjm9SIyVoDpB/Y/nZi4xm4PMrWC2Ztzq ZsuuOY5AGSDVr4v7Nv9aL79niXm4ObuiGFVl/tA7FPjsERTm4lCT1T5o8qkfiGVPRpXX1yZqDYsM eCTb6IvZx/5718fMovJGxu/UuaXwvLpd5czZmn1zjJ60WvYqypHySur6x3JzVJsja3kiqYsZMG2u hOCpDUhY4yuFMAZEHWcrUXaqqKo1Kn7+UkDUsE5i4E/r4WGP7xP195hi0itQndMBWYlm7m+L1u5e eCrinR756DiIUoL6NYPke6yFO1o19AXs2H4a29sbwq23xX76/mWuiwi9LiBQIlsjTFCkgpqcNUkZ MxrliptAWYgMdkC+0kzScLAih2fU3eYa3iPWhHEUclIOiGhcni7ygdgn01iPMcgDAZdXGh9EhEOZ PfTb5Ix4rbcqkoJ+Z7KLih7BLRIY3BUbXQqq8kFLQbtKuQkz4uQyxfuDZt0pPBdz61E9X/njWQRi Wc0uxUe8DZ3S7a3u4TTGYztQ/UaeoyVtqRnGO47YLlF6pC+t8hsCK54W/+4RaZoOe/DAO+qehAge 3UifwmFxxrpylzeoCkjYSC4oyAJdBiDArEcc7Uwa4bXsgHajzoKWdWzA19KBpP/vzQjD4xc47Vn4 t+zOLuiN1lNnbwab+2c8NX3ANN/zjS0j8q4NOMYtsW1e5OkG7gv2XDlRSRExCLL9fuyVbWDXp2P+ b2qWLTcDr7hskrAbJeg07bmsgKJ6VwlD3oL8UW6RNumLSSUYvt6DZbw/ujRGVss73BTUh9+89ugS TogJNg7Qgn72tMjk+Wj5GNf9L3jCiB+viAmSEdFqjCM4uqbsAUaIgQsVyjIi20k6tSCEfZGlNgSQ C5rxTUp9Cwdwkw4L/l43h/lxlHlqh+6rhj85Wx6w2acDLI/CCvxguQEi644W2N+dwx8W0MXQaVSM FWUFFTzqecJ4Ap9gj39qH4onPjPbJqm8SjR37V3SY90YTEmUWs2tyeL0v19Xhj6k/YMDz1bjPwb3 yzXv3uQ1i+7E39XwNyjkochVbPE4wzI5r9fD8HjmfGPwFPAyhrcdy/DbXFieL7O79UMCoEMmxUla ZnnyGjmZU6sGka/y6caZzITNJHGfggkil/K5bwEd2nsZAQxcbp6VeoHm2AEV8Ds5R21aJlujb4ro uHeiH586VdJI2oMWnTp94elr9H8psRT/VTA+Hpihjrk+/Gk1AsIBuQgRH/XSH67RvSiS7CNoi1EL er7E7UQcGZgziFeSYyWrGsO0EljQbiTdCXktMsV15aB1A+AsNsI8oowjDQBRbmjy4skCZEngs6bn g527O9MQmjmISxFeZkLU10ZndTocAC4t4F/R9EW56dig2dDeI65srpAoJu75siOyosQjeljEjQte uNvv6zDMEluaf5z3j7Nj2ilO2H4aZsWfE6n/TQdFZXhggtrIMmb9spr6XqHXrDO8KuUFNf9IQBCL 31egjdYKKTHxgk4Out4SDpMm9xri/psBp2xigte4gMgcBfdmSD5lqdiyvLnnQwLP5bnTw74IUPVi M+jHVfR7KR3fEftuz+NTbf3qS8/ehY2bfqP4QIqkUR8qL6GnFaKNyzkO7NBi0rL0w5Yoiu8P3gZd I9kqk0BuV8pgBmlhHzQW1yxkPKDQ+6Gpe2AMyIKW5bbFNCfbGNWi/h3/bs93LL6kN/jYSlzTAPRN FSVHK/1uOQqFvThda3xymFtY3ayF5xDrLTK4oGeIO+rFEqMb7BTU9g78BzfgLQBOY8XM3g1Sa39M lM9FaYc6zDmQIRZK0hAivky+sFHbWoaxszmxi1WV0uZN279Ra4KV4kxgcEsvhDF+HcS73v8uw8pl 3HvzCfckB7NjW/fp9oyWsd/IGmqkn/l4dRp9hN07exCQG9MV0pRpgB7nQ7ZCbW7R8vHaK3PO8kw6 XLyPyBHi+pgGaeKZtaeHPOxMrAxzF4JCaycEF9H4c6UWfy87QprINTy8FlzBiPqHfhgEaH2MGWot bAqSJhhJe+lj0PebePiWfsj+9IUnumuKndcYzvdQ+A0R5rqhMG1wGh3WJZ5cohTQGEaidukmMDKH iX2o+neqY6L+AL6o+cPodelJpf+3sLaOThLEzr3ROGZX0CaUiV6zuhS4Pv196i4EjwtpQZPd37Ny G+3TigyKtMG3q4QGoRIo/0LKSeR8UeQvEH0a2lF3507LpNidosaiVaVbEgBet7qJ/aeGI61aicvs ztbY9SCERSfyiQ/LIg2YbAwkxXNkkQvje2w2bZYftt/bVSDMpyX4ZHsvhMn7F3t/Aaokob1zyH/c AX3ZMDGxox3b0Mp/8VNboQh3yBXyKEFJ0g7AeXcBZin5eHMQ72sfW0zpOjRW58GZaKEgLQXOfuFK a0PKgjUyH285jntpb6DdsVKWmB12VLj6yZ6koB/huV+6av+UUoYRsLPovd9k52oSWtdOvdpBDELT 32WYCYmHDNvlD9dUxgUZE0/zG95MFvQ2hj5k2bMHR9FQWHF8faCA9JJH8gEUuLemRrxepWp+ZBUu uQJXemBFe/AVarEn9aR9FU9MCt92xe5jdCga6n7cbPhZecGoTZwGvXQSCsy4Bin/hnraJG5AuqpR RZr3mz0KOe0qDNsEhA8nDFoYQsXwLgIp0cN7QWgBJvxVZ24T/qid16k066CB4pL6KZwaG5n3jkch VP4F3xqPgvfreFY5CKq4PSAvhWiw00xJ9guH8NyRgmeyan9huOuaUDigiNm/etq/U6VQo2Cmtrpc VAYDrHCkNVt7jxYwAlYm95VyfArZRq/WhMK0ngKu12EoVllf6KJNi+M+XOlDImETDkYtfmg3OE9P CViDZ3EfOzl1rvZImngYke85YG+FCSb8ZBbe+Gkq4pQV923LZo6/+sAxvk9+b8Zy82pSSKjVi45S X0DxuEOqfIK17oZgcHi0SdsKHy4aCUHv6kfO1iQFN+l9nlN8N+X5mMsGS719MGlTYHdtdRc8Kymb GPIRpMA0Le5BhFUHYBlibaloqvQgRJncAlPeXvybbiOmNWYQLAAgjXYTYLB7GY90GzJ8oYf/Yikh VA/bfwCgjZciIFP0cJP/r2vMWCh/wPrxvXZqETkM0RZBZg4sWvh61G+fiVlnMlHq9vG9ZyztXfYb HC2NClBdMdrvTfoPDjBUWhHPKhbHCXGwNViSmE8/WpvPrCt+Bhpnft2rtK4xQvJd+JuZWTheX35D 5k9S/Svv74RXm4Y4rNbdIuGWsm+TnbG71bfUeaemacXZvHLSf5zI98W8N2VlRqFIrVN1eFhsouBZ 5O5n9mrMNgSaAhR5TXukm4z5+PjAzeMqtlp0i58VFrTk+EZXdQi3KkQGCVVJ8XHVOCNwP49pbwPy bBt/vlnFpZ7H0TjzezhYkCqx/3lYsx2MfhBUEn+XCANIEfi4Po2Vr6X1q71B8LWY8gHP9+DIcbma Tddgicd7kJN7Yi34OAeRbWe7Kwdq5pGAyCelTfZQF22CzUPVZuN7wHJd803vU5pcbXuEDzHrEeOg iaiZkDayR8mp+f2Ga1XQ3BzKlY/H5sPXFXrVAQuH1wizkAFJeJ3rgKdruU53lUUZNKKzbIUGmxEf wAKt9YFJ74r5G3OY22j7KRWOKMjb4/CF/0peuDuaQhnaN+Xh0AZQcBni28Ag4t2cBeHONQ5nptoV BJU8QP6vZSs47F0mNyyVqsaNHDcqdI9oavoPxYTmmi1yDMQURMUCxWySXxKCchKEGH+Il1IPO3yt jdPsH5uxx1+zIoXLGCazVot2VleGAjaaO2Ce1T4rD9KWxDYVKw6VbZhnHA1+bLMXHETsIHoW9RgU DDvK0XnjCbZdNU2RvWprHmiwJwugP+rMPHTY2NFh/8RdrVN5m/SqOfEnlVopVulWPncWzu1lM71H FLblOI+X34CXe8PkH18JhnzoKD56At8NH7fwvAtdXTD3m5IUL9hsbC6wHCZ5kVwTB0Lxq693Bo7r vEZ3JPXdliVO2e5o2WPzhky1Zar8cCmkWNRtfQnQE33+xBfpTuvb4WSV8PR7lgrfkOJqtvNvscwx Ivq0GDxgorUVz3dfve6oCIQ43M3nKc+Y8MDNC+YVY8rMgVOyy82oqozG+wWLVrqZ5NvkJ8vXuPds xEgKPciWYVakMY5Vi2JsB7P/KUKN8DUznuemEjJrkcHVVx/nPv6+hLIxLYzMWwkygxPXRUWLREuM SHtEXEyn/uZUmVJcb75b7CEz6rBGsAyaZXtvwVJNcl6NhpZccT7dnJRtL7qHaNDZ75j3vKmACJH2 cUUVQNS80ETuWvFlli/eAZdtTOBvPUrsvtH7YrbSlWF+y/vj/aJ4Y9D5XNPJDGI3bl0KgOYC9+aI SQkPT/IXMpZzQflwM+GuG3WFQGOWDguDnnypA0RiTTtT/OM51nRGSXHX/s7zOfxo85HvE7GXrePI MsHhoWnorU9rp6vvLFanRNOgMj/eLavspIaLeaeYGd7j0WalT/CJ3lYFFxtTh3TKOdOPNyccMf71 fJeOX6kBjnVBfTE7qzVHq4D9O8Nq1d+pR2J0Xs4vx0Lm1yOheKZjdna3Q1poOFGFR6isA3sT91Ab z2nAUQyTQ6wc1H851Lyq1wNo++AC6r6riGrGAVvkwO2SFMU/CEvGTXeGpi/1pDI/xdxM8sZfBXM9 FRoEUd7MvI/J2cwKWjHP0S9Y8cSGwm5Fh9yhAtsf6qIEOmlRVtu2ewkP3njzmQv2fWAZFMbnC77Y ijs2zPVo8s5Cd7xhO6fpdMVtzL8ro8kk4gbZEQOTTFrYDOISYD6TfQCVmRxPwNlUEMEDMoB3G4mk /nRQMQLvelL/MEQblng1XlP5bHoK/Zy10dIiDfBlY7mkZ0bAfMAcqJxSZHZ8sYElzuQB1WvkpZu3 Rj/aGKsxAwCFVUlCtdBRjbVt6yrBmbDBUZbg9Ig6B4w9VNt4Oz/LHdAvvkco+Vz8n8VCf1DWt0Zp Rc0v9eYR/Q9fCTxzWDpHPhHWzVw/ffuZQpa9ZAR0IObng0kmAUMWj4xzzjMSroQ3MJaNh4pCdjgR 0kRbWejDoB7KAK0MZCx3A/4MdM6KVNw2+1rDesljicbHqMzm3LUCQHevpAylWvCX2yvYbN719pxq NtOXMCXnbMeEibjdWLud1TCxnTaF++XyjttCQJsdSaFOHzZ7cu2DAozpWnJT4JrX/gcpLTZd3QAA /CaukOa1noNwSlsbTOdNDGyuSuUcI4YUfLGG6pRK4XQykLeu32Mui01EWpabbs1ixB9mNm1OAi66 A3kl7kv+mEU+jZPUxe1TmT4KrLd7H9wcbW0Y4JsXnWsXGCKnSon9eOqtKTsCbOB65NDMnFjkz6WX 5CoSUHt1Ywj5H9t8EVhfMFllfjBKYXCgx0RL6I9GupiNgftw97v4Yg0C7ZlAfct+aVxo95r5C6Sd YGHIu4KHIHZTqXfAdqWw14NwtRDKvxpBLjq1UsdPuR8Luo+oRHfGCtTCwka3X4jOvdVVeSeCswL+ MsSnR4IJJScpfTug1OX8073h7yLHeKiH2czhJ472jpctupiPUZnkFBA065quYpdGagBcbgZP1z6x +9d94XVFKIaUaEcx/g2Qhx83QP8vw7Rb7a5ziCmVW3jQQMz48+H5dwu1DnBSddFpbkfzCHGvzNjz fiAoPGzi+TCMsegrb8rmgP+miUg4Nqq8O9HZkWrs0JiNszrMhFBrvtQ9LuuESWGcGXW1ZD6Vk+hf c01urMfBqCNpPnF2rSceT2YiKWM6fUbUOtBtAnAwPdMLF/SjmPfIO0smjutChVotyUmKP6TdZby9 ACG95N9otuwRXAlNuNMi7VB031kz65T7Ie9JN1Pisno2f3KLerwoiyydg197+ZjoeLxHDeqLQbf8 ejrfFC4sRiYBFuk97Q9N3nqMsqAriZOxOM0adJr/kkjWNJN0yc4saD34Q1jiIPkuvs6Mrruhe9h8 pIDwrpDwvZxUUM1EFwohLMrT3UVRKXpaZc4M2u0UACiV7SssGq6cfatVJ44MRr/NFLvwjRqBubwt jXMsUSD62x4BWL3GY2L4aGRmS13V+WNzns9DrPOU/sxE9DyXG/3TaeX4HLRtzuKxuVO+opI8ura8 krX5RlY8bbUwpCqppcJxbYp8Z9rxDbE0I6lArT0MK7BnsT/EBXelSP4+3ZExJHC4iVVOa74+BjsE iwaFNRte2li/pQuxObl5Bkmc9Oi6RhKZHmlPX/Nd59Up8gslma1mLgn/Qpb9/S1VLKlQRIS1DTxw aqYmAcigp4lTORWRkJGi2pgeSISt6+Qg6vI2IpuQ0G8CWohAvkun2Pij5CMdn+Besgdfbg1+qchI JKs+OkoODkZy47ZvkmSSq5hXB+XuUL7wEsFkaOYin/d8RNFZwr0E8Ym9q3cw4H81TrIK4zbrYqXp JScTF/Ip4unDi/DAk5mC218MT6n6NkjqcbP8BKDbuQdHwuHSoMJ1QmjZhL+QRrK+L6jJEA0fTTbF PJpaRv8DrGOb95oNLMtKnz0lRElQqMHvrxIK2TijtboX4rHKaVg/wAC67i6GDw6Vk626Js8nidqm qMpxLKYRVX7Lv/xd76W4kDnERrcV21B3pczE8y0+58uIVZpxRD85L4+7UXtefDFWaEv3ynvtCuTB AfGdedlPCbOD36qryInYo6VVzsufsNmSzgz3b38Fv/MUffumPP0X3LRqxZsCcIJaD/nP/0fWmYnA jA334hwbUxcTG81Pa4oWty5jB4Jv0oR4x1ih2N6XXfh1vZ+5N8LRLkZGRhz+kEnw2MkVJ7W572LM vwnr//hShCzsFecl66vYqybA9pwrqkNLcNxK4Z/6bPez5cldJrfOy0iJuOJie3TtaoxexsolH4uS 4nnXhkCEZNDJdoDgpVKlIOanBwnP9PH2QprwIKhUMljje4bP5P9Pw7dN1hf+vdpuzZy4r2/+/emz 6q2wT7VNLpNu27W19bVAezwTdNkrqzp1/QiWhuFkh+uJC5SdKwDXvO/4xLz1UOcjkjwK87UFqzwM aXefYArji9oWp5ACjwcx5q+3+n24aH4kuRpdcyzi/XTBlZ00pf6xTZZ8vd/2ocjx0h6ocNUlP0Gn f+O1QdQkhGO0WmNNKYpPfRyFhsBmXw9+vlH0fktSndw1AFZm+Oz0S+JJ0jFI2Au3oDiTD+X9xfDE TzqEB1Qc+GghNBzHoyUeN7cp5pJHdrWnb1GYhxpOFSY38jN4UDAdRgL4ELo9XRbPQkyeM04OWLK9 TCYorw3t23nCyd4hAOJp/V0nGETzqr2DohKiThaen/65NVN01SJ5nR7jW8zDak0ieY/PDHPCuJUG 4z0fAn48c64e7catcI4IYaHoMIdrI6+ZkQUAM7rdJEfYZ5gR0xVjuRV+sDy2VpNTTeTSvg8jz8a6 GORiiyS1jkf+iGlLcOD8szxqYy8b+MpbG4g1FZiVMdSHwLto0F4hQr+Zzk5W+mtqb1LMGjwLse8l APCehwaNmMFJWOyVK+d23kvHsy852psNOwvxCq+C+W6YJMlbrXRlcbReYB9g5qFH3710DZlkgdIl wbPFSKFmo8MqCPuAgFUBSyka/YBNSSVW/XRNcnhisL/kEM5175e7D3BCOTGWDq/JPwNrj9/NlgIr AROU/zgeRlreSxTJPxtatisB3bU1+XTB3zz7OtwJxEgn3jaFb9MBPplk7OjT7Ka48NwBxHojgX3A UBuNg616PPMWGp0oeblI9PP9xpAS7egf/J5V8r3qmWIXkVz4gH2QZIYHX7gW+UTlSaPaFhRYkeTM bBFFyjUCctrtrH1OL2qNWpEaREzeXE5iMKjtxQWNW6xEiejHBAySRmGUm/5GsBE+qJft2sfGt0zV Kw8S/CLkh0aiTv08TpbY6djgI5G+cSLlEszPQMsj/MvdJqcWCkht3HNC+hWixMW8mV+A5c6mFyR2 vZDitri8cdpE07w3fdL++JSsHzupyyVzJIaOfYj3bOOQJnXjgcPo+6ySVgpypzCFh6RRubjwBC/j 5vhfPjZ85QZKCsUIN0UXaMATjaiUGR88feKAFzqQJYJGkgaEEGbjxABuPzc7l6ZdyZ/DfciMzwFU uc9jI61QYitt0Kwgjc957oQY9t+V2s4eedBbMB+PPwletq/JrRIHRGXlFZ5WGgZYKpqohe9Kwopn Ybt3qel0Cg2kb3KaFDyxp6cK05DWxlTqGU+8lYN5/dp34n1utpi7ftcki9N2k398xNPv1CkQmYF9 1ZxxL39vW4gjv2XD1GIidpMt7Ns69n6o3MSN91El4aiYkP26dQUaMNRTWbcbJAq6oeUktBngVfN7 RVPyCUq+OqFUdqSsex0x0X/rpotu34oQhkgqc9DrmFrNJ81S5qk8d9PQnKrB94YinKDTErNjWGdM 3C2bzMIOPlqdZUR497xj7keTMRxketVk8seXLPeIfV+4QxGL9p2+CXMaMGzd5SZqsXVARV/LSS/8 XFjL7UlpLHLU6SDIlokaDfEZGuTfiVUMNclXS2TCFRczBQxjD+Xu5nwOYWCm6Hji+C9F+2dKxx1z aAn4VKIcBqEdY3uf2UfpXPjwSi2+BooMUBK//2z8ubxw2EDYzEkWPufZ9Htc8Tk6B1D+Qosdekv/ HuGwB1WpRKsN0FTw/uEBEBrQDPIq/xkNE5B5al3/w+d1KVv9CX6FpWeDLfZXTLVoLOImY7Vzk/6N 0V+7slZvEzejRXgN8S3WiJcd3yHUVsilMrEYSFhNBeDiNr0AHMjyeHeGsP7+WtH0/POZiFyK5pxy gNC5J5r+UsSn+FWUfnU+LDnw+bu9GR4566is2Crrdqr37uyUTHNBEAskn1lKtRAvQqGbtPICMC1J gxgHZJEfP/2MKaKANfLJzEQXJ8x9bAEKIhb7UkyzKpjQg+V4+Edz7iQpHOx9zh7XS7VUXEcxZ0+u IruAiii2bCnvZFS3bJc94YHuslUyJOcRHinPJycJycGW/mkr35jPjRnHBjan1Bnr5Cmf6kyvVyYn bdkQck6prDFN0zey8Tgrgob28ye7USkCKwETmXRQ5WhlXrbRpx0R/TagHyey7dCHD1KNSyE0q0Ql r1Aiw5Jg9Ya2A2UAAai0QDIVPwzdNRYX8hWS1I2bztsrCS3mY8typ0Fctb5jRfxn9XE9zLceZ7Wo AIbpkUZgtiKyE9B4Vrz+z/KAfsarrc4jjLKNZCH38Qwvo9wvPGxZ1PVq1doVZQDaRD3CO2JGahwN k8dbCTb1OuKpoW35oXw1KuPXyaXTvMrOb8LZ+5NGl7NC55e4GlfMsnDwS4X0w6kwj93RVEju49f9 h7fCDXOZ6WhVkS0SFA4K0W9pKWvR74tTcNeaUEdflbjek/W9TzOV4VsLa72Xgh1hRdvDi49F+QnF IGfXmokzW+4qKtuYq51XC4AxHP6QtS39Ptl6pdYyrEixRJKYZ5TMzh3TYcXepnvzLNORl1toMLWd c17poOUdKtjfZQfljGfN4k+XyHoK7KFPDx3hE2owBc8NIxmbbdXe4lAkiFNz4yJXr+2pXFKUbOSw olj79Uu2he3ejraHper9Cx3qYP6klc0ARG6VoER2oqwIR0XwsVpQ4pzkqE2zdtSQ++Fn3yHWtVeG xH+ECq2UALn5QzlfDlwr244FQoYBB7BHd0G8xptfT8eITB7hCXELgJzQqHIApaEXRGJ5aI6yS245 ty13c7lz/57SC4O7VnUiQdUE+yKSKPQxp4T0mUrm9PlFKcRrCzCQccogrk2Hljs7L44h4aFCsy8W gg7tkZjSreSq5/tiP3QLoeJ19MOgY1Xdttkr5atr0rkk+VVLc3wZlTDqk1NfS7rKPG0hQdMSmVM0 bppZ4GD3onplXQtMrL8iteeYDksNwKo/Mgmss8mEJS84hOLAB8Ysp3g8ObCdJCBqc3ceSGigoiJJ VwXNq3NHXDAZjOre7V71yVaddv6TWjiGMVNVFAa3xLwAGMphe+KixpOYT9e3ev6YR56YD1EpykUd ccwg6hms8MsqOlfRsI4XeVc6nZQtCwUp7c7FkBcL4FVguGySEsVyoOzwn0IZxb0JzpO07o8e/B/E yk55aE5/RhRWnO5t5Bk52sUq+DVkw6kYgW1bFU9G6PQZ0bPCQknWIMkc+5wZ62yJtDs8krK9RCm+ EDGxdOTeVmeecDJJErvvyw9FpYOS0giylx2zUGcx1KNAAPOtp2v4pFLFKx4tx3xSZhtzBPC4HK5i fJHkVBOfTPOP/9FyXZzK2pfe4CQOcR5vfMhRLXB4181wccHL+R2yF8lpKcGkPJVtjnluCPQdGa33 6AOzqHWkTyjOVju1057kl2z4FUteW3uhGqZHkAGjT7uk38UnBPCAbnSWziFHoArDsLIUfbHBQotq ULM/pT6eaxWkkQds4UzeEw/WtjlxPpMyPj2L8XnmBg3HGHJxr7COnKnTFj5a2QDfjLgZuSL/9fOM E4kXdfiTtXfF8MB6nSEionvPS2rP7Nci5Kd+ywIUWx1/v5Sud0aLBBurXokUC8Z6XUxYHiLL/q9T riAfB7WaenYZWTZ2Ex+dO0mvICT5T5f04yhUfQzSVnKQ3npV0hnbctUSTh67TYmfCRA5sil1d1KO 4wK4Ceq8tKEY082vw7/WIspZYRy+UDoiwvcLztm8OmwYFNE7mc9On3+KLnsLg5brsHPl6y+6f+MT hZrVyibNWuwgtJB/TS+pxZkaaAoZGXmMISja2wQUlstrMhuSCjQBE1xoL7lfst7apOCL5KxOZJtI SUalA0THEp5MeTRIJNiPwYkQiMLRGSKnlWaDYSXrmXDtLBFunaRXTROSC9P/j11MdZn2jBLppk68 od2g1hWYhfBSOZSKG2lL7Gc1ggfFNSyhp0wDG5VXk58ug/NYc6RuYHQ4cFsZa7Dfll5o5Zfv8BaB UXFvfQW8Mxzx+Vw8LyoVFUst+nkEK3oGQ6KwhL8ak27ZtPtFE3pznT7p6ZadcSuII4hZ66BQD5DI hFJYRQJN/1ss/8pvne3Nt/6O+EwKtibLxy7bWqBLyTiOfJK6MEEnliCtVSId/ji99Cp5g2N1j0K+ vn7hiKsE/wkjFB+XcaEe1UpH3OpJhpXGHyEyz7G6nZ6oOVgDvB0X88RBTO9v3sRjRORfFXV8GUn/ eCjEhM0rsJgDzxzu7q0dV7wDxLDAQKsrPlD1asuwa5uXWxuy1GvnCU+8+lBli622LVlMW+0SpC4u dicNuY6mjb0+E7S1D5xW/gqWHgrABK9FeQzYSpoIhVFhwdQ1jYJ92/4fWA5qv5qVsGET3DwcjZ6S t32KCyJhHmPydOGOTof+xa0wNBGzcE3KfTteIlY+Sf+yBxv1QsREtNb8gnUBtgPd9vSivHMCkew3 jWRXID2TYzYBzzYRxttkMLB+GGnBMKj1D/uwf/Ec2iwHNAy6P5DXwHVkFL1F1fLU/F9pJG/+CVRy aakZEBFoSB+H2eODM6T0QaBQDqOdryKH6Li5tKY2POmH6TI37h7FJFMxJMqQfx6maWL8qYrvrq+e uZUnoGZtahERgTj9ZXqka/D4V2Ggwkfstfx8uN4QY8AYEwBJCCgeE6CCzePMiI/IT3RlCRtH8tgQ wdEJztmcyfzVlEt1Y7Ys8zLyC9s0fIRAjPolhkOlmkIxbsx6tAWhDmwUGoj+bWAqiBcchIxJVJ2t V6N0YaELW1Jpa7cd5XVRtB35c17YES+YP3LIAnMGwEcD5XCAlSGUie3jpek7VUZ95nu57qcXdvMj DX+fwQtQI13iq1TABi2UAYsROYetXNO5vNqjykv5/phynlD4Z9BducnumECDFYPASWrfCC0g1htG mny10kkOXerXLrp10RIp+GOjKBdfMNvDxLQDwL7gpT8K1O93RZMvTuUex66CfFb5lIOhlFusAj+R 0wvuvcShml7ajKObbtGu8ZTd0U/u31x/u7YMsNcj6ZgKMx2irSz6pl9P/ZszPXihZvznBiq8IcWC vpY19tIDycr6z4sLmAA4gUPsXFjLLMH+AuT3/6oy7Z6QvRQW31gKcLEokkdb1gFMi/DO1xHR4q1T qxVv7d1F4pGmFUWrYxOHtofTDeukdC09chg9lLVpY40JFvUzNaXMrYxOEbvMm0L7Zc+f3qNJraPC HnrWsi/jCUZjaGDEL1lczFSrMW5uTnFLctfC+gHGi3Pn3aFF1Ir0WbUI0V7sR53G1nXZYi3jujKm p9wxXJX6ip0tcm3+cw0sVJurdGxPyrLDW8vkoPXiPdRDTBjmL2LVUyNT4STRMSjIPY3bYlIfwLlF MhwyddxS3vQCH/lMw/eVa0yCZasJwLQn3NfvmFOpuPBhN/o6OhvCZVOEd2r/zoJAA289+l3GP2N3 pS8QQyDWTF95YQNLnftyOHwTIw1Gbk+KVlyjR23YD2J096ljAQ6slzTTDFHZzbBXbQP9mamVVkgq 5dZWhVnSgoJAxU1ROXVTkxQbs8SMgd0xUR06URSXe8LVt8UvzsZ9v56KcWyhqVHYdMzhB9ye3Lfh FrKViucafo+fWEY+5jLgmuodhYkqUIjuUWPXfNijzDk3Ls+17Ekv7TfhVwOPs9x/lVftmEzMh5Vm 8tyhpDR4AK+aKD9Xk+h5R6zBvqQw35og8ZG1NLsq3KjKAkLQN6q2osJ4uE3VekO2svkAX2NuDHbM JrO/wdOaoaQMZC20naAGDrhD9k+djNnaJoqXdvqYRNPfY89Q2SB3sxRa1jnBN37s2Aolhwfkvyd+ rb8C1t+4pvHgnb5jwjFX4ATh93isJd0jIDjAP8oRDprJm79TVynsczrekQzPhvHymeu2VgmmQSOO NtYwjqXZn/JlLzMYOzSGQtibN3vxmLWpV5TzpKjMrd+67ckFONBHpCixGfqPfzp69egP6R3mM6Qh hJKi5uf4hCU3E+3pB3+Hg3LR7PvaSLN/vpDhfTNE+J0BwhVGgGbUCzYr5/X4tjZofqjObvm57f37 lRiFEh7c696XX1K6yDygrGGUSi2Xjm/UoEeNdWWAX8g5S3DFL0dS80iGZZWydtRTfSnFa/sxuNoo 7WtBVTAuRIsKY39SMyeh5onZRq7BVe3KzAjB0+hIgtESJVFSiJQEmmgiN44UCvVubi4K6+70bjYs AWr1GkpiOiRKk/E+ACcu3jyaSp+KYc5KSD9F4BG3rEsSEGnAZjZKBnMHy70ZD+0ab3Ze5M0tiBYv BVpcJYS9XHSkfWaqFptF3dXSxK60uTepquznqwOD5GHyyXegvAOiXDj2KUsTerORQCIR/TUUEBqc lW5csGIAr2nOm2vourLF2VYD7h0Xy2pWcHMDO5QfAvKSOo1tk5RtloALbIR81J+B5fiDaUyWecg4 z3kN/8VrvZMs0i5AGSJG+8yt7Tzm9hBKGWr6n1CSBMSzXJqUtAsdMGaPGfWm422FhSvmJv5VJQ4X NbfhPSP5OHQ7sCv5oy48sriNMY4+UI7NfMrg433TSSV4cehw2ddKW+yIgJwFDNFwqQ3DI2q7bKBY RttQeMFG/ERdayOP0LluijkrdtEgGRdMQRxhtv615FZdkXL1WtBeTV6M8UnxIf61ILbcbWgENJaE wJ/vl4cjzQYYbhh8gBQ7GR3lXbhBJW9OdSS5+T1hQcM5vu4fW1Id8+MnOHYrnCMEu5ImGNNuXjO9 BFdQyy2Ud7s6SCV7Dh2Kmy2OLygx9ig5kaQIMK4b0eQiq2VZqTLmDY1Ae9suqlsp116bfxRAVc+u 2kp2cokD8ORW+m+frnH5wmF3+4OOa4GaIWJMAAFwVKs/NQYSOApq+58kjOQEUmpKubEtFp16g5R4 H9hzeachVNtR0dswpKFNVHNEVKju4Jz4HB7+nTvwNot9Mlr8DA80/n1KBj9xe0S1o1g9heX8ShEv x9e6eDAPKGJMqazAN5Tx6kL8pC47fILSTbRAWE471hTi65BuPEKzvrv0EIbG5g9M5iDtXDiAWqSP lP/Q2BziimJvx/fpNWaLecg2r4QESEFRMtLASQEW5ulD7wU3G3kFL9DJYGuZ71qFBbZ/oLIITPjx jpK9V8lRG7zDUhS6QA7H+3cDs9jVbDUWDf0lMkgHgvFWq5/ycRcoe0AKX3vUTt/AyNq47XvP025M ZhUgvS7Ei3aVJYL4Jh6eYKa1xhKxkLRUPR7MKoKbh20qSUOmLv+wSoJMRx+M+JOSRqVVqoGA6kQu RENMs/LIQSCY8cZoocDyt0diWzNDuE6cHh5El4ofMPypEfjltERj8srrh0idxzt3gJMTBa01/Lg/ KSHmiq4o+S0DSxaGW0HkgaFuIUyRqEty3iOoBoTkg6YvVlWj2gfQyEROS68RrArEsc/vjQrZbXCw JkPIPrkZgcvKckvJzbv/GP9TCgnfhMEl2tdjtLrQ+4fAK2OoWRMwvGPGzPE3/mR8kZiFbqM13ZJd PvGz+6+CN3RJa+tfUFUOagXs+J6RON3/KdAr3b3CLXq/mjHQi20GIwKu2iYYB5YxXkXjRuhZ4/Ik fmiUaYo6OCrOQ1FJuKLVbNUQIn4bCyfl7QDKWrAJSut1qsfu+0dhnStPZZE3U7Lia9gRe2yQX9UY g+09o71Gr+OQ7VHYlC0ON9hilj8X+D6XU9oxp09n4vF0qSGhzLAsA3XNxMOXZA+KVmKUNbDK2bez Zx9B2VczLulR6QPbDILeyjteN5RN57kjZSSiJqe1UZ9w1hS1KAp8/072UUA/jD6PypNm4/0/Vfav r/tbW/bRjhEYs6OILtGCCPzHchG9aV8S/7H1LVKEeMNmL5pg4i3ZiGR0gOOmdWNyjJ5HfZeXFpZu Ia8vu9nHGEfBgy5eOMoLT3RUMVDkdmDO8n8SUwJTKK+etT3OxRRQqIUsc9tpJrgTmUHhd192d/Ic inXz5EweEd+W7Iohh0Wg0ficywlvPKOderQ9IRunL5E0+n3UY1/2eeHC6SsUq5zjxn6lcUKUUxKe y/0eUpQcYATrLHQLEDIaipyH+ONQhJ1fzTjl82IlouWcEtYaHXiXLnazBl9iRUQ6P/0Z9YnVIREw lTXlJLmfS80V077d7lab/wJI8OVV397HJGTN9SUxvSPB5pvfMhHRQVw7H78FVNjE/s5k1eqOsivT fz6dIqnOB7KFY1rxzTszGzE4Cs+wfUzT1PwMEHy2b9Bo9ZUfTmgYEqpB04GZ0Pv0D4/AoU7yu7S8 4a2S2dwdIkxFNgM/3OzUawjnY3EoEfCPLiaKVm9cNoeosleCPilPvMAra9JuRabjjYMV3XgpOdiG LuC9NtF/rM6sebcWxa9V+9R1I0TCddwVKuCo3mePOYw5C9ed0LECL4xO26mIE84EKcfmz4heIhAY VzvYXC47fKUKU4CYbZkW3a2OhDkVwR9ld/MOHMXPOG+UfnEs+azTSHygie/+VPSuvjINYHKHVdoX r+mvsDvGPTvPTIFhfIdhXqVqY8/S3nGRkalT5fGMwOOibNe/JUBRHsBklF2gxL+rgxAwbJl8ojnl 8yIXF5WhOASOCEbi14y7by6r0a/20wVoYVOolFknmGWAdo4+UbcSXAkxF+Q0UJv0qHjTYfStdYq0 OwmAfVkFKIFH/ryjFlRMWFG5ekPn/yG6St4Z9rqM19jPk5F4Z3e+Pb7GunmFLRXlbFs+7GwazAJ3 hU8dl633AQMvSjaAXFuTtOuIIytG7oqB5HoRJYE2/fIlWuX1FkZK3Ivh8UUTw+3mi+FXC6LnP/x5 6TpkPnh88UC+jVZofBob+YK0cllOBPSsKSHVoIfOYJI9oqByqjhwEptZwscdUoSuaiGKZWkXU6zI xZSpjq9AoyTblAqka4xGvG4R1y49JnE/rsp13DczA08hWEWaImMZokzmgsc3NRLppLqqXDVNbhdy R9cSbm7dyc2vUdkKalC/krM6avWUE2FRnelaEqJdlWRYvOzmAAMb2M1MYt489Zg8sOsYoSkAN6Yg knFAZRCrw7Erg3ABcT50VguhsCIVpkru47eEzIxTLcOEvkdIsa6C/8d62VV6Sdyu06CrkaZrcZTN 9nVqLhP/NP83Xw3W6Lt4FI+mWyHopCvTXgQ3uVi4FRKiTTBoUJvpSJRb9bWbgLorv/aqQcZvXLH3 XP0McbErsJ3VznPcKyQwGi0Y7RpdB/rJ+ph6G9phTEdFprADimhG+cPx2t0Y+myiugxvQ7AmEsla hMO347MuyWIRC2yiMvkcI7A11X5UE+Qc2V4/3eaqcNzNvXX+h9R7y+vJHv9hfp1TEMsugNZDdg47 dlrpAYzD0ybU+8wk7XblhIbEM2hJ65EguDJ5g9pXYv0gKelAxHzH88ckpUZC8aU17KOMhnG4vcKB GjotkMCnfGJh1CZq0kycCAnjPlFEt5x1Wlg/c9E+Jqah8nJMkEx8FVKxglR8GZwDifeKrNkhGt3m m4LEegweapzQWzV/zm5HxHwU4gPUfUq1ZVOx4P7ZuFdqDZ42WglWKnvinYbbnIRB/CMpsrtULhdj W+ZOZRMFPLuCru0omwsUvjzCb0TFH3+D3vQn1vKsstXKun4GJ/Ok1qi7rCtIGpN51DtNQuTL8+Ys Dl9V9DMXdPu0/pdQKLIlynmFty+KT7O3dQoXHT+qQVRhhAN8kMhRDtwJIBqenekGvAeSu5rNfbr1 K4hkt+jH7VdSH19UZdqaLvrrh9yQUpb5qkvbdgpMFH5PzJ2SZrin69k7/c5mTMIwN+WBkAEgdf1Q J8M4exrMwNX90iXB0KLYIwVCSSJd7RBpXzoTO3Y/KDhutXewKoSzLWQYOeQ88lLdllQaxn1cVqk7 A1lgsFvobJ6PHaCtlP9dNYmlsUCbqI4T0S9iXnGtwmLaoqtqQxqe+wGMc4GcadOdxvBLkR4u5tXS Umr91K+CpBZL4xa/f/K/ql+o0rA1uN3Rnh8Tn1PSYdSCNGPsSz4KCHKXtW/EtoSJ706iRoqbcn9M w+TG4+iE2apcsSeLHpqHtYfHfFzVT0nMAbWTLDVdeH6+xBQCCRNeo6hcIopd7plLrZATpHLyHEYR GEC1SU4Nr1xMM7zRtLstafz50j7+jhOptgHHuDWMEDjRsxroUbK01Onq4ijs3mcR/oEtIZb0UOMz h5vCyJ3ZMtk8iPv07wiR6Za+KxJx2+5nb6a1WcJqORq13qnaAdsAY67MwGylvsO4zyTkcwKXEVWT m7MRIsOMeFLdSPsdCTLej0+olv5F+dVH/TP+Ib1Uda2QTJC5lhT6t/AZgoxlvEgkbs8U0AW7x9Ql 2caMtinmgxmQXnR+lZIW8I6hy68J0KwU2JsUdIIGyDI09c9j+tYn+JjiDC6Mft9ibuNQ/SYVqxv5 /8uSJFlTHsUA0s1kl0VNNFcVqTGk12EjHfhvTirvcbud3ayTMOYOyvt4Ped/UFeZS1bSYa65LY3P QM8xpA8HvcquyERi+4THAMyGppFmJtMKt/RgvWzre0eURmB44WGvC5afkJQBZOS/tDtEbLTPevek sI2kg+kyg+SM0nBtArF++rqf1m72ma9PKOzO5RNlO+V92QpyXQLMB8hQF1TR55NtGrE5wImaxZuJ 2dBIwjEMJyIGDh6Udrr39uFc2PadagA2ABhYbpiZFz7QXsZsKrxGAo2xmvXKUVq+jPf0Nwto+nO0 sQIargKu5ElWNOd64EkwChT146belE1mO6Pbf7rtKmZqF43T+0n9rtPKdSYa00kZWBW5Jlc5KPC8 X8RZHlASFMdizYkjX5QTLgNGYmFdlazxaW2J6zEckpvCX7OxbF+7GO82PFNKPyQHggLwcS3O6r0N ByksniPtMryy2zibOaks1xZRNnq1zN++T0iMgDwfgkVqGKSRGOVGacWwZ/SffVn8Dtyv+hehtX9G d/03qzvBJBWMtJ5sH+wKo7wE+oWF9Opm0dRxgMDG8iNhYAWOtxKyMBGSLG66Ya+EqG+WDDX6M4Jm 24O8r31QYYjSivKDHtskSHso9J/61+BYW/dMfBkOTpxPZaJCGuS3GZaPHKdQ8bSXc2PKbVuf6Kpl 5M53YVnahxKPjjbqqQHck4ds2WZSvZo/IiCd/euqu6eG8kpoP8r/pWPeBAXuhVZiv8iQa5qhDHjm PPSUOs35BXvUZQNVbY8XRpSzYS/EUdt/C6DpRZmhdMwk/Ep2pwn87yqV34vEIdwn0HcCflGvtGix +bY6Yv96M/r15oKkxNuHYu2EQX6Yl98IXUXX7AFEHX1iA7YWhGLEgpDn+FtqllK/3lr//XeltUTk gi3TAKvTJ0mRP5doKxpQZnvuMrjS/yPxbSBZ08QRipjAPeW+weYG9tGEHYiHWbsTz5EOm2yqknYB 4oQAsZYEGZT7WyS0oEX5li2YTVycaPEQx4Tc8ztRa3hx2imebncubvcdDlmCVBpNxyhXnnH6RuIp 9zGRBcATBskna9GazS3SUsde6ceEB7t/ZlpCa1dej40paZ10D6GDdPeyp8LKTg4xh00g9sZSEstf GDs/QekGrFwok/SAJCOW7wCHrKpIB0EuFGCrLCsCNYnes6aS9fr5jPh1ZTbCHKvsXc9fOO/Gn3aw b8mn4U0DOOmVPQCCd4+7mTjZ6phlzvH0pzLP/OfRKsGfkEzKOFjah+JtqmKRYOY58vvEtqkzuwHP FP92dLgT6u61bA/Oj2QuPvz4eqQkWS5f01+/Eo3oQg28yeUonVHG2naiIyxbF8+Akb4Hfw1t6rzS jHWCchMl0iGI7cqTYW3j4/JUPVwDFVeFT2kPyFHN2wSjh+V6xTv3qYbGavW2rdVRqUkVmztyAQuq ihAbvPw+8PT/Q5u7qVancEnZdZMhMM/0BXGyBUROux4ngie0HWiHAXASkBIeh0rgRi5qTLk92k+7 1327brhBb3DG1M+QrG/dT1wCj9pwPVrbFNADrtBDH5CX81DPpZ2GsRTIWQWgL6gTZDFDDeUs4pmu f/A3u9q9Tl09zqrq5wjh4DZ4btw1WVqPyEy7pZJDen7yUmhpj3143IOBEHzjuKajwJLJsVeSM/3p ns75si30nbPRwv0rwoAVorscKlkebhuOfeHxHZ8zMvWYRESn++kEptbvDoWtKZtItMRqx3gnbkcO sqfpkNXPUq4i9KwC6S6onfQUUTXRm4Qp9j0cgSOstjyXWYjnML/rOzL/LDhk2qtA+ZHo3rZViiMG +4eUj/SvlZ5q2xofEK3T+8znSYNS/MrDbXEepUjXCZT+FqnBJWUIiU0nXcPb3NpkQX+tkqVL3S2F 7uI9EFYnARJUH9s0MGKBB2MQM+jWaIbRWP5TtnxCtWjWfHgdU0vvllxViYX6/nyZ4j1GJbF7kFoP mE97G7+okUkbHN4B5qxjeZ/S5OjSfpXTzdqT9paCDb8oFiQiuXCHKWzEOFbANUH8u/gH2grMokkr 1vGJezAd3zIWAKQW2tF+z9E3TOVhNglLO4g7hM6kUBHgrXt4erLNN8bXLq2a15XSIWuboQVePuKa rn1toEpvERHiuP2Ytzwn2FWEtKH0sW6/TiGYzGKhouAWcm3rm3iGEahoLPwANF6VU1WdMkKxx3Rt 9W5DIrf9eA4Nxz0hMDB2HjZunUPsHQjvzllSwGFRAVRuTfjMBDta8u6Jl6zAHUgDA/ivq0xS+9ga oGVwTTXloBExAoaBAQi2uciEROthhyrm7fjEOlB2m8YdowYUPMzNcMc2aKNZ4aLIRq/EYGJ+nfhI Sv+b6tYCuSyEFaSIxJIieBBnQCmhZyLltH90B3GFnlcJ0fc5RdKpdUNhzpdYG8Bzwunc00YgvcoM s6nRtmWZ+eUjVP0zFIp2AtXJ0a+9s/uqyUHxViHDGmS+YrcjKsA1w01UeMOaMCAGPhj2IRLs9QRN EstUduDUVGxZaHYtylK93mkQlVVdtdQp24Ozy+79/vNpkMgmF0bbI0ef0ZK3rDhnXXEegVVPxq1T f3kH7AjVeXPDxr+c7UZj76D/92K0yBI5IlpRzzGU14rzsGZUEcETDlBi05uQfp67vsG2pbz+jpBN 6rNiZQqsBUW0lUt1sz4M7bBXLTgi/dtsMmLfdS3M+0B7Fadoo4X3WGIiQkXfqPDvQhI1YTrkKmoU nEo2AfGlkvOqSrj8SkCv0WQLB//IuHrlg4hJABIVbpe+FM4LxTosOxNcDgxzGiBdM9Cerj3zNjTk q4H4I3EYACn5/vNsyZuqXw/MmrNfQLoITartAwbl5kRCxohnaDfA9+uhHKIDJHi7v1FvMR3PnmWl t4JxYR7r9xRdnZaofWHm9/mn6KdkZ8H0aI+wsG7BGsVITgvG4w2QH4KCZ1JWKfna6voqJ7M0oImw Ji5vRjm2mig1K3WZPoJpGpgBrbHTi8bRMAyDNQ5NI+p9th3reBsA5TTm2XKm4R/DvPlOQ77ovDuf 5H/a5PfHWEFX8bMpD7+rqscA3vguAg7tpRcYkCcyECJjtXmDbgyUQL5ySbit+udsMhwURcofK/GC Sb/UH/Kth95sv/zG6IBvaZqcMLFxTYbFFlloPwDRZqLMXtcr+Mgrj/qW/2VcB2Ljiyim6q+f/3Xf Z8SdBoMnS0yszRxKk78+CGqO3i4n9m+yJwsJCt1Us4tjHBpv5A65A5eGjLkMcBcTxQNKQ5RhplV9 4DRo6zUh3vi0aJIUqIgG2soeTfsa6Fg1ictBVcRTxTYqSp7bj5m0jIxlojYv/G8CkoVaN+FH4Dnb eEpGgOKxf8baLDadg+CreZKT877T87HNSwJOpWmPs+ELFkq18UNoa8ihh5GBpmK9pffr4u10xpXp mR8N4FtdS0sQzDvL4+5wrMbtAgamsWAxy9RR0MKG/pgj3EJfDK1QNY/7alC3M7X9YRGUnDfXAneg v1cpe/0RfyBQdBD953TS9mp1tKbuARq6J8PVLLC+/9zQbEJe+I2Cb/RYH4pSXN++Z1EoApxGg1fv TOtCfMH+dmvNxfVR2Q48lqyqWvCXCijJFJKEgzmhy25QKCLBmOoV2ZjN+22TtPp6rQpJavck1nM1 xcuybOkQeWBc70ChxbWwNTDXqVIXdZOfbwzY2azp8Mqvbl4HWfYXjMQDhYRa3OV8RHrxRlKJ78vU WFGnTQ+/6e3Nys+4/9R3r6Xr0rdG4Gi0HIbYxPBmJJEUpatKL0U//CScgWg911oeLjG65/r1yhif jti2/IOnaEyRBHvmv6el6m04riz1NXara+WyZL+BzfK1/w2CB0LUZ6TstIz7vZYcuJi6m4/0+QJf rw/zv5Q3YqN5Mc/Zxndagg32jx5awch5sXB+0IDBbYnXtyVg6bcD5phfkrNJ0xZyiN9dt2Itlc27 CEeYowk4Zuuy+CZBhWZhR8rJy5Ly9QxDE0ci5/5MKzUlp85f1oVDbw61k3GBwYaoJUU21iNoC5ul 4A+G8iAbuSPYH+qgE6E6jL/Im9TVROCix9lWdAGrNU2rpicfmexbOdPw2K4qOmTIN+DpkPFL8Mkq 4Fx8iozQT/Fus3CNMfslnhSbD5/eydHRLKTJCwkrVr5p/v9jwkXPXI6i9rk/CkmrimGLtVG6ph/7 6mlPkIBzx4lAxE/fSSYHeBmlk/4YWxo8m0eDfhLgI4ELOtWKqtht2gOdL3kwGlP81PDN4aSsHCTz sy1WNLk4vBTs1B8Djx+LdnwUaKfN4VCadKG3ci4mdCyIPIWwFNurdDF/oHRVBhEiNTWZzEjbR3WP H6fAU2kXkK5ODAZlLtvPwzbPtzKzwXYtlUve9MbFG5MZihvg/1W6YWsH+OMJiYLT/6PjtSX1djuV ME3i2MU3UVRgsntWaf9JOvMhBLOocFZQq8YaUtFXtByjz9jnlzQI1u5Vpie0u8PiY2OVAR44zylI TxI4Ek7Z5fIC4SgJVMV9JwjCfaXL7hMhwSUlGQvvuvTP5wbmzzGM1awfp1M2S7SCIKXlMhvZPFYk 6l6BJcHGDPXpJi3F2QP0MKPEOXB0flE0ilvSwl7vdnVb6GJN3QinfvG+K27C+AdGkCU2WUG0CF9R XTL9D4LOA5X/jqe6BmyhTPMeRHfcuh8pTF/wX9e3KhzbeKBHvBVkLvWTyPKfUjBUHrDc2a/6NeIk pNDQb2OUkxmhUHq5cSgDhWWsrcrNQiVnOjLMzZLOCiYgh3KwwSgWjIswVF8FMnX+BPCfwjx1K8qi iO7RkhxzLWOCqXA4dkwt63Zy+6XcWDvvz7vzapcKgVaxuGpFCCAgsGhjcTN/47l/c7ELetErUc3m tLL7FCAPHUg1qvmqfK5kizM7RTqTsmbsSL+S6oJDtA7ZntFRPbxZUVboq+UEr+21wdY9cMjnph8Y T9v4Vszlw2twhwlxE5LT35THVRgK28O/LudIcuCWDKPBCku+WsNZG/BZgU9M0Oc4tZOX8+RGeVmK hBOFpyqq+68VGKKsCCM49rRZ3FcMHSjz3Yuij+EDbkLxqlzkS+pEMLZXaLgJh678N5QSa3GxsCBw d9nirPy29C9Anu/zaTJi34T7SEvFZyXTwlQR3aNLVHwwu8qtKuxXN62S15dFaeREXtkmA4LXs7DP rPBSQdMYldxS3o9t3Rj1MzOnomQG8M0bdrwYxWMenIvY4u9ZJSvPhlTF1+JgxJsQPxVweRZBQxqD ky6R7TYpIQMPNbOcSHb8SY9wIjxWa7U8sP5crO3Ctbq+v+fdgq79glyF/xGBkQbqFJ/nd4qJ0kR4 JozCALJmFItim3zW1AWWtgnZUMETKboduMjH0Us6/9DuJ15l8nKXDhlpZva6wLBeTXsVSfvUVAzI GAt4XlgJ2VD1/Ybx7e3E4HC4nB9nmoMLB11fKSYMyj1rAYSMWNyB3iBuTGQzgcqXrYCXw7hp3d6L TvKyWycuj9xh3j5XMi0KozkUehFdrh8YMtFj78/hK6kvR0tNWYELGjIjTT82Rs4WTebEg40w7hIR rw0f14NPF/0f6PHjwzF/xtjA70IsyJxQo2nTZgBj9gEWHYiBQl4XhLZWbUo65U2IIfdcgKAChNWK zztRjJWwOjtfohDy+l/lmGP5rjhABjIJDg+TR5ECGN6p20tJRU86u/rBSTp8kPFScsdFxpgrL6Jw j1F0j8Ay53zwuIJv3mxprKduq8YaWfsHxUG1Up5BYxvuXMupafWyI6t/+H1VEsRGrd5+msribTzZ tUdBwaHAyg4io8OCh+A1fxcbTT/awg7CfeJx2k1a9Jgc70vcEa4foL1EH4SblYsr/cYdznWY4YTH PSsfXEEjJesPqLwt81axXEdIkX6X+Zkr0aHl5/JAr0RLbsEn2CJtAkvW/d/HNmPyGhz+/0fXFw4h 2re92lE8h1LwN1P6xqbppOzWm/t+NPjeaL5WyHfJr1lY8OpLbp6Uta00bI0MTJprD+Bdt6yq1x2I lGbwX4lB0YDQE+liWQSg/njdR+7gA+3cac6U2W6CCOBmQEsqYDUJdTqHqcXat5C0Eimf0AsjKu0b c3amNgwmPpPJ+6KFKIO2xbguZAdmb2dyXjU2TOCx5ylWarcnUQso4C1gaBsQ7ZSLiMgNeqqPygFy qQdP80Z3+y5471xP1z9+elK3bRDFlGijulSUDFyTt41Dkz4/GcuX5yrZ0rdeYsfscBQMmJzCPTNI E4bkGNk/QkbacJnHcWDrHLOOcHW/ABOEPo8XXKDIvGG3di7MlODHNUZH/hRtQx75I6cfUyaKKBxj Bob/Vid0+FvGq+UOxKHI/vJAHSg8QGSpU/CfSGaCxAJD4P9wdpalVHgK6L50iSqQMCcLAi90kTn9 IFb1EdQbCq5q94ifKfwRdV9dNAVxTTiiX1grhl5s363CIgLT8V6Y6keFQbHzng1CAG6sIk4gC6Cs trPk7E39iYeHB/wie3WGrilF6sN6oG2lzpIyHsUjUlW6Zl7k+hL3RwQuMmmSvKEkO3ZObt8RfDWR KIWR4ClQiVgC2zyxvTSUoHmXaD55O5IZkv4DzZqC2XY7npzFHRtWbG/dEHyCS3jucIbmX8CL4eqF a3FdSEkL54ASThqzf3degavhLNOxa0g+4BJuj67tCZWjRgyrOCIew/wm13DMVpFcsGq632MVhH2l MJPvrrPz7ku9shxRApvtx+V1AbKQXIR/5+eg8ictS0OoTgDxJu3K0BmmK2eLZmuM8dB8MNWi9lfW ofD1PFZJbB51/q3fHUR2uODA7C2UML37ujJWGkUIr34exx7mV4wYmtpKf69qcSr4nRQloNP/c8gB g2Pbna6SeDnvgRaDRolxeJ8qY4u1Uy2FTGA1bkBnWr8uEL1wg8orKsvR8on/e9rktVtKz3bLp2RY we30bkrV2noGAkBy4KNarmUujDyBBiSVFAqvSoJS6eb3DHy/K1cn/eEmShvrhMYhOLgQHSIX9789 6h8Gnilv8FLgo2qjj54s0hOrxki+TTpXyUbSw50r7u7VFL+x2TsIKoUlgyEw9DpSkO8pX4mHfFYc UmYerjMxDpB9lrr0ESAoiS0mvCxuu+fQuI9qHoSfg0JI6+vrora/1AaVSV7NCgsAI4H9Ui8YH4ED /Gmgt5F9oHOdqdS+xgkT3InOvkSxlkknCC1PMvn6o7puxPASjLwAj3lpT5j6DPj6FUtOPsTKoGAB rxhsRvhyx1YVwsWLKc61ikr2uBu83oSN5kAT8PHBojX2LG3uwac8+iItMX0z5KEyE/bHzfDp86OH kXSuKXLYm8epsEJNABQBIaJ0ZARnq62iiPF1g5WacBzSXJLrCFwQa5xgEzMwGf5ZX4jpmgsB+ARR VvqQWVxCwLowE1GQ+vEl4qx1DEJ1/R0+mLPyVzy+5s3ntRTIkoyJXfCZ5yd+jrQwEZdcicU456pI YBYyoFsclsnajxiyAohpDNVrTBndQg/P0kMkT/dw7gmdIzTcf1WkGz0bMo0FgqphlNd8tbJfQbrk EgvoIcx1Ze6sYFCRrijMwnOIUXpQmzH5rgu/jN04Q+QNyXKesmWS71FUFnfZ8cwPmd2RKNKpiTWO UoEmwb/+PKY9ZDeSTjtmmeIKcm2q6hfeM6OaBPRlLdxZmz75Y/fxsl/hvX1ieIF0vgA1eRvTmUuY oNgLJwjhEWw3/RIiREvTkV18ifKVpjWwaPjS7Yo2ExfNKSwxSJM4zNZVM/CVrmE96q6fJJXx5Abh 7baZ1wweV+GEdG0DdFnynYx4nrJIkiHQpSZZwOp4zBBEr+k7xsYkkx3rBSlj8a2OPHgu246cXEEh mg4czd7CQMjZaVQFnmYh7aIt17r7mtryA7po6W8xrwf6U+nXARbryFQIpj58UStx1URspZ27zGmI 682PJRAAh73QMZYZE3tWVkYGBBraN9fDrirjo47IptJE6osUnbj/wuZVmCuOlUUkCREIlEefLiNv YIFV3FgQKzP+PKoJdUF7Lva1A3EJEli2rP4UqIfuSe13dEkRdKp+7Ra/ZnBJaShiLtP+2BqF+yX5 +L+buFqCWsuQFEwhKMShOdvkb9YqJ3mPPY47AR/FKTkLDrBS78ptzklvMhWP9Aptn/aNHYZngQHD eKGu7l1PWSK5FoQa1yBVgWey9A/U4EtOQSTLNOSxchEknycDSr65it6d2qLt5mZPG6c45OVO448a D8JREzx0MnhW6RSRvZjH0889A/+DAnmL2WMwAowvvfmQGnbdx1CDFDV8ViXoUYYWGId3RXpVxa9X 2C//3yKmay6iCLFmYM1gkbuxRX38RVHqm6gLL4RjSzAnuEYvzRtYTUcFS0M0vNyTcn1KRkF6bsG1 HvDqyl0CpzWKXEzZP0pQcXRz2F2eoIAoNWQJLOWPez64UFAaZs9lB5ka/98SLRdbjUMELIo9qJ9f 6nwWFGmnNqDw5B2qodBmlSML0vYvj8/vwTPAdH+KtWyFjMjcM8lZz5fW+8Fv+xTWIc5hGoz36T13 ml9/gDxhtcQtZOZE7QDfyCs9S7923v7Rp9xFtwgQET2NH1eq+XhRY7jOHIWrk58lCkdPCllq61po DUhNqXdEWMq7hsjWOEowvTOdI047nXBfQKj4/UjTDI3CQdJ6DWqW+ftmUO5ppbehteFUwy2YwtIf YDZC9rEheexpuMcjKfxnp0ia53ffSJlh9EztYqCTTPZQM32UdIxG2xNvYb9Zd9ez+eilWZXH6ibO eVODIHmc3F8/e4Y1j3A5H6r3xnD9xAu4EEHuOtfft7IpLgamFvDogfYowF+lOakVqFeBF4xzHCCR UdBxpKwW8WKCZvAucLipdC/xaQDni7B93Z7ReWmPD6xxywEPZBHiRc8KBezdPct5+H9DNeOAclqv I3kl8mYvk9TF3ZldeVL+yOOu5ssMPN13IP1rMaA3jdVjEEt7kqnDwkbMv3ElD3bsgOYqrEd6G/uK aV0wbBixC+AM5XnRxzyyeK7ZDhRRTwVpah5nFk5pW+Sa2L8OoxHDqbcLJ46NEmuInwqoxu9Ydrr7 DXR2ESdBgX5yQwTOe7ItdUdIOYqX7eZADLKPlZdlPe9t5+MFxPycqS/0JV3BYLBX6/N8uP9uNeFg UfwZ14J7ME+M+6JKI0cZ5xStbzl/dpzdjk9IvLVg6q3XRC35Dz5A2x2CwisAKVVqIq+cQMRDASz3 9monVLAkxD3IX03acZuF0UCRIBfkSw5dS4fel6ItfyMjlUe+Vp174L90hQMgqxOrsmOMSS8HU+dW Sg1/Z5HQIrRU5EbIJSyRyvUfZWpnami+Y3XcJxi+BtX4gvHiEmBiBKfhJVBCAbE3dU61Gdht8hfF Dry6Q4TcBN17g4Z0n+GM/xzpIgJDViLXXSoBSUlEuOyYNBtw0zUUtwAoSVp6NY5d7SiXbTJz4Vy7 1/HbA7WC+vsK460BgBI+5WBad/w1vvAcCzpJ1yyA7sm4k0rLCVizwTA/V7dSogyJyoE6vZYmoiWg s+M9CbrOnioHMrX+vMvE5Aqc8Kk1xrrmY0+q7vpkPQrlbuz2ZsHokgBPQ0LPXRHdwX6g06ekpxan 0N2E5A/TviDHFZFQO3RmnP3W9S6Sl9hLKWe738Nw+zbWocIgdkM8pVmcWGjv2pKG8QPQVJ096Tju NwhP0iSbFDr6iGkkoIOyVC57wi+Ft7EFy2DMiVtlZt0InXNS6D2hIAg8J+5oGm4WDwMJLj6ghU5t M6uvy7h93Rbhx24OQF8wgdzi+ZC1OEd6At7J9EjoDkiX54bzYypmCTl/6X6f7o9Lvya9Dep09GaP 97ymtfVcgDSFE2Ras0NSaZ+ENvKLBjb6PSUGkTOWKqQJ2O/96AMImt1iPxKe1acqPjUGdP7vlu+i +uNa26LySeXYR7WR+Bxq+b5WM+r2ae6hMaEtSjSHPG3+mDoPJghH/55imYhxqc3OF08BObK5uA5V CbRm4fln66aZMuPN3LYWsLbJ3E6i7xTTs7VqE3wc3ofumzruk1nZPntYumykxM5m8jL1jDdDlcz/ s76yVuNSdzsBd8fyftr5z5pWOjzWx3hc69yIDCc08u/n6sXccNr9l6Uh5T8SIsDkKcNYrT4gbPHM JTQ2Jle1ris4/kv9lCfxCvk8LPfiJSYuNej6wdRBncDpryxUc1lIA/H8epi4/9K+3nIwIr6gd4Lj JHU0WfeDkgk2Ptc3JBUos+LcFA3rq5aVhI7Ifl7u5rVU0gHq+mCEygFTF1Np2twt/DWsFn3/N13i 5bVfvJYsasWkrPCw644L+yatxe8bohMt6oXdEaOnCHGYLE5OTR5rtxE7cOF87bpaLafS5JmMdpS/ GfnZUbOCgMYDXZcC8VnMAaL3iMd6pkL1XC700mh4iCWi67qhOGSQHlkulGUIoehbcPLl9w7RPmL2 Y7uWswaB5BDcwhxNQOOr87aoHUWS5nDr/ZWmpWjdGJ/348e0VgSh/wFCaS4cs2w39h+tfqXcRZXc cHNSVvJ1pv3MmQfXtos7s7kmG/TUR+ReuNvokrGiF3vyR0YMPq9/lqnSXKv5m5uwJuaG2qmSsRwP F47J0zLiBqXkXp9+OltiYFCOaNzQJzXvfjO5Gl+0RIIR2kgXaG1inzpAkKLpJzgwwbRYlywIrh1r LfVC0zu4UhqeQWET6oncv691vJ31IKeppFA8Hw30veDuYqS8NmFzYK5DGvVxdqCydkRcUdo39RT/ W3Bg+1zWA3abYntynyiEruVRGLsyx5hl0rrGrdSZC+C771tjztdm8S2mj2y8WHXGZUtSf0nsquUt U7/QqcG6xwnpUnABt/HjbJHRyrcTG9mxJ/T5hi6Y+pYjdawN0tnc+RYS8HoOPNAt4W+3cZWEvhq0 cIIyIZWpCYGqkPV5Vu5uSmKooK/sxkmSkLuCYcH8PGG8Ly0n9MWu122yhTjeV2fJ93k8JQrvBWsn 6lRs/bcy7if2bT4ABEe8GV3Qsjk0DaAX1TkV0zgZ/g5iGUXntuCLWwdbgm723FJrGq1f5GrZKY8O lPG8QyolrkrLaDSjffV5qw5HD0KesJoTcrY5VNMe9TdM9ZiWeGuI84j7vHpWXF6WQroi2a1AnDjA Jg0GpOS34UTCfI2j4JZpNOZI+H7bPaAiCBMBuslCID624WbFIi38EWVszmFtzL4mPKbPmSLzhMU6 Kl/VCRkXIWhozlTolMuBST6knlvYL0fOyosICNLpwV7CNr2MYBDyf9xePJpptWzFeeSietG4oAr2 zLXr9gjezYi5oey6YP60P7ZKbjf09HmfqMoDzyKidoBDNPehjrE4OX+c3Pma60jXtrKzDG4QCPwL 2cOe4aLvpjMcGzMgjfQtL+lfWPfRVY+P7t+gfZL7n4Yw5UX+AE8wyaswFRYz5uxL3q5PhWeWL7xj eQAM2d7UX9AI9erJFqfjaBnN4NfQOYKWjXnG2y7MlWH0axTqMvtW09EO5L0nXXUQ+k1QA+NC/yjm 1isTN/pVF/swxx+XUr9KCcuCq02clo/nc+fsmvtoanoJ5i127O5wHncMbgQzbIDWdccr7Sz6aubb qLw0ia4qXVy5N9rcXU9boFpA2vBGZNiP4sqdlilff40OhdXrBttfke2OxSu0ICwmL9tqtqI3vNYn K/DTEnaf3GiMPOhM9Ud+96L6zvkm6IeDt2RtOv2XHSwpx9lRtjKKDpVPpL1XmX3uumiFEkaBWaUt uQzQearxzdVhAzI/eOchH6ctJsdzJrRVhVbX0zPuD5Ds5KeEhEf5UACQMpUTpQ9XoKAwkU/LBV1W xtF5QxYJ16WLVUDN9MN6TsGXEY+a9/R0hK3zSXpLPZ1ZA3Thmn7F00ma/uQYSD0/dS6M/qpKNCjf LzUvoA9saOnOCT2mV1Lt1rOHcMWIeQjQahdSNeSH9uOazffAIj9pTtZ0iUXVu10ty7DfbI/O24aM iACm6QHYKgX6hoPmmQHXL9RUfv/AmbGDs+nMAU7Ys5KkfRJCcNI2kczrnfYaUP4/yPQ2z361jeYi ez21v8cZCwgEkQOGIZ/GALI9EirK0kNT/thyBhTy/gijcQDDCfT4ARckiZNDj6rBspKT0oaErRbm IYxbXKUIvUqkpZ4yWFkekfHA4dWKIdJKxTn9VnG0F2UZFEf+gFMxyYfEAoTpolClj1kdgB9xcQsy m6dmWe0ocJKkOk55QagXIMeWmtx4mlnyFMb+d2BT5EZyfwFZt2gl1q1kQFnRypWR+mxjDGhebiBb C3xNSnloBO6ZmzQkIlJ1fiUoCJhtfcZTSV3sSrgb1p/SN53p7+VBqqLpiwGEZmS0DYH07M8NLBcz cj1yuRGqL/+TrXF5eig0Caas8yG1W6DfRRKRcp0bC0PKmYRGXT9ND5P/2fin7Iz79jSDDy0gBWli ppFx2+T6Fgj/OTEUpJ8Ievc3K8hFKOVi6iOHGLb9y1A2+rB4/7ITPnfy8Yht91OG9GimZ+2Tu3Vz scsU3PEDJuZw0DjeSDyaqpY1rVL3h7Ssgzftp4Jflv0ymxGKYnQgBA8iT0SMhArVVeS4WtCQt5U/ qp+DI7F5sq8gMlnUAARURXxNwwu2UXh9fyGe8TvtUXz6ZfUsIjeESGr4TH3KQvfilQwpL0rvRMfA oSJ6NqjhhpTaGA1CjAkQH1IfVtAW22kqiwh0SKF5sBPM5rUmMXK5pqOcAGut2/LdNqcOTtH/KPMF Hdjq2RetdYvo1GFQB+F90dLt3fDz4Y9lKRUnjVpYwJVwGGeCV9xUKdEaCpdXOuTRU1B0NpDLHfh4 xxjzW+a715Rtkl56SsF0WIIxyIyvTuf0llc24WPjyaZbX0XsPTqysxBWCf/AyUP4wacRb2bdvSlf ZsgKOG0kLvyo7/mitUZM9oMlhSakUpmT2M6IdSgPM7L38X9S9LfLLQYZbqf7SdLlUWlGpl+LYyeH a1XKtVYxOLFu618awv9RboeaA4Rz/eJwVBjc4rgf78VIsKh8l3kSdb1qitzTNXSxeOW8t2FuSR2V Rfq9B8ns+KBexHtvwK5t096IXMZ0KyYC8ppuwa+6VxJgMx94VgzNRslRYQPIgmP7dyEiUosToiiG ygJ4HnbRiFGegD3/AdIae5kABdjQYDitQ49EmbD+27UvaBkjFbF6Rzy4UttGKaLo/AFHWbRA0XEL V0kZShF9FN6gD2HvMvXrCnGUn32jWY+fNkWjFl6ToFNM0KASLZCGdHRGI2SfmuJWO2nC88YudQNN pjnfxRC+BYTk/DJBZhfOAlbw1BrK8MSsIUEtQAiecrgnabGNOswj+iGYjg5xoYidbI7la7dmQxTI 5Qrw9kzIDloLKMolWwgvhBSeWAIQXYPP4hkeJUx0aMrp2QMKXPCBbMblhXU0/WM7ZycDtgYNxqG6 E0dnsnKUPRjwvPWNbuLIuUOA2BdvYSCogxvzqb82hMViXU0CeBc8zGHHGoPnBB2GWPZQSAFG5F7M mRi8xkH7Izk5Kp1MJ6Pa1YiLIvA0NT67LdAJ+1cF+8JjxhsmsiTQNdh40JoKbCmO5h0nQcJU2Rx6 tP1z4QQakOGeftnQp7hcXFNKD7CkmZES2enAz26eGoVPmA6Bfgk9hz7qokOpfm0SIpRt+htHnkzh e74wRNPyk2ZCMsbp5vtPQeVMcqpkUxkA3dQHJTI76rp7V+n0p6JrJAequzuBgv7bZ+3dQgqGPnde yknu84eGGblMjx6oS1gbak1rQbFI0+S+vNc5VDj/4nLIkAgcaFIvP7KpJITbeFiyjHUX30WS4hMi A7D9LakdhF2qSxL/UB4kHsoIm11WWkHDOVEmFvLN553Tyn19V4ZkOFl16gd/5BJIpfX9vbmGtydG dejkmqKf9vv3UTm7J1y1+1LMjgj53i9H5AzkWWCWiFgQRfsg063Od+9VeRFoD4M7xl0VI7xs4YR+ flWMEpICULs95BOFZtk5hmNqNgXPNNSHheEn60/+BZEsbToD81yTNMmuY5GtHLOD9oQEKAuUxmHi OXxM3eOrNATXS36fd6jfukuW/0P+Kol0tUiisN5zmNnDbLTbN4XZhn4cae3LPblh9YdXHBUZ5Mua tDQ3Dv8uoJbwBOwvndHxtv8X/PXiMP3/k6KdJ3pH+KusBZY1vmx5GCKkrQMsrXXxPTtW/xemyQp5 23VCcrgi4Vi2P918fvism09RPBZwoLOBP3yqJbvSxpxgfaTep8ITszkhrjXJlwOyKKmQVzuDbr+d CU2MHubVwsn3viIX1CqrWOFhuQer6OBnr1HFXN12fBMgkrJuqgprD+qP8ux3zmx0Z2FyOfpQ6NQ7 GhNNovQurpDugFVMgacjsXZ5zrpr17kfupzfkkxno+mkv+c6Q1GzeE4Zq4axECnVC3biA2Lg5JnK 3kv5z8YoH5Q3rR8h4jLHhxYuR3Kl6nNPWYXYNvuKLQQGPyirrHcQdefSI9Hza94QhDE4Jcifn92G 79Y53mUvgX8tQbf/T0GfmB5LsKLOj0h9ZXgjNGA3UWxbfUW6XJ2wLAwyjPlOcsHmguR1JnguOM0g kyYtQzTU7OMk0aBswi4ZBm4LkQOYB1VRHIXfCRACK5sMfYLL0oCf46B9rOv7dR82SNkpud6t0khj QFLPVoj2Tb+dQs9+M+NA54Xo0vdAIGBcfIR1HiNaRkmSFqVofnqpJbQBtxEEid3J3nDZKn+Ert5W xa1nOVmYN8bftBUa5+wRFA8y1Xey36tapf7uBBZOyXRqFDaVDOroxBZcMJO0cuc3XFxwHZe2v0F3 dDBNrU1/faLu6oru5eIjkoaHjvZSLgHdtGQvF4bZairdpMS4565oIVzG1w0sSTSZmuhIB2wq+bHW ptdtLDHvly80jktgi1h2EAqWy43lkQ4vsOzgFRdumiMq0ILBRnrYbjaltDc7ERJplT5ZlUlIx5FW hW9SLwlk9H/+Tm2jyk5hxy+rb/DKmpQh4RpQbTvvoF2AzJFGZYZYD7Y+hkcwh5ge4xn4fpPHgtyh wy3hqEyoQs62zImwt9MULHnjNQXDHxEacuJa+BAR1A+yfJj56SXr3RwasIbNAcWMeFhKwoglU5Hh nPdOlGfh/lskWCl+f5i702oXw4bLuPzzzLGvNhPiLVfMgXJ1WgrSKClDWN3XlXo2FLFpBUlZ5zfe 0dd6eOqVRlWtt5XuL5bv3jcJSMqieW15ShmSlvpGEnTPtRxG4RZxuDffBJpCFDdAes8NKdF3Gwe8 RyIHGo5EoRdyoAivpcfvt36W9LKfeotCo6G6E8UuwqQex8vI/DO3MNCvZ/j08UP+YNcWsjxmLr4v B05nVqvc7NpadNhpvBbEvwcfaUYfl4fB241ZsmlcRmSiFdJ2q14slEpmZA8vLp1N0V682Q7C3K4u 880WMxPn5KWgD5mWgzMcyI1m6+PV96ZlBw/Bfm6Yy6X4kE9OTON0GA0C3MzkyU0zfB5XeyUymKT0 zJi9lOCoajXTN88VhXD03/IhrmHIUNkD54Xbcgf7BpsMvkMEF/GGoXa7eGViZBgqEaflOWJI7kR4 ZaLu4ACIfgNcv80dwSFw+I1cMmecwe4FVUKMN4BHnyao52AUKlAD4yVsE/39M8qHUaU= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_dsp.vhd
2
25692
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dEJOpBnViJDGYaIDvKdLm08SbVISgtYpoppRjsWa3LRjn97DfXWVNUA2qz81MqF2DJPKA275eq8u 3752EyY8pg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OIvDnGFqK/sy4/4SrIBrEfqR/FCiuxMjbOUUiahvBenNGNNiSxmrv0YZ6NtI0TMTN9F2tNubH6WR jHWdvKeonQVIho9uWvGuQX/ARPIMDY1Yu9EQgcvLchV9yxoPJvE+RiU4lg2G2d09KheBtjGZ/b1A 6qVwpkcu1yTOUgMovfA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0Sr7Kwl318XcuhqlQCo2vJzhnxRZL+qePxn+l4QTKSHfePbpazBC5N5DGWOFHRpQiqmNzQEPAQJT AMSmQ9xGCbOQP5gqGATNtskeH+dSScThe41gT/OlNpSUBpcfrPsr6wCvKdZPIbvKDsUha+PlXi9Q 0OaE9+V6xHhQYx15bCnRFW7fak0JeidvPe/dxi2lJDn9OIF/8JWqwJ+S93WzyIIYvCDuPMdAkiM+ X5HN4KgzFqRCmyFrMPF7wsrOIOYqWuInUo/Z3bTkOTC3chinzAYPXW48287SiqSELmLCEa1sdmvv F3h+JM1mYOI4Y7qC0b1gfGOSlDJ2+39CPhGHMw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dk2HcqlDaE4SbaMc0EfNjkotfoh+75YtmRZOzsB9mvF9wK/20yGWVLZmDSOVDWGdduu5Wpraw1Eh 9wHRXA2JzUqipgbqY8AoNSqA2rOV+F0Hhma1OFe/djc/aHRoabE8gYbmOPxsNhnSxOHkPf59kWz2 tM1KrlQ6uS2dl/ZD4qc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L7r1elQN5qNVv7gtahH67TO1ptpeGPn0idTV/D0ymflTiVm2077275oWH1vG6Hzy29kWZBj9u24Y j7ziajdZZ/SGf3hQmKbU6F22A5sia6bkAwtxbz/fwQMAu06jLbURIcAsyiu8bzmDkexQxI6ulUuK MYsg8cFnDIvd0RW1FzN33PaxtHs0yPfgLv/TY045rZMFZ56iPFtA1tr/Snf13pDrkY0WobAWRu5O Yxit7HKYejC/e7+JITUMyzmuF/s+1Jkk8Qv/uZXHXd6Gs7sErsnbfH2BdHoDxvTyTXQjXDTN+E6f tMx7FqCq3TO/awwb9BRJiUDGbbqt0tYotyF1zA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17280) `protect data_block f0iNi5f+hxy1Vl16ojhhuEiUUckzd08pYJ1cnxoRl4XZBCrOSTrYXKzcxX1qm4Njm5caflIiqyJf NYEUD5H1ixUMjGFpfYEOXXbn9smWYVfVfRXYDJlPm5Yvl+K/j3w1bOortgAUMxbAZmmi8iRypFGm YLOQyJ2wDOw9tjiMM56I5UEn6SbayBbAgx9XxZAyD47/EtFnBmXZ7r/dbl+z/06tGtblWdmaHctZ WQCMDjkPcAU9qBvzhQW5pxkriOP2sr8OhGcLXXWLCc/j09N5RbRcukRxDMzataKBvL52D75k8DBM 7AL7SNp0dCnS9bzXTug8woQLYlghcFHXgJlOR3p7wL1zGXC8OqotN+bHDBvvtqQzZjb1MHXsUwhu 8LjQZ9Nnyt+0awX3TRCPJpPkQZL74v82gSXeoyABbE9bGixxsRSczbvxBrSzRD+DKVf+N0dNlc28 7bZ2lFvIM43HhyeFBlMHj5lXNZS8RZtV7+mZIpr0p+kFFHxPhuf8nF/ZGfzLBK1HT4ZV+WH1JN3o Jzm5HVViM6OLQ5vkbCc+YJYYYfBxizxk77nZEIdhX0MvL5q84gZKf4zv9C/wlRyYIIneEVn/p/rx 0pR5GhY6IGyobMuO06V1HGgJKRwJOfy2OEu021uMAUr1QYUsUDLL+vtf1LEVkzL5a8HeFIlAh0jZ KFXMpSvWd2p50u5gNlg8N1DHkiF/Gw5kePT6xEhO7Vg6UPYY6csS66F95ETzjzPEt4oWcesdpFWG 9yLZgS0tQ3Pl33rYKpKfjma056KsiuzGbQL8RlwNRn9tkX7kmG6hF2KLdWJKf6i/K4Z4xP0lWf+S AEhU7u06v5r8LiWIJ/Qmz3Aty8KIqXeP4hk9ow3qbQUdRnGwRUCHipxrpZmPYnkqI73MJWQjYN9o BK5iFcYwMOh4FkMkdGJC8XzU6kOZGAc+HcHJLkYqCSXAsRhBilOBAxcWVn54hFgtXvuT+PpX7p4r sp1cBImDGTPYmStNQWrRfCLLEpFHdSoaEG/JkuCylrWySlZZ1txBcUo3FkxSsYpkA1ZYioDEN4TC 2RzH9q00j2NJgrlyGld1NBXSBcgIkypUWFw9c4Uj4QEkokyWooTnkHUX2ByUA/tdTIH2LVQjxwno thXns5ZR/yBzX8ZLkRZXFB4wAbMYNtKBeRBqA55hjPet6SL/YAPk47pcqPwbzERJA1iJS385Dhd7 KwT7A7Oa9KBFGH00Hi8NDu+9hHboeV1c6wKixJDK4gTV6/IUwl79CtU3eKd5/Rur38QZTELTehKh wxhNxrhw/8+gND7VyMB4V0foRpZu6Vw2Nl6+NaWIseMZPMAWVEleSlmcEGJGLzlfeo9PH1QZXSYL Oa9RV7gEYNq3f9DRFtwnFD6b+SvyJ21ffakV44bq0FQfWTX+x2mTlcjxR6VXy7S/5bI4CRpzHz65 pPTSwDqUOiXuxf2dfBrmN0Sdd5g1mRugOpfMBIx7FeIruFFa0IW1X8UUSE3TgevH1j+PKHsVJGtj Fx6Bp1S61YpFRi3Xj/DMidsooOdrV1zHcdn+whQ2Y/qFrMgPBW69vM7NFKuYQKhXA0pKmEnTASLz hYBU1fqXd+OunsMNwmtcqJRzmn8udGkaa6PxrJ1ESMVvx/h7StC/oNI5besayYIQB+xb9LMLLjUX BUOfvosKAD3uMji7pxPDzqOSreNt2rJ5MmQvzz3kFgIiFjLkf+mJMEqCvsvvanPHVNS0JfGTUmB2 F129dIlitTQgpJgRTfg5zt+44S3ibeudWwd36GDLg4lX9CM6ImT/Abef21c+/HccB6SFpUjIxlqL E3dySV/9xiyDepPA0kFDa7YkCuRT4HrTXOMLR2AX1MGgmx/D8JhAQpQYGM8a45BLcdhQfvjw9+22 7qhRgWQ1A15/GRKH5fdZfbEZmrfc2lVIQv1P76bJOm+w6CVi9iKoGRoMEUsjf0ry35TiQUFENkLY ar5WA4US1FnTiNeDWLRurIm+OD3XZ5ZKbIKV0ar2v1IYDdG8gFvBVig9moN5aE/L2kTpu4d+IcQT i4IWsUYo1xoclsNp1VNRotWED5S5OPwoO3Fb1dPf/+juYt5G9jtbn+h4eqdB0GDANePaVSvnaVhA kgFyxJ5n3U1j7hC5us3N4pSC/qdrtocpqbpfX2zyQ4SpqjA1pgPfefsxRQxCSaS3Q5iosJ9MYHvV h5z+z8MRnWM5IwnYgqodEFZpjE/nxVKPXbrP7Je3m845b5l2d7tPD4EBOWxfqfoLpR69NPxU5tt/ cyv/BeHAYcB2L9GUeOjwo2Sn9ceU6IACqlsJ99bm9WPHGYQEjKcslguUOD9vGimzQ3YUnFmkSlvW 5AVBEOP8OuDp1sAsLEej3+gVCn8/sHyYysKfAWbAXpdM87V9SfSF/z0pzSobQhrGg32Sof0lgZuX VuV6O+GVp35QqiCDIAJmNDn7XJDb/xhLVjlCykyYnAaY8OXK9ERjDT9jaaEOzE/j884c9UbACGJd lAEvBEBU/W8dDunm91UFU2ayAOGJ1NWvoLS0fJ/2Wh+pExDKf9SnnDwitkYuN72f/vzZQFqXXgI2 f32PwMV3ONUhEk9+pRohr7hg28ZbtY2ba1zoFCBeFGaoIU+iaAO2edABcUTKz9TaEKUQOWO3GPnN EeRqF01qPPXW6JM2Sfecw3KiSq2Icfitgx6XHbQIFhx9hF4mGVeh8OSt0FavFNe4lfq8ctqJnkG/ vUXiA+bChT5YiYmdHgUNn5qmeVsjc8wiKY9raZxMlMTObkkbm8McZaMy2nPhptHY0f6V0lKzHclL qRjhXjms1ZQBmBRFuEIQCRNLrrkXJTKDMfsMy5u2pWc0tbMfQnk9MuvuPN/ATJSorsYpxCc6ucRu Z7ISWHJFIyNIjk8yd+iQNkF0DBgcuTzAZvsuQQ5o2eyXTDqBZFhZhdmbHKNUYJIszLaYCv1AOyNG fG03XOT/pvCjdk4BT9id3N0x43AcJ2ga94vM8s9YpXZx7IxypdygvXXiAbqmI3AX/N1lYXBeBCnh Q51yOL/psa64HqzI0UG4fZtzrROWqwIJNYzJ4GzaAL8zo04Oy+zSetkYzK4yO6FTVVRrb0RQ6XBh FsDEXWVfVoQRzT/buGGSnXZt1qpiYuvgK7Mfm95o4okXfdJHUmHrQkjBJdiGR7iODBN4+qKMkAkI Gb1cjw/6zglQ1BGjp4TH7Vx9CgJz3fctgLGt1+/zLeCPe2Crc4zDyeI4DmvzPWRyYpnx8VrvzFq0 8k7Ojb/6jH9gxM5ex5eMuXl+OJrpNsb8Aa16rsdilqyc3SVPaySgnmTtvCtyZhJqyZj1c7hafIaI /R/hW/683imaVD/AWmO6sucAGzkpN1LArc/B8cyZvIUtQwlK9EqCiyBB/eNIqBhQNeK2nHeNIDr7 HpKmxLy0ip9xywNQzxxNkOt+hPZN/nvq+BHusuOYtGsmoZQ3s9HdsI3XGGqW3mvq5ecFDEQQzSWf lqwgsvGCBRj+N1IdqhgslkEaUmc+dOMhLRdaNUO6uqKg7BAoV8Q6mnMxuRCc7LXAlWuer2A1KLd8 25CnD76kZSOi2w2AYR92Wyx4bIROLu9jYEnqK+fMhzHbBeTQvN9A4xM3D5OfersbHdYjBHLyFWJr JPtTko7tmCSLiyWmoqTxWOwnzJ3DtIi/Lu/GBXWv0ZLwjd8MF4wzbnUXksxUUGz7rOCXaEdJC0Jb kqG0joV3qAwWezEKE3mv89+OC3ZVrWmFDijw+YFbHKfU5sj5FUagLhmqTrXnUSJ81GKX6H8WRUxI 6UF7RbmYS0NO3LJ8O4/C7BGV3ov7gnGI7AuPnDJudxA7s0rkIp1oTKMtmiBT3rxXIXR7dYnrtRYp MXXOGq2g2DJBOo1NDa3YYZU2I+7Xb03X3HrBJ+ke01lU9U42D5oxbwx2HusBJzUarvFtpJozOaQN TNZpXtRvZi87iSZnDLWreL85dUGUYS8uIbfijAHVYVIx03CISei71/fvhk4HwnsDZYCfefSxccNH xlAmKqjlz5AszgBejueF6TRYQERv4A7BYFCa/t3kGkQ9Qo3crL+3dwPQjc+GVXEe9QK1Ieu5qq1x osF+Uave1cprmtHVjrai/p452k3nZrf3+BEcYngGHFHDj/IYB8v6NEaeUr15zMAIXpVisNvbkYL3 iE/EUMxgc0+PTYqOehHoI14ulEV5T9+gEaziSgfKMgpdEdRoarS8zbOYX1LcGwrk0ELHb2dmS6Dy 6l8WcnGHjKxxhK8WcB+R2f7uP1dRfKgNNLZK5ntHtCyhf0AJc3QlPRC96Q/xurPHb2K+XFDsZlXq xmZk4wv4JUCTfMLt1f5sQs7tFpXEMtaQPNHgQKrcpMePHoIeJ72PR0JpRBEqmxXr5nA/JWo68yZE yeW9KElLnsbFJaYrLf9p2oKbMIS8L508VLsMLpmEHTYE60xFjHVAX4O4AtWMJMVweWG8U077nQbD FQ6hiae9EKKrZ01I46mA4479g2uZK8PXdkfu7B1oSsE+Dqjd8vsruL8Xk4ZO9SlWQUiGaURojcX1 rbnmMPVNj5BesYyWjnA/b70CByiOGIK2mJXUPNS42QLo4PW22fxoKkvFf80j2Y19pGfzol693fxV dVjpnzokasFqvMwhRFY2EOlNFAEBAkJsv1xfSbP6cnwhY0qxH5xx/uBni0lIqmpfdcNTWHj+gbNc mqeWlMH41yTFW3gXdiUrEA9lXzGEnjVYZWBtb/ebfqONV7sN1huptekS3fvDyTrobrCjo6tTMFTT HPcFTfcvmhusk3vKiqp6758770M6KlAoGbYate1Fc3/GrXMxn9QAO1nCLkf/ymCSSBwNfM+NSFt0 b0iti7lMvQdTCqwn/mylMXj2cXQnSAofnc/1T/YKRTYdRcaYlAp4UZ2pPS4PoAEbf+VhFfJfS1Qu iQnpouPw0pCq74CIRUI+ar6wmD4CGbqflehgyahj0zuQtz/k7/6XEZdfr+rSNti0RJ6LB3nkrx8Z 4gIi9WI3MnzDE6cfY6ByOnyBo85M/EMsGkiDpkb6VBH9YTMfIBGEv0ak663mYpFd14QnbuITonvh yfv2lSx8Ir2bVQov2sAAka0rYEzm8J0nBeIq2VbjVzZ1DS3nCDgjMwVqE3vBmlHOMs1idRL7M+Lo NOQVK8QZ4Pu8DtluE9QSL/qIurD3zcshjlUQ2CfQyDhCWKqZ44+rPhCy84snUYUw5PSP7TL5a68T vUcN2h+I+hEc+wniWziKzhTnBVy2mUNFTLmTHYa9usEZkRDUZlsXZJRB84NAmcSdo4kq3yKb5UeV lLsrl4itwEoiAYTEy35DcRfgHB4fE1tCY37bUarjG1u1Up/6ll3cfs3kTMhEmMMUo5Mxq30CFFka UScU18xs1zxVlAEQIC5cpY9mlFm6DSdAo1595Uo6n55o7HfTb3wi7LhWxNO3bUZrnIxax+Fvmqgm SMn1RKqMKY7RinJRu3tUyesoKoNC2eQgy8ZSREsAmHox9VRTxP2h/inr4UPMhzdTaaSz8ilaplbW Iw832TeYGBbN2iYe1Al3NZDfgjvsjjz4OMVENYIjdBfABMPsSVkPrTgMGKK3MpkKGQUFLH9hJ1lX UHw+dMEJ8Z6bYrXXm5O3fxxwDOhk4vHqk6MO2B9Vbt43yizZ4mX69IrP5oKOS3OkYf4agJ4Cn/cX 0bnXSwjoKJK5l0e1M0XDDwqzOZUeADBkvi/oo/ieYIq5myoOe7JvgnIOOkCagbd4oOI6ay533UQe cL4FKkNPU0J5pPrMynFQNfyqFn6gKgfc5jKkwxsyvSJGZrPJqjuz7EacpCJsMEoLYJbicjB/ulI/ BPbh8YiaEXTdqBwziOmWH9wOtcsebTJs3Vdx5+KtqRjEkVfXbThx2VRIa34aQxoECZuVeZu8m6US LEkQVQ06Tfz/Vab34Wg+8KXDR6aUZgDjmIaxF1z8gr0rCLor5piboKhuDUrisLn9OJnVDcgsnMnY Ekkv5FcdrjcTOV/jBEXU+2vsZy7cOMGZYa0b+4OAOE+DGaCfyRnQ9OS9RYVbiUNUdC09hRW+zhtP KwN/3sLHgM1qISJwbnOJ1GohALVW84SothLxzJRgjA9HLAKMPVjKoHQMVB4VgloaHVhGcl8tMb7u VAb4TjmHwUmz6qqCwWo6DcMo4YPGzd6S1WbkOPcV8DDl8AVxhMDh81XdheCzJMWvcxTTpPUmq4Dw HE0vMiJfS22NG7WKom0QPwCJWLkWcHPe3wolLrrXbWyXI2urMdZ6ZHPzv4GQ9vm0nXXGCnaxRdqk tAczntIdg/5t53B8NcfXl/Ih2ICIppmgCvaGlIjT7B+RQOSHTSTgz76VdYF42ogWug9y4sbX/zzh i/vaoG7d2QGOLO9H9JJPuVp+JbFpuGCfxu6lLp//PQPl73OLHc336dBu3r49tQO2zCRm9+VDZQSh qkRx//AbgDX2T8iO/boTeNqEpisjgsbzJFawSzOO9ZCf03oO6mMKMVqE1O0MU1ObdmxDEWtNmb8C J4JWcnVhzbbUZ0fx6ep4wndvNE36VMV1tuSwtbwWpGruOPorqGNZ1tCZw88PCL70jFnOM0r0bXe0 lchpOUkg+A6oeJi3jiWvfSbEQBb0CtWRzS2kcsMleugDnUxuQGouaDqwis0rZsXPZ8KTsO+ad+bb H2NSpQ1Zo7cIovzdkSsXlaLBLKhaLiv1cHdgRjxgFac61GA3j/EqhFHzTwePjcYsybwIJPTFtHW8 wOpjHCSgnq4KP1Jr6IU53xXhSFxBCoHd+kpWqVWbrAtMwnwfPD/DejM8IPC3F8AZeW6c7Hepanr8 ANKxMKbqjoArKdqTHG9AKh1czt3vyqBx6SBj9fWufgH7gV9DyleM9VVghMwD+uLe4QCV39dqDwcF Vght69A39NliVcQRRu/KHxa3GA4CBj4ilW6VV20jmkwmoZQY3/8rR3GDJAumd8HMz9X+g+LP45J3 dYH328bFGTeAJc9q25oFkLGRMLbJUnbcgEe+QIRlWr4fMOZ3+tOVxAN9q6CP+Rphv4qt5sEB6pjx aHpWi8+O3rIMF0PI30gcj+318AIz3yjkotLoulbfc5wfIZbdj/gwTpHp+BsRnB1Pb8ZNbrOjxz1Z 66GyF7IeNXyVLF1QxozWBZA1yHFmHz4zN28R0tltfRsPl3lnp5Ju+0OZzvoaSxAi+iEnezE6qlmo /RJrLYsNRjKaSWj23YHzkpenyGBrmEGr6gkTB1IAOvAyjCOpZmk65hd4Bdj3jJJhgeySEENx8U45 l64zioZAs9HP3Mjm5WcLJKLmmBN5xyROPcP96H/eIQFmDQv4itGphEp0lCreZjyPiDZnmXtbOMf4 qM4ojiYOebvndKrreOv2/TI9xIvQEKpqOUKfTnGjPAASWfU4hDxY0NBqqRL5Q+tpnMwRlgiGKNp9 AExYloL1EGKKHaUOZBFapRiSeVOkkQ+U97iT3kwoLvkci7n6sjcszKJWapJj+ccNHwHoLS/WBhct SghKHlREi9WUtAKunWEB7MgTr6/JbSvZDSGxbCNjc+VyYm0zMUBjSYbcBaqc9UVIVjdeta37Mw6X qO8gbYU8zLovFTyPh30zqWVblTpV529LgwgUpCMdD/aPW/GyQIAkmCRz6jC9oR2FukCECSAtYCfT +6q1k6gmZ/Y3+nAsqG4nupa+LW5nxMBn+cCBZDaIijV4N4Qy5spXRuBtWw6CveuYwJURejZ9yaST sHdzqkG7A7OwyI4IUFO8wVW6ITRv+OWgk3AmBh/aec5p3rn2uBPSrs+etWWOtBFvb85Ya2YT65YK KqEPnh9VxefsXpOdp977lzA3btRb9GNEh7O/tVGkO3XEdTqGeEq5hUL9++fBWXlWi5aG7X88utu7 lr0hhkiJSas5KVO6jdaR8oJCTX5hPd6x1zHQvVNUVZKLjjELwj4dJ7zNShijEfhtbYlsirAfqQrh +w7NudKkbQtorhKdNGu+jvwWx2jDIEomWp88/455O69aob04a78jGd83Tw+3QdltgytHV8Hcvkyf 3zz1LeESZP+uO4F9oTgyppPHPsa8nau3Uw0FkKgoFHNKTqPTqyzQTVuwvr84ymLN4IQahlCRzDD6 5aUs+E5t1PM103XsCSR1a5J79WjD/0yV+Eb2eVoexp5FR2priPUM/JCbg4qwcdvp+ilSkcsnQ+hD AN4VzTjmbkuR9mRbBboWnimkkt8+tJMbazi6aNWikA/73EYueYP4m4yqen7Qa9gUTYR8/zuSI2KI GuwlHGyMp/Pfa/RA3nGQExXML1zamfyoZdeBG/oSIxLdqtek/RGS1/TbnYW1VwUj2SRN9D9PhYVq bDCNZF9LRO7be/VY1okcWLDTOB2k7VN71f3Ht8XiO+IA+JQo7dC2Lo54CGZiRMEXZdxq5l/QpIfu aZyx+/a9PJNlzTThDfx6y/bpkE5HW74bqfFlR1ecxYwEK+LhLYPTJ1dXpHkuEusBGD0AIz4/cz+a lluPmYJ1uztKFhxDCH4A9bfAspmx0+U/kOyv/U6YK2uuCr+tF6p8yNzwGhTbnrh7DGKqAJgO8ENw IU5mY+iWlL6rmz3dzONi7SwCwVq/TiWx7lCR+q+OVyFzstEKrf+2/F+m9xJzZXSvRHLo7CuYzPOr 35M+cMqymSmmmhmwiqJiQ3wC2VoEXnwBPTTCP0rvSIB3tDLvosxkDWpzyklF1BinWZ6/NEcfyMhZ yFO4BNY2NxZXZJ87jqNqkgo4Zd77xzZDqWeIt9rZugbeAuPQLi4Zs6VlzfFU4rOVhuifx/u5f/t+ VTcD88iDdNLSGS89UZ3vvFFkHJbtgKK890LeaL2voLJhmvawW4hJc+e+h5Wy6ahPZmZpqTUUeRel p/chUVbsBsPv7n6/XNc8VxeaREuoijZN0fGBoTLuRqYn5rMuEdcWIlBSwCWyI/NO4WrbF4M9q2wH X8zotGZ4XPbQS8EdWGe3x7Xl1U+t4zzGVkJyujQmgkYDikWzm63FhLoZSrILFnTQBSdO7lVI5Nqk NQSPmLjcGsH8ALFXmKd15biKqEPSca9PDtIXE5foOcmRw/CnTIjZwxTu/eVcgqQQxufDeC75jx6X N9ytSWTUEecpGZW0cvMMke42A8nvAXT1idc0AChsgkrRNvC34LrTybA/MngMFooZEHW3V4mbn8mE cUjsbHL8UNs+WyrnNvZ/t4er7EoPrtnxzlbhdQDZ+dU5L0hWzFvVav8kc+3nhUFThtHqzoun13Ud vbi/nbcG2Sq/JgfS8UDFJXJfz8SjA+Qk564/X2HAafBCqaZlBR7+gtBjNWPmaNtleMfq6wI09f4j ubg9rU6aBpJY/KQLcCiO3UhXne+urLSl42LsNJKzi96Y3BTJWT7zS35Ekm18UaoNjFBm0eDqNj53 nDzH0nik7nXHF90KGewWZfew2kNKAYhOoQmQAIWu2ynzZA2b3u1Z5+c3jQWmltSe0sopprYbSIsP XL6FJLTlLP6b79dVPH7lwFPSaHcVwq7geITxEb02uJoug/On/wEy0wi5N+Ookf4UIGQ+6FonI1iL KHLPPzQ/uT7w0g/QCrxzw7d2esHASunLL69jfPkokHgNuRs6G2y7f7VWguM3XHKNvrcDHQk+fPxN rQekieNBEFPa2II5IQkzy1SA9gneAuOv7PdcatsLcFvr0nBpFI3AiX2y/u6h35cXC3Quj68WaPh+ dWvGaXLHv50hevQLr1CU0JvMeW/CWTCao49+MnxgEjv0DaERwC95ufkP/HpZ6OhqA2x7WdxmcV7Y bc0IYeZ9qJAEgRvKU7BY17HJScWYmHUUiqxN4bUCDmT+ygiHO/FRU6Cc093mRunN5nPLut5wCaRl 10ITuh+HZ6k0EeHFTuJvOTijBJjfFofCXwAAVrYLVY7zuoms4htZl9k4PfAC2y99xbdBiookr/MC 2Gthe/mfSkI9C7IgozLSMqYy/iSoim9UFthncXPoBFiSF584xtD6Bc6glrSUv+X4YEQpg+COEh9M dQT54gZefpRYxQEfhSkv1rLD9+QRW5ta0TGzYCE6r1l7A+ZMwiybxkueG0GjiyuVgJkvxW9i5JDi I28dmF3Cy7zHkqh2fhu0wQgnbwobQ9jGFkYbAf9++z0fHvSKB9UzfRlL9D2cS7Bd00r8AqSuzKhr 9OIwCfOUbeQQ49or6AxPQuSZC3fuYCEIsTSSBCltZvgQZR+SnEzisk4NfYVRIgGY/eLKq6UlUIQc Ej1B1XiNjFwH7nJEoFH6yyExgU0Ubt8BaX0KgirCLP5pbR82B+MKj7q51RS5bNN7tlVx3quzinhZ /2lIxd8dgzuUqx1U6GF3naigW20K2Q/8iQIw3rXR1C1JS/SOtPcDmNquoOXczDgnyemy64aMK87D z+1YfONkzQBrdBOnG5ACM068RABGP3hD7Pr39MeTOKkK9+FVeqyp3tgYN1ilnMChKDDP/MDhFGUE vCLRDxQn0GDOFPlQBwHNFgIW4nivyBlD6xbzoncev8SKAqlqq6OO0mY1oYlB7HWDw/kr76fWr/fb IGtDeT/KodihXH0SlWj8rDAaJ9w+EAYzx4s5mEfYVN6s1a5t9yWSlQoYgpChJGOh77+A/V8SZZQg S9Srkf9342UN0B8z6xOyWPke0u/iPfsCZJW1O626akGB5BjWsYiXYnksmoOpw7zuyM5YQ0zUGqTY KVvwFJMwcit68AJbA15UH3fV7pU+5Hb/LEDmOICd1RBac4syAIt3Rqdg5efZchiYRMha8CRJksNN EKF+0dx2+whgGS7nW2ffYczMBbMK/oA0K8mQfgdI+SMKbMozXLuOR1X5LxX0X1QpQeDPJ9ZWmzIc V3OPTNGKI/S/a3IV01KS/R1xkxWuj5pc10flr3adp1i+qDk1lXz8NFUNvW8ljraR0ZVLvwobcxYT sY8lfY1r2Oip6kE40KuXcCPEzBCCaXQBl97TVfa2xIBsWZ50bvO59Mv8RhD01VOiyKwFH8ktOBEe haikkQRelvtJ/7FLTn57P1uZM99K/Xf85/FULXXZpfp3W+d5lOzFzSKSSA4Sik9bUKWY0xpE6XgH YYp7etPU+QIcEhcBN8A5X9cCJxUgb0KBuIHbT8m+q2RE42RU5Ntwm7HiJLRZOGKLm7evnNkEw/V7 0hNHzHnQc3AQ7tBIhbq9TVlpspWFBomjA/1ZE70Tx9VCcwtt5lSZE0yw230uINg9rvnOQ4MuMqVV PQlkYpOeDqkhgAnGIGyB8hvKokQTrQlSPaZkl2Z92A43CG6AbcKApLmEl6hjDjijFp+pByjW4GYt qYfUt3ZTSv1DkqKAtte4SkgfrfsZykZg5V3C3migo/RWEx31RpVklGt9MAXOR0teqBNkX8WQqunR Mf+nkAthUawvkuysRtQwQWgdEY9DLhBOBXzYWfQUvhoIEREcaVPJaoTsgGBDeqaKEAAmzi8i1VnY O/+JRIEn1jt6fS7UYiYbQ48SVcSAj9FsiSYWDpSubRM4h0FSEyNqarWHMmdDAmy6/MALdXEczRC7 uMafxuR3dtPvb8u8i47wPhn0oerqoJz9e8kEVw5uxgWfJnbDOehO7v8zpn5CTNge6hL5AcL8Gp/f zl2Renl3kgVwVKPmqYEo6cLi548Az3G0Cs3lnUKBpNRCfok4j9E39nX2bDGymMAZtfH2QPVWzg6u 9K6i4MybGb8XdllhYpgFhr9E6wH6qSv5vxKMSkd8/6V1Y1iqlsWdbzgRzy69H158NT+FdsKPkqNj yKkeCtpckYr+h4DMsGjsoUIgBkaFb83TYDGFpKsmToZ0xO29gHCR12napDpuBvVuhRSMFONVRsEH gw9wRnjCYHPWnT0ZaHhoFqLT/ES/89whQ5wks2IY6NXHNbTSPtIEfzhDYyhiAerzo+aRMDzJKPvV 5G0IHiyWqV9zirt1CqwI6cOF9lQWY3KuRz4K5uwOv3noZbF5ZJTvwxEe4I807P7XN+XpDh8mdkN/ vwUE4w6NEJULj1cqdR5mRzRrl9RRyn6sbLPrNKcDzCZFTJEbd1ZbP5xu9JCGJeI76FtwYvkxwWoe axty455sM6I0bkCnl7t29kMccpuyAXkZVMkSjtV2oU1Ap2xXUEAhbHE4jqaCxs1Ghvof6VpRja/Y 2FxEyJh8oJoYasPqPiKoP3GQPUGDjC6zZgYS0gDC7GWo9Xzwq0W8remuzDPw3HwakwgTXaa2FBdx Dt0R6YNo6CycWkQ5vpZRFx3DWcwh7cMlPqZwiu3CftHc9HyqCNTMF9klN/fgvDMbGuoffv6pUxpc vkasE9i5p3UPt+KlzwxovnBfmst9/bJDzqvUZ6weofGOQqkwtqs50yFQ7/5o3qrjk/jWGMfBvVUc mHZuofryRssMfrN8QZI2xzZEeCUvMAAgfPSePL47OSoUFirZ1A1XgEpqQ1yQz3mOqS59xdlke6Ll +mRnhdx4sARAfWi26gJlzy1d29vnJ3n5K6yWUWlk6is9cWK+5/IR5ZrCG/3KLVnVUyg2WJepuA91 0j1Gjs+XjNyzzK9opFD67vrxgnsS2D+YIsKi7DCIFKhenop0R2sZAut5BQNm0eEDeVydDj9odqZU JUD4Bm2xqiGsveNo2+rJVKFrqy8mNRWceP0YyfNvWbPadE8CCc2ioWCWewOldxyctWRP8XdGJ0ow 6KutoaHWsThDTmVum66nRhJ8Tfc6L9iNRE6RmycoMCVvLri2CYCqkrpV0mAR1182i1paaWE1iu9d bnm8zjtLvRPu4/o8Hinzgf9h/Fyfxlkk7bsKnfLsLt0cSin+IRGSQ3KkbaS6cdQu9AYJJX6wH7bM d4U0KNiJGi06tKAGzAFOLzx92ca/HCzEl8H3Cc7xkSpwmHXfGv3Q3AqgeH23AouzN5KhQXf8MSiC I8OA1OEIF507d83VDeWgB/mmyRJRXJ9Q0VJAVPVFX9XsEy+bsb2HEhCZIqDb/89WpYs9NNiewLNi Qn1gieZp664gvP+824/3RwWStu+sLcmF7xlCZJzFysIpPFcUfkThRc3zXq0WT1DmV7yb0vBH4xie NzXtyLhhc+w3YixUDixjqwg/Knk2QH4eIl/q/qhZrgaF8/S3U6kZJUrgIn+5ZjqeG6/6Bvik1z2h WzQY4bE7ixpMm64PQeOoWYWmqxJvUc33nsFEpjLdwxInm1oyPF0T2jwoOtCf4mY/yb+p+xOxkrjh 8EDel17uNAQpvRu7v0LaIhhfKDcgepqYAJKyUIMPdgGkl3z8fffICBuH9DTdQqs06cQttHEJQ8sp AePS72+LQV9EAhitPRhkcyKmg6uqP5y1DroYu8/BraH2R26u+4mwYfi3o4lqN6pFA9ActkMxnaK+ 17TpSsuPS48K5r6q2OWwx7bWT2Ja5uFUrveqBOy8aONQ0zfAGxfoEG1CLDuLd0wJRFV+W6xPYgOL 5k99XHb3Xxk0iUEVB33mVkGyHLLmyboh5H4vY2H8D0ppMyUuiNMMQkNh+WJGX9VHvVGbL99schSH LW43q/9rSgmTwNmVP3pSnaVHvd4ghhoIK/Au7Ztx9WZ0RaMQEUx4jFkTqNQu8BsFfbSyLClXZ8Q1 r1go4+L8slc+grnmRjHqGCathZil2kHxt3iI5ZjyHBczrXTktRW+G2u07ut1B3UEby6D7A3VuGuQ cVcPy40jdt2v5dsBjzqNl6FEAeHYJmYjbHXKKIcdu+lENcAPky3DCr2tAaxx2Qanw0GKgsmYkVfd uOKBE3j7kvBRngGUemoTeENInXx1lzOhvyj1cxwPtK+UeUfK5ofrHgCse01RWla8Gt7KRgRIFIii xNm2SolzNgFv06Kb8ZmUHTjEO97oYF/Wu8qExVvQIDgnzCDiJ3lF8f/qLHC/M+bhFw0oKpO3q+j8 ySw/QVQaY5bJvCztQNwSEfWDHhOjOiz9rNbE+NG2RZwGNVkPEOo6tJkZ8Idz6ZDMTPJHXw75jTJd x6Q99o5lfBoGnZCxluqr6WH/pJg3uPeh+6U9AXP00EFQ1EtCB782OI9RCUvDH13zXolGcTf43Nyj DlOa0yKJVccfrr+Y3vbAfzB2dnX2IM+bU4Fp+vUVy0DVikmMhVMAxVB8wXei77+Fdsz4NhspBkgn xoKQQNkK4c1MXlSOajKdJTAcT/v24S5hbLTy0V8NRcGzevz4aiHf2edud3ke2VOLLMQkZSbgvaCx /Ze0JfpTN51P6CEu/0jmYyFMLwsSD1A0iVb418pLzqIeIBgvsX12HNTw8ybubEfBVue1uWtS6u8U ebs5BnG0c9Sqj9mhbcScypHfuRtPGwmmhxpnHayUWL0x9BmFVSQS1WZS8a87KXmS8zn1QeascTKO dcojLtuLH0Ijddphts/F512H4hxqS5l0lyGiGD9VRcU7uK/RAHD2eg9Y4sTc8qCkgmLN1ih8TBTs DwgIaPcGW5znuLL7pC60wQ7RKnuSZvMFlGG0qWrsdqeVgiz38cnUfg9FFYacLRdVD+OR+dMN90nK MRXdeHisbMhG5IhcF2qW5VCrP806ApIVOrj2uEFLNk3lF52K8zg6egXC8BUcx54JdJuFJ9VTD1Fc nw+Xf1A1f5p3P/v0c2GJ1MVmwjckAQgc96dAl8NEe03PEd2cg6u4d9qZMa9KtjQHOrD8ZqYRgT6S mRP7K6kIY/trIO+dQ46j5mHJBAL0zSTNjxxkiXerdYWF1V11vJdg3jogWqAgp2cNFK/6nmHXpRkX ddiZPAzkTHNZK8Wo04XJ9W5xjsI8OF3toRjUsxFD4CMmrx1+3OzZJjlQq9UUWfznyqPLsHUB3LOG 75ZN4fAFcIvFQgPv4i/pLsmKbVpIeUp6fKvIrcTGccPEcm38LUCLkcxEGGevIXjxTp+w6R9Q5Pe7 sSP6rhNjfdghMAQ2xh8WWqfR1Lo1xt2Y+oO9qGBpf6SScMbbgdyyusSGwzPGLZip/WeR6mtOyV8+ rkqQzPfq09LmtvpvaEXdbQg5TVeo5Rd6K1MM66GyMc1t2J0b4PLdmmBhvNIsQqOdkpUE2Nl5omMl z2J5W5BCvl84fQKfxC6iSysQMQBEKxw4gTcF8XzcbFQt35FRbc2T1RyCU/ylxXNVDhWuM9EZJCok Rh7fCLT8GjAdyz5+VlLggGVeyI94mfT6uiIxj21nLV0QX3o7ZsEpphQGYKxyheOHAFzP98nf/oP7 p3oao4NucPAux7riz5fQ/JUVTja8FuOP5HT5JXGdjTpfNdktNHUOpAMOBSoRKRf4oK6FgdLkCo1N oDgtK2/PiwZYlu12tAa4VsLJPcRgMYMaHArV0wpxVYU9n7oTCcBsFCoB3ZdU1vktD9u8MoJsFqJs o+Q6KU9gMpUEbqIU+UB2E/o9ikxfJawqRR4P3/TAySGKUGkJ9i8wrA/AnXebIbGLWcamMzie9piX VbbPc+Dny2QcazmaTGQk5ZtRsHEoDAOaV4knHwoInoQ2Mvk5Dw7eq75U8HkGcU+Otn9rHtp9G/GE dAJ/en9tf6apVeRwOdBrYbcQVLcM6jGvET7ZEQe5PKFl+sjbYqQd2eUiMxTnrLgvNmBOmf8IYNN8 KBpeOYbNemLExQy5jS1uk3e6NyqW7fKujNBLa4QaSfpzUJSEUlDNOMsKoUNDoCJ7lBIFTm0WCgip /VigG8nkrDhEjlbjV6Cqvs7UI7OVFxSkSr3tYedGa+48iMoC670g+NF96rvpmDTrw5Gaqsk1Yu0k l1kAnaQEz37oBB+VD2wTavGuAHDq2b4OyuzdP6j/NJphoviY+R7aaCuOUUaanMT3nSkOnbIDm28e 5DBqDYQ12m4slfCHvFgiZ2hFbh+gR41bzc+baiJLFLmmlivJeocas/SK+ZiBz/vrN6XI3vlL1b7G GfNNtF12x377hbJUhH2tTIE3cfGF/A7Mj816apL56vKKZYXAH22GQ59kP0z9O+P6tXHbJB2cKyN9 csGeBE1JRjMUExnqgS4XroHjoNcLe3KHN6DjfZxPUYnTsx7xCtnmRV0B27RzlhprIxOCC4lU4Gwy UjKghOhFHZRzw1xwdsUbiXmMurUfywe/52d3bKOY+wJQJE5kVIBjd4RiZQhEfbohJh6VQn45dTdn DQ6ekv3CAhr9smHsgcd2Ca4ky0ya7yg6fIhmIb/roMvjguDCU62Mtf01hPhRdbGywZRpZqxx7fRE cu8OvE1Qz0RsziMrc3UPMf8ZWwVhhfUBSq2uUMtEyiDHBbyRpkrTFk2LfgpPHAWQdgL9yiAjDzeN vXDL/DT3L6UpwTM4nAHDMCDUrEUnchNB5LhSMzYtq4tWJPlmfrGHGvfDv7G4YJ7tZvTPeUqvzvev gfUJK5s6wrkXnmuJ3cpYN/tjbyq3DzMVEbr4zF+Lx8E7kPbHbii3BkGlkZf5zs7AXIIPbp9uujYF BubG62g3lTsYr9Jy63J+TUO8oEZfZogIWrGuKzq6KFNrJjPPeT8qaZIAQhzIlndF84vkt3FooWxw KisxoMZu3B5xU+1NlscfJXfD4gaKMjbBmTd8FOYhIsqv+b1c8ZqA9X39Bn+ToUNkm6ysc+H136w1 JjGaYYgWMgEFo5Zy3NQEuwwuMKKfhC0SPRdNYo5fNy/Vilkt/gQU76GtGnxfaz4nM2XeYL4rE4qj u311pk1rHfptuZXZc1vsTeoR3IWiSAFjnPSM8jyisx4fLkKQjTv8+XYjmfjNwScn9MLwEypFQgLb avbLBJx7yV7KtF8SMDFm5GTDY3Z8/lJ6zhmtRjABwX5y0VQGebmiigC9mQ8ezQo4I2HeR2P3vkes hXQ2PMu+bnrw+fsNNB29qIOcMWd00XdiSHe1h3ZHlcq+kp8dRJtJ2VuJ9/WJxrwh8sYgzRmC2goZ qG73DfhQVLu+7IJ68CvT/8w7mjiWWBX87tJbWEP7DmxsfSenyyRdKn2xoaMwxNpW5nVyVcqtMcm4 ZhJ6EH77C9iRUzPNyFG4pJ0MZ8S36tnrMjypL85pgSp8bSU1zSgUPnVT0OIpj3l1CItErLIGwWYy GsPQfVZIcyraanoGmyI0Dr/GNUUFLXshi4XhmEvpPdW6CuonQKKtpR+GHAxkk8y7/6Y6awSHj8iY TfTizI7qBKk3AAKRWaMzRDk6WdhGkwsFlHK2MFSD1aKYpCvWUEZKtsEgRu7CgI+1bg9JVyACb7Ki VdHUWPNXGHubSZ+yyYwlMtUfTN4qKT+jvGXCeYxsb2u1uUz1ytG8DSrFSB3ozcCei1Kc/DxMS7Mx mCSCVBR8N30a65i+MEmceW1M2MkPTgjJI9aDvjqKXRX7OeHG+GF+vrpqGVcMJ1snIghbJlXDRBJI Hyc1lTmmSFd58/Y4JEY7OonzuuuiVCTurITXgtbjqZdMGzOapC8BKKMSYMas7SajxPMRuuy2ZHkM 8kdthf59IHq2IXefIpyJfph9sQl1paaawUQp3XpqtKOxk0kSV7PAu4yqEJ07tu3PLHX9wmPlQxPJ 4N6XviXQFuIVRskviRNkd+svvD95o6kU+GFFrwIPVjQWeg+nTYeomwM3BEOgBjtil3lnUoSPgedm ulxDl2B+b5dlOAL5hjrOB5Y7Do4Iy9Hj08CEVEi0edjrOIKTfko4eDiRTMi9eY0T9j7VxnVvbYU/ 96U9fZ2FVWFtlLdZxXbZeYUSPuuupbqNJoUvabFwjR1xSN3Dn2jXTpbmr7aH0w5zel0xSUj+X2U/ Vs6k2MToqqyYpLXLd6gKet/Si+djaj7uAgWCvyr5Kz9jmTEpRjsg8nXwAUuzCbFnVrqgdwrAo7DV dfpHo0jcLcGa0Rc29pNZiZUx6Zo9RYE5r3Vp5FFq4g0qPxtuzBObwvOJH8VP8FToVxNF5CoLfwS+ iBEz3inJ9Oa2OYxMqUm2I/UYMuAfx2EUURVzPZz3hAH4AsOIWyfXz2tSQ1dEXeiaZ0loiQq7uPZz YBANkp5L4zMutA0bZSpzEK98T+LEVlY63ndqIW2Qz8zvH/3fhEtBLCx/oR54EGjhbvCqpj18OvBm HIQkuO+/WIdG1NWOyjVGzC93KwvnCJazWEEFtHnfTYagqBYHIhTjjW3rGrMrXdPuxdLWq7swcVQa j4pJKI+M2eQPsipePO/CaVAgoqAs1k5zjRemDjlyOQ1Y52BFhsZx1ZNPqBSDhu10MwPoStquQr8i YXhxFeWJ7K1C2Y7E7DHTe4Y0Ok+kKyR6ug/qGFd/uRC1ccEsFqQWIHmhxhCXR9Oa9k9vsjDcygPw d2lDr3WM0HlqhsMZltoaVs9J0La+OtJsHXQ6v/RA1uaiDceQXPWgji8VHZHeOKuaCLW4OA0ZluB+ fNEZoy6ShjULczslWIA25T1ASO+zEi8PuwUAlFEZ2acM2xEU7ZtjG+z0jfYOKpJMjnNpcD3kpods JJTjfay3buy4KOgEN7NPTOxBMBq1zVX7xZpUqYcmMghwrSCuM0OKOetGjzfnXHhb8/wgLoko1Xym J2mmMCEs0AdTwA0b0JPm5Xfu86ipUDdP9DHskZgqyBrXr0uRm3s6Gir9IAWKQhfQVmI69mT/Yv4x V1Eyn7ASZAeuP6PItNGqe8AA2u+l4xomYgjJkZ/LWvK1zvEjxu5s3RVe2MXkF74QaRM0DPIIJE+z GyxGbAi01+ivkrDFOYvC1g6LsyIr+Syt4to+9eFFzy9XwgZDTw3DZDuBIIJ8rhMlY2Wbr5MrLXq5 1CNAN25BXaKVrQ9l4kqZ5srk+plX49l8JOzJPTQbG8oNiDSgu3IDXcLIw2wRDXMApfYbMsbYt8Oh PW+vMJnZIhKyuODKF4ycXEAk95sVj5oVbv9H5O21GnTIOhTEDj2RVL5Ft8dvAg805gMs3OOV246Z Fug3Kh14WJKgL4/HLyGCOaTuwcUulYeEBUu+ZmUd29veXoFKYoSbwUdZ1q7fQtMhlNz1DiquliPC Kj8thGnFnIwiufUCZYlzodVTR43S9cvykMid9GVaFHeHKYeaM5AxLLdS0uc25zfU9/P5EDY/vVl2 l4simdzDSHvUUfT236/d0Nya5bKRSP1VqsMjj2fA0H6+m+/+HcyY+0gIKGI4BaDdgxfitf39mnPr iUOcv1EfaOUU1oXR3YwEGsuyBd48ozrC1rByLqUjyga26IasCtRZi07B8Z9UPI988DBFRlpgzHjW T8jWuI77Al+0FJsQ1IqM64eLzGnpjCIcla5TTRhWf3lbia8mxpZdojFPZ18yefCfh6dbOXSESIrm lMs+xxk+pcRZM0fAmXErgiUsgv+xMiNoDEG1D8W8lC1CI8+SCmUoCK2a51Ocw8QvT0LAO8Rw3Ihc Tqa83XJzjDSpt2IS+UlHoGeO0XJTqMFmJt4YVx6JJmykoU0pOkObDFs2g2IB37ss/JZYUQZmTYdh g8YdrGM+hcxqMdri3ejJ6epCYRA/RXqOO6iD8mR+gtehs1zCVC3wVf1c77KOjKh/aliKbSYMDFoh P9L7ILNA04ElYvLHY1un1pYwibvcjz6QsWpwJ9RGmnU+jzVfoxNIV5u5l6ixOZlwkIaQH6/y19u6 rHV2Sist8NSgqFl1XTOP7vZ2lITBTTbBZr0ial+qNo9C1XB9mxfBeLr7SCbVfcTJL2aELWMlPXHF qv6mGnZoir5xDfUKed+r7MCfSb4S9MLdf/GPTFoQmc+ZiJCeqhl6IfkZe8k/5dwXKUNG9xbb3UYK 2+TSD0qPTi1RBp/iAnFsN13xdIZuUEzjub86DRDdjXW+W3b1tk2NDw0DWu3dkuavlhps0e6dkLed ev8jbBAzPzT61Ix29UknoZgZhPmxJQ8ozLn0H8yr7vupzSiQc3dwlgdYCaFqlNcVITZM4MLrb1Y3 vZVaCI5sKL01Ndg7GwH/jwKeT9ROoG1DYQqR9erNKMOClNHzP+GEY9nMGZQHsJ3Wn7/y01gA4OSr ya/XNr4hCcn8v0vg2fozXzuwBZ3Vq4wZan6k8hQmmXc5fVJNg18usP8pB5qI0V3KCYMKsuk8Ox9k pZMvwXTSwJgAy660xqZ+9bbLmY1oNjMFnjRA9GN8iVPDkMHuuWyWRl0AFpbJTUSvpw8Q4XVCt89u yt6uHYqHYeS6HbhgylDF+rBKRVfcjSC0d3geLrMArYmXwUyc3c4lJ3CK1WEU5YO4QnOczfne+a93 qR/JN+tHkiNJaSCw1Cbs8XJ0QS9huMMUT8PWIcVof6YASvzGtxcbNsSPNRT1eDx7RZ0z4ocbxLK7 GIy+obDBXgbFfCMTzTzMFZn6+j99qmbamZ2TjiV1JnX4OyAORrKCWbCc8GLuiJWecB8hKrCF1e+J EsRr7p2xwNzEfS9ohOVPE9DWiBgtfLzs8E7+McFe/tNcd9V1oh6FbqPW6V37xX0LYzE9mw2tKH/u i1JHw58Jc7tuiYmPr5ftmxfIqUwb80Sq1l28QJdKfV5WaWUUPXyt7qYYoEo/bFy8R78ObS1EMkG5 +4TVDGrbyaovXllTr+mBqqP+91FeThgQ9hYIrUhLGJdgPkc3CicJl3T5ZIsZlQ5SUeHJsO4yhrhD ZrJap+DeC3zxZ1qVZg2qOlBanxVhrWqD4s41VvFHCkt5qMSMFOuNlbvF8+41LZsE5m0ra5Atk85z /p3WMkOHlBtIdjZkdNm2jLn5iLu6v+jewnaxULgvna7vSAw+pNEBZpolTIjTqBPKAwxct6XMAnsN 9vlkg2HV08XvwoGbt0ku2vl34lx+N8fan9sEMLaZ1xnh1ABLijqxoBmePVynl8G6O/BB5fNQenOu HmARMkf8x1tgVWpCjWE2sLjOayNk3mmcXlvaF7uuqMreLbOw4JzRSxSt24pQI2Es00zJjtmqYVzC /cERjGhnxvvSt++m8zsIzIZ6mtyR9hXmLrWrtKx/bXS0qdoDrb4W9eAe5JjWbBQuNQCTlwcSbLTJ j25euijioACWgQRdWtHkJMJYH3+VzocOx3+gSX6wVTBL/Nly9b27ca6/rRDvAgfA+zUPkMckxUgp iyY4ypTnpIVGwsio0yL5Med6t+JKzyz0h2ORA/Y7bv2ABY4uBSeQudLi5AMBTeiAqh/JlDfCw6u0 ltY2qWrISM3v/j5mEFpn+48iac6IIV1FQhXx9AHgVnmaSSgfD7ZCk0XN7g+7bqEr1Fcx5p4piquE JbRpEoVaTsQZNzKs/8yfZAvK0uEiOKcqfb3p/9KNEtpAja88fWvnOJskIuonT0ozYFT6DwaMf6GJ LeywPzuTZQWfPCAb/7AFNeJF4Rf00lUkFhu/A2nxdvXxkdig5YWj8WPMGAlB0BoiFM7/U+zsoh3r srgUdSVWq0LQDECaUcXl2dbGJX8r89jOWLv5IhvC7xX62lIP1dht9fXoh0kgJchaWdna7u2zDTyZ TlbR7C9tqA8az5fjX8g8q3zQ3wjOtZ1v+prR68aStxMcTNVc3E46AvjAEQxFGcFv9o3KQllvA3KT ePpFInZZpZRiYLszIGuRcw2cIdr5xCogJjTEQc49o1pnYMNF5AKzhcG95f2XvKa8xCbwsqgVV/dM S/kJQoDg76lz9RBjkVD6Fda5qE8c2xQIq+1rQaEVJgQT0QzsOEyqbnQIz4jKvyt3Whb4WgehA+3M 0s9THyRixzUSrbkVI+UkotRdeIYX/aSPTRiqVVRgJF4Jy0NxbF+YI6actmQv4UFM9RNOGAhGSYSb J8v0Vg4GexqPGtPM4e0jkW12ZwCRzE/JQiPUAamEwTXbuOXcRMaszlXchoVKf8zdWT2PfGKvyy5Q wusNyuy8Rla54f+gNMPfeTDxaU0NwW67ORiOb16ob+aidiwuE9PJGNyoKb/F25UJ9x3H5Uq+5KIR PUjOYpFyj39UELeT1ddEd+LSb1Hq+fegq19UyLjCy5EsrgO3vpL3CmI0ou23FuWwrt+NP84HinqE airShiLwws2ZzuQc0o6Lt3sP1flKgDwhHgIZMRUmL7lJRT0wysx/VDKqEYIO/Wv6V3PQIO5FIO0b YB/ic7ISOfM9S13nxCQpGNSzyMTfMf0VGtn4ct7FcPuMSdAl4do9PPwTH+yHRq6rSpjsBm0Y+Fsf zY/5Z7POOuSSN5BaTUMgi2Ow4NP+ZqNDQ/T8ojAwMmtp+TwGwVNs/xCjqQt1JMYQMq/ppX1DVTZ5 q/pRP1UfDXA+THra9qxgOv0Ee7q4MqdP9WPe57hUEZDV8w0Kos+BGuojnf5rOs9+O+wpH4Wn15Nv KyBteCxKbtt2aLUXlma5LH3ra5DPqSGyCbubjpf6iYnq2cOcFGMheTF8Ksqah3oLAvq3jQ9dkzVG /3n6b82TiycI6o5Eeo64msEJBTw/SDnTrzbWZN8+O6ZbWP+nSebDwte5rro1ZhAH8xsKvion7u91 wOqjYUwqdigdOPb87EHAs5E4xxnKgHMqfS5Gp/nowTyh6kFxG0/MBDGw3K4fMcmSU3a0krx/dL97 ztLbzU+r09JX/m8HyLT8XhekKV+xSZW9VorUoTMYcGSrsvdnu+k3sevJg2dEgoF75QDufHLN45Em UUvJSML6/FDiLZW2ToQa+pq7EpiKxrNpP5wy1KjR2B4AjjWStu2t1dUJfa9FfSY1YYkAGP5wpqy8 XcFYXLT8ZKCAHd6nQyB4yU67SQxBvTpqqGLl4pywPvvcaO2Lz//au4FCj0DgPSGSzOnyjyEoG7ev Y3cBVV+9hv/szCqWeCrsXN3w+QonxN7tGuJMczp8+s0xmlB01h/yASdZrfzuwemkdkZvCe6LI2P0 iJWdrsZfXTbUnE7fdoqqL0xlamBy8kgTN+zUInS4j+ER+gJgokidl9952Fs1AxG5v6LI0g3yy4W8 WjNBtbxOplFVbJdZ1Xh+PzEPooWmjdd3wwkbaGsMTRloiDmKNZxY5geS5igLtf5IKFrq0yJiQ4OH rBozpB2h05vIBJ/epfUzzEXfnrW8GOkHDRdvWhEnPdqdMrIbxOdN7QH51dP8ARSWNhaWtJFt6fyE 7GZHHiTcBKO+7qB7podeQPTZLM13M7hzgmQmDJzikZV3I3fpPVQSKE1/jHeda/VlUSMDkIihhnEC FVajO86dry0O `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/bram/blk_mem_gen_v8_1/simulation/blk_mem_gen_v8_1.vhd
14
211393
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: BLK_MEM_GEN_v8_1.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_1 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_1; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_1 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (BLK_MEM_GEN_v8_1) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_1_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_1_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF BLK_MEM_GEN_v8_1_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (BLK_MEM_GEN_v8_1_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_1_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_1_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF BLK_MEM_GEN_v8_1_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY BLK_MEM_GEN_v8_1_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_1_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF BLK_MEM_GEN_v8_1_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT BLK_MEM_GEN_v8_1_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_1_output_stage; COMPONENT BLK_MEM_GEN_v8_1_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_1_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 2 ns; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A reg_a : BLK_MEM_GEN_v8_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => ENA, REGCE => REGCEA, DIN => memory_out_a, DOUT => DOUTA, SBITERR_IN => '0', DBITERR_IN => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN => (OTHERS => '0'), RDADDRECC => OPEN ); -- Port B reg_b : BLK_MEM_GEN_v8_1_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => C_RST_TYPE, C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => RSTB, EN => ENB, REGCE => REGCEB, DIN => memory_out_b, DOUT => doutb_i, SBITERR_IN => sbiterr_in, DBITERR_IN => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN => rdaddrecc_in, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: BLK_MEM_GEN_v8_1_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_1 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_1; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF BLK_MEM_GEN_v8_1 IS COMPONENT BLK_MEM_GEN_v8_1_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_1"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_1_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_1 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_1; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: BLK_MEM_GEN_v8_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX8"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX8"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY)))))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: BLK_MEM_GEN_v8_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_1 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: BLK_MEM_GEN_v8_1_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => C_RST_TYPE, C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/demo_tb/tb_fft.vhd
2
23681
-------------------------------------------------------------------------------- -- (c) Copyright 2010 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the Fast Fourier Transform IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the Fast Fourier Transform product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated Fast Fourier Transform core -- instance named "fft". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity tb_fft is end tb_fft; architecture tb of tb_fft is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT signals ----------------------------------------------------------------------- -- General signals signal aclk : std_logic := '0'; -- the master clock -- Config slave channel signals signal s_axis_config_tvalid : std_logic := '0'; -- payload is valid signal s_axis_config_tready : std_logic := '1'; -- slave is ready signal s_axis_config_tdata : std_logic_vector(7 downto 0) := (others => '0'); -- data payload -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload signal s_axis_data_tlast : std_logic := '0'; -- indicates end of packet -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tready : std_logic := '1'; -- slave is ready signal m_axis_data_tdata : std_logic_vector(63 downto 0) := (others => '0'); -- data payload signal m_axis_data_tuser : std_logic_vector(15 downto 0) := (others => '0'); -- user-defined payload signal m_axis_data_tlast : std_logic := '0'; -- indicates end of packet -- Event signals signal event_frame_started : std_logic := '0'; signal event_tlast_unexpected : std_logic := '0'; signal event_tlast_missing : std_logic := '0'; signal event_status_channel_halt : std_logic := '0'; signal event_data_in_channel_halt : std_logic := '0'; signal event_data_out_channel_halt : std_logic := '0'; ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Config slave channel alias signals signal s_axis_config_tdata_fwd_inv : std_logic := '0'; -- forward or inverse -- Data slave channel alias signals signal s_axis_data_tdata_re : std_logic_vector(15 downto 0) := (others => '0'); -- real data signal s_axis_data_tdata_im : std_logic_vector(15 downto 0) := (others => '0'); -- imaginary data -- Data master channel alias signals signal m_axis_data_tdata_re : std_logic_vector(28 downto 0) := (others => '0'); -- real data signal m_axis_data_tdata_im : std_logic_vector(28 downto 0) := (others => '0'); -- imaginary data signal m_axis_data_tuser_xk_index : std_logic_vector(11 downto 0) := (others => '0'); -- sample index ----------------------------------------------------------------------- -- Constants, types and functions to create input data ----------------------------------------------------------------------- constant IP_WIDTH : integer := 16; constant MAX_SAMPLES : integer := 2**12; -- maximum number of samples in a frame type T_IP_SAMPLE is record re : std_logic_vector(IP_WIDTH-1 downto 0); im : std_logic_vector(IP_WIDTH-1 downto 0); end record; type T_IP_TABLE is array (0 to MAX_SAMPLES-1) of T_IP_SAMPLE; -- Zeroed input data table, for reset and initialization constant IP_TABLE_CLEAR : T_IP_TABLE := (others => (re => (others => '0'), im => (others => '0'))); -- Function to generate input data table -- Data is a complex sinusoid exp(-jwt) with a frequency 2.6 times the frame size -- added to another with a lower magnitude and a higher frequency function create_ip_table return T_IP_TABLE is variable result : T_IP_TABLE; variable theta : real; variable theta2 : real; variable re_real : real; variable im_real : real; variable re_int : integer; variable im_int : integer; constant DATA_WIDTH : integer := 14; begin for i in 0 to MAX_SAMPLES-1 loop theta := real(i) / real(MAX_SAMPLES) * 2.6 * 2.0 * MATH_PI; re_real := cos(-theta); im_real := sin(-theta); theta2 := real(i) / real(MAX_SAMPLES) * 23.2 * 2.0 * MATH_PI; re_real := re_real + (cos(-theta2) / 4.0); im_real := im_real + (sin(-theta2) / 4.0); re_int := integer(round(re_real * real(2**(DATA_WIDTH)))); im_int := integer(round(im_real * real(2**(DATA_WIDTH)))); result(i).re := std_logic_vector(to_signed(re_int, IP_WIDTH)); result(i).im := std_logic_vector(to_signed(im_int, IP_WIDTH)); end loop; return result; end function create_ip_table; -- Call the function to create the input data constant IP_DATA : T_IP_TABLE := create_ip_table; ----------------------------------------------------------------------- -- Testbench signals ----------------------------------------------------------------------- -- Communication between processes regarding DUT configuration type T_DO_CONFIG is (NONE, IMMEDIATE, AFTER_START, DONE); shared variable do_config : T_DO_CONFIG := NONE; -- instruction for driving config slave channel type T_CFG_FWD_INV is (FWD, INV); signal cfg_fwd_inv : T_CFG_FWD_INV := FWD; -- Recording output data, for reuse as input data signal ip_frame : integer := 0; -- input / configuration frame number signal op_data : T_IP_TABLE := IP_TABLE_CLEAR; -- recorded output data signal op_frame : integer := 0; -- output frame number (incremented at end of frame output) begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.fft port map ( aclk => aclk, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_config_tready => s_axis_config_tready, s_axis_config_tdata => s_axis_config_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => m_axis_data_tready, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tlast => m_axis_data_tlast, event_frame_started => event_frame_started, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing, event_status_channel_halt => event_status_channel_halt, event_data_in_channel_halt => event_data_in_channel_halt, event_data_out_channel_halt => event_data_out_channel_halt ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end process clock_gen; ----------------------------------------------------------------------- -- Generate data slave channel inputs ----------------------------------------------------------------------- data_stimuli : process -- Variables for random number generation variable seed1, seed2 : positive; variable rand : real; -- Procedure to drive an input sample with specific data -- data is the data value to drive on the tdata signal -- last is the bit value to drive on the tlast signal -- valid_mode defines how to drive TVALID: 0 = TVALID always high, 1 = TVALID low occasionally procedure drive_sample ( data : std_logic_vector(31 downto 0); last : std_logic; valid_mode : integer := 0 ) is begin s_axis_data_tdata <= data; s_axis_data_tlast <= last; if valid_mode = 1 then uniform(seed1, seed2, rand); -- generate random number if rand < 0.25 then s_axis_data_tvalid <= '0'; uniform(seed1, seed2, rand); -- generate another random number wait for CLOCK_PERIOD * integer(round(rand * 4.0)); -- hold TVALID low for up to 4 cycles s_axis_data_tvalid <= '1'; -- now assert TVALID else s_axis_data_tvalid <= '1'; end if; else s_axis_data_tvalid <= '1'; end if; loop wait until rising_edge(aclk); exit when s_axis_data_tready = '1'; end loop; wait for T_HOLD; s_axis_data_tvalid <= '0'; end procedure drive_sample; -- Procedure to drive an input frame with a table of data -- data is the data table containing input data -- valid_mode defines how to drive TVALID: 0 = TVALID always high, 1 = TVALID low occasionally procedure drive_frame ( data : T_IP_TABLE; valid_mode : integer := 0 ) is variable samples : integer; variable index : integer; variable sample_data : std_logic_vector(31 downto 0); variable sample_last : std_logic; begin samples := data'length; index := 0; while index < data'length loop -- Look up sample data in data table, construct TDATA value sample_data(15 downto 0) := data(index).re; -- real data sample_data(31 downto 16) := data(index).im; -- imaginary data -- Construct TLAST's value index := index + 1; if index >= data'length then sample_last := '1'; else sample_last := '0'; end if; -- Drive the sample drive_sample(sample_data, sample_last, valid_mode); end loop; end procedure drive_frame; variable op_data_saved : T_IP_TABLE; -- to save a copy of recorded output data begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Drive a frame of input data ip_frame <= 1; drive_frame(IP_DATA); -- Allow the result to emerge wait until m_axis_data_tlast = '1'; wait until rising_edge(aclk); wait for T_HOLD; -- Take a copy of the result, to use later as input op_data_saved := op_data; -- Now perform an inverse transform on the result to get back to the original input -- Set up the configuration (config_stimuli process handles the config slave channel) ip_frame <= 2; cfg_fwd_inv <= INV; do_config := IMMEDIATE; while do_config /= DONE loop wait until rising_edge(aclk); end loop; wait for T_HOLD; -- Configuration is done. Set up another configuration to return to forward transforms, -- and make the configuration occur as soon as the next frame has begun ip_frame <= 3; cfg_fwd_inv <= FWD; do_config := AFTER_START; -- Now drive the input data, using the output data of the last frame drive_frame(op_data); wait until m_axis_data_tlast = '1'; wait until rising_edge(aclk); wait for T_HOLD; -- The frame is complete, and the configuration to forward transforms has already been done, -- so drive the input data, using the output data of the last frame, -- which is the same as the original input (excepting scaling and finite precision effects). -- This time, deassert the data slave channel TVALID occasionally to illustrate AXI handshaking effects: -- as the core is configured to use Non Real Time throttle scheme, it will pause when TVALID is low. drive_frame(op_data, 1); -- During the output of this frame, deassert the data master channel TREADY occasionally: -- as the core is configured to use Non Real Time throttle scheme, it will pause when TREADY is low. wait until m_axis_data_tvalid = '1'; wait until rising_edge(aclk); while m_axis_data_tlast /= '1' loop wait for T_HOLD; uniform(seed1, seed2, rand); -- generate random number if rand < 0.25 then m_axis_data_tready <= '0'; else m_axis_data_tready <= '1'; end if; wait until rising_edge(aclk); end loop; wait for T_HOLD; m_axis_data_tready <= '1'; wait for CLOCK_PERIOD; -- Now run 4 back-to-back transforms, as quickly as possible. -- First queue up 2 configurations: these will be applied successively over the next 2 transforms. -- 1st configuration ip_frame <= 4; cfg_fwd_inv <= FWD; -- forward transform do_config := IMMEDIATE; while do_config /= DONE loop wait until rising_edge(aclk); end loop; wait for T_HOLD; -- 2nd configuration: same as 1st, except: ip_frame <= 5; cfg_fwd_inv <= INV; -- inverse transform do_config := IMMEDIATE; while do_config /= DONE loop wait until rising_edge(aclk); end loop; wait for T_HOLD; -- Drive the 1st data frame drive_frame(IP_DATA); -- Request a 3rd configuration, to be sent after 2nd data frame starts ip_frame <= 6; cfg_fwd_inv <= FWD; -- forward transform do_config := AFTER_START; -- Drive the 2nd data frame drive_frame(op_data_saved); -- Request a 4th configuration, to be sent after 3rd data frame starts: same as 3rd, except: ip_frame <= 7; cfg_fwd_inv <= INV; -- inverse transform do_config := AFTER_START; -- Drive the 3rd data frame drive_frame(IP_DATA); -- Drive the 4th data frame drive_frame(op_data_saved); -- Wait until all the output data from all frames has been produced wait until op_frame = 7; wait for CLOCK_PERIOD * 10; -- End of test report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process data_stimuli; ----------------------------------------------------------------------- -- Generate config slave channel inputs ----------------------------------------------------------------------- config_stimuli : process begin -- Drive a configuration when requested by data_stimuli process wait until rising_edge(aclk); while do_config = NONE or do_config = DONE loop wait until rising_edge(aclk); end loop; -- If the configuration is requested to occur after the next frame starts, wait for that event if do_config = AFTER_START then wait until event_frame_started = '1'; wait until rising_edge(aclk); end if; -- Drive inputs T_HOLD time after rising edge of clock wait for T_HOLD; -- Construct the config slave channel TDATA signal s_axis_config_tdata <= (others => '0'); -- clear unused bits -- Format the transform direction if cfg_fwd_inv = FWD then s_axis_config_tdata(0) <= '1'; -- forward elsif cfg_fwd_inv = INV then s_axis_config_tdata(0) <= '0'; -- inverse end if; -- Drive the transaction on the config slave channel s_axis_config_tvalid <= '1'; loop wait until rising_edge(aclk); exit when s_axis_config_tready = '1'; end loop; wait for T_HOLD; s_axis_config_tvalid <= '0'; -- Tell the data_stimuli process that the configuration has been done do_config := DONE; end process config_stimuli; ----------------------------------------------------------------------- -- Record outputs, to use later as inputs for another frame ----------------------------------------------------------------------- record_outputs : process (aclk) variable index : integer := 0; begin if rising_edge(aclk) then if m_axis_data_tvalid = '1' and m_axis_data_tready = '1' then -- Record output data such that it can be used as input data -- Output sample index is given by xk_index field of m_axis_data_tuser index := to_integer(unsigned(m_axis_data_tuser(11 downto 0))); -- Truncate output data to match input data width op_data(index).re <= m_axis_data_tdata(28 downto 13); op_data(index).im <= m_axis_data_tdata(60 downto 45); -- Track the number of output frames if m_axis_data_tlast = '1' then -- end of output frame: increment frame counter op_frame <= op_frame + 1; end if; end if; end if; end process record_outputs; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; -- Previous values of data master channel signals variable m_data_tvalid_prev : std_logic := '0'; variable m_data_tready_prev : std_logic := '0'; variable m_data_tdata_prev : std_logic_vector(63 downto 0) := (others => '0'); variable m_data_tuser_prev : std_logic_vector(15 downto 0) := (others => '0'); begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires a numerical model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the data master channel: -- check that the payload is valid (not X) when TVALID is high -- and check that the payload does not change while TVALID is high until TREADY goes high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; if is_x(m_axis_data_tuser) then report "ERROR: m_axis_data_tuser is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; if m_data_tvalid_prev = '1' and m_data_tready_prev = '0' then -- payload must be the same as last cycle if m_axis_data_tdata /= m_data_tdata_prev then report "ERROR: m_axis_data_tdata changed while m_axis_data_tvalid was high and m_axis_data_tready was low" severity error; check_ok := false; end if; if m_axis_data_tuser /= m_data_tuser_prev then report "ERROR: m_axis_data_tuser changed while m_axis_data_tvalid was high and m_axis_data_tready was low" severity error; check_ok := false; end if; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; -- Record payload values for checking next clock cycle if check_ok then m_data_tvalid_prev := m_axis_data_tvalid; m_data_tready_prev := m_axis_data_tready; m_data_tdata_prev := m_axis_data_tdata; m_data_tuser_prev := m_axis_data_tuser; end if; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Config slave channel alias signals s_axis_config_tdata_fwd_inv <= s_axis_config_tdata(0); -- Data slave channel alias signals s_axis_data_tdata_re <= s_axis_data_tdata(15 downto 0); s_axis_data_tdata_im <= s_axis_data_tdata(31 downto 16); -- Data master channel alias signals m_axis_data_tdata_re <= m_axis_data_tdata(28 downto 0); m_axis_data_tdata_im <= m_axis_data_tdata(60 downto 32); m_axis_data_tuser_xk_index <= m_axis_data_tuser(11 downto 0); end tb;
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/clk_base/clk_base_funcsim.vhdl
10
8525
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013 -- Date : Sat Mar 15 17:18:29 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_2/part_1/build/lab2_part1.srcs/sources_1/ip/clk_base/clk_base_funcsim.vhdl -- Design : clk_base -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_baseclk_base_clk_wiz is port ( clk_raw : in STD_LOGIC; clk_100MHz : out STD_LOGIC; clk_250MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_baseclk_base_clk_wiz; architecture STRUCTURE of clk_baseclk_base_clk_wiz is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal clk_100MHz_clk_base : STD_LOGIC; signal clk_250MHz_clk_base : STD_LOGIC; signal clk_raw_clk_base : STD_LOGIC; signal clkfbout_buf_clk_base : STD_LOGIC; signal clkfbout_clk_base : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute box_type of clkin1_ibufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of clkout2_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_base, O => clkfbout_buf_clk_base ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_raw, O => clk_raw_clk_base ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_100MHz_clk_base, O => clk_100MHz ); clkout2_buf: unisim.vcomponents.BUFG port map ( I => clk_250MHz_clk_base, O => clk_250MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 10.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 4, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_base, CLKFBOUT => clkfbout_clk_base, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_raw_clk_base, CLKIN2 => \<const0>\, CLKINSEL => \<const1>\, CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_100MHz_clk_base, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => clk_250MHz_clk_base, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => \<const0>\, DADDR(5) => \<const0>\, DADDR(4) => \<const0>\, DADDR(3) => \<const0>\, DADDR(2) => \<const0>\, DADDR(1) => \<const0>\, DADDR(0) => \<const0>\, DCLK => \<const0>\, DEN => \<const0>\, DI(15) => \<const0>\, DI(14) => \<const0>\, DI(13) => \<const0>\, DI(12) => \<const0>\, DI(11) => \<const0>\, DI(10) => \<const0>\, DI(9) => \<const0>\, DI(8) => \<const0>\, DI(7) => \<const0>\, DI(6) => \<const0>\, DI(5) => \<const0>\, DI(4) => \<const0>\, DI(3) => \<const0>\, DI(2) => \<const0>\, DI(1) => \<const0>\, DI(0) => \<const0>\, DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => \<const0>\, LOCKED => locked, PSCLK => \<const0>\, PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => \<const0>\, PSINCDEC => \<const0>\, PWRDWN => \<const0>\, RST => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_base is port ( clk_raw : in STD_LOGIC; clk_100MHz : out STD_LOGIC; clk_250MHz : out STD_LOGIC; locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_base : entity is true; attribute core_generation_info : string; attribute core_generation_info of clk_base : entity is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end clk_base; architecture STRUCTURE of clk_base is begin U0: entity work.clk_baseclk_base_clk_wiz port map ( clk_100MHz => clk_100MHz, clk_250MHz => clk_250MHz, clk_raw => clk_raw, locked => locked ); end STRUCTURE;
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/dds/axi_utils_v2_0/hdl/axi_utils_comps.vhd
10
35937
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gI0Gib0Xo40tvaTEMw79aiJH1u4YEk6HVdqkbeCop9/2waoagY20R0hBuYHx56Xi3cH8QWvex6XO QV3vawSgqw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KoS1rv1CFUKYNXTl71AfETT1Kc5fYzKPPR0kXLN6Rix83Z8+HkHQ7xAG+RQ1+wYFYntMPFYXg+xl jYaYcsZdTVoy/pFQfFzFzIHMvEDyhGlxcCwJE1Sl1y2uiMCYwOlqGqbs4oqeC3o5WmQMaISJXEot laofg7eBOKIh5zVQBfA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nvHBfVLXEIDiVREK1ZtlLrZ7D2o8+j0PfBQlUywpqi0LlzVpakFF1+4oQpQsRCjkU6FiWgvIYEIQ xF3opsh3cA0gI37cHXNoyxKcLQxiBb2Dt5ILBIpVL/2lp5QxYdpueQnedGu56neNU/SdUK/337V9 TOPZhdfOWs0n9NO+6sHptKi0VUrQEbTdLyOPdpIvhpsiYtlGNt4H6j4UrXNCHEXrsRFrNNaL63L6 8A9bRCIq+R/MVFKYc7XGOwzyv2NvWJLzj8pWBtUQtsewQGRMkz+zKhrZYx9Pi6JkM3pg7prL1N2K nVfeZOjki/Toly6hp2nAp6bI1GZLcIhnkXFrqw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vERJPdx2yaiBZPvdkozSOrF5HOgqt7Ky7CQ6WmgQMDyJGvZ/HgSlR6X4yONOLwnio7VEgT81lblo CsCnrdCzOuuwCgG2laf1xjkkb3zU4ZQnsAe32Rt5/hL2J5hXn3Xe1UN0lqFw7JHTWR84WXQLd5x3 SJohMIaugOcLRm0nptQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OLi0CblrDwZ0QzOLfc6fg5Rfw9iQF5lR1whM955YYKGPYYzsS7ozaU9fzcer/htJu88wCSAm9nY8 GwuyBXZYHAoEswCVjiHm/hXAJa4NSpRD2Y0IH43uSTW82VYmzpSX3Xz/hdynoXPQj7/wHKIGviHF 4Qv/YOhuU5xhwLsOvbflDS0t7ko6BuR9Y1fE2WdiWwm5DsArRvyqQP1GnbUDsot5a5O0Vfi2wkaR oCNwOAbu/aYmq8E8iSeITBM+rpWhbyJN1xVoyS5ZIJxtCssx8Dk/FFoVwDgQbYhhPf4acGLSji/8 HwxODCLBNkqFYVyIfL9F+3f0FF88Zz0Jmn8Frg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24864) `protect data_block DnS1LK4CmaBjZjTxOeERHjaHwcXvD0r4KcrqpJ/rZ6XLLTImjr3uAA+FglhLaYopLIYsezMxIhj0 KLwYLWgmIj+FNBFfQP19aqAnxZxkiW1adU/rm6TBhiJlHPSl6dq5NxrtlqSgZfSugTYpk9TDdsi7 GyBShNgoLwXl6PtsxXrUEuztizfJTtMCXmWRcNT/lqMMxtVhklEZ8QPaSFVNTs3AAfsJ0D2VM8a+ ohWtvDJCfUzFTwwASQQKhBM99ZYXz7Zfxsw+yhPKiqzlyvJTHNseMxAG26s7TMEmAzZG718ra6rI Px3+sPh+pu3W/4/vRcq5yLd9VmwjBpyMvqcyIcOcRDtwAszR0hbZACOPAAmBvSrZ/Td9CqvwPGcw uN9pceovCyWnsBEBCO8YHgkltjKRymOTCyQEyy+bRk52Vw5P5x3Fy3HYlViVNmlr0nT2F8pomPFt chx3JIs6Gw1DlWb1xhUEBYw0XcMlTEtUt0ijOl3+B4AZYXYgfSOeaO6owVKUUQOLBCGSx/IemiF/ OtcKaEGK1jRMuvCDQ6gOWnDrpAvv0aoBhq389vxBJtt4ChZa78crJNL7Nidz6XvkAW5qBIYZGxjz kFn+xtN5U6+odGOOl22X7r6kzLcxY2sR8WQxfNu+DJKxd2v2kabot1JycXIrNaY85E739HeGVzZj SIMhoZ/PpBW52LHco/BbcvWa4BorVOtu4hEkvJFJ7ZvSMyFwYf+k6/qJiwL3Nc/IFlJ0s1vzD8qo EzoUaZsfXYpJ+yiORx1xJYDJnABNx5zE3QcbT57AHDVEk6q7bbFtLbkBbKgtjafmnZmQfKeHwLFH vIqw8koF0Oh1P7vVOv1x1tFsuC9+QQNTeluYVn12o34xQMYG6kVGXAhV6Zop5rbC4wvyh8Y4I/Co JvhsfOgjpHLRHkDRxuZ2d+DXB8rbCHjrpgovb7x1cG4x6WDMV8AT6+v8qpvrv4acxY6gOgSX0/hn QkGjMslsTaXQmcvRGLjrxOHElITpvGSEBH0G6lczV76a2KKMSD2tKaRHTuvYzXf2TNvAPauWeHw8 LBQnto9lyEusir+8QPeBwtQIFF1n+npKUkl4puEAgCXz+eJnu/VNb0BlIl+kp/6yv4ZCHL+KL1ZX lnUQLWrHvHHkWHZYGi+/vWLdSJ3j8YzA4dw41Q5O0vPny4bADzXIDbob2PUJx3Ijs83OWblhu++X eAq9BnznRa97KoY53qvLNhqoZ2XUmjdm+JajLIvpJGGKhrBhJN+mUiu1MqR0sX++sIimcQa0ucZj DIijmldn94RVIyhOBPvkFxCa5bZUgZg6Gh4MfEhZ/DOqxRUFTWbasiU2RJHx2kR8mwKHGkWZ2hqB UlJiFwpfivE6+TX9iSqTQ8geDwuTITIvZLkPYWIxvc1so1NQ6utr9z1cNkv2CUp7h+7qBx9hd7Pm iVJsKb5fdG9IMXmiz55yU0aZUuBFtDrrK2AxpG5Y7uOQOnWm8mVMypmmbyMga5NvO+qsusjjy7e7 c7a4qMFC//C7od7l9s8WMM3z0JTZgc1tNTilDZ4KQhMifWyfGb1q1MgqVMtSSsLbWiHjYn9hkULb LbKfycVIx9y4OlTjETllTRVWsFN6sQhGeG6ybhxc8eRF2cx0Qoa3f2vR8LnDs7heR/bIyxpaJGAW nLS7RMbGbcqWdASd/S0uWBMzE5Cdzcdy3mcftv4sYz5mltBgweAHFfuO+xCPRuHjKox3H7Awd1tM FP1n9PVyvJsbk3LGO7a5ITFgD9mXqxlt9g2C8l1rfpLx7Dw5z5g2hZOyMBGba/dSAEGhaSaBAM4B +7CdpijYjDc4AJvhhXceF0T/zayz6bTcog9/HxmP6vQjnMXkZtXR7NoDPNntZIwjeaBWGnpu5q7s 0O2nmgSvzsDEyP0IANevV5w9O183N9UkYfIgzghDU8d+3qrTZJdm2aYtq2+4FYPmK17ofZqc4Kbc Vij2vxwl92V0ujB2hc7pv0kLdWw8RHVjjlZNBj7kV9y5fgoHebIIKi42EJyGd6tFwJPTBSuOd2rP zSAOqIpslHiLm/GBddGD6wprRUvkJ2rQbKKIo44HEOXhHiEJ4R+SHw2mM7gfVIJzoLx8vx8DMJsi y+tsLVV3k2A+Xm1Ccdkymfv2WB/36ImY0q5Ls9mN4b7cq8qjFGJ2WjZLrVpY0b5AV+JO9pv0tv0d JSVr7iQ7wodi0dhTG3qvpT2ED/Zqzb4Gyq7O04QjWthAPgO05CqbNM9v1RtbOlSQBqZj99CiT6r0 ajMf1mDCioFehF6AhZIsNBujJe69ZSm++C741HIIhJJlap1i7/UdDSfooS0x1Qc/E0IFVMq6v3oe JOU/65+RZzLn1lL0+Hk16+TIARlqwWVaXxxy9JbWeSUgXLwdYFJ8VKVePgJnfbD3RlBt0XZNZ5nH tvXl6JdUzvsjRT/zVOnAUkIFIVm4nHPsah+J2nCKxXlrr0uypDZR+PQtXb0oxsgGP/UmgRU5+525 g9Z8C7qXhUM1nlRToFdzMHqUL3PYtJo6WylxuAYwj2iitmJrpobjYZyHCQ3kT6YShoHAGfeR4CUV oISEd8bKUBqH2EF7ZfSp5KpsHPVXlhdTaEJRt6qG9nbwSjTIp4ecsJLm15mrG2OgTkhd9ih30obi /i3qhnyTBts2f6vGBYKrXV1AFhZw6/I/K3jK5eP4q8NSoiRh9Ee88rKwR4sP14VIVII70LWuuP4o 2K4othEhLaTywVx2WgiqrFIXME6IQgb7PIU10ZQp2HCQw+vNT0GbUwiRYCh3jMccGczYTm6VOwal 4V2bjCa0EfUqMDoWH7Tw6BDGHaVzrqBbLd9DbK0+tjr/1dw5xeZtmQ6xceifVw8+8D5E34d7Oj0i uI8WX9laYZpVPypuVMmdy+I4NfqB09nN8xHG0RhF2Bt3CWiel26xhbJBE9XmSAdvfY8I65IyxPGX zd+3wGRYyKqVhgg8qKDeMdrhb4zv8DHwN9zx+IPZVDIZUTww7buFzMW+ChiXMZItTyfoWXdM0P1q gqaxJyLZ8rMNq+wyGOafudcW2kIHeKXsdxvJKUB3LyrCxpyqqoRv9kwWURCK4hCqbnEtH3j5P1a5 0iYmtF4GBF7Lc8RY9lnp8g0je26kHvFMJVJ8qDej3mWRnR3xb2+XMsZNXob8vNZ6dkWgellhSzxu bsF+wEA/QCeWY+8y1WPFWxCNcHw8KYUPYBl5Fe0v8kUx4y7OK29OLTUpWUt3EVCllO+VZ1c359fD o4g19VfT5KnnjboNt/BRIfnaqWdDJQC/PIWkurGOlrfcjAJJqCMOJ634Ug1abvVtSDk3cqfJNM3U /4EvlQSQAJYOVidsZXeyq4I8zqpX9BT5HseHkx0QBzRgvjCGOx+vLcwCo9UIch0brJJbiPOnXAqX mnoIVf/wNtKRWURC0CM4ESfuB7DUeM/v3984hXETjQHwgXVEW/h06+S8QIl/mAhjZvx5e+5k5k+a 2ZIqtT50bZHklAVvwGwcLcGi4dGVayunkqyu7Gw/94all+PVXadPqyKmtkuCL03K/I5Xhyso2NjD D1s/Q8GyyQuOMzT8J+xKc7C1wiuiLX3ySO2xAZmpD61dgq7+HB5TDzfA/cfRpasE/SnyEPGXnqMM LBhRaqtGO1TMB8nUMkU52q8E3zFt8UZA5AsOCVMzqptbSFq962/LteH9pX5tmpM4yhtl67b24ssJ vFdFzyUI0uPWnIHctCZlxSTTcmcFWS6mRqiY7+LpcG3d/7Cml5KzeCMWJPWuU8HS/1PlQLvI48Ua XbAMr05igQaIIrxQ/FCRJ/CC5fCFJl7a7XW+uHmZpZ78vBu/Fp1r8ESc+sGA3gj6x61tF7M9TbKN K7laN33A9qh1vVkswfhqtbieMi8u7kDPOY2l63ReANIFxbLYEUSOW6wLtsnV/cPS+byANFtRCsYg iTICfXIWtQWTiwxvEl8RLPu6WB7VLxCPS4bd7gO1KFdiPlTEa+lgAJuujtPZMZRVeJ5qV6AeWaTx T2+NSAW9f0yTMX6TLAf7LGzc3CBiJXFgaj2HNISC2umCcCgx+63x6TdhbNECaWg6WTgMNV6EMOH1 OC0Ds5XV2KOz6gjtGowNmgv7wZ3We2dxn9JdrHIFAZq3z53jpVD56IQuE2hcc6bT7TYJSyyw2O/Z wgVeLlQcgdthaDDP0JuSQnOOzDOm+qNz2ytcweqkqPMvplRe6veSQ3KjScZiOin45U7KV08ABoHS 56JYa6edEpCHiSEBnfmVITBGNuRv7tbjin/OQlxzocIydrVjAotYMj2jhFzSGPflGqxelfTQZ9Bf 84hrc2DZ5hvupJuqJbvCnozqaqIVNnofL4bNBTV7uSedNjwhVzXygPSkKVb9M1GHVxu4IJClCAW5 iWYCxErFarp+y0RGOMtz8N8Yjsi1MOGleCtmyzKI4Wwvek4qRfsEwlKsk27+CwhbnfdAJoF7vq21 IGgul5J7O88fctxSMgLKbUuvYEgzMpnWfUG5Udt3VlPpzsbmZv1fzg0RQAKoeSyWNvGOQg1bE2cG fujwYq7oRYmNao5/wPa6OrznKD6voDHLwdYjgpExy2KvXtz3T6mogu7jIVrcfOpQSHtUP3Lzp1p4 f3Rkn8Rr+0k4plsOSetIXeBKJ1mOHSnJVhfNqsevZR/muCmwnSdfV65n7CqeZV6Elwxge5zEs5DD /CdYUbVkwTy9AuXxRM3JVoJaBK1VL+4o3UHXeylxezqKnZIiqbeAdE7GINUhBKaDaZc5HDlhoc7/ M5xQPXaQko+FK+1v8dnnI3toGA8UMPROcE9ODyVZvs3S9pvsCgcR9/IyJLjO+EYOCshR1aqIzB5u T3QD0OnbSMdu73f9u5aZAqFPPeJvsGjAp/AJKuOgzu5cP2Js1TO6CsosyuYaZrzyaxt+pcCcgEvt qxCIRS8KYwOaNxHgzyTD1E4/zrmrVFHmH98p+O7Bk/eRAtFJw0aX7d17itbkYkW/SbrrAYybzEVe 0gV2BU1holVOZt7Fhno9zyzblUPBsdnnDHVFGgUceWEbfqC0eDf7HG2ZutzTNWwR+XMQ0iqo51by yOlLLGZSqWy/pevIePn3sWX2MMHDZXrfW/fX/BQB5O2+Uxh8GHcFlTVd6gymMYD/CVSUc52rgB8e crwJP0wicTPuPQESnkqGdravMH97RjMtzF5suZYWanHFEWdDVPV6IDZq9z/NJTtGry7RmcJffqRE 7hck1uMbZpcx0z2vI+NFPX9h9gqleux3FBorx5fe+zy4fktC4sEhZx0VxiG3rl/treDwQ2deXQ2F sI1G8C5yDQjE7kN4MFlev2ISPNixSUZ0AhkUnS6P3isww5P+NOB5zQGNyBrko1eAPXdlLbyIg7F2 X5LZW0MT7vvJfrKDE/fhznNGgAMoVFGB/72MyCA+vBalQtwajis2D0wUojvN+z+ejCzBC8vV8M5l CV+FECFd4Z4AWNOGYPAijW8+viEXRe68b0WfjxRwkFGQTPMucT+qYKEuHu6Tx5AIcyJz9v8yHspo yf3tYaF6HWbZYNBraSH4ASoDiEm7e5Dn4UPQSKb33rIMuTVD/8UjbGHQjfTdFXWz48uvxvXwVwCG Dobsr3M1bdZMQit8yxRLxAEXqQQqXYJHbrUHgpWaQQoeDbSecNxcNwk5cYyuWgfC9afMGj+qIx6n /EeoH158DGQwUtlYK7c2TeBX6bKblradCUe3RfiSsl4uXTDhIMM13976Bj0kPbC+kIT8zMq7DnHh tbs45G9vKPvQ9wDP15wp7TFvsMH1nRKhma5KfA1VTmKT20VtfqozYac1juUybjpC7ffOftAdtpkv 6tFw4fdPJd0sQpE/S9wopa0gf19pLr4Vmjcxv9x9+FhrMEEdFaPysPU0pICCkSq2ua+pgIl1VNmL 3s3Vuwp6NiQfHUE1hp7m+kOOIr4r9xkxHdQMqjZhdTmGomf/6YC8IjGzuiEtrx6HP5UV19HSDsOM 4COo8d45dfT2iQFdAStNFThIaJa/2kjNeOi8ZJz5ZbgMxHmIVqlrobo/opBDNVMrqgjy8/DDdirM lnJTHhEtb3bZl8DEerNdbWISNDM+P2f4h8jLecEPDkP7AsPRFlId2GawlbSdMyKPUR/p8FkphzMM 5qvu0jkB84ENbC9QUcKDpVSzzOrNuyv0JZfQRVMMSgNHu/xoAXvkcugxtIpfKDp9SOrDXYkVUE+i 09IcWGBDXq0pwEXUl8k7xCHHFm6ppxkWbQDSKvol/ty1i2LpquunLJD9HR9VTr9znujhUc3W+LMc RRWs5V3Sb4jCy+frgpn0Yc1L78GZlEq02rf2oTkrNE5h+OSf/RVhL9RRnmb1qRXp8O2v2NCyIebF 0Ccu1sDVM3fAO4fkFzKGgZhblZQJVZzlP7awvN6Jq9lJkMeKAk3SFA0rVYuWDa29M3Vp83EMgzsF MDbAp+3N5G8NMrnyFGS56kVI278X/1FEu3GOc89qp9BOK76Oyy/UDuarjh1KrB/ma2UffEJypQfX me2gGCYipUo02crOJO7N8R1I6f188age6XG9AmaUhfCJzOF5+yXNabUE6Vc9w2+Y6iEs5Xka57/B 8wmI0OZNC6lF1gG424Aiurpugwa+yb2O9Z8oh64GgnvKyWTAMB0KlPRPCdsT0BB+QH3d2LoXl0rk ABTqU3CV+xYaUWldf/BQfvoBrwdlvcFKseOhb6cHNqxvemcsHWRe9oMLTZxmOTXr2u+DQZFPUJxv 9O+5HfjLhpYaRv8mT3M6CwXKzuGdxxpghhW+eikY0JUv0csq/DK5or0BGvWTSgcqEvlshsyOFB7Z zHLTWI32sNMCMbH3dMAO/h9DxmSkLVTtX+hFIlbQM4qijxGXJIpxncADOhotYJ1pClK0FGpGL3du 5QKGwAKM9zUX7s0VZWgxSe3WrYwTlkyqmzL16x2Af141jDef9cMtGGMFcLoD28np83mc1PeeIj4L FYnP+YtfWbIE1tQ1YwlBpL+Ful3VrqGBeHmVkxMn5RCVFdvUW4dv5AUpCWznBCpRJcKoFWm0cL+K vkaAqQLehZj/fZfwOBWdShn+fEt5l4hXRGHoShp4YZYXb0RNKLXltAcb5GDwK3GeAGB/6lA6/npf HGqFnFqIKT+gC9vTghC8kf4pttsl8LzC+ubmF48TKLzv+2tlrsBW+qn5E35ul74bLYfeDDie2fLa 0g65Of4unAH+6TIghT9m8+b2kEd6nyz+AO+qHV4AboudI6W7guxOrapFgFvibJHGTGgA+JF8JcQu Mfhb42lcO25vuKigJQU5ebEJX7qJ5s8X0Oav8J1SxPlnDNBwL4IuHQyJvJuuCd6pfrDKDEbwMzMH qoyt+vqJv4RWAMuzSLhdBaEI9ntZ9KocfBNPCSv7tU7oj0meuLniDmjsw8pVUPqn577AYeiOyoVT olQY8Ev+AMayttPDYdlZKsZngYlA7n51qmuuOXEGVdDb1lRlX41zDbccjw/NAgTNHMKFr1PeACE1 TvRrVOaFwbW4JCmdHh2E7yjVcOlzQqVhAjStGMr/SaoWCZ2kLUrXtp0PsDOiNRiZ9of0tOdYYxkK KS17s112lycM4lJkAXZa1QlfsugvXX0Ny9CPN6KLK5K7UysTK0A/t4Q6NBzUs6na77wC9UipSOEp yFue72Wou7vo1lPzDGlsln76f0PeFUWItaGbwhF22JbD/O1RwJnOTPhxbur/AtZKBATRCBk+QK+n hYHiZjqx3USpwsabx5nV6uADT8p6tOCBuaX1NhhH3Qah/5zPdZffBAGsVByJQiq8kAcQUXkxhGMX 5yoYEqYZ4bjdA6EzDUggUIYTtQrceUUP28mF0m3+Sn5QkUl6strTMBd3BlE064Pv0+DvccVEzCVA L0ntfeDuLefYOAmw2YvZaw5gnLgd88HwMICVaQspC9iZO0EHQi57qbiHCGPgEKElJvvcM9aykxFL qGMYXvwT/B4eGbs75rXaBTRzO+bBOVzn2tGjTdarX9EMTlpMKplDLpQFqhMv/FifYZ9XQucX16Lz Y2ozf1GLTiAfwb3vlOi0sJtjLPXOSdnTMm2o11Vf7QRo+66v/FbpTyzumo7dIfmPQfLDDBOj5X+e jw9CNb3x8I0p66HAvyW/crwLGJDJJOnkcnDK/qjUc8P6TJ+xmrLJjlfF7E0tVTbAK9h5bzHElDWj HcYZIHgF95fQADLOinVxWSlXSKvfP5pLKeUMVDWHS2vIsakK4LJuDCcXPNscGk4Fgy5eS84jYIVy mwDa+WGxa5W2FkZwHbJtwhOxG3AzRvL/sFPakpfEtXS0P6UwrZ86sEueeETMQrzLU0gElD0OLA4O yLypH/M80To/hcC7QvBs+UvPcdzJF6C4SHEmJCZ08K0l69bZ/wTizB49XAVxJeUcLJE+DG7rAtHK x4MN466azPRkbwmcoLqUKGGPa33UqqNdwNz4haWHNDcyks55GHqYqK846A3v6KLREMMThAQpEW64 4GVVzBs4lea4KQ5+wrJHadDvDsHgeJXn7DuNLPAONZXhoDp8KrlpaPx7eiLbD5zLRwHozyfTBKle z7/NNkfPg/CK1KK0af71/jPalLeOf9wZ9a5xLwpeRsehyKwoyKuxM5zn+slDPWMSTKpqs7ozgru8 aJcLD7dUWxvKaSpjQpimvrdAP72LeuPEggzAxHLcGXlEH5obC68RI/5Uw59aFWZy0DAFgz+pCdV5 0JGXUjcLVj38p6gBka4C/dZJ40Xw9+jukE+FWxva01G9STOT6nGqy5r5ZvccCELPd3mj9dYjvbfS s6zIBxPhAEyOV2jLkYSFsrcC0zXU6rXXveTflisazk/lml7kqicHaOmrJM1Bkc4Wo6rVUUgRWheW x16giwnw1WtS9DgyqZldlhhWZPdWhezq8oCAt7EpaBKUqwddlbioNzXDLSFwB2361g7s5jRCnjhZ kfC45p188jxNekyqWq7Ivjmmm/10UK0I/P4hsDIA/exDfAebuZOvDcGQ+5a4yiN39Vz5aFKQxiwr 0DNdm2gqULkdE+PzOhqO3aTKhtBGw4+qb0bxuykKhe6ysWKJJ9v91itf9+KGxn89TdlIkncwXjJd VrwxvEHy+nGI4rBroM1WVMpfvaaOA7+5wMXNS1NNDCI66oDCQ762SvA4auF+3Zn+FRu5xvkRX4I7 yCaBglOzLH2kxgVd8Vf0QjBmiVsA8Vr/OtI9sbJB8uFNbcJZR8TTkQEqIwfgTKk27ee8QW7F5taq wIWAxqyT+j8Tx7gEYD8mYnvj0cEL42UPyEhmop00PTTpAv21QmsVb059mlftnPislzgpkBOUHkNC eJS5t4FrTNLDpEj8TAPMR/Lbew/WqSiW1mWnhObxP+M1FFDvcsSk2EzpD4hzrpLErJI7ZJLA65vQ JquMK5rtZH6d2TOKxPaoUlQDzgUU79OlXDJBMZ3VtOf03PCAuRJY5wCBpCMLUkNUkP31IifTM9ud DZhmltykBhuKrD5Iv9klbKAPI1lCRBqTFfoG4RRCmqukDgQeHzJVH7afGJlmI69y0eMJqHB2BXnb rqAU/AdZqxJx7xHCPJ/1XO9rZNlyh87H03Z2vrnDUu0GVfpXXhEsoWfdDnd+Zd8XmT6vW3Nw3qBR Mq0qU9z0vy1frKxbQ7bE7+zRB1EEJnYaYRM9ab2bLY2Axkqcrka7RVISsh94Zax0Av60eGWTtkpX QZzS0K1NygGtrlGCHsjMFXx9HCN1ywnB6my7Avhq68Tjg1yucmSdGHIWUmOxl1Tx2CJmgLyLGFlO ePkORCfHIM2wnJrO3Hr92lMhTgUeJdaWaeanC18d4zYUPl3t6/Y1uSjDk0L9feNOqhcKzCt1qZsv +M1kVbLwyI0S3ITZajN07Qg1Yx2sGtCRpTGnhfQ5yaUapA9f2RpfSwGKaDEBwhaZpy+ysb2VAu6j 7EvteeEqBTHJaXA2kwKphMZsWDZsmsTVy4WUFbAnoLxRSoJTToIdxOWQTHW1JOLfII4wUX036PeU da5IIc3nDEeS+30ASGaeEElRL8OztZu44MkBnP43CGP8NVlxO5AI1QajBxveiZBZD/2Hb7roxw7l K5UPFN9fAuTWvo13Spo4c/LN7/w9yqiM06+y7eGdatxq6Qdb1EFrZsX4fvNxk0BIUeqLhNxtEz12 dqMT8p4zOCsGmHlLtBSh4JxIpSSvCPF2tdbWhtSFcnYeRSzv6teCJtFsKskC4Bbeu5pwa31hd7CY C7ue1KpmGccmuRAZwxhrwhpjsz3+CBHzMfNMIyEYgnRUQdfr5UBE5kfmOEmf/SFtkXNRUHgDsC2u LkhuVCMGEMu1NkYrESMxsy6hvqidsZfc92EiiY5p5SpO/Z7aBQUiZVps5t+iJRyjMNYLWq7qAo01 gnJOzvhIKtBWOFU1DTo3YEUNmkyk3gC5XHMQsidGFzSe1gRJ9IU1qlAuteXuae+DGG1Hry4lotY4 HkjQeu3VBBPI0NuVtLt6FizMygw6zhXDxkLpB55sdLh8qxUArJP8BIweBltC+cZ+kBd1nSri0Jn+ MWTKyO4QIJDprvxXRZvXh8y+dS/OI3i09XOIeVL/2xM57nNj2lAewOOllrwiOqH4YAOsxaglCLiz xcHvKej3hnJpNOUshaeEnEMQs1uG3P9Eq6Los2xh0yWaGeenNb7Ze5Iqpxpf2thnASMCur0d9yOW p3TRWDkpKNZrrLoGhNGPL9nPguwAhqiq2NHsd2FSo0ACn0/UyJdz/fvkfiUnnF/rRiNgjuEWBJVX 0Wx7pXu7a9Sqh2A1WyNcyaYHCG+u1wfINS/du6KuQElL8nPtpCU5me+G8rI6iF7gDARPoBUjeD8y IjyKYe60qKzpSeufGfdHZlvvGPrwSZDTSG36P54NxOjh7rNPi4T7ykSHcuejLkFE5v78G0YXiYk0 oidFkcKN3ZHOraHesmdBc5fGrdZxBWH3lWcetNkv/eEdqH6rAhCpX5iD8wFSJjpza1xRx1EH2Nra qkWj+MDqsq2GIr2wXvj1/I4TIv6UBnoxmvLSETDhJt8bm3tL/yhG+crFtadqEccu3qhjICl/NIHq bMf/Fg7v5xpsi+tnFu2UFJzlyRW9cy7T6Dox00qMJ2GmIJBKIUQEml5sXzebqK4U+RbFi8ZQuNTR 3H1egglN5VRjiSPvQ9CoaS7cH0knk9h9XD0cqKyQo9f6HQdFLD1hn5bV6rGurcTTcm6nNsqhG0K+ GRnvWLsFAYP9FZ85ioT256rbNqhJ2Qsh00k+LNZDlbRvsPKuuJmszrCCvYOWeeuwfNVBwIFwHMIH oKMWtWOKMnelwj5ipbyt6Cyme/iqPWU13liFJ9D8DZhgzSsfRG3KBJVh4rzSEs98Aq79zKWT3Icw UmJZqyQBgjrlxJc+7OTixmdt6VDN8LFHTAj7MgPUZFUyj3ghzYZdKkfkB+pK23FzeogFbNbCzXmJ +3Z8q7NHOaTZ4JxlOZTqf6aPPfqnN9Xz+diFlpeUWzYnONHXKicRwF3T5cSXljHg8/IpNFb5I0v0 gRHlOQiO6D6iwjx65IloNsdVPNv7YeAc4jg14nbJzy00EBGtxnxHNDwhtvFJ2T2LNsTJZoOVOvpS x5IgBgoNOzIEdaSTN+dkuxig9mRqmNjFOKrD9fjvRvnD/OGmNc29iq3DoauVe+4Kx3wZfq52sK0K O/ZnIV+y/O0lBvgPd0ilRD++CgtAgAj9Es7wl2Gs598+KiyjaDI4wgAtolEYPBzxn/hZym0UiQ0K q0ntDZ4ejxgVBl2DcJmtM5hHcC1lY72/QFKSuDlYASmwVZmChIeXc5KExuj5T/s8r614jioaFSeL GU0xckQJNPilqx/auUB5fveQnBNKyxBomsnU4HkBQABMJd2WO/aHkgRvZ99k+8KoPW0BJw+Onwjg kWn2ivMRXeycAsAkMZ0SR6bNScaY0jOMhdSROi+OwhWpNyUkjDgEtzw4IB1EDYiJ67MxdUTs5NGx oxxTC75IZ39FXBPpGRbtY+Gg4G00jIb522X5cg/YTS+qBZ77CbOCnt8A1RZo3l3EQbir1hTMaFHi Lmo7KDlNZVYCxmeLCZK25gZLtztQ7go7H84eVkI1g06J0TE418FYnotYCVR4fOstZCqhvWRK89bq zwDlDFKLsAVqH9cV1AQI3pWopP8ddPct/qNI9s8g5pe8nxbwiZWmWrSDqtGscmnnboCVkPun0FsH XbL5dvXAaVxpVVqCSjdA/KKR4GeltqTbwL2SxNCY6n2PHVH7EOIj9RS+PbIQieDrq8zATbmYFuIP fNtEyCsi5X8Eb+TAU/2wJ0msNZU8ULiw7kNEDkxNMNFpokEPu0m5/DHx3Obh4L9Aao5GnlAyq26X eLl6noEpPEr+rap+u2lO08NvCPFQEqFvSZ8Ag0txHuzeyNe/gBBtMeaV0UjUh/5ey6vma+DfXrpg qyFlaFWxLHv9w7y5rbxoYXhxDYtwdZktzENf0NRI8ztZvEgdZ5ANRoNj9Ss1p+XNsyRgPWRwQJW2 yCEKps8r3rcpfJ7qkzLWQUC11bbS6dpjBjSVlX8LTptjG1C/KU2nACAREc5KVsaI3gwMO4nXAW1D 93Gi+tMPVpbCl2OyTN+964j3sMlejdLhxyez/d+qwFTbI4rvw9idicwb3QQjQvMn0QNdktlhIWqW DajIlJt8dDTNI02f8BP9sEIHtLh+ZA0p4Bc4GthN1KagwvxaAN6Ofv+zvruotLg1kaRqMt1/aKqv 4YVs/fmhEjxTfVNIV9qWDKpFL1Gtfjw5Y58zEctPkqGF0msG9YsjwfoUufFiOyqjp/ToNkZCIJRL W5OSVq6K2wfoztFODbpMTpui2mCs6CGzMALoBKG4Zay7napIx/9zmqBxHhCdIcdq+jSbaOkoGh6w zDZltroW+vCzpAL+pxNFDvrHqJU+cSrhAtB4wkku+fkvyDkQwGe7+c+ZqYa2VvdSDUg6VpUwNiJx flcSVO9kog3pwRgr3I6XlZH6D/C1JMeFB66j4U8MAgLYS+YsS7MsXBHv+SjurmommXdJuwwkcmuy zeuQANUGgKowUuMHQw67rJrshcGBAToHSJ9rok3ftl1hw7Sd18kSJG3OpFZkImpJccmPLerwQSrV 550wXwvPeH4c6nqYyraXI1dA/Ff6YeHuTZro5l3WM+Z6ig5VlbS3GbrrbSX41d+ZNFgrDUHbS8kG vTTIWxgQii6mde4ljSKiJlLsr4ZvUVusaaXqyGsZvRV0IU2ecVHdP7f/u9Y1ul+Z/l1Gv4+gZiDs 0fOy5yd/6Wd28Av250P6EGp2Jho6bv6cFSrVZR/E2JRFkkgIMWc4AmctwEfsrpmwBWFnaeBiT9Sl SY1/yyXPt0sx853wxztJs2KoI9D+1K77Xlc5fGVMLA7BR5KHV9OF2268WTnNEc5UjHSqGDrslr4s RtMAyKmmMISY3mOflk5eUqFWux+cHHwfmZh6zvxatDjMSRUI7wswV71Ud16SX6IDaO+5F9WeSdBR s++1OHkifK3ZjBK+oISITEI+7g26HlvP3o/Uk8FBrRsJskptc3Tb2c4GNt5xzVkev26a1idygol2 PnK7VVhYPL1vfyNoT1wG5sq1iDKCK9HujovDuwbihCyrg6eK6ldtMh086BQCP3dN+aQz/60kh0mG mWMCaer6cyA6AqfgAW2PG4dhl5GqRjdnfDDUj+IStse7yL4eZWHrPbmVZ6PtBnn4F75rYRX/yoWV yonnN2s4XWrU8Jj5WpBFquCS+GbBhIXUlSupVNEEIRbRdV4YCOlG4WjgxYQrO0zdWyw14evXiH9g vRXVgMtIC/F5/DPskirAb0dvHxugrMgu9GGylH6YOcFT3xGX1QnaLIDi43HhKaVkjWUMV+QrrBUv IaM3QMxaLTNFdGQiWbwrjVMZ3X2aeXxdT/TfbH7RYZPTuCVKJUv3LxKZQtlW8VxvRDNv3G0RdbIs qktBDyw3HRGlkv98UDUDw0vDwOhAO46XjO+w2KS7fCgbzPRkl8H3PSs/evliL5eX1tT7RlFk9zkR tl99Ow5zl3kvDQXsjReTiT6Xog/kAs41h3TGMeOuNa9a7jyYPF/iN1yFUnIh71Poi0q7C8V2Lg/S zx+Snf3Krowblo+wy8xRu89KRYwPQoYkq+NJzos1MpHUm8aVQAOntQBfM918surWhZjaOeHzGppT 27JG3x1/WtVm6zwygIR2fPtgGUg+W3f24rAdXcNEgwDxixSo7fkwjMqPhplFP2+k1hwonHNTO/3T 9Wt5iRHuBytMZ8TwYNbbvlpzFtfGzOXXJY1JOVCOsKu/O7IZTGa89Gz5nHUET3i8tlA0N4PHeY6X N2LdWHCuuezjx34/pbs9vGnr4IGRsupkyrzHswU3Q+RpUSTXiKsDZgMoPM9/6GNy5G3pEfLb41JK TIDsUiVvaPEueccE2ehx3+vdlXYT0t1At4mgP/AWP+UaO0Dkt94WYt1EEcYL8ttxkSHyIKmTMFgJ AXg0NI6/jq0MxktzQbwrzfoRpXIg9lAwAN6FmXmEEkS1xlG7PGuXFcyp9m8DqyVUnbM77N0aLQmE sKhGOYzjgXRofQZwgaPtmHUQEBWHyXOEnqWFIY4Wx23VCuujfZKhXLLT+mC4DDPH5iOqY0TuJ1yN LKEcMXOwHPtYRVSL7Vv6MtIiPIWmMB2GZG4cl5FNy4xPL9M2BFAc08bd0QreQWJHIrWYBj/xJW5E EN/XXOVWIs00vdo6VaWeKNaLZ+QOzA5zmPsbiA4+g0YQWcAwh03WBWNuDJGgku6cKkYG9Tgbi1vi tWqsgQAPQdkelnRnexpAmYl/PdAfh4Vqo8iltbgSiLNBBCmi6H4V0X2UHBAxFortT83/TMVkvWgD m1EHvBsA8w1Q5RpkfxYLRdHRqSxBckjAnvjnSDbwgjhypUg0PN7Kx8Kk1GRJifza3dVxT59uKaqW uBAom+qgeL8vCuiUS8Qk50xxEfSxsQFP1IqAso1rNBvSrYTzUPy0on0Pk6yqiDED2DgQM5OuoYBd j7RsjgjWtJwGnfGZWkaFG8cK0F6Zx0eMaVcMS7gHoowb2baPgSfb1o6BWKkL2MOsZ5MwcQbsjXFv akammRwmmONdfh98jVCZr/12/9YXWHu3EOoJBiyMgQBZzzX9laR/a8xm5J5tG4hVCBqIkxtd/CRX FgPQAPHzoIO0odHWpoZtMGWo9/ZBcOga3B5G6QDVhhamTVTxJ8CbiPB1/aZd5zuhfNN1gDBb1+4j Xc4jGFAGCs1DQ4wnm1Rax79T75sT/JFdcTNehlyNMLMViysdRs9LC2ZFKfsLxp+eOlfeNv7WzAXc sQwl1zgzLu6nUCg5NgRdppB4UEQUg/veXbfW3s5vErlosjrBwjhUkwAxAZd2xYi0jwqBGIv3fWO8 D2XgKWkAT5CkoAWij5e0cx1rJoKn6pyotuclrsWU/I2bn52fpdDF8b8RrAdJkCt5C9ktl5zvQVtK 8z7+3lopMS0tSqtaGcyXNHpt7xknDtoK7Dx6GmTM3q83ZZpvC3myTO4Os7UJR4NybHSNHtCA++51 T8/lPg8V6vQdcX7/VQ2SptJ6//R5hrQpipvXEWD61ROQpXFNmU8VEzuricgAkeCt2Oq5SmanCFGp fvjVOidSx9oW7wNpiIWcNgkvNcZ44dFVoXGNZr/4mX/9bRzcMf6WHHXiXU0qZhWoO0iivdkzpTBM u+4juW4164flxnWVuX4MLqaiz1+jn1GodUC1jYmS9K9uGPbTGVUWMKOzldl/fh9Y36jMIF/rnSqf HNTkTfci0WCmuhFuPkuMNq8zjz0A8oad9C8NVOjh/1BByat15TnH4gDnJneaDqN2NAiVTO8FtN4m +qHjBfgSlc4yhteTSnTWgDZe7HAb1a2XFHSoP+OMy+xiFw3s7GEMD06LMSdwIYFrKth0jhL53YOp 2vOCyOeBU/OhxwMAFvUZ20/dra7PAUQvnj7/x0EQZ6BxFqt247b8RObxwhTQ1emJRZhks7rPs84I 2PBcImhbthqYZChg2kye3QV/28/8Za9aUSbJslqm4ijZkG20xM6Rmvxzp6Hm0Z6I9vNRC2CqT39K Os+JwBfQ+4Q+237oQp5gBoGjwKhqi7auXzB/7UuoYlx0v+1u2nXnGs/NhZJVQOgDgznPTXYO5uYG XAkguL9jTSzyZzRS7gmFebUPnAePkTMcnVMOH/X90NhahwXirEuExvY6tZuKdaRnomGK5jEQPaF7 2apzuPrLe/f6sQXjJKelw6cFwUd0L/EQlcUXodCmekDj/t7kdljBFpO2DNAs823NGQcJoohsQrI7 08GzaNtyvxXeoQRgIcKPhFm8i0eQ4FQrKWCi22er3Wv6Idq5l2/3ic5omlN/JNnCrdSuU1k9+4GB CqNVjhmwi4fp2YFMqO3WyEffWMzGUse0RoebXTFtdzdZznF0vDep9VQVy5X80NPoSJ0mFLA0xLEl s+9xmJi/fwSysNq+RLU8eXe7PCiVJsBJejMi7VP8zPaiUAiNRSKvoicJUQ491qX0LtWCyS3wZWGx POIWgJxyslkVzJX1bRAZp7sJYwODIKk2WVxv/DNM5RWPULkRC+WvaNz36aSvxbjpLbgDPRurb16w yzfnvbPjLXi/TtVGRSwK6etooxSiZaqHLytkHu82QV7gD+ICzlCY1CJiZvWVMQH+OD5n2IS9wicX PjpxOwW+IIeqCl6aTGzf9JtwCzNMRzjAEKHq9sP8UpPYl0QHWrjEFgDph6oV9PtpMcS0oozRXs+u jRD9a1FpTvRW1gRybzeoriU3I3o2E11JecowZZvo8wxif65A1wNSRp0UfRds1v00JKpecHNrTnZO FMb3+er0v9rxpL7MGNb/53NquAz62Ry4Yj3bndK8wTQ+0R5gVLOcpbU7zzSp8tETJJCPgRTnP962 xPDagr48ukazRyNHhuxi3t0MMpcIkrG8X5mZ3Ily0QqNSYLkdGgA5RAS6qUd9Eb9vFlj1MsdtmHX TcEtNlGtU/68chiIns9V3KvoDmTeiveGWjX4XGvZjdOAqNPeYz8NN4ZH41HrCq7gayv2MyhKbtTE RoJ+Gq8eca1b1i2AEWTpp5gAZNcLLffxs7FCU2FlZQQ5kY2jWnn7ICsARR7DynWjG8Goc64fgvYH cOMoPaZa4tJq1eq6m9tNorEbvgs0XoThhy3DTmX3fb/5MM5+M1CixeEGralyRTM9y8rhs5hyiari sZAUEvyRwH9asjfXMR6PxzxOAlSl4SPFpHkGJUE1OtH1Bml9NU2B5x1PAxtamtsn5quF94OQZ/Xu MyWYBoOrthpc0E/JZDjgMS0OvFZUmyXZALJNBtFBgsRLppska5D72cHEEuWIjAmhxoyRKCEsIFod rZd2DU6HSWvWm2YqZcrQqUqoznfjsjCwOBqxyzZIz8NUTU3BcviUnEJjV95GXRakPXlGBpxIOrZr ZmaQHrCPe64GO6iYbhUTyKb+zQd/zaIBQb7pjwm8Es1ODjsfJWtfJeXF7z+fR1JO22uBQhr/6HVh 4urvPAQHw6swQzDAxvwuqaknLss1202P+0McglGY8CtTt559WwXk7VIzdFJyVPlQR3PHfelJOZUx MpwKZCtUwRSwHgU6nQHCyLJiDDfpI6P1r+tO+fKykv1mm77tyM/9IXGFxbN3sdf/LDqa3irvZ7T+ 61nxqXxfjxMCffTmLkBoUWwv4N9skxPl8NPJF0jNqQQdlLlR59peqYSGrlCD8kGjeK9g/5AF8g0Z 7MlJpzgv0x/hEfSGAYcH7qcFbSaoSscssstxu8E38oFpFh9/tlPXT8ZdUXPj3icoFzY8WkBzm+Bj SW0d2U3nh7NbcwOnY0rlTavJqQJXgdYRtVEsxDMWf/kAz5OxkNqJokTl3K9ViuKwszYSwca8lhCT JsvUIPcRgFCE8uqV1OF/W4VSmX5Lr15yKDiulvbnW4b6H+s/BZnzZVTfDV+5cJI2kSjidmY2iZkZ 095mvnf/kFF6lz1Luy/B7ZMUIYtJKXbH5NGHZ2yCRkI/Wf3XURYpBxtOGYNvWLtFUXfNJQB0R42U /krJk/nvlX2Xm/o4kU5W8uMq+V1n4czxIxmdz4lusEkYu59wm8oPnRoSVHDdrt4cw5Bj1r4CuS2c sCH11xDki0tRbQS1EMRWliSwHj9f1emiMjOvGDRZ/2rOKJbGbcPXFcLW6mSocHW7HTyioZBDdWX5 vdIKqTHPJwR3xa598SQgg63ujbS8GI9oJwbuihIOiNWbuW6QfsEkpYGsDxlh8WG75PvreQvtmXvO JDH09sBgdys+zhDk4HT93zYG1Ak5m6GBvmHsuE+sv/knC23yo3D15ieTr+Vr6Ww+sKOL9aWbk4Jk EmNQePtujSY4yIR5j9kO7odzxBrluHJKTnR+5xSx5yYarEHWxNVfOUUEJq3ON5eQSyrj6QkQodu4 2WxmeFlPSyyohl+4YXx1+vAS69XStkjGabKpciB0tWSJeRBTDw2gzYXB/wrhD6369EUnHvi15crY LzeaNufZFbqPX7Ge0coeVuuGLe2LtxUN9ouKZV40SaHjAgXYqEhGM5nzDjwIVYnYiQ8K1Hzsrpqu /+eNVtHYUBuCZ8EyeHUfClkgOUV/JA+FEA7cxob/BT/sR637yjh1HzP00FWkNVUPUv0WcxF+YoDy gYqixcFhgMl0GzGLZsWYO+w02Q52P87TD8bN3fxh2GjJD1zmc6avRHLvk1nO9yQzTdnNo9dcgFLN 1pP7Fxydpepkz0xTNitmlYy3VpLMfLRlpeUxj5BG/LjGhxlofQ1xLfRCPrESwAVd2GrlA9glRns/ 9u1/xAMVpnGPceoFKq6NZNYKSraplczXeSCfvIKMyc7x5QlcgO01ZbFagHkR5W5BsuQ48SjgM82a DZAQ1rOzbHPl3ZtqAXpVn+NCQmVq1KF3Mr/zUE/EPMixQWy8dekIqc3corLo5g1VK5CnidnXeHNp YYV39WerYv1rVpg4jQubNs6M8HJEHNdeQEMrW0YSSRTlEFrhTPc+jJzQ28emb941ZhyMnDjEK3w/ 6xn9Xeg1j22GTODQQyhcLtlPtMmhlyJFvkULT6OpiyDexNvc3DI6GAlMVd5nKrRMntmwGH4VJNbv QFIutsxSqOPbGvmbC9TQeHJCF0dNv1fAU4PTAskb/hyxTS3gDBj/dDTyDlCE/xF7rA6XW/swSWZy sbQrFZwGwW3dwQzZSm+2HXWYa3vO+XVINNPjyRuMUcd4NEBa1mRN4E00uCKmpp33htQ0LSiU8uV3 dEBlwYpSrpv5o8an7Ysu9irKy/u9qDUuyKPvr29eHPn/yGF2E88CFId4mzZLksiEqZOatbITZTv2 6ZUEmjTt3GmlAX8vLFyRTYv+ePFN0Wr0yaVwcUXpCWeYjjpl9eL+bRcqpIUSAHJvbNCxyfrrau/O cv3qrHRoZmP1We4u6UQQfhV3i61sZFfwjDaMFfQx495kRNp49HeOZrczP1MIsvl3yyiwYgU+8yTm x/JPipa7wgzkDAMM67xAceIgLenjjkRpcRG/u6hQ3RsWR9BWbGPVLZnUGPk5QpFRHPv1ZlHk08Db /1jZUNdCqdqsnH4frogjEWteS9G7O8BACTavOIiNPo2CJNsaYMeBYtf/L6tnGDVTSh5+DLVxS8pq fQC7SpJNFoFxqLbJnvnjwNTQ5MqiF6jm3KK1QX4uT8Q4ti3gGsmd44aAl7QTwICwfn54c7zpX5TZ 33m8kSlPGjB4Hf/gLS/36g1ReyDEccKmjB9HdpkV2DdMjjMspMxIkx1lPi6aAY0Aj8X0t/tm2U9N CdcX3xJCveLfL7ZbIF1re1dxXa6RvkXnK7srRtMRHJ/1qO3zKCIjHzqrZtWG9QvTSr0AO7Yyin69 hM0JwP0/qmxxo0ogZhcPFjbCd5erUEaxg+i2CS5erPRKtaCIXGKCQR2QFGB6XL0QJ4t9o/g3Qx0r 7F+Lu5BRqAW1JK58SjkXXflZ1CSGCFLZBqzoJ9QecviEUo/RHDAarAY+1Ts2m8KFHfMJiKguwdjX v339am0ZM3pKEVEyhLgTSVlRWUcKPxYH7LikJegzRW9KbbAx5VSBETHMmjo4yAk7Kj5thvXKrYtG m36ovUBS1GQIMKg6KQJciVXzv495rwmSJIxf/BbK6XWaX7TBtk9Y9AiwVzKYNh0/MkqSKpz+XHQt aGKin85vDRY/ChOpqxD9K/ayoL3X3AFk8LpfZlh4rpLA+7UPoHbSdulrW44UDxGK1opQ8tV59Xt6 VO9qOiYXVXQAIob6UD2Z3sRsBWsMJ0gOpVDfW9ukzbWl+BPX7cUFWCUw/X24m5s2aTjKTGUpgyNx SPXYVIgJhI1cx/1/AQH0Eofmfg6TPLPgngcPKuwca/Nc7p1i8QMkODzh0drchmCDOpzQQszoPsoE UUMQmhH/NMB+udkNIWM5hnXNjGxvK8g03oQV+2GI/kqU0FApP6HgKJIfx+acQJDrL3WXfyhuIkWU DG+cvO2j+ZFA8A7bAKaUcfbogXtiTJmf7X1kwFkzdu75wraX8MLwwHrENNuD49/2MIZqWNKxyrvz 3rUxXTjxxF6YNp3I270UAwwlU0zk8qkxuCEppn6IMX8GMRXNZyg2rsAcw1i6sG6+N/mVbiXGaATb W3kcKSvch5yVu0W+IXPCKSYAN15uJBOnxI2SVKUKFxySKQpYzlj/0obJUJ4FGZRgje+EPz4i3zhq fPUrHaqfA1rx8T272v/3ow+3V9EOgH+MYXfEGE+Gvq8gQI3mljZ/6O2mubVLiYOgJbTpA4fBSRrv xkgabxG40M3XREpIUtiSCWiP5l8iLDS4xRBRtZFTU3q9kGJhyz/Z9adt3sl4JMPzCGv+W28YyNxV reKtRa9ilF75c2d8tiUb4nfxigzdKTKP8SQUWPjXjN1AjwMgB4CiUx4vXzYMlWSkJIIkLEHlct2P 26r01/iTueMr/rOJZX4s+wYtFMnFeYYj4u7exhnHsNl78Lw4JstOJiowQT4zlh/FWUDYE0ZwRv9i n5+Mu2h0PetkEp3vC6wZSf2y/ZtHHkOxD6ZG/z8+GvXR7bz9R5ZRQlYZEVrBpwxNH7nvHmUpL+AI ws4dZaR4g7KvPxb5wxvTCR/nGYXAZ5dQN4Nin+5ICILtw71S3MmfoVVI7V9q/IhhrGAI6MyUGR4j Ud9NzOU2cOl79XxMlm/P5X7DAg7DEAjJD2NCTIVVqkP/Ykb/4bZzejuLaLb2TJiDa+tgHsXquE3G piuashTTYRMMxXxdRUaxEILkwspRHLsPKzlhIC2EkRetkMIF7dusrE9Y9hSRL0U9dfrm/THwwAZB AIQl/NEwLHSzM2vZIvpef2ZP+Mn4a1T4w8plpg4uzxpW7beyf2YANizOpPVEjGgHqAQzMDsAGjUf SuedclBRVFAIi+hwD+QQFxIbPQsDvohBEwTK8H90Q1NEyUv+wMMXUXVcwWXV60I/8c2d0bkWOR4b QC3qCfBJZ5iu6jyTm1LmY4Y+So+9a45YFMxE3syYnbKOHXS3y0o8GvC2qoBewBEiQumUIkq+Xq7O 2lzi8qZi6d7KoE7+ZB18H0WQ4eF4MsViKB2Qcq326AmyJgvoh7foJRAOxF7z3anttYVMEdIhM4hv OVOsCniugFhaf7uapFlv9uB3X3ztY0T1VtP6kN2U17iFj3h22FJQjYlOsO2CVcZUemZRquKFmzrV 0H3M8effwXt25JNiTpX+zddoU1dgEfroH1k1uLggiWOGOuVlN3Zes3fOeiX5w2kA0dz2AhdAAyLu 8E9dPZ41ogImxT/8Q3suIKQ5LkdzS1kbChOe9gOdaLkNSxFJfTHnvuB+N3+4DvoaxIKfrD/B5PF7 DxRURsJxb0flhQEcdRWwJ0/HMw6ZmaCaWHvRxgdj8hXtLHUw4XzC8P2xbnT/eU3K0OnqTsfLV64B iXec/vgA2DRcj8cquce/CiGEYkwzWjhxClMW6FtqK+u89vsuJyc1xnr2UoCiawRKw7929LF19FTq gyLEbUbmn61A+jAxznA/xlRe6Zf949OZLEII6yeAJtobxzbVjzOky4ZEeqX/XbCE0Fg1fOJKEFnf UDQXX/J89rmYrIKQEl/K7QPv4Cqqh5+sZ4Hy0wunLWnR66piFNrDtr2C9DXffrnGZi8+PYICJXyL h18sU/gMJThUZhR5pUYIJTRI31+GPHGpJ+VnropklWyeSSkX3g/qQg6OhmBRHvzqzTcCLw69mAoJ pc1cbbCCQ27ugfHwGr3SgRqHcvmTtTq7sMWGFFthn01BVHRxXS4qeixuiNfpQWMNUEFRo8zDOebq J9hKInJAXs5yEdP5EfENdnX9Iw3goUlVC/obfLCi8aVOYNNitpXu0xxjjcXRAKtSjrv55Xj3CjWY ubAYQgvGoXkJ6jYjS6/lcxnk1J6n60wc/BMoDX/niZSt0MZ0OFiHQ+RhfO9eEicHytJkpcqD7lv/ u5ZyamhlGluHFkkaMm8tI1kHF/2CpDPuoArsFTLJDWgSdNcdJLnwcAxIwwUk/CDX3wWmxAE0MUp+ ePZwKcrr25qyhTu9QqM6PYoWjulTKSgzsg6Ol/cDo6CRgI+9s48maYqol19uo5VVr+5bhIQKcWnl XciZqM0EtCZ1Zi/7G535nC+Z8/XaX2GeoXAKPcejqC3yoqtGXWFT6cfGqZ9GMZDB3nIwkFByf14K gUqiaz4K9FQlIwk/maHVYMeesAONj/fWy3XGsQgVonAVHv8Q5HgpVQ7Gphn0KB4SdqqHZ+LJ6EnZ BNwvBX+IXhQw3M+WEnRRCsI7UZf1kkvj66/o7eXt+AicJZCWbwtfQRVcXcav7f/kW6n9w3IYHLT/ sC9OZ97i41D9KLj68mR/7ibNVWvu05glmGeQ3ZHV1jWbU+0DvSG6+MO8xI8H0eUzO8veKZ7vwgFr dYJdEpiSb6g8cu5uuUCkyZCJ172uRRaHknKSiuGnaIcqFIIrVy2+3Cd4/9FZSXc4G2dkKDJ0GF1r WAFCoUJsnkzXMdPXk4DxQjycZUwAOo/0N48xj7lgmkARx0XhuYgkD5uwvpLRHMquWU08va0ytxtT BMMoWg6o5MjcUtl0QxfgkhHmE+YnaToT33FBBrOHyeTroVdqT3bnQ70wNHvIbb6jCW0fFoVas6v8 ExqusIASktZeHn4eihwFm3SI5ua1NsPOnMeJLSp0Q1ag46Zw1uouREGm9nrj/2Fy/faBlliM+JcT g3k9VcetsTwBuR02Kng2rcvVUOUWE5ZBnwrT9D17FEbpUgZLcLhd8jhYBkn7qBP7lBRWBuDTs69m 2fp6wru4SU6yh8mBk24j/K5qBfob8sXGu97DopGxtJ6MeUZHF6Rs8i8ED2ZmkLGJZ+JesI2Ad8Ld jyt/tzandFTsZc7rS6iuWPkHycUq6dfE5vmSWkVkFiSsR3Yvr9hnlZjgfE/4wRF8XHR58z7yF/kN EwYKrhP52E1xEd11v86PJ0DaYiI3gZ+DPyT0FNufhqedXYbaOa0WpXrJqV4HeBvysKkZRRDknf+V QRzKW6z7c7yhdQCk+hiEIRYJp0XcsEI5ec4JDY/ziqyjQo95flzAIBqXje8pYMukiPQPlaJvZwWL s/LrUSDUdtJJX2KCwloxsHHDbGcox32mRWbk0LS+vv3oFDwFDF5yAESHgH+cRN8MC6xJtKT2b3Bx lioRtNjoFYPKcb5qA0X2RR3hjebrDU4Utu4T8VgbPUFPWFfEPK3SCINiggIqxf9fWc12NMnCdT2o BWU4gSlcTtvNQlv6vpf6xagwKX7l+ogWNcYDt8lvlLFfEU6GdXE+IL55CAoXHlu06hzqZz5VBdNH Yi0txgwd6yRIoqLI7XrDJ9ofRRaHQjGyEOjbHwX746ca/xBF7Fnfl08wgHqnc6vwMG+SYqfCxQMl KS3F3V21oYcpq5XKhUimvco8uGEICOr3GO/IekmrtP4eNQcJXH1BHANMfGSXfAIScU6FRDlMiTeW 34xZD0nfSbefghSBxcWEIlj89KPtdcrviI0ZEieM/BbGZ/1EQtqgQEpXuIt5GoKcTnQagg8ewUtQ zE/tAQTOtp0CmtLsNTVs+CVEa2zXtW8R/8BU2Hg968Tqcv3/eMybaRR/4+gryBceRd6G8ExDjUK1 Pc2PICUyEXHnImAzhr8lP4Xvy6fXokMoKaOyim2xaY8rU5j/krFbpOBCrGG3NiI14N1xJ2h9FRFp NOMpIMpCJKinnFuUoVwkCDxi8BuE/bSIZjFf5QbHpIwoSrJ61cbFmJnchTKBAspliYqzCZinoYRu SFoMR038/xXrzUxltVtBEqUG+DjrQQRDDhfhcDH8qoG3Od8GtvFyCu70YcTBGYjP9P37PNz/06DV KHM4433OfsM4WiYrdeIikdOeTTHSTRWesSx/UpNhilW1lkMxuIE15Y1cOzG8dbTwg0dO7pmRDvGT ocviBjqL2eMwxAwjvb3CyithDfkuVpuDwvwCDUS67yWZ0DJHGeqES4DotxawawvsvICU1iyvcVZQ OvU0Y1F/B/E4IoYDEMHqmXMZazsYdlTOEPdj0Iyvr7TlG8xSyHxtKJ5+3SY0Cwoe3jM7CFD1kW3a lHILYAcrq1D4ANkWzogzoEewt73xzfPzKkiE3X2hx3lOoA65UcUK5bUrDN3xI6GnLDo2/MBzgA1v Y/ZJ4czEmbyMUOPivSEr44fg+Au9M8ZrXaqwI76oF79xhvIciGN7wSS09nVNyoMQW/jWg2yNAE/U k/cVLUMPAcLNIS/i/ywO7wUjHXRtA8ZxyjVjEnoslTW7faioSaOzfA81Mex92fZInVAWARKi+fsX 0mgeRTrjC1+w2BFZL+6tIi3yHBbav5f+Kozk4x/fH/9r6jhK14mBYlQ7ioRwGN1P6cMqZsxvEqsg PT+4OTZ+JH6l3NsQIgf8Xl/wZ4JWeLZm2bpDL7rHrlOJ3wf38vkpYs9XKhnspaTnUaJLiNhTMkAq C/y93W3hZxyUytLFwXoAJr0jhCxbTvJsAqPHqj4eKzCCVDgZpB2dGmX4r78u374Lpb+fwv/Sd5eE HGEmF+TiOEbj23ArT2cofj0WOsyV9xwut7BZtNCcRePsmzvSsTFqjqwldfXTIMFOPVDsdv/Nm1BO DxJOojzw5BXuSiUCaL01u/3885Ssdfs+LbYpLSgUpf8T506W8yaZWrUc2t+pbHK9r2StYLk/Zbc7 lJLbsnzYB51qlZzuULJ2aJmwwiBOWtO4LQwkjEtqorVkZYqF0siXj0kGbFUE55UmtlEtWDrFmEg2 YiX1Dehm+spB+8Qdc22mDMwXl8OQ+aNo+SyJN6CgUaV959lN/+VdPh6blJuUyn+8s0HQw0xd14Vt D84FaMIkVnkG4FrwSEMMxg4H8QoPKgjxVGQVkcRiDg1uEXMiRVAeThMoOBKy4a5zyHtk04EjbjSR rNeGIchKYF7Lz5K3rAbj3UDvry15Tsi/1/7knp2driLBMOgYO/PZza2qAsOlOPabKaFBy4RHtlZh fOQ5N/75lC+K1UhILpu8cb1ufeedWWf3sHeo66G4rkGC3Is1LNpjsNOBtTfdmHciFGZnqtRGpsCZ hm8iULKjx2mnpWaeoNbKrLJ2UwuJFn8ZLWta5hbWdBrSZOImNWCaBbRNfg9CE+xTKs0JPgWyvBxE wNPmDH9fMhVsUQHIj9YXQuPlj8zYE1V9D4E3EGJbA9vqOqGTfunis0f5+HHI3Xy4dPo77s3Xq680 D4zTxY175LLsYeoesTwA8GwF26TuTflYuIWJxMoFiBx1I3DqgURMnDXIX9Z9MbnlYWdETSpHGYAy +qaX9Mx7cocdv3rZzVJAU8N4m8qczWB5CKOYRKDKNeOc0ZDtdgWRTipeopPrEvy4IT7PmY4Khtxx 1R186qk5WmC0HXtdFp7z7/HLQc0Ywze5cApMUqdSVBZaNRgN3LJNXOLxX2G4Hk+CQZbPwYr0GD9s JtPGlCyTWJhEOl8+XNrcuvtomQgG7ExCUTxeOxZAYV5M4vsqVISbvSif6wV0S4bcSOwYAvU3RkSB GXPH7gaiOyVGgSbOKDVsJ7AWOuyw37gwD5ADY+I6oZJ4xfPhbN5xNMI2xm6JUxpUnfehMVpRaM2f 9cv/Pm4ispOCI4vnKIbYdk8rFk8uNDmFa/jcBuU/PBIXsQMwnPtfDBhQeOb/aQnRievuL5/YzFwv JreL7X1L6ECu6FyAFwfie1NeJbmvi+IahwCUSLWWB3sjcxyy9xm7FpKOpELubjGDmO9zsPfiLB56 vdEruDUHTsHhPoJgj8hqjW+CXOKG0M+tmpTd+epR3EN1B559jgE1cp/rNykFNm01KW+l8zy4eFms 3KOqGihUiMx9cHuNuv4EvePwRogLEVngOMIsrwXc/wO8K3cySwpnsy1sj0CrwrR5BPkQgBXoL9DP EpX3hPni7n7odTJn3GiPBOULHwTpy4x3bAEiOrnlbW/M7l5Yt7sMrDJ1jwWkojZNvdD42sDPGa7a /o5vAkfbO383jA1zxkyB+akyn96prj0LRCao4UAuriZcSaMXJEwZ5JUCfGX6IkmlD3x8dzaoawb+ ks73LiuimM2N14ClBQTNBtP0UEBRREMEwmtDhT4vXw+//QCaDfmtSQTNMj8tZAZDq6WrVfPFnpD0 H+WkQYQhtky03SOxgoEXTHIfSC4XvtXqi1d06KZC2OSd1HVVwdEeqpq1ZFSZO53xVflIbQ7VnWKe 7I3ElP+MVLHtUk/I7IT76JXU3JXRGbyVFZGsS+tGoaerY0ZcmFfhagQ7CbfQdezfDxOHbjp25y3d B68ozM3mESRXCwsKgIW+R72QfzI0YRtUo2l8d5qivaQYDnFpWmXg5bVocVDWJo2n1iw4n7Bb1qIO 30L78NXYSbWIOR1bj3cDHstUtmjEc96wVifDfNyoHy7SO9xWqSIwu5gkfdNyPZdzNVblZPBkXVih LLWhN0300JZbMlaagin4na6bHtHFu5tm3dHCHXrzFrxdJ3B5/s90EtK3BWciBmiGEcCq01E4DuRL 6iKVKWTDADxGAgwF2tbcceK5pYuCEKY30y1Aqz8U21nFO4Jay1df5iurKc6ITbiZqPPPpOIrkQV6 SnjuiQEaxwegbXBoMKwvpMngUEf6jbUndNSfKcH+AbyMVwSnjz+BJui/gkVIEkDBdHQyttNflcW0 oOvZ4GL4ceWmFYOu6z4XH4yMvf+fPJ7//bi4dWF+Bgk36trYcf/yKPHeHzNNqhQcbRbcQT+Nb0+k EG7cTSIMB0eMcv/VHrzKS8qQX5xpFYbaJMvEt5IYb4OQk4U86z5RB05p9KENnQOKPtBO+hfDKgX9 RDVhSzfNWxJGwPco3XlNmCptw02Ij1w2Xy0+ER+kLT14ARQJAj1jnZJ14oy7aT2w96MMbKu9M7JY E64/KeHct6sHGGQ5A1S8n6emuB6wSX5BBkoWsYbMsXsp9nFvbR0oOJyrUd0NcekxWOcBsa1NF7rD gzF0tbEtUJmnpOG4yIckEYM0Uum4hgV/AHf5sxzgorEA0Jeg435rncyShGDxzeiAqfDoEZrQUgSj Eil74oSiiK2ojSLYC23HJnyx3lUKY5u0bZgtqxkSuloxs436lv/mvds9szvpKpGDsY8BmQYDBN07 zmLm4nzW0dhBXrz7wA8IOS8kIWVe2JwM7kKQ26kokeCyM0AXrxYpbjXm1mC9Yjm7zvHMRIDf1GRL IVF6Ab9H/tQwzkpXHdaorirfKXVfl+wZCBlH1bjQx6YtAj6MtHaf6Otwzf3roe4xDfYGc7tjCy/G gqxL5AU9OjuwvZCOjc1i+sofR3Lo57PjC/io1CTf1C6EJsKY3bguIvTTCqt8tzuIwtdBhkgSHNP6 S5UhYlHQUtEIyB587dQt3Ho+lQoWWeAVU98q1kMHkjxdITqCiZfItxETU/qqeayhyZzW1xWiloBy Ux/Q/nFnFVrwRu9LOkuH1bsGLiSNoeM9EDV51kbVghPbCCYngD1F40TWDQsbUYt7GY1cxdK9ISDO m+DHPKGMr9qbkac6TmcfslH/HhMNfYUubV97H6WTllQHyDf0QduHvOoJ47bMUWwYBJT25Sd3Xx8u aRdOpPJpEeol/r8ilXroD6W5F6OzqLzjhg9n7uE8csmj1VRuU2whaIOSLWll+uG+7TbGqZw/zZZE 9+yxhqCykyLtInuvbOJlc+22IvXnUEy+d9w4+GMvPWCJiagJJIlMBwhGvkROV7NeKyt5Ffo60Pl6 t2SaXgXvLkLJMRW39equ7WO7Y9CivO6Qz1zxYmTD9JE8Gjj85FYqY03bQSps8BLyHAn9NkgWzcOW MWYB+tKGoUrRhw2TMPuNoBteqi9HEI4duZ+mlaWoZ+6jwp//Ld6z25RGO38ip51+53MTn95Xby0S NcK+dhI8i5ACcTPEfh8/71RyIBHn3BNrrh8f0i6sl4H05ozgBgkUGxyO9onkv/jyz7UVywuz9XwZ odUjTrAUDs8pAOAkmwXMNdXS4Cb0beNEgG+ENFPoEiRmOgXmmpiJg6f0BKzODDCGdOOTis/bFCt1 QgapNyTXEgg9GtSXq7LSkzB4hoRIQV3myxLb8V51WsQDrwSAxgIP83K9MRTpv+bi0dXY0hfYsuvn WURS7QnxlJ9KGMaQqbXYzv/ru0jUFwD6WIpCnH9cplrq/AHx2kHXChpV0dQFHiRUvfb/FwFmn0pb OAsUukv/DindSs6Oc00WG4lRJN59j4iTEdDvGm4bb6DWzSWfFNj+xDb2cgBJMIKPKqIm/IUyqfr6 Gcv89L+gAOqw6b2ln5J5TfPkXqZ5QjRGR/OC9WQjbKRvOUuOIXRan5/LO1gndIPhTqrwaIUopZbj auf7kg8K9MC1A7cEctocE2R3mgX6caIXfDdEovQB+ySvyQwXyMNXlf89LX1dNi5hG5m6Zzk2SypN RFaDizKER9zn5tzswdizWS21hOYh3fylR4zqThnVCXINuntU4hSfp9LFnPab32EAhrAWNBMTj/E3 rdOnzQ9LSuqyxpLRddGpA42KrPlk2kCrfWv91kQZ5TQDIP4CA+k7PPPNvfaCVnLxVDZ7C9iXR5c7 pVTDBrSvfsWyy5W45+PvVDl8HrKVTed1PEdWsct8LBqpVPxuzCyLPpuobFd7tJ+QUuvLOoq+IchV FhfkohIkxRZRU7bqwPrQBnSGXaI0z9stldx0kmlV/gHKP/eHOb8AXRGHtY2x7cvpfwLBqjcimWHj xTU4sAdsSFmmZn4jEFxQox9W/KFelPbIKvWpZufP3+LVClVdCdJMMeuuc6e6XFztKIAnEppQl13F 1oGfvG8s6/p+3eCf4Dg5vElcVHlYraDAwkGYZkk6uCwI+KzjKckTWUPriowpFinryftX2ciNOr7Q pUiO+tjS0vpRiDOBTmDRlRyzMl9sEMDgUKwUMA7vcy7SEbTu+tqtxsLKHvz5IqavODn/3orcNHJz S1gboa468FE/qmWcA7S6VFTxFQZ95h01Ekh69N4EqgmxnsixEe2I5Sa45VAUSBRG8tvpT0adRxqj mmKyWwYGVS4FcguwjqXXX0iUDcvuW3/ZrwljrS88DcVEJ/K1IGskTAmr3rdh94RakuEeSSzgU3Q4 QTZ3c4RmLQmLbfe3RHEE+ZAcv7MffyeuQt2G2SxoZJuhWvhQSkMs4yzMr0pfo4iCrF/ro1qy8GbU Qp+BYPeKiRe1syiBoufW06QeMcNa5XoPYwqt5ueQzn3+9elpSIBXyqjsw9abNIv5M/ssOYoEL74r nPfiD0pmHgHxwKQC6B41eP59VKLmcNF9j4A1S2z5n8iyM06IiqT2PFBzzJe04Z8l3OZNOq5vLNgD s3Kl5m6p4fu/nFqt5kkfdvbxaQaNDjJ2gROhdK0inlcWTYdQpyw1Rp7znmgW2RoKdz7g5ioI4FeW AS29RnGxCAGB/grmzfuOafPFW2xlq+jQzKm9uv+ri3AkODmFAvhjDpy3Cy4Z3mkMsfqqX9kzdTLO o4FmDOxUQ/otIoGjkSMCxsdJ8ufAcr9SBVRscIXFTU5Q1jE75VP7ppdvjxLEUoyONaaZYyhASZx8 fwdpQvpfcXKPY8DSiDF9lFz7a/zIzP06ayiAfSPjC1leWqzwvQFtiuXAFAeuZ/Mtd9PEarv/jxNu iQ96x32+SZukmv/iJYzOM/zAxjFGrckkz4YUfNwqwArz7M0bxvjb8J431Vjc415A2rTDkt4jeHi1 wwXWQ9P8Y8T5tWyj5C10neDjFOhFV+LQLipp3HlIe/Ca/YJbg1vUWqyXqBmNnQfEO2UmSqveNpUe cqzxPaiL5XPt5+Nl4u7WU3AnRmCI+2EHUlhX660gEwNNU1OYizGg8kBQgC9Y7i2tNltZX32ofNjX sV7cJDMn8nxrxOwxWLsg1/Y9Timv065Y64JBcbyIajLsZDsgWR1bojTRfZ6HbeVIIXbiSt56+wjB R69WQo3aGB/Ha7E4Ak5CgLgBm/gzJLhxDslZyd4fLvaliQEUNDDEkm/fDH3yNDd4kqo3wGGu2t+B FqQqOGmv3rSfUVS1C/M8cP2DovDkoSVDitwJD2BNnxd4alcQA1LOYgemGg9khsJw9JycJRNADXg+ 1pcG9V/gSkQYwZOsdKkUensqb4f/8iQ6j4YY7W+pSKxj2bbu6jyUTcMWwvA8FUqLqbqwTyD023rv TQrpZTQ8D2FJk9VEwlKe8eoaUrPVxcOk83bHv8S4t5Ixs5efqE+OiBqGAMl1W2lYtLs/X5PYbvMn Qp6GDJLQEFc2Hjc93PNoHnxtEZ4/CDDQ3HS3og8rFaH2qBt1/X0vnZ5MmfyGeIcYKnw7N22f7lyn u+jzDgfgxxaNYE+MusBj646b2AOQqLq0iwZDMXHreVx1h0Z51SAq+0q4M5vE9KE64VeD4CXU7LMH exCfiTH29696/M/1ZOkwEfvc0UDC1P3jsQKehyzNnWyG2b5eXKGg1G/MTDEITys9StpXow6Ym8P+ x3ho0UKGApaqNLrGSNCu4kmOU7+ggMSzkQ1sYWO21s/XrihChkRJziiFGuefNjJeNWW7UDU6bSoL jcoIMu3R094Um+jqvsuTjvR7kNsFuswBRc8E1zYZidhXWxfzaxc99hC6xV36rHTKCGpcr5PCUtOR g2qwnibj+aFzGePSa2ErCAzNdXcAe5ttbjPgceoENKfXFiL+B9d0kUSgl2SBgD9fRw/EvYgkK/mT bbgTdwguGlfTQFuJlQANHZNNt5zxYWBe7SFLiY1RDH/d2Lb20JUB2OECZlKyJKqQcx6cmokRbZSm 7GoOyQyHsGfJRIbXviZE7WXmi7nOCjwAxaN8iTcfBzmiBhPOMVxEJPjbSZ8o5bOrUPuQ284gW38S 7kHoT9aMDSVyPNLNVwhf25ZCOmAZ/zHvGSp+IblIGu0VhBr/ME3Od+4yGMSK7rwoR2JwRMMRf8Dl 4Rd/vLvlUMPHQffcwRMDh06vgctL0JdVfKGMzqC+GnOxAgLV+0Cotefb3pmoNUbqX2DAa3t7dRNL Md4djnCd2Sun5oqAUPiZ412NG7qqTq9bIZx1+l45EdgZJy0EZbkENhn7Y8Ly0BTiU3Iq02nZKki3 1wOndJSYzDu4GBdenINWirUjaz74BJcq8Jk8FI+gPdhK05fwPaXdsOSwh364u3e13LSwUZ/ekTt0 isKYivY1vSm2IPIXFZGpi3WCa0xX+McGFh02kS6aDwkLDX6OdCsc+LOPGZt++IzQCE93sP3t8Zdy urL+eXM/Hhcc5P0xu7sTR+bKfgD+YVNy9BQ6JrEBeiXC+F1+nqFqCCLE0NwTFVRWJ9FP4VlJgj9n ho6cLe3iN4iEEi+IU12l/JkqKsFwtY6lMzDhUQBJTHE3wutAnW2wxHEsO3CG7496FwUI+gUtevv5 AUE+XKZ77M6MYPy4w3cJJsHeA9FJamLg2OK/7ZSYU2Q6+zE986eGFlFJxbIyFhyWLqR99rnBekzf u12ANdF8phm9KozwHWgzU/lgw19Qem32bXLEItnMmKpzWR5AJEUjlPDTUA7bn4AJafHF9z+GY4E1 gX502XsgacYrnE3PKhgn1e3zwPB1p40OYbzeGNIN1YTHPqKG32MwDyvz4BrL0O4RxclyiLCQdKEE AtJWWAMwzgRJwuRBI1BHZqYEA+SFz4ImlH2GEeo9aaFVehl2/dwMvQMvTNsQM1PO0Y7Hjo/vTVzX e8N2q2GY8es0IhA5o1TqhCQrNSaP1m7U2LIiXhmNQDsosnfayIO9r0lclZxPiF3AdQ6efVClps7+ XPuAxNUXYYR5nB0H0qF/05q4yCxY9CCD5JwsIDgcHWZwRPiab4mD7K41vukIgzXV43c/T+1ttx7y 0fPhFFQ01xvpHJjPmTVWd00TtK+XHZP89YeLdqKZkH2UdNOfkElJexWt5dWnipiQaO+9z+Q97hIq QRF24OyJttuDyzUKJqMZm3CHPu9cVNb43MAnB8ZnfdhcBOffBUPoQv6Stj0X4r0IthgQyJCwNgrS 7ObMeGGxQlDEbM825CtQVDfdQlfZ0LDMU5DOP3pRUmH0GnesjGdiGEd3EyaUNJt2F8TJR/I8z/46 YFfEt4gs41hbxp5NEpR+j5r0gyudfI2nS6x1+w9D+rUALETK3jRcDJkEwLNoFB/z1pdPSqPiUKIS IO/1LLPq38z5+TPRY0sDyTEUPt1ew0ors98q8TYjwWWO71wHrKH8qCEq7PF6AVdVKvRyUV7UqiZ5 VEYd1LgAbeWTboK0rz+tA6wdv/eMob4vmzFsuYZHU5b7Ju9f5LJUCGZaUeFJRgHS1eir2xzdLU3M Z5CzgvoaGospvhj17g6B/HZerhi/NU+W7w0uYCN/PE6CG3eFfqR6/zEhPm9ypkyJZgRZ5eArn7OI jISDbb2pYv903liiNvGKrTgaroO4ijPPXavug/r5VcIOM8YgXPqvkFe0WCDoP9Ys1YILK1Yy3hft 4WvUrH23KpekrtuESkLC4bPjJR9EOmtnpM1i9DvedwXJmc/u0d1ZH1MbVrJ78qjF85dktta4deTE CsW8+n6AwQ11xaT2HOZgQjz9knjCHCWcBllRoG8d0BMJjJL9feEudb6UAMBnm1piencR+jvvrNbu gCcy/fPwodNZ5ehA `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_hdl_comps.vhd
6
15036
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k8deGaR8z7NycwZjXgma/b48nGjxfnTqNFnzMPFPrS9HWQhMl527ChhoNtsNjLP8iWpRHLGR2PQJ TtaVQzn+IA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jk7/bS1s/szUyFN8hki/17HvcLDAjp2p8CdXSaYW+AV3RF8hoUq28B99NOvMTP4jlOrEJOBagY+l gtBlzf8FZ+pGQ+1kw17aT4AkQHlOzglov4PnaUSkficWr1dZ2JBeUqvPuD0IE7QLFFqRp5/kALki RX3OYPqfXEqofNwjt9k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block f2AZix7D1ATWf2SLpSL/+aMSHwqJ6HzKQM2t1j4JH4j1qRS5ee9CMHyunFddpBr/Cbw25gsG8nYM ARHaoThd2dLh8XKe2nAQqW9f3n/LEUJ02ZpmmTSfy6j9w942PNM7jhEJYT8XGs3v5zX3a2drxbX8 qOoxZb6rLD+0sjHbkgAeB54KaP2zDyJyNhyUUS8Qezl7awx5F9VzcWESSLZfStMwJcpeQfJChDKs qp6435rnLjnklVXwaShwK61bcs6IoDzkQBobUi5efw6ppzQZstX9hqgzk9+jLy0/PX/GoUPMfAhB BsldaL306S+HdXyvIadw9ywoyZhiMcgcyv3RxQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P85dwQgKtKEYLbVfP3NFBNEK04cYkNPFGN2C54O9KjRzMFiM9kawrlymNoPdSpWLsCi5G8osI5bG E/cPDUcz2XR9+BQ9uM0xin8LFt/j/HBN0p41FfFoyLu7kGhRn1k2BJaohAxgwdBXnE6gFNIrStMF em4B/ucXY8jshdFOtxc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Aa7qEvuSWAXpNw5mPbTaeqAL0SuoSTZQ+QjExEfP96AgNEo6vVJyNv+Qqo4jITJHsDnXFaGf1jxz D3aFcA5hRKcInOHkD2uO1TIH85YccilTaI8QOMmt/u+L+LL8oWzA3ms8P2mAp4aIF2c8nkc+1hKH tbcISjaZ1gUpy5VPyRP3wfTfwt/TV6W7C52U0ZeWnweuVsTz1RyrYKg9wCgFUCDRhdDiXZLioHK8 Ez4XYiYb5zIWhgloBDk5W78WugBZNpLSaOinDnqCstjRoF+bmsToD04SiIvozkY5W2L5+DUITN8D g7i0Ky+nCRd54ygRDxs2T5g/DSoIFy5nrW/pHA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9392) `protect data_block ClXiB2r9o3DJTNCoNdxr/T5Vb4lInmWYO7s59Ufk5LOX23OwgK8taVT0Yf88saQYgidqaNtcEuDt 6LX7HvGBR2yHPDpZ60yev0BIRqfXDgvPWsulR7eYVVa8kIktAFbrAQKoyhiBSNH97BrtzwgzzDPy 01bWpZJ0k9/CBdcN0IJaJhR+DtFdaVSIoJtULvCXfrcpnvB3XnW2/odZ99rJd5vg3ndoN2/uRFaL Sm+ZjDpnvkfr4moVLG24GAA2WO1rG0vZ8zz44HTHJ6OFt3ZOUE5wim65QTKeykJmsQuuTisu0Y22 nXirjFvrIvLrhXFfni8cvkb1aGVK4eU6PJiPRfifQWeGD/wLppxdhcwb6lJzFQyViM7uh+8Z0XNT lzlCb+1g/985g6guFBIcz1DqAvzJZcrQKfA+WZJxLTQ3lUABLJWtxtoljS+Lr+wTaeud1amBUTpf zZJARHH+Nn8+1y/8EzhXf5PXCw3NxZAHxQDykTucjAj1vmd/omKmH3BLRR3L11bSaomBjoyxWyrr hUW67mKbsKNbhLEbRP0kBqLKl8VGPDU1B72w3G1U3lr4eIwpJJov4+kYsN0KR5zCv2K3jX8AQtam SvsUWrD6NULNMitl7/MyN4EiKY6kdhMMr0ZPgkfpDFDOy/MuLraT2YtuNSAXcDelUwW6umGYHQxk pq/C4Opc1fBfnevIlXnwl1LdPKA4d6bIC2FqunMQWNmcRPFASpe1CgKHZeu3kNg/+VUHip34fchQ D82rSjXpjVW4fXTJAubN9hbnMv4ahI7KfiidyjtbuA8QVOOUf1QXFIu+xDyIaljQ7rYjf0R5VUnh PfjnLlbvZR+jm6PKVFcgAM9L9yIekKdZzoSZpRyT024ZehHthXYZvBQ66MzqfEwa2UB59R+EPJNA GLv5FOMqdTbMOmprkaSwE1hb0KV1DSu52NRvaWNSWtvW2/X9u0fZm8bkAzla+Gch35MI7HCXMhIi PVPS1VW1KiuGOAnmeaN9+o2S6+uCgHjOYGySfgBgKUjHcIh8d1AWMmtBTRT0YHbF0kJTOaxnGzM4 +8cohnQJsZ/UlytHx3bxoH+o2vXX4Q3kpoqmJq03UeM8vIqOmWSnGeL7NxuJZyMpJFvP5HbSeKSR FDJjEAP+usgyXcuTI4iJMAiena07MCTrkGiNsm441Q34a06Y0gFOGzEWyhLvItCcf8BkswqMT9M0 vGPZVLSDWN//T7AwuVz61TuLsxobaqecCxee4pePECrNn7qSt9XjtN6b/e0vfiOQas7sPAJ4mdmS Lie0Lagdxcl+8wCf0mxTMZKKneZtJw3XbD1uNcyQ0hty1yZnS2aAyc6ORAqOUieawJdHKJYAnDmS HQt1NTTaZ7+yc/xjX5J+wnfZYet5tqhyEY7Dl871UxuLYoBvL/11x4Lh97c6SQfTGLbLpvXZWBM4 bAW3W0d6OY7cbgz+i+VSPSDZ+jygy54SC/vj9nDYxu8NZCaTUOGv84TlyvBMUb+0MWK+VtW/yabp ROF2kJyd33Owjtk72eelLHKx/soL77Sb1+swVNQ+hde37HK/+S6wJe9GQH0EM4VA0eqoiADzgHyn i4zZU224hvTeFgJPYndf64XsUSpwxJYfsrO7bzdSGk/tPeyXMEVBQFwysw3MZBTIOAHFmMorYBfq i4x16Ev0zCc4u7c0wzhfoxk7QzK1pIUyFwMW8w92azOtIVw4a1xF8hj6RZUzHFmIU0a2AdxH3D6+ KdstcbGg/dDDkgZLexn/1ensMtDTetHV1IYX1a/qay8r3Ra+LFSoMnF78UmaVhs8/zJoEYnITTY+ p477HUwDxVcO2ZA5kIK3JuMl94RSOVCVgJ5nfGAnaeYMpQrDzhzKL4hGouZRmdel6ol3MrR52rhV qey/kLgqrEpmt678XBdz1P8/UHrqtUyPosajokauVEKqJEHgCmXew3V4SgV7RFn5Ml+ttZuNuivP XXiZyUgQnKuuPMA8O6UN8a7WwoYIcjtvC/i5MomaJCqv7Co/cC56jK/OjbphBRCY0IuVnWVlDgZ6 /fcXJmnm6iRyiDstJYWprLUuwdQia9LPd0UJLHqpo7Xppg2XQQ/goMIsM9G2rspbZVZJS6n+rd8o nuEwIucizCdKFy95QUoGZ6ecTzZ690w+iuRi29yndrGCDeOx98D5jHYtZvfTW8At0mVWQDyOcOMx /00RdouNmiCN0H5IsbFxJ1e9FtqffidSy8wNMhwAZOM7m5zWgYdtkcRM3nCshPRkFVWoVZcN0Q0m K7MCMNQerlr4RrG68DAKg8MhAm3/MzdVR9iBevNsXilNsINgcjNZ9PxqNZIszOHgBMpzq0aM1TS3 sg9pZ25IcbSE5F2mjYaUVtd9NeNEoo+SqTd/nsW+JIvqBJi6gsY3T9o8SY47coaoBwW+u/9dRn78 rXeR88BkTgKEEvR3ZUtDe4Oj8504NMaqDRLh75+RONrT82RGP5FVGc18FpKt8rO2Pkv6AJPaM1qr Bt80Ub9klAU/tMMDMqYJOoII++hok5/yNfj3KgtDiGohyirmtyplL5cqYV8mz1tEnuhZeamNBtG/ 1BFS4yD2qZvDh27LA+ZjwDe3gzzlJQAmkDV7X6ADsjlCBcoC3b+j3UaqxuVmdNoMw1GjtXIXw+Hh 919eGmTowASDNYo0dPWpRtwptsV+s8ytL1a/XevdqmvFP8LjbQOoL5a0AHpnnXizpXZQjLoI5joN ppgff5biRkjS4ul455NGmorUzCWwCvauU9rGCr8VYu5w2hWY9R/NvnqRu71H/QEB6wae7o76Su48 E6F8RQ6u7sdEBooAYk7NuJiLQW2BLV3W97lck8PnH2uFnJFbr541i7W2s/xI13yNgdYLWYVZcc/s +SXXNDtCyDTf9JwmV0eH8bT7B/N4RD5yH+MNuIUOVB7cn8vbXmXvWnPJDe8b7oxh0GLwQBTCbilL hJmVdGoX3zx5xJMxxDRLvHHz4mGHu5xR5Tj/sEKIGLX1GX172Kd6g9/HIHNAyFywpJANTWXqOjJG TsirVAlR9vTJZRC6cwM+rDSo4SGpP0ZGK5/e39BdmWdD/vbuOfOFFWqK00lW/dvTqTEhyNMWn09O aahl2ko99TliYaLhU6vb6oCsz+Es/KkrfG/AEdSgYYqrwZB/YctLMcC+7d3nOt0dRF/T/AzL57hw lj3gxIv9yQ32yQRn4R7StSANypHeDUFsBSJYfwlNLK2AYIf2CZ9E9OWoixWOENP2z7tY5ssrusHI 1dxQLvUamfngupWnYGfixTwdVcPTh9fuI0wAB0MXmUXOWRuIbjdBleAFx/l31UkTE05UncRXX+BW uu6Y78I8UTFUUZ13rHsyCNBBfiZfWdUVauTlYMqSgReIbgGqOXdsUTaQ8Nmy582E9LRzyg/TvDRa kldl0Asho6XBbOXL2Q3egS3HxE5/KFRuYA5oFA5qpS/BklyGaXnxgDaOvTr4d4pYhrLvfKmP9Uwg y5OgOYAECrdGzrV4LjCUQbGKSpK0jzvshCReWN+39EEZK8JhI3aeAdIP2pxWWNBkOjJK8XG1PhXK a2S2uQjtcbG1Eqjy+GPifngr1gkePpar08TVOEpozNXJ+lZHYgm6z2Yh+xw8RskbdN1QvIZoveiq 7MGKsqPtxg70ICASt3XWB0DhQ9tfwLdOz92gwgJCMC+11Lgw+1ay1Ac3GcoSHFIfcyNAGqlbqTQr ie2zFq4w03fT0RqIWPZ9vbEKJtjgZIw2Gtd3qE0t7qyO9WqYLa6ro8XibM8c6n79QON1dPQZBb57 PCzFQTBsxwTCFSgFDk7qgnPHl2l7uZM3raGihGDxZSfXBI6uTUWVlrAkiyuYbmW4HtiwxKKVU83t 5r/6f7ye1YnH32RE9cIiOZVdwRSJvO2Ac4eNrTTv6i/t25ztoisvs36ZS1zKcGYLLvJ+GJN6FQK+ PiF+EV4Z8NFXkpXqXhn6QnuleDFNXiPIZ57XpQNyM5BqI0K6bWWzLSanMb8jeruAB/AHZinGBwEp JlYKUqTe0CGMQgoDwxA9xLWJQPVC5vQ0fNsh5SvswIe4xK18m2SnNM/nUsFfw+dO8BG+49apCXcr UOal66/JOJNGzrcL9w76iaaFKPMOob3XZgknD/xKDyWAc+m56C+3HE4QWamUymIdHlhFDiLCSHjA jK5CBrIKPMbnzNBVlVQaLNScfFO07pG9sMQNz2PqT6OGb/auL6vjjCTMhx2aFwkG6phhIAXSQcW9 F0nouz8wfFm1rMaMFTDjjrz00s11M10c3ezTf2HC3Lpq/BgCmfVgOL7ufofvDEyQZuYshhDw6BbD pDoZafDGO2PYZcQVDterxpubfDKBkfDmrSqoGdfaSadHpSG1jSy+jjYY0FmaLL7pGlkChL3qcdot 6iD0cBcNpE07qYZPAQRsfH6g6EwS4IcV6ekIkPpCFXQa57s4HIpu+K/zG5DNj0RaIJDpkxbPx5dH hAmtlLmQchdl58KdVfdOx4XEbiO4LADuyxQrcjFEoRgUxngvMIScH/GzTk4MiaXbALtJuDdVvhjn OWvgHD0nM7lDk9g0m3+ZcIIsTSffqgkfEVHHSiy8fhtYhJ8tcnONgJJvn1qepXcNddHTBWWaiDWd 079LV5YEcs2v8BhoF/Q+wvv8cYmwP6kmmk14XKifQYEnyf0f+WnF8uMru1+7h0KVtcr2UPlT+jIf HjNlzbuwaR+2ydpdoM52rCOY4GrpAglpCdoL7rWKrFPIS5aNLulNPEwYPdIA0XUY7vr+UONrAXuC 1/QQE4JBqT/tVzTYcqKttbRclrjgGSev84+TznruikB6Zy8jOtkJBy1eYdPBHbzli6bdzlgQ+Zh7 EAcGY35YaHnRkQtcODEdf1wjrRlxaB6SE46/iprPu4XAbLgonHlQmvJX+Xbo7oDmSFTxSgvv+n7j kT/eJh9W0xRrZcQ7JSZbuOV6YyBsMCw92MDPg61vDB/ey9H/FZB/2tIJK09O0+Lkn3frnMAPfQh0 k+7ghqopkd3fkQv44n+CJJr5/q5ADJE2M9lw1zPpEKWjYHNm456RAFHaVDnujLqQXuuNNHJ62pNR vDrHdHbIkW38MrFmqnlFYdZ4uOxUtdbdVR3U1sMieaiWq5kS2n6R6vBXIVV1wR6AD6WyOZwd4vvs ZriH4j3tJbTCcFX/yd3edlDYMIH6pElOPhiAe5VqzrHiPVMxsw6/ytblsR28jjVJ+V1ZZx/ZBxzY yXzkpVJLplOJRVWVd0XvDY6cEwz5nbJIK+K/t1Kp6Q0nDeXGasqcbFcsWo1Z37/ABbhHSVUijXSM qv5pVyB6t+U7D4RvEF9betp4uzEbzXWwJHbFXcWyfIkUUeTJACqLdnSOaysM7kwqSdRSwYlkOHPF HaXZdvCUR++V3A+72L2zA7K2/wFfld5HWzCJpHJrVwdMWcXf78Q8/TBaE20Xh79XmHuAXeOAjzwg FsD1355n03wdJll+cenD7CHzKCrLToHVNgv2LO3yRJVDDMayddTPomuBAzTYXpIkPgzRqmAJRcmD NLXCnE23rc2cwBdd8z0bcQuKnMmHMV0P+am1WbKBF1EaMfjFZzS+Bh8nAdswS2WdteEgbCcxcmeS CP1Sdf43eaE6G+5yhpu7RN+fYTJwNRHDs2eoTDyLrgCI6I4KD6h3yws2nqcUYCymZpY0Zy3sSm4W vFFGfsiLvUVCj81i+OTHFmtHsd50tfWz00SPMWXq1NOBefgZ9e03jHIoeS5q543TkOKC0KP0ZNfV eQeni/8vO9m/4iVK1rjdw9grU8R32BrhBD6xtkby4XuMpd9BYW8J+w/zC8olGt+jooOWcS2hXrJb Rbou6YCTmEAiigk4HHjcWfMW13LXN5vvjcsz86JHpsU2FmAiLXx4HJqJBNKTJQG12xojUYPUgesR wkgmlkpKWFjiBOCeOxnG/0fpShoCIZIDT5+e0YoQ3wSeCXC5eFG/Ucs7oBBB9mMrW+N20PQW3TkU bD8uQ9qAF91tcp31ydVQf5q3ad/P4yrdeYJkLkznXAY691rY5G6Gq/xO3qyB9n2rlQU4YZ/pjNTl ONQAJDaOV7cShZflnSwv9Un3dLusGJ4u5G/lLklpIlH4oFocI93j7+b2Z79FncqHMEjPrs2g8qaD vm2Za7xvgNiwU0Ad7H0Fa7gVymHSk/Cmcb98Ch243sKVqTVjeA79vtrdj/lruJGuchqkEXBCx19Q xFwo1MmV+28jBnYxZIUxZ4eXJBMz7G0QwmLbv7PGuUOh3YkqPTKbvqQ2xxd/TmVsa0xm2DTF5MB/ dxVYQnB4Wqs1mg+XKXiYlcXWZfaopT7ErBG32r0EA+i+XfbEqzaqcj9YEDSvxg5ec5pWLmM/u5E3 7y1Bgwe6ABWzBrd7MMAqOOQXuniXm6DhBthG8BiwPP3P46vaqSUMh+zlit/gjDY+9Xf3AOtwZF8J mNjpr+2SKzI4UR6cIvo3VGTlaBhnfPh3cMRkS5guIXCLrPE2kiCpFic1eW3beYAwZPJfII/2Tijj inBmP2y+hDnU5BJYsKkxpV8Zjhl5uHqppzAFQl6sYYN38LnPLvboQMlbLiB6OEtV+mMYmoyAbuLF AC0H1yz1oZOCwgz/eVay3JVw3ZLjQ6PducG63YwuqyT4ZtWKCDuMA8i++GlDCmn/CBDw4QH8dc7J LNObI4XMhwzB6KHA93NhKHqKpiGcCCrUJTcjLxQPLlWKWYAViI8N8cEtrOdoH4AcAL5bH0crFQq+ n+sD+lkAo9Kbt6S03nBxcpSnB0QLRk/NYIId6pcUStkctPd22Mv9+kYYpwFEAUbqiLkyRKbHsjv3 CpJEh7ywUdUN7QvjyeYg1WxOPFeCXMSOaJ23BY8VGscSwCuuTKDL2Im4JX1zFYTe0YyQNcXe/l6C fMngbVtvyC5l97u/NFgDjOFxLNn+rerCTTGj3LFPHilbbcojSpM/kd/hzr/ApbeAX3auWfSORx93 iDzn67GMy+l51N2TdFdbYNqGATJ+3tEaQO++56pRbmuMZ8A2emI26Zrtl1LK9aoGnCa0dgMLhr/B cH4S5j3+plN664gJ+iSNarKDsCgNHgTZMohmH6c28lJTUlevwiRTSO4GGXDF4uajSE8GpfbfpD+I /2Sl0IsjhEXXbkeducvpSfVz6xDzLFixXwcDVdbKACx6/hn6u5LDQ4N7DX5VMbFvKLdTWjYyAdp1 CqX0qA4xMuy03h4v9o9iWa3peu6oYM5n0c6qutBMr9oz2mmLToYH1zkkzgjUzbs120dsMjKEyKa/ jP9HN03FzNNGfE1HXz2FcymFoVoRkQGmVOxrk3HiyJBDi5Ol5peoFF1zqBAVzI6sqrl/qPOmYcmK odVDXvLAo6G1bKeliSXOMJAqRtQoXz6XLUBbkE2f+SdRHL340vnv4RyOiVrfa8Zih6EUmKKuaZVN RFScEox8AX6BuUW0YiRoOQQEIVPPVhmTBf56aztdv0oomxVMEjuZJsY5Bm1kkZYYwyy9j2rOJc+n x+BBr7L+cjdtSfTxo+oB/GeRJzVdkIQo6q/nMFcaocF6Xb1EBxPghLdS6jBFI4zzfzyR79ptwJK+ 15hdu8wZaSVs7mBYC4bkO4oxmV9KvSaXVZvHFXYvB30aNAjeptEiuwAOaBbJDVFT9QW/bx9aTJ5h 2v/d2SK3YzDB/1egxBX8feaUyD68gpZ/WxdIJ00hdW1FyL8G8K2vIKy1AOuipSLcanAKa5XBHR1I 7378NGmvzO1LFoD00B3WOZqM8IVVdbURrmE9auEQuJR9bb2LF0pbs949I2jbKTfuCp6sm+bwBGrH 4pU/Afh2T7zm3udB8r1NQuD+x+MaPEO2JvoIiCZc/67pHsWdRs667vldqUscEfpgMX/LgZ4LT5oa uQEIFScfryoLUWnL6GO6/HJ4+d4j8VtfZDOM+HKwQTS5YfC76es1FQF+xs6xvcfonP18uRXz7Epf V+iESxFQe8/a/Oy+ooUiHYMxFwIYISmc2Zrx7aOdmuf45QhvInqwuxx9+jnsDdR4JHhiw1V4n/me JHYyFlV3dhsISdAS6wehHLjGaHb3pUMZFt/rdChngnGDeVI6CGb6fuu73emUsVF9Jr+ncbr9BlT8 AhjqjEKjl+uRI1LsSvWezCROuRYbvE9yeakXzLA1ZUeeC7VK2AK4oO9ZQ668sFb+9WyVhZOI4gTJ OD+foP2zX0DsVlAfVih7uMQ9MBXv6sarWqqwvoaNqVnV56EEh0vgb77PwVhoKz3mQ1Xgd3pU0i2V +3FcQzsZ2JfBylARcsT6PfDm4CnVLQdaO9l4tMrgeGqCeSj2qJ7oKuU5NFyCUkatD8tak26o4fOW FMpySIGTscqnzp4KTWEY3M55bJu/Kl4/uxK+oaY1jFzXvUb0PQJjGveJGEu36tkzpmkdsztmqb4Z 0ygXhQRXn4EKh1Ec5gPqPwL+xsK1BLVCg2GCLX4ijkN0Z799sDL9cExpy70Z/KEIsNJZb5bvhI9+ zkde2xfpriK9UKh8gSVlMI7I36nBJowuobyqkyhNxu680RsMCKxFA+rXxSfRj2LKJ/AlVe0vHdam pe40ype6lPTouZLveEmf8iDaWgYa7YRY0oCPGJb4DtkzTwu5AK819DvCoCmq0cw36h2rVec2SeEO +M+6nmUwM5/cHG5Dpq8tdmYLnxRjdolo3pr91hKtWx9jkKcDfEAXP/PP2tZnpGN94W8413PuPsdK U2IkT8h4Ubz2c1lMtd+9rjsvQGtQALQg/9Zf0QJaEVo56R7Nd88RS+nxQR9p9d1sx2bV7e8v3jSw yXwiZmj2Mhp+qOFZvyJI+b8NjPicub1rSz4mJS70wS5vs9d5JsYBa7PhXQcn1epiWcUZATnGmL/y T/sqwUcWVJL8WRpj/SRbhnvRo5hS3mhU/Q3xjvK7jHLF4FFzLOHnLHRTCPWA5bHB8wH4M91gllS6 GZEYhoDCNA1P7w9MNax8YiRZojpUpkJktnZgc2RK43DMHfJW03J0CI8n81jvFbSJaXHB4rEn/bQe 4NXU75fXAWLlwNi9Tx++pHmwTasOvqb40lUTKkZLq8+ANo9F97p5Oht2bruh9wc3pFv4OdWWltym sIlzTTBYho9+IiySH3HySFog9OyKRBHkUH0p+b4MTWyWIChanIJ0HSHLRYWnqXxbXZg7Xiq+Bqb+ 1tOlNQhKVplLdZTDUOYx5Jjb2BqjdNj0LueFsqiOJhkpCs3svaqcDdDaBFgfudHO4iBD7REzEMh+ kFQ+kgtBeuvAy8DyPGSvjpMtcIb/LV/VS7mKUWx29cQQw9L2bx9AHeh3hQ/ivVJGksLge7YkIdej TrGe5TPL58eIDyKnh13tk4JX5MLEsY1NpwCL7dDGqJWVbKXQ0efJPm4DQDuArJTBLZuBCkr4wOwz Pe+SJzlMg8QJNLzBi9HG6reMc9D3YijK6hqzvSInbGR4wRIlnhsaaAsOaTJE3K5sCubrOWznsQg8 DK9pMHO1jhGe6SzzavXc78GEEHCC1LdMqj0+2Q4904TIaDRs7baX5OQRYIeuLRJfZvdOh2kpq8nz u1NWAsLANFrhhPWczJuU8hClVTM2Z9iImBdIGVi4PJ2Cns7g+5cQbBqpXHUI8bnYOtgbwvgDFq4M LtIl8oDd36ZMiz4IKSr93Oz54h3LEJFTM5/nqTFqN6Q6Y9D+pS0o6L0k5CsCpEo3neizIPUpEGnU Y4bnLe9ran5j+1wjpK+sJiHyrWjwJDh7tyewHRNv4QChTm2Qrj78iRc+toyeXt01aCFzmhs518rB k0cKR+rAPhyWoBrSLOGADGS/vwZ4xCZsyGbER3ogzCWjs5NTk2FUIBxx7DlSy9yDLqwiCN+ighi2 sLh5fTYQ7eSIopCyF/KaNfgwBiFpjXHeg07K40cb2+kxLymP48mnRxVW5xe6Hqzl/GrnvLOwPEKF IPa9OVcIwF65lBfg8wPtNfoxfGnC/323zVmrPcjqdX6uVEkIoQtTbGmk/rcK5ADq9PBOk38OSvET niFXIoEpFPIc3ui9WWn6tz3NXGk5blOOGScS8izfYsAGte5jy54lfLnuA0YuqwavQOxvCTr6ToSD bdRXG1FVxE0HsHUJuRL/NX6ygRc8Fu5lvje87SAstc3tHwZE8jOOwk4F7wyONPfktfO76hF1RZFZ xNbhjigUzNxxKnza9IhwXxg+y/1vinBaNdiplDx7iww28oqlxI7qGDZueRJmRtZf0yLDy8NrOt2j N7+MctloeJ1eZxHR3IQUY7dQA86QLDRDxsG7EESFCEZzFoxBcGCeL4UqfppYZSN68jezljUFjAj7 zYA2XnB6QDVSQ825QoGzjmqEsXaiOhIY12BExxazcYB+wG1OOgjSPqQ4rv75Ly9M86sf6l/tDnaS 4EJCzpJQU03QKL7eKexT+t4/2BxhnVmknI2GhYs9bIp7HrtaGwItrhM3/WAr62TX9DuReuLapUxm pVYSeVXXMZ6nRznDN8spibGbhOLcaKcGFOZftndXl06qODWeuNpvfp8UN6tZmo4WGiHuyzpElnkW C9yUGr7tb+hKE2vwjqYHLqKK3MIL3oziPKduCFknD1iOkwd6bIOEpwQAYhrIbvD3X6y2OQG+DNCR Xb9E92Id0XMbvXoeS51I2AGieODiQVpZizzqiaSESlbvakt2PnkVWupdSCkdW5TMWEFTuwFYi7Te 3lATYtQD+1V62d28Ew6fuRHMU+Lc8mDOX7TAplK6t5Zf/KnKYzB8tt+KOgDeoD3l6nu3nRiI77Eq s3yNiiv4O6ZwAvmiOrPssYQuRCuAWcOeOHg/1vn7DW6Y5zhu5yLA3TEZNSW1pcNUhll5A2qesH6N A8YuIz72HQ7LbJlI4k0vFUqibI2QWcbdngayd6BLuLEhYrrjBECN46eYRmyKX3EqYwFs0HZ/SJWH u8WXSXQDhqKYYaO8lNfrp28KfRPOvYx93ImYY8DGyP4tUEoes8Fylp7iLLvS8a+5qMbvjGWKhmij nd6eNDGKjP2ESAt5/8eRsRfUk2KpMOgDJgmls9Ep8XqEn1105H25p6jkteN/0wZIZczTeTJb95N7 oVlSS2g9bWtHV+x9yH2W+1G+Xg73U581nEzARvYxNiYStpHdpyYAi0sbYRdv8gWnBiyauK1uPMpm E9l4EiqK6wYzSUJpEWODppleC6Kc/D8BHay3T8DcKFi9TdAD7LbrTgII+NNBeaSywmxotXiKmfBZ IVp+iRkFj+Rj7Fv+69Q14r3XIIK8rxaMQ8IBj5cStm1kUaO8xiCOVxPh2BEjtQhNEIxUEQYphQOe NuiSWNspr1ivBiGjj03eDpDLx9I+t5ayx0GAbHEY3gwC6JuWebbxcTi5hPQyV1fvOQ3Cuv32UmcP V7vj2xVRmogM186xYYNOzl+wh1B+MWqsHwB7AptLNwo12HBauIvkfHC9wH5IqOThs6UecajE8sDC Rmh7BvqlW/RzypTSqwiht6ZopXcd2l4lt7cPGUGpUGPKQsBiF/lRhm+Rc4FYtNK+Q/59z6L3yfrS eQS3Q+3XdoHt8ayY1EOalnPOtpRiS5RFSLP/LxaeVt6cYQwOgH9vzO1ZjlJBTPD2p7fzgUXOdt12 KcYM9y9DlaTHvANOXPqp/JefU909TroLZiSiwQVhO2Wm9NNU9+BwNjOxlDJ5OoHleGhVEUixnREn oi1IijbtEZGPklzDTJzZjxmlq2iHsvureA0RZZNgahsRgYqAbuelVz4YsEUEMsD+TA9FdYpG/3nF JvWJH7wQT0pSGXPmvvF0Y7dvrWcoHWFHBcwQzXsvn4EzJefrzeS4fC8InVoJjvbitC7wfTqOnnN9 QGY2KylGNAGIOcE8AeipaInq3zO7gfCOxiOhObnJwJ6MIvvUcwPBcDEPoaNNa1Qp/lTdjOqD5rk4 Dy3FLcRunk2ZDrC3FSHifVJep5N5Itn3NalStqHkNa0JfKQVHE8JFRRtow5f9PYWT2c6TBxMFtA3 p9lK0DF0WVtKN5SWQbRzYnQnn9TC/J28c4W6WpFApc/pWhRNJS1M1AyRXAxEJrl7H6YXj16DBfTK IIVtpdsdwBO08h8XofUyGEziipQUB+d8CswVVimjfY32ToLHY8Pgln1xvX4WqRuXET/9oZjjPLJw Ux5p84cLtVrQBrqXqFbfLNhvn5M7OpmNqarCFAeBzJSEZI0ylJzBVflVJq+WeQHKJudGBxVytAgf UVEVE6n1kWKov05uvf6p2YUZoz5bpKmL9JlDsPg0q+rhROU8VkA6csf0NUm3hx7DxnH+y0mgTGvx FQ8gJ8SripSZhiL2PZeoK8KD3YUc2VfvwrguSDmX1PS/xHj3rObPLsQXQnEmLpxCew1whvt47cMl AOMRexarQZc+WQecIxfe497ho5x18+GnntOxUEyLBbGZ9u+GveELgwsrdcUVA3IvgeS6m4aVFqC0 sMxgUCaSHaL9ZWlW8rUnoGi9VGIG9CADtiLKu66OqA+ljyw/fw2fRX1Wfc8= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/sub_byp.vhd
2
9156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e91skdorvvGYCa/FuU5p2Z0d02JMBAQ6kpuzQBr1ZnajbmVRBiZ62omcRvz9qaNWDhDgPxEFZgBW lPV9bI8OfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oTft9FFum6PBrFGNPz/pxAQ5sXvjCgoW6Odpth6dyUd3huxx2XdalScHnEtzL79bSQP5QCRCrvZg BLfBc479l/dpNkNRZERAujOpCscBh9NbrPJCvMEMHcGC387nXqP8mBCNCQOc+vfzq8zSYJpYbPgF q7gdA/MIY00V9o8I38E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aB2cXt3OaUoP5P4OUqZ7q9w4qsl5ijTGIgzA/rCSBwwsIgpvXGCx1jyDprTlTCKTjKFuxIN0/I8P oY2EjoFUFcPt/am+aeMSL1MEIrPvIvUVU9KI+vdi7NIwd6YCmJuFISplC0wDKSnDgWO7FmPxgure EeZ6ZfZnM0F8xMYO5is2ofxDVI+byc07cnXOmnQ+ruAuQr1gvg4jrg+VAo3Op/aRgezqELARdw7a 4yg00ZihHQBMB4dTrB+naC/YD8vggkhfP049xqp2Wq8nqWsa0l91yC0W5fDjJ4E9JaxyLbCkznOt VwE9OgSPU+hXyyYYfdQIGnkFdZNrUOv9V/nkKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BP6qowJGYtPz92s7eOefIYlmLUjxOfadgJhAVI9Vgel8/Gr8mjtB9G1beX9QQpOD+ETbh1TRMUIS Zt4rVGgfZIIxMY5JNjU7EBGknxj2xiJs/bj6hFRme4wgxSyUaramfa50rxKuf1mZ3Q5cvkepJfbv 9zlSJIerl44ReQPEVUM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hhBJNElvcFdRC9f48OJnbJMgGEk7Me3nNOadNeXdKZpd6OEG4/rMkuvQl7FzWScNti2uO8zrrAER lQsb2pl1y1FVoSTu0t8EKN8skxBtEO0WnLd68cJSx2MAeEWKuCeUP5Lz2wUC2JU7GkvTiN3vXNZo asiXK2b+bUbM9cLsMFJArg3zhh/rw9iFuIMJZ7bEEZv1sXVYVePHDlfIEJ2myLEnRbO5M9ghwyYy AHt8K+LjKRvp5pFxkfDdEQ7Amt8ybj7Azfxh/nKywd0I46+hjVr5fHZXR1AtidSI7yyGbNt+csVk QDQBfEVXh52eSVDoMT9TO8UclH7Ot8Nhmcns2A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block NCkAJIGFSTC7CZtWHmFztWBWPr6YAI1k4vjG23ZoXALcrDemVfqWGkKNJutkbl46tjYbPcFLZEZF 3QvKB78H2kmR0hsEDjiai/FpaCrIybnN+HGVsnrdbCV8AIA3lx45fpb46zjPHjX9WG7YA8jJdSaf iXF7pThJq6P5Swd9AcKia24jqFC84zIwE25wp5XmiRzWxyMdEMRvkEkxJ8NaLZnQreympO293sIi wsQ3u8M61iZsPZQTXzGsMKQPr6HFgxHh0ITLUlnbrVQQAoYuPPUNqgR+tKq4Xy/jm1osNng2/MXX /M3K+R7KFsHMEBZIwPenXT+DPctgcbWgs7Jo9p9t39MaY17X3nZDx6xDdzGa/8ejvz1bmTqp8yKk uszvmVLtY9lY7ipqEodgVLiccr4hAmOC49YizmAmaj8qjIEILzJZd5qrMHl2KIfOA30wI5c5vWYc nm00gEwnDcdJEQchhzyHhTjYKExKL/iSC87B1quiUqld3fNXvZT0I5IgtjOY5s59fGpRW83Kqhr0 Bw1VXHb96PyX0YjhnARrB+V5TL2uCKPB7dVKxIFt8bjw9lcItjmMkavs5a7RrTlwoEjp/omm9mag vcqtD5QKPTlxEbQWklu2uPkmD7Q8ZKliHAa8yr0s7lAV+ukezIkBlhPjuESKJfYHSQiGrSMzZmB8 SXer4+T54REk29paMlmFUdx0s9KL8TaiWpqYOX4YVwdf4Gy3pR9Ct/FYyryQx3KtRWtRF0KXSzGa OdJqnDEZpwHQorLYsKk4a1CS1ITEOCNiPRxEzlo7weGB5PKo2ifPNz26CyrBs/dVV6HLnI2obvU+ k/Z3W/JeLSpLkBIVADXFxHBuxPAnvgw4QGXfO7ruzascgLcV61Ytq+kssy+xOQNmifHvYsDcyeJ9 vmvFMsB/FHTiLE5jNivCfOox7x+8xauOfiPWx46J7oUqLgMgCqs5VX23uHTumEAFBrOYROZXckcE THmuwDYbjdDNxs84W2iekFYdxq/2Ko5sR8vNYszD0aQMXd3M/lV+JewCOSZ/ZpLW6PgcbAi1RmHg P6otdBFeXkSttQnkN7f6JZ1U2r9xUIeiYpJssoUASQ7JqH1rxyi0XNU0EO+YyLmhmkLJuN545oZQ 3PAlvvcVNIP1cbjROUKx9xf8+nqNxcw2waIBcOed2nk4opNq1fsLyQUbpFoTCwqIES7xUwLMyCwq PRq5a1EfKifMWf6oSMmKmyxOo3weD/IiAK+b4DNCJLqS0Do9E8eyHkON/2AdEVuDjskMQgzk4L6D bywmT3b75vdUC06HTXo3Ki0WMUPWnlZJ0OY/d+jmyMw0WoYJMOGal60+O4E9EDQhawMR50m+GZ7g yeoJi1eulat17YN+UxWAxCpTkiWobeS7zjSxz8CNbik2MwmkPwVFuuXUUxVdFZ0eKMGPZmgQSvwS SvaYwRZeTVetANsOZAsdyB1/TNJQHmk288o4rwyAxoAp9YZPLsNe5AHHznt+UV7ZP2igyYQ0/16n AxPsMdhS0CJb1uWrEpsIbtjmXJbme3ARcyrNspYPtTsHARxs09IQd3n/bsYMds6Q3CO+XyilG+7g NeiFZ6BVQ6sZJIEqhNXytUgnbbrxBSTPlCGoyJGcc3LjtzTDO2WEgshFZS6C/NBFBtKJomdcD9/A joSrbQ8LzdJLmAanwT3GmjMemYHwgVWNfr9NNyW+qpI39SwlxH17xicak3UCuY5n/UCrtkyGjSTk j4P9e8dgbn5oSkD48V++y6seN7JI5fwvyPJM9rw2nnpD9JvzoPyYz8FHTxQbS78TBLcN4XVncYeQ RjwOTeoPGvlBDHspF1Xuxc/L+O6E2fSfoZPV5uyHaqZbSz+DAfFJUNIGm4RYSaDrzDkctoufck8Q KSgJLMUWldEBPJmPLnkBuAIYqhOc12l+GUJ8JNnlWU4mp898Qg0JPFNZaVlIQ3qy/O9OwfcBXvyX k9K2cA4iMrZX5qQttr1XFu8yDOhyqIW89p7mZ1bCF+JT+q9rDk+50rHotvG87+vtEHVCYkstQzTf Rtv2RKVRY1pJAjfqj1xMPECcGHfY52XHcSp7hbAPNufvUc4MJnkoDgPHAg2YjkojaoBf4nLUgmuE 8xL4gpn3Nv+LwY6Eq3uc+F99galKsgckAqrAQXoB6ZK19NTTgpzoFF3sF4AnX2KvRdpgVaTLJVPf aqMUpqdCKoGGGR2JaZe1Uczx4HNYfchrTnk8NldG9EEShWa93PHvmSJZcXMzRxn1q3/0ibSmdR4S 7Lm2Q7RQ8NZzOCRzzL0WWfcgVA5NZYMCsIp8qLdknQMWhIp71w+YTY7cMwL++QKQ35YN6RNrQnGG 0bN1Sl3myipyy0D6u+Q1bvkhGZwE/AXeQz7q2zWQaj6xtYkZXSfARvCwN641r9CK8rhLZcWbC7wB Q1rwfsD9iMyGzSJWmG5HPtIC6xp3oMdu2Ha3LC9rs40H4CPye3aXbeqxJURFkGeJWfGBqM0XlQW+ o8Mlnzj6AKAvmkv+O1FyzlZ0W7C7TC+RVhjDIiTULCRAeBl0Hnymf5vwKdeUZJj+iGN6ehyJWnPi /WZshkZj6NpmO1cDKa1mbJc3NMUUbEqjBiX8oOV7fHoN1nJs+aeMKPJAVoDVJgt9aFcGJAhDky7b 5n0RLxoYylmEwHHidQpSX5Spjyd8E7wMzcGx4xqjk4j2Zr/FLY5qRbc+wyky8t9mgFjhusvVn+b9 coSDdrYH5EEQTd98uOhbDlV+6uKyHOyDfReg5uUjXS/Q9WYpro2BOrgmImZjC/qx9dkuLu5HK/1n LuGDxymyKopa83ZY9TE6inafsfvT2U4KzshzTyFAzqcYB6Fe+vjj1f4nYjMPcblhc0gX3R+Fdpkv uUiI2i8BDXUMKcJoYBqiw0oHQoJyF8EIrD+/9SmDEoNWFnDhsCRY1h861O6lm337MDrcwA1QgDkK lgdS3xuEPFlS20wYyTnL2m2E+rQDZ/EJN5lwpyv/FaKHNbs+6DyQwgdsk/5RErTg8UbNf/aUPBqc eqIEwwMbSofAdd0LVn1iDldlCCVU1gUlhC1xSn87B0CM5hI4HJgU3yVIhKsbHII8TP5cemBxZ0dW jjG26zBlcI4+WlKPeRFR4SiKiBZPeLpQmgpcJTw9h0p3B2C8HCOj6Q8XD/MjifzlcncNBST3W8en 6umtzH4mRoehc5UZe/SYLWi/FYNMwadnqIrzrjQvby+UJ2SWMHE84J8MIILqfVXiB51bVCtOvwwb Va7NujCDbKRduGXQndlP8Dg5bE7jSGO9DTX3WGDYolAILdDPp5vOibiX7urODOZbFGHj3qqjer5h t8BBUhhQEjk5NnI56/mg/kdkV2LD2UoU5FjffEGHWRehrp44+iDJHJk8ghob+ZSxoJJWzhccpEfj AjrrrtKGSO1GBjfgb+aM5Jj+81x7hDmD418PLXDMO0NWSdxeW5jS2aBIB4wOv9nsdTjoA2m9W1Xs j83c8de7/deXARcqXrbCjZjy2AbGHYOb3eU2RkLyhDnW33ZWoIgqkSbCG71TCLWx5XyC5JSGVgeg 1cuGv+bGR9DAHaI8byD8tCTHsOoktxB4j2gzJ29DD9mAxvrI8e+9TcVfaSCAAXRsavhpPP9KIBBD Otg8SKwoGPNF2UMHkDJ3WmWJO/ttVbLdjpPen+s0riWLWnqg7hVnggDsxTSBPpKYkH5ckk4gDGO6 c7+zVFte5BOrVU0qfAV4puHt8w1bpj4Tf1OTEv/pfD4VBqvnbJe/A9IeER8G3HupURyArLK0l3J3 udr3RYBQPFyI7DyA5ufmffvZsBDVJtgO//dcxq+FGDyN52U1wSfmUQe9PYRcGJNw5XYGQEhPE7af qJuAjvG+Uukb23VyyHUUZDIdG4Vri+3zJc+j/lyLycHKi+2qbtsX8naVV8jFEi/TEajAmWScvBAm 1+PGbR3EAry/0iAAsBRo/6ykNtx42+vCuz9m/TjvUsyekcNgk0V8ohPtyy7MqPw58rCiAR4ZLKY7 xRRSiYJrqcJY1Jvo0rjoZ6mpX61WIBif9/IcLXcdY4d5a7ddue2yS+oppueXMZynCWuzSU5PJofi 8xiAGZWS+wKAy5LAb0hfF+WPZcE/KamvCkEaCdEqIOcNpmWky/gZoVV1jJ4dyxn2gIIVKkrU/Unb 9wSGEo7KctkMDL/ZoI8dLRkEb27PyHlcSsE0ULHVavOx8t0Fp0Y40vNRtT038ySBCj8b4Z2r9X2Z n1HK6/dDdvxy4nAQJSG7Cq4ah95Tx6RfLOJbY/0BB7fB6MNRj7DewpA81FqfBDKfX1cCaYzZo8mC u1eetZrwB936z++YrGtKmtl079G3DOTg6AtsRwRDa+7y+tkw42HKr6hAbdub74aTprU3KL/C53gC 64sG1JnCyi8SSmA2CJ0zUQmAan9oNEUd69NiKFbFdQiSJORlb9YOdod3HRaUFxfIuW9hqs2RNYBX 0LYWhxKdK82DOpHOAuHLN+r7CLLdmEi9CeK5+0tR/Y4uSIQW4MqHkhsnJwn3rsDmzfqQqe/LpuQx pxHuaXnbjPB3+WMbbbjBpeAFJ5zF3DVrkCuJ1qKem8Y3kUokqFLwNv3PyCWZo71tRQVrs7PeH6ZC p75JBoyXupxScIPKgdJjaI/dJDlkCOlHCu2Xh9MQm9beVFRSKaUKTmwiLnNqyGjI8AkB42V6Fyuw WFiIPziMJZEzQc3/4VK+0O5Ccb+ajH0ihE9KWDVTFqT2g8dTY4fLasoqO53YnnT+e4KIR8NdhT8o +IywLtSNq16fk2ejj9PggboYbtVeZqgcO5nIgqBPInomkVnJd6VVQIbVpoTL1ySRkz9P15FYjSUg r3A9d1c61i1oFxJnTuJVvOeBskWKai2sJDLXpxElU8q2CJhZp4qN4f5XodyvKC4RgqZaqGy0JAZt 5RgM85zDkUzbMrOIoF4OyxopG6uY2yZIPH3roXgzC55mWNk2lTYN0mVZY5hYeA8oo1ykhvrU3KmM bNDwxNoraLXJCU9aotP4CvrtT/MIgBSVfNR/EBHpttG88j/ooE/jXD33HW0ZEAmLsvh4UBUf7Lri pk4lINXVkrqZVm630wx2swasLjC1ANGW51DzL8UdkUAkf7c3sa1AAsvZKmflkmNui2GlLnh8lmQH FCj8NnjcETMjvoYx2e6zFfVyXXdCkKQPfKYifYFFmb6WFmY5nOC9yN0ScwQXdHq9WC2S0MT2kwZf dthDozL3ISk5n9VFnOJH0CANKSmnUfqXIHYYEgXZOKupMJqIsxIkkZAiuIIPtcmnSRyJ033pOlMw OFUgllycWMy0v53WlXziZTmXICU42JdB5f8l9blJzDQvubwBuPDgrFL0OTG7KXghOrxQIwxqh91+ i6m8Xp703tuPG10Q+7XvKXQtzhjq33WHhSJkSZl8rHIjhOa1BpNJqD6PBNjPjp0l5SNWy/6NLa1l y9PgT4HuVnVXgmL+7koaf0kGcBSnMCTPXtv97FsU0l/7L0Xc5BRkF0TcsBEB5bgXtWSVjKuLc1j7 Qbz2b1nY6XbLZGodWM0sjz9bM5dEpFhzH66UhtQZyAE7+/KYz4y2s6O/yLKYfqMSNFoZC1LOjOBM nnO+cOaw73NSekMBNgkfBmyUlIE/6doJXNW3E2P460MXTFDnpo6n9dowr4+g9lW5CxdV3LOGe/a1 eifAs5Ty2z2PTtD1Wj+LVs/tA4XbJ1vDpkjI2sr5GcqVKR78Sv9oT5yG7k44sLIHGh+8SV4E9Wdx Cwu6YntI+Sqqv3oigNgbA1ggRwr8uJroVAop2ZAwn2w46V0gLuLZ1Evs9vQH+n0nIBpsySDNkoHH vTQ6YKSn3Kw6siPLNoEAkwoSDhMqw8kHrRpoNzt9qleou03dKVV12WytCJecwobeuVyofGBXHEW4 VX5E3+Oqke9ej6kpp+/YpNsDHEObX0VdcYOQL1iQB7AAeqLr3xFx5KhZOsBNRFnjH2qTJR5/26oj BOG3LynAU2OSr1c9xUJ/qW1PM5VS/HLQZJftIjl9EF+mSJpjZ1gMdqSPm2508l+F2PKLXFvvUmjX oKQzhtRzFu11ckJZMqYm8PaWF/lS75daOdzISHs0p/agT1La5tQZXcOg/53plrcmk4P1PXRoh1Rb JOl4MQxQY6+sK5O+wfznShX/yma2oUGRtYP0b+Eb+jrGky1zdXf9Hbgp02wLZes2cow59TgpKzpY q83DnjttiajfhBawLKBp32Vv5P+UfF6jcmdQBzKL5iwYlkc45Q3kLfZv+XWFmV99wsO6ybE5Q240 NjAjDnR0MEijNxG4KUca7T+HAlat/XWqz2EyPAncrFdkb0hML5mxY4cu13yd8kZko14DuXo98d+G luPd61RrEwVTDT+++YK1zmCMwiD+aieutr+BsgdiIvRc9rRxSmsYjRca7/vbk/Di2C81/rrUtOYo X3f6q8kp4HhUHRVgsfFzHVlKUtGcX6Pt48tEI/olkfyZkTm3HNuLXjqkA7wjUbU+erhCTTGgLy1V udOTfU2/h3X4n8zN8NOFwMYd/GIw6rqfSbDBYLlO7YCqLCNMjsvutlpaJuVEClAccGE8hbGc/AS/ zG71XEWHMjbMvYguBUgq31qO0ZZyb2hs6WjFF+xRW83RL8bP1hjsO1pgVAR4v7atlKYh+k36bT7L j3hFCoObK6KRQYwFGF/seSt5qjWbLCG5 `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/dds/dds_compiler_v6_0/hdl/raster_accum.vhd
6
51369
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qxFABSABOjauaAEkWenRG2NKa3+R2oYqsph7GWyP4octxvVSn7n98xLYMOgqRTR7JMxCQbJnMpmA KtDSnUh8dw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ilyXzYvWDnDOLR7yqIYKqEpaPqYwZouGSH3XEWXST7LZYUrrkQETVkOpibDV60xg0O3fklbNE0pM qWjaa6po+dCv4BCB1IA6sFtF5ChZ/0SG6rS8yxoyQYmIAgGpa8Ipa76RNBvEYokaYiBOTOfd6X5h W5teUueA2A44bobzKXI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GRPqELA7JpvkE4SxQcQnLorxNBFxrGY+lPYLLi/RYQ4xG5rDxnzt68JRRakaJPhtCeJB4eWyA0cW BpAbTGnS4WO13Q14/T+ss4qv+TYDbgD9EeMsVZx6y+2kozlumLTB5FGbSlRAuRu6GB5gxBaMSHaW DwO5NbE+nLsaiygU99H4yl0d2po9AphQVLBU+G8eq9qZk0cyzaAEazHnvdWd6VKGEWyl3Enpdjc+ NZCA9JN+1uXMPt8ah4OJVTRUMPDsjVhJjcWbiYl1OqGNlaw7f/n4JKD+sElkKeZREpS+SS7/9DiA BSe8sW/a85eRPtXxEoMHF0irVRzLGJCojUqCeg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vFyaKroHmbCG8KI1yUGLpTEb+aMhU3kwqeJMgKEHiMaLjY9I+39A8kkFhIsmzaHg4UP/X6PyKAHQ zXRKg1d0o6ROTxrqXn/MmTy8GZgTsKsH4gQrEEecfZZkiIQgBs0iHT2XGaMmmHSMSDWNiD6GaSK4 X0pI4AiMiuueuujFVZc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lvcKO4ZrZWRxjl7GZ7vzlQF+BJLnDe7aypyuF434nqzp99Ih+AtqJyQNfpgL/WyeGYFYKzDO+o3a DD2iTkaAAz9qvtSweDY6PHLqeG6aO5+gEd2KgRsCIuxp/4NBs5wr6wR7In0QfpDacPmqhXX9n0ZE 69qnz+vtRT12q6d+z8wkjRZ+w0ZwZHZ4Hlls5+uStgN7li1o9hBSM3T+hoeWgn8ELyROUSvq9buf bUXfFeY4kpRjSfODAUgzPh313nWEgAm81+FcMZpY3DRPUfaBm21EyUKLiDFJke8GT8NLPZtY6T8c oqYL/bM6MNIzelkiCeG8ybp8hR89eEJF0I+ICw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36288) `protect data_block Rho+tH3mCNlBPmSynbnJ/QH/GTFxS0x8fSJ3aP7Hz6clstMjDCiSFGPYnRuORNxRl7Sf2LBqFrdr rPvmwB4norSWCnmE1vIFuoyndCEjswxwftZdwPTTyAuGooMCs2useeGv+mz7D/ETgOqq5bs8QbyS 3GmCFTLelH5vqcqsl/fmiYLiYDV2rlQnR3IHCQrlMYY7uIEFHvgr2lOTM6jhI7UnddvwlM8Fwq/P PZJwHcsMvAxIJQo9qNFaxGcCCeErBZXRSQY+o5WSviItYRLRzly4l0RrS/W9O+DUf1Gb/cTS2hvJ +qng3DGKK4XuEElN+rSWFl0XUpihczsmXw/+kPZoSqlscmET4pOtxRi0ZeJwa0ay1Fnc+d7TfjFb PMFeJGji+lUML4WY+AMkZqipwozrBG/XmZIeH07R2Dt+aZVNnUFRUj8YyPogFhKRB94c9Ua+ukYq 5ZYF4AnD73FDqFlerfNXnrj7TmrqBtnDxR/s9ToGQqrPoE5s46azeOOAy8k/eVeQqVhnjJ4eJmRr SCS+Hax9djBjPN3QiReXoZffFoxLYqgyCSYS5sU7fnfSLWwyLbpuThTvPCOCi7bSBSJduneH7iv0 mMRsOpckaUBSe0y/3AuPdgO86WlRmqvR5Q1EJFOaqT9PHoH6euS0czVMao/T2J2ql7Q7Syzc5mkl hB/ChwRujq5AC2W2HMRyva5DpNK68KM3F14d9454Yi09ymfBvq8GZJW+RPU45GVZiJLweNiGCkcq rb8TFZB5cTqHXzJLhCvDc2dAINAEqWDzKKf92M3rYRTKye46KPXin4jpQI7lT6bnhechjtaRkTxV ZVBbett6Ad98Cz9AJIroiq4pOMyWF0mc23/7smk4Ezfs/SPYiEhFMW2fsNTpTZ3uEK1CehxQ8B9s rjKASdmbrx7OV6hEeBDm6vxQV26MCs0ZgNQ8O5lFuAWUFdtb3d4ENeflsJxndHxYe1N2eIDLWvtL uDYMUAHpTlpZ3pLXxmV0nImKrCSxsA7C7xdJjikeGs9cu088Br90AuBXICovSDSwWtYQXqiDJ//4 FJVygW03Bs8zEOJs96Y6Ne+9UF68JSFZ57YV/IoJ8B4usn2kRud+E6qEDg3SKkCLjMKBB/bk/dFO Gxl1NzDgDZkMO9aN9ASzmNiNCdhf9S4EjjLYXQ+1FDOuRdD6SpruT4+c99frQ4RNVyckLZiZclMa cqFKbVAxcwm7ts7YxZH/zjl6V1tN8Vb+WhKGnx062yFlKl4Ve+1D5BZHeWSwN3ziUaDVNUhqMUnN hknw3xGXImkxin7E88RFolypm5JngjxRNAKmS+OKn9bhmF9Xo61heNZH7iaekfwMJTZcOEC3ZVgw ANdeuVZRoOD8fRB3wDTBBZlk2uWPdFbL+BQKqv4Mbv8y2JAh1V8TXAKw798OCMyK5Efm3Rk5Q8HC 8gRoZsKQ34vQQrblun95MIHpEyCQ5CXEv7sHFlebthWkiZrKctU4YI0d8vrtPoFyCBKdqxdGDMc3 r4pdGPFtdu1eir8xWRW6uzBDbf2/YtO6Si1Ufee54NJF6wCfvFPvu8UdRLUSz9UyyVi5Mspw6HIm ndclnIpscjX/PkUc2xkAP3MTUTLEW9z0BX60lj4lFVb7Mdf788/I2F5EvmFGglwv2x0gfy0Kw9g8 6AbV6hzUbVIr2TH661OPWBNJTIwVDzX+50XE5sF9obRqUD/aLAMc8y17Oh0T+BpPD6H9KbLYMF/r K0i9pcrqvCGQmriWsm9qOab7hbGlmRx79VWl6oQrnfgA3YWkcVM2+ZKyLznuXC5nL5ugI7XbQDfs TnvpOfe30cTGi4DbAZKWAi5jgajfGNnhBdcfaxguTiStTydU2GNiygQKyI2pqdhLQ55zxYMRmPfr tqGNY8ChGqdqPZ/TeHxy7Heo/mZtBzSqTAhPHCwPixoGIF7MxNpxlbAcjew+Wh5WrUdTq8yvqobX Vz4ivf5shS2arDBpG+OexACRx1eYziYRyyKJd3uZUlhnnWPgqrf+djTCXlDfF4EiixASIIx9hSNT n5c/d+9b1geM0KSaf6cnRmOExwvT0Ja9Mnyd6xM+WitJAgYavU8IgHof0qTep1KRdh3I7FbuaBgo H59rdqVsm9gx4tDwT9hzAGyzYBReo29I0ygbpGoIsMU/FQ008bj9ycyCewxP1kAOQZngTREeP35S TQBHJsTbF67LcEyG4nKXv5n8e6WowvVs1m5L5CgO+zXX10+bwGtyMDjqjLbRY6+ZD5OzEyId0qjT swcWX+0kfNCPRQCDAm4gdgnolrgKVFhK0Q8yANBWdlh2AgXEMk9f+6Aj+vcAfzUXUKINnjZNDmST U3cBz6eFqEDwZemkyYNVOcAk6Rf/MqaQmIUAgp6xeqfgSX8zbw9p25Sl6jakv5b7SIfvZu86v8+Y AlgabBPQwyHeEaRiy/G2n2Wn4fPdphwdrQawS8ydtBgs/ZPQY2o4MJLG3PBWKFZDYoFRCisgBlPY 4B9/4MoiuUe159I5uFiICSxZ4qeAaF/wqrXBbMEIy1lwrw7a657ht0VUjQmeez8i3JXcADajdzH0 qsOqTrBcWeZskETEqGL1WeEGHFKlqO/DUHfM2zHQuvJYjWBxKAqZ59jjEEjLw4A7YzJq4WNnYSaT 5n0p3HmLW3KIGeQD+IK/QoR+ATqj1tPK1+qpVrD+Tlq3S0rT14mXIrAR79OmFyDdFeQ5R6MMuT9r H02FU1WcbDqu/EBVfGRckyN0qztIjHTx+NUwtyBO1vbRi7cU2goLAJTkifLdhxidrWn6wACD6SGQ 5K4A8pvvqn1mAtMNILwvG7cdrPBjUqGLLBXz0v5o5D/U1CFKslufHtqGd2qLBcO071lobWapOfC+ LFypaweNLnlFN7TC7+9QDTzZglo+u8hzIc/+qrRRqqjUzbK2JQFRTG1kL+s2lgPVY0NQ0sAVB/PA INdY1dgVbleF/MvEzZ9x3SJX9Ard/EuQ8YCYR7miWSO+rFXxFlFwF8MXG5JKW+yUd5wzmvoKVwcA FL/IiK20RiYbyDBgMnOPfzkTqKk59fYo91gXWoRZXboh2RZ7m8AKYOr/5dZ41S2GDZ7+38Eatv/L oDgxx4epJ2+9vm1aFiToHFwIfZppGoXUmDrMEPofDU5/do+MslGEP4vezH0aQ1s0FX6uK2rfEvRI 2feCltojhZJnN2J4tmnutcpe/X9NtX87kd/SsqHBc7y8J1Vc7vIt5OzuZSMDtgw+sHuQRExBfPRF +Lkd1oiSnYSsh9/L1PYzLDnWpj0FA+6mNRPAZk1hA1vgh75kbb+SZg9la8NGKp7ga74bPw88s41a EpIRJ8axEdWGjihErHzWHsd7txurjtJP710PAQKBFYv/GMLey94C81caDewj6JAFBzUye3DmWKUB JyJlDOUz0ux21Vnp7EUhd2p/lmAiEz2G0YGQ1xbxAx9BFGG/sswVgCVVSZDmc49ih9Bw0vS9TTFQ 5edEryamrInzlNM/y4WZjiQjiPYUN852fKoOPWikMix/JudiUKq9I/TmPmfwQ8zkNM+hM5V/9i5/ IJzY44a4738ZyElTwRDBrKn4UlOmJNha+kRr8OakvSokvfI5iyYzhrh1WRVFLH8mnNeOkpTjgOen VK2umwPa57jKGrHTQm/NXOgALeVidxH+ksoUEBfqoSlhC/0mN5z+sfBwwfHi9hS7Ljj1ciOVQ+BJ rcocnVDtP5d4FvS+iDvNxnx7umjUQXwqO4XtPBPZZmbniBvScUDv0H3bYaikFKjtPbxoGBXHKUKz +PuFsR/p1Xixe7dV+otyq6NXMgU7JU+IgzeJ4+n6fjaOMhUBkBheUmqlgqs8PplDsJc6AjhqE8Vo tTCyTTfNhdb6MaklR+SJoYsrJSgwqkSBU1Jx4u1HNkj9yTIiYVY5KKgCSznD3aq6V35IQxOAeHrk I/n2TB9HIzBdlehrJtb2AQ5rKebwBH4I88O7cCeh8exwud/mor8geLhWaDWE7fBqaicGgyaqQKvg W1vIQGlr5aDHXeIBE9c0+do8yqPNsALlYjRhumccThzboJ6WiAH+sql0efwVc8hdk1/WTQr+fG4V +Cj+slvoxx6o8Dn670SB5qVczjjLBvWbyQB+7ZWISHbXhyXpLwJRh2zsscbYUwWtB+Vvxwv0hrCB odb/Cf6HSeCnyb0j2Bx4YCHH+ld0oWldwE6tNHtyB2PK3m5udhPBIvANoCJGpXDdvmS4evWPHbgP Zq8Fm2yNO7cJKiseElosdMbsdhD9J6/FNEAE+o9o3seJuuaDrh9iEXonpYtJoodcvZUdnUfVDCMr jASr8HAQ8vhhn5CoUIG5eC9cjod83b9x7Z9JWFwIDd4m9jhipYt/uKERGiinSjm4tEf5ox/VBQzR 0whsb56ADXg9Vg5sX42Ehjr/vAKYPP/CFXwIy4JnJp56ub+wGtrc6CaCqVBM0mjx1n3MBl60AR1N NldXiDY6nPaNlfAyCGugK5RsbCdCYBaf4PG1kG37+/B9Tg4k9zHUiMPn1MRg5/TPKrcwaz62J+/d 1t8CkLJiUE/lMhaKAEVklYlGY54uTbVsk7v2iIvqPpng7vFs8iXuIXjBWV6LzFuQpA3/DErQSQSy Veoo5NI6kVS42L6CX0Qk8PuYZtU2gm3HrLPI0Jlq7jaTNIJeMcbosFcNe345dnpNg/nBcDnbpVRv 3apBON92/WA/LS1nTDk5qMZFL3R+hXbih/Aau2HcQx/RKRYXH6e5xCNIbfwO0jYr4faDDIN3SBD1 OOSG1E8QDL9jGzTJqk4zxZeC6SeWAMowXsxmPDBX7ZOo96D7xIVty59/PvsmYPzdzqktEXhvD6Nw cQvFch8Oq4gXyAF3WNUdBZ1gBvHTK+Y6p0E/0+9JvrnvLl+XdU53ir8f5m9/gPAZpT/mX8DGIcyA VP3cTDdl6+HPerPiY67DIkeW0nx9oIMrxv/JOLfGt441YTfIXDEQZ2IXiaFwpe6tPiwzVYc4gMeF W6L9f2Hdl2xFtD6OgunxutntmxcytUV6Slc4cLHMYZhxhnhmTlOuiUwGzDVBLaEJF6p+BkBHwn7T tstGLCzdYnz0IKcqhqT/hneKP2JFBqd6UB0wL8EpZngJQboVKiYO1Bt598k3RHxzfpyvvS3bB3ei FzOjBOaoYZfmtJJXWH2BRzqjcCS+jTMXmEZLyvjfXxigWCQJ4Llrzfi0UwPZXUKh340/YWDUft6u MuGcot9UONuU0CHTY/MuZWkBGa7juk4dw8HP6KD9tkNX+1GZ7TupbPBOtAnQIxqQlUGj7QXBkUOz IIZ+h65rgLlD4iV+4+d7Ew3eJpuUVnH08VnQfCWb8dRr+iotFgDnCCfk8oKiIoiLZ/DQ30wsp65Q lCubxDgeVn+OTm/HO28BDGPDK2hNbeH2bMAJ1PX/+IyMUXwzyAffXZ/bqmuHXoY9BlDDQ+QT+ux6 fv6Xkl/q0PWVHwkKUR/I2kUcGM9jA5NK25z/75bRL5nykLtWFcFyNyFgaQVLjvl9kZYv7z4XSXnv WqlwA4I2ixOzP4NnZtigaC6heRTxVgWWij4JEe5Ow2C47Ke//oA34Exlz6wH6vBZBP7620y2uaIp nFyaFR0SVujLC3OeQyVGtmVL9h3vsvELUAgZWPVc4MZXrTJLCSgguMwRgCT6cOg1Djrz/I+sYLul xlwqGBnSrkvEtK8PnfwAF6yD0Xw+2za06NkL5t1QiClnhJ8BTr2dJByMJpUCGmDx9PGv3FBLEXT/ gOdnX9d6Vudncnhb0IhKLRnav7KtWp9qbOS85MHJ73f73uXmc4eSlmRxSgJjR9lYLW0O5emXr62y YmsftlI2T0BuLn1ER7LMBqEDPstlFxdCdRTmdZ6yDhUZvGsW+8wIUIY6fsyyt32dq9EF6OJ19LGp Lkq24lUNVU5rfv4LDh/s8pH0xsyAgLiou8Vja2WGzJzNIwmO+uTM+5Vqnk1dWs2L/unYpijvUitg vqSxZoA0J5JQ+yAqs9F02fsu89seleTIIS3k9lsL9q7/qJvuvttqYFTeA0xCvOJ+KUN+yWJG6D1N hV0/bHGLDWh6bssSVqjsmzC2yFfmpq0KxTbwqIuwrlqPkIKHZ92i4OicuyIe6KEIh8SJVA4gbJia mlNA4HIXCeCr8qbHOwKvYHrBbszmqW0/OhflKtQh1qJ+EHXW2/3xji4cflC3bkpJ8OyJk2K9bW+n 4d91ZgZ7gi4wuj8jQnlpdY4Oa5sEpEnsV3HMzi1+aa+S9GXjZdZJWBgpj7vcpPVBKViUa8zocWd3 gfB24CMJehW1GgybjWbygP2lyI5hzgdlJpuh9ihCQ0qH6wI3/h+5iNVw5y5aDa4aSmE0Cz7O8KSe Sdu0hu1tvEaDoinWHZNOqsILXGYExtisE49eACtPgRUuvZ5xn6+aP+qMnHuzRgfmqzsCOIeQeMxR IMTdcA7KCC9J1J+jv1Rjq6HNuWlZ82A+4WuDMQQwOom3J350saY56X035WT0GGgojX/47wLjjjBr WikDcYBev+tHiy/8lI0s+RvpqiZsl5G/1AW1FMuuuqKfHwXkczFfExeUJsUdUawcIRbbfhG93AaK PScf5H488gbeIbVlZXwnIQzvPp8zEwQbDYKbronuh4mNmIgyQ7VS0fkrtiQ71K9j8EqcFN5YaYQl HemlwXvENEWY4AIfSMji9wQ4emRKKt901O8TnhbrdjZ2tFrXaRuRrKFU0+GBFvKD8x2UKZAuW2nY foyD0FrVpIdFDZRh21xR9IxpQQf+jTnOY22CdBdFGtzvmd0xvLT7uyIycufxbJo4Pq5jqN7aN5dN fnM4k0pkfkNxemEzooZbHBkX0v4y+Y4P4UTWaHZqzX74UZR90RN3ueBMYJC7rlhXMwpDhElZGpGs uZj8kPmUIxmcgWSnC4mxlA4WZWot8C+4g/gziNudTMAwHGwZuRSzYFjzuMow8LiGTy17dO/bnDVP w9LmkBNKN3a0ExblZP66wzwU//n0nd5FxuI3bX6cjg3twPFDyLLe0YfFS+rI5apw6MGRpSwClDGT 1WxoIMZSeP4Ve+5aYdLKEvwqtMIknuZ/tOY+/7x7XE/uG3UzkqY2ZR0Ep5dtN5WfoKrkmdzdzoGQ UQte14i0wSxLrnSmirftOF7ezWCNFoCvQ4hlFCpSn1YMSllqVxh2r2ahDBarx6vbuHkxsj/vKwIL 5YWhoECNaupM+biZcvPpIiD+mZ6LyYY9nYsSNXYXW3AC3zl41wE7DxnoIQVfS3NnOyOA/nHP2vqi xe4UT6KQo9qnoq7WeaoPsOEYrUlq2sUlP5QkZOt+02+PXTLxOcqCGFuuXnWe7ZtW4JtKtRPCI7tT eZBZvtBI/5PmyUaHXhC/0KC77eXzWAAzvZdeGKqIfsAqdz8JejDIQUfNqSQszWaQvaQ5qKHGwKOo DgCCI2sBbNtnT/fko9TtN231FYYvEj4jlFgjlonCqOJVfup2TMm4CCtycEDpd30IWaosRlfOsK2X Xzug1KNgHYX1uWu3I+XHut8Frpbewmz86uw8SKnVxnJa8xkDSk18Qd6ajUxfq8MXAMJG4GKJHcFM 20daKcg//7A6yWm6RauRtvFgHGhqLQkKXTDR1TFPNhwL2i2fpnyBuejhWeBeN8lr/aWDIn6hBOeb 9ihxf94ibd0VEob4ynIbzG4SqdeZWPkZ95jcDVyb1J0XRm4jJjxn4UFjofJj4VUx2aMtvAOA0tZ6 oBXDAPP+eHyiTwLJ2accCZH8pC7AEZBEg0GFiuFNC3nyqygdMsSE1VRo/6gvT0KvqXZ7WrzqmaWz SdO6yupxyNfyhmY9vbhk0gHRvVkcvCUSS8j7yxup+PPGwJ+0H28ggGj96i/fktVWjFvNIdjZ4J81 W1mPAZ6B+Mfm3MbV1dQLK4n9BN9FJBnOiFFdVmpXHA6Nb5R5VFS4R4NCZFmjECxz72YsMwVC+5Gw WfUSnhZbNEhrhpCApr2AkM4Ltq3iKm9KVXc4OHtcM17CXwsC7Ahr6hKitHJOtUW3XbUtA70RNafb lDPW6MNYk0OHnT0+tRR6foSVgTCQn3N1mhcMIHni/+RpfAjoMqvWJb5DXynDVAtFsqf+nLC3gove VFYSj0wLYzeVWFdCV3jCw8cXGeKZGCzvxCGxoZ+b+taJQxu28uWnWuj2zyRsCkN2gL8zhAZzYNf0 I51Sh1LwKjvSEtUj4xfejk9f53P2OESYOX2FJTzMF/oAG4tVgKg1i/PXm29PpZMq7IxagyNyHbZy K/12ieZtLxxcA+vrSwBf2sReQggDy4D14A9X5bsDI/yNCw5WuyBctIe5u8/ktsi6TQHHXtDc/TGN c9lSUhM/Od3T8WpqZhuzADeaYEo9aTbARB/bl3t0sL4v01phJ08nSVTGSlGnxY3ly4/bWv8cfU9r G1cuCAo1OuuCy88/bdAXXl5oqrgECCp4pIMAcZcEPM4PPFU+9scm6TaIifMhMWIrHGJuWmHFsbaq aKdRgBSZjDCtLTI4ghTjvWftgFKbhhtoTSnF0a3lg6lMNX0CV+KWgyOh5U8HS9pLg+RM+jjVIRi+ lzOv+3onW9bb2JyqaOrEtB7XdideNIoa23xdklaABjxrj4Qz9gTrNAzvI6bJehfxWdxLnnqQf2Q3 KQiEtGblGCV84No+m6zzsTwMHH+00Yn9jvD5qfOYT1l52qgKm/cONzB19nFVvUX9oyUTH03BQnly +PiJmFpIoIFza+fEMA8Bo1SkNzhfH8cXxGd4GiQcpSH9chHuxcv6iDFLplDuslTHXEChApWHiHex 5D0pjTf6pHdjjydGEfcBce0R1KU1xyDoAnO6S4qS4fqyvZvBgoqXtFWGuppNWg4v2Ph+NAFpMXMb h9vxNxHEXkGG+fJptaf9KwC+UK5b/Aurvg0EPrGHo5ZQ+aWzY0CX3Rel9Pc0nmojG1lTJtsosGFl EJOJYwFnanpJBDm30Rql+HUVX9TgNt3eJuoIOVIYGCbgw+JJqMKLJqd+l2+jj5hnvy5IuiansoM9 uwi3hHgSKIWbvecuu+HrR/fCHLMi42/uClXTtwRBnwoC1vC6zvUjpnYWLWBVnYMC+gd3fPtGRa/B lqSDwA4N2kGggZMhLMkOXHO+gY7+V/nSO5n/2heataYmbO3g8yMXprWSDbOknjr5wPcVyGGJ0fug vvcd3HQU/oRhivA7sAIVUkzhm8Imwfc07kVtB4dg3MsbCev0caEtZB0XWq5w93lMsn+Og+tWrN/W p4Tgd0BjWzlD/BI2I2SAu8jhNVbDi+S7AqiZOOZEOBKhUM00exiB7YkNzKrK+p1p7uzpZu0whqsK RKcKYJ06TaXXiwEJfpsxG9+m4upQRAZuVQtIJNMQoXikLzqANe3JbaXcVU0nBcrJNMPTQh6gVgTP DhFhbchJG2Xl13cjL0ALKG/qX4yaBeiCo1podx3X3MOJBOkNRYcJtW6JMAMzISg/iVAiNkqQ+XJM L38I/WuIdDz3e8WmFwbPHf107+yJ/itEluOmmUFcsTtmVlt7m7eNqR+qGnybQQf3uNrCcEMKOeHG a41z/6bFPpPp2NmzEd4Ct01B9+1ZIqi+wBAmjOaoXBPSQTgMsQ04v6DvdabIveSMdVzRJLfDFLX2 VKOidN9q20Ovz20LlFYzRpJLJQyjiyjBx76ZjSlacjnWU6cM4WCQMkDZ1NkI/9X93JqKnM8Gq9ZO RJ+ieeR+A8yn94LTglRvoJ2DVPZQ7oGATUWqQfzjaGo3oHsE5PrtB+e06Fc2sUDnvdBP03df1k9S 4wNkzsUk+9zrFO2Wr8VBZ8hAwv55Q3w9DFMzYcZjnAqN997gDfhzkwcrYVa7dJ+hm+fkpz5aKNE4 tmF3U6J2sLwduwrXNHtVZRcp37P9LeCOVPFxeFIFHA1nhIFCo3DLxDaEgLJKBQXf0RDLBIkoagVq WvHLmxJ7dIgJfqwbVFsTxFrqa3x+SN/rooOCd7ZTrTfr5aYfUfyU5Qq0KDmGr4OhdID1e0PDmBMX YvDFaTdvcA13RH+rv4HwTLfgn9ynW1fy6RzymUuT+txBhu9lC2LveErc8QuK6uB4R4rmUn7qCyUv g56mRC+NpzExaCLHdQgckOQSVzcboDCLpHiTha6fQtm7nOFNcuVJE2c4/v5ejfXKBmczq1PzJJcd q2/21YISfBATA2hhMMv/871Vi5JrLm7ExSuC4LExVX9HVBzJj+Wv3UtpsmKOQuReaLU70NsIeFwV 9xiDUgFgp53YEA4dq52LsYJtMTn0XTPl0ySkB5Jiea+/UQ6OA07TcYzjzyQVIQnQ4VrYWhdWEzTp /jdnTM41ZKP6xR/j83pZI+Pzkf+5IgvOCFVvNj2lAsrJgHeleD6bU8qbNNdG4lxgebeRr/EoGm6p JkKOJb3xnaVwUqHokmhgsQzxIioT6DREIGjECvXNdv/q+9OskWaV88RBqlCaPP3jt1NVmwQEkcJt n8mrn3n/St9B3Vyw0IZtD0XyGZzc34W0gRMgEHkljWSZjqKyilKn6fNMNu1eX7iZZFed8xkAuDT2 eGSphtqku3gi46BQGXVyHwMW6JaiCiHSrQSgpfU4PeM+bnNGIZaDWmGjAP2Qu8pkVKNQZkfs6e5Z 1FWJ327+obYohSi5dXMGOv54yFs+moQBDTo2W0oPh+fwhJap08N8zER3NaRHQ8uuVBllx/pMMXGA ZkABLv/czB8F0xi1VyoQrkf2OlfKP7yxCkI3v0Ob0AoUKBKaeX/lXBnkgR/0Z8qKfez5PeawyFOi 8wdEWr+gikyqiNvxPR5PohYqzWJ7zQjxKeVnTj8h93SiBDF5Nn5VUfakjDaLiqx1x5ylsPa8KtRs QGybh9+EBk/PF0TyHQQ5QzunoNWTGRt9ADFI3vyfaXvlLzs5id5hUsn0s5wr21whgxmN2lwlMvqA 7eCTgRHZZb1xj0lnvEGi37O1RJBs7b4j5L//GhFNlNtmLbcdJWCWxsEmWrkRAOztBj2nskaWjuiF 9Oo9+GYmBllLjzkFELHw89uWHGJv78wV5mOezuvt0ydS8H86vJEE/b74FwYunED34ugbephyFbHT 0sNx4pTQRGYHepcDcOJXaiUlvJFhVQyahEHswkEqzHQt6CZKhSDyLailhANwj7KpUXvMk0BuUMAe QCoWGyFl+fLsRsjOD0UX69orcJlByBzbFvLilzcnCcLLa6WdlW/XcFRxnyKko0Ldl/VgH9JztIhP Lms6ZDKmYHMVHtrl4SmyQXVOtRXdd1QcO7IawuH/1uRuMcVlCWJ6NHQd79grngzKwXrJPU3zqgYh MP6iPmgUQip6NGSgbtHpGChex5mjw8bVm+rUGcworVvCAJYD+TKXXrtmyhfMkf+ACxId6UFnNvzy G5FS3qUyqycHHCw6XcwE69jjwc6qt/LkArakCAKvumw9mrUt4RNmX3hkbtjh6pqCeQVtam0++27w +RGjlU24nCM/Pnr8GGTWBcAgiAmMciAgSFbD9aEkjylI2zqUMsWx8/me5Nu6qJm3AuRWgcDNgH+7 Xq6HI6Q5nuFiPI7WfHAH2Bhof4JVlm/8X87V6ZNBep+cACOBPvSh9c146HW99vw82WLbEqrWp6aT lVE6wFNU0JWuVaTVDAyBtOO5ezGVVFPRxSSvemt0NX56vOvBoqWi8+rVwR4VPqCgmzML/DKd0oil Q7/nPXc2WWMF0HgMGqwsaMNSkXgki75e+C61MyoWTNUjk0YuM4LIfdlx+k5BQN8WQ1+Fin2RcDua 4YsPiCmuSziurOlpG189F5c0J6blHz9PEztHAY5viBqihTKx8WZmi3g6Rf8EkRItKZz59N2B0qST f0b8H5Ors0t43wsOn3fN3P77hmNnbMMTD4kyYZv6fnliQS+Rtl2ZIM+O9M9AUep9xOX0jMfXtZy7 w7VmEsCQ753nhQVT/CCkWNTrPhDSvYKOY4rk/LIF8BsDgfovx7LQ1RtJj0POxepoeZLcqrmbpEko 4UxKEUizJ+71NSMnU7jOv27bhBSxXwsHjxTWw/xgeq5xQQWMYrK9KM5dBtdGOvPr1sXg41Vxb+Gn DmJBKs1hqANpRDmeIdFPVNAOZpEm5tiLcx7Qkvw/g7ZOv1pIOL8ly7CJFXAZ/i8rcluUa2FcGEMC m/xNrglcim/UzGmF2mQV1TItFXxkPNuWQE7p2lMIpmg0it6Xnhh+tqkGx8Vy2uKxZdaWvWuaQNzp wQJJwkcVYmoV5WLGGfOuLFBcye8sQf9hSdkwogddaAg/9KeM7azSOqfcNFGl70hYCL79NxUcKVAp GrZVmlyHcfoQvVoMW1SKkh7piybziyMXyK2Ibb4pVU91YSg3Macur/PpeVnXeMsbGBE0QMtyvJO8 uhDkiI5YsYTp93ZP3KNmb0X6IrDx4E3LTWK6Y7QlkmAdivrFEZOoKnOTkCyTl46c7JZgqF2A+/qj 2tjloMLOi3OxF/lfkRFgSDFeBoaU30XfK5MXFDQ5pTDwobSdzTd/f0ko/mm3cXfEQdh2H3/dLIm2 2HAtLhtIeIj0GZD4DMIsu0D+y1VgH8ZFjzBrbGCviXpXPg/45wUT9fni3KzBYDT+YPR/2d9ntjHq MT+fr/+Ww6pZ85ZMBTUU541EgtlPN33Wl5IXs7EXC0fz42Eozmn+G1PTGFGf2jiStAqOhGPCqAYO 3WE+u0/vSTL9EYvkQA7vbDSTV5O6FwEL8vgVFiJBzkx4akwNkv210IaolXC59mXRPeunkHze5I02 E6VNgmJIb2U3NaftU+Nq1L1qNdMbz4qt3E/9XZxPmOSJ7BL/NUYey8vIgr7x1AcRtwJ7f20QD3Ib 2RFTDhcDjfLuEibX9B8xhM9pqG6SuKvJQOlZWP3JvdSeYai9aYCqBH6Fh2SjxI/1ARZdIAszJ3Yo 9N3XWarQrQBsPGEqF8lQl3Y2ZSD4VwPpS5eWXYurEbTtiJ1ncYKkNZAqSMro9/PSHOaV2nxyO0OX 3i1b8tcOUDBma5tIpZ/XE8yeVycmze4L3SK8P70tf9hR5mzJUGokeEJp79CDLgLjXXSgQvdfR3jU odIvY7E6UqzwW5mOQgkrecYEbaRMJ3O5UgjlgxZGXyM8AcRujvWrgb//JT/q6AGSgPQX36M5Y7Vz E9Wxh/qZ3SQzzlcuWBt1ZPNpzbFE3I1nIjmAzq7Djwv2cFKFGXUoDE2bxlU9x1Upd9YKYJ3PKUbu ypLEfyiU0ZbR/0sgSIcMx2jSf08mjqiEtGlk3IsJr4ca9O+xsYPy+/BrzRqxIENnrmL768u2yxVk LpVSp9Qryqsqwx2POJIZXdbz/WhRH34BZiPZ0CvUyDd1X8kr79EFsXuhhTAAqgnkcMcjDX1EURiQ tsuga/sxN5hxZpwFbTm2wZLAv7stwD0CrHoSKqE26GSoqxkU/LBBRpJvQ9cDdEyjDM+P3GS9P10X 30SXVwTK9PIgGadR+rroxwPuD364QuKWb4hQ76Gb1lurFjNkV3hUI7812TARaHU/YCC7bq/t0K1/ tBLoFBP6bYhEKyQ8Om2om0IObOSwzslGUt+DHLt+wxRUv5PQ5/C3sRQaWc0Hs1cIDFK8R5w5UVDW bX2D9XnZiW9Kg1dvow5aGHcfBwN40aBUHu1K6zcIBH51kmJqvzn0P8AIGJ7A4oj4AGgjAqjqvjoM m5Oj5Zuosj1a27Cv1dTgA6Vs+CnplJhjqUxedj4ZNF4yBHY7+n5xAuY4C1EeWoM8utSlMpgMyS1J B0TLbsXLoKJV1BkiT6MId9raNTUDg4oeYHlx2Q05mgKBm032AwhaAcOG+6JLfUlLXRD03bleuBbS yPPJ5IjK5Nufw+Nt/W0lH0srCdD7WlE7Qz0sCtTiPofYYosPB7hLTBQbLeCBP1UnQA9nC0vi7ljY GVaIbfOyp8hMO2D6o2smHYF41mqw0DnfXkVJcqlZfXVD+u9BHNtF+spDEqC/7mSLFB3wzVnK1nfQ asgeMquX8+hfwHXlgH1RemWjfkLbdZzh3tCmTt6SK2eNRbFF2xYvblsp94mI5NOf4vM26CpGPj2Q Ktz5FPDAw51Lhud2lt+1NNwYrSbgKj5qXyk4RFtDwPqTQ6Ni4l0WOZMLh5lbVELc8urqziBE5k+h WBRZbAA2+UXCbNGLufUk74G33v0b9zQWAvo1cu0OuIcb5w2fwnMTOkd2pvnfg+5HjN0wgBJNG+gS HAbwqwmLXED16kc5v91eJ4ZPFx2tAOhdV+lZkTzU2xba60HpQ4aBZlON2FD+jT1eNKnhDiIg3x90 x/kdFpCVCyvuiWDH0hzt21c55yN8yW5oIxHZl9n2cAEpZcqjnPZKg3ValV5Y/tKN5DqfBUoC87u0 mE4SPMBthdAuJrRNN5BlmwTjP5avdiHmxtCBzGH3HtrJxKNAkwyj9qnaVreDUWgPgBbpUuB7hCl0 ExzyONeY7bvzWI3Nxc5Pieg8i8yveaM7rudFTquJVol6wCvzKKrMq6siu3BB1nJy/N/mYH27Bw/x c2Urh92A8aFYfPVRJlNdV9w8hYxR3ZFjsUPN7G0KThCBKzuNcZf9amny4DretOukjBolss2Dj3i5 ZSz3AHtTKu9jGGX+PdIfw0ihBEE5SgXnZLKi+LLgzp3N3p/iwtR1kR9HztpAEMTFxQuld4ThzPV0 cc1dLzhZd9mTDIxZr9z23AzOjkt6cZugabZ/IBzEJbwI1t9ya6p/fu44lJlWc/BstAqW9i2TBUJe rDCyFy2PQskIKpDkb9kOrK5EcEtQr4/XRfXbKKei3g926zvb6tPSx1jZtzA6S5ypfOE6Fk305yzp 2+Dl1eWsWbw02X5Lo156342I+zjBIaEWAa99n1VmIMymUyyWigcjx6Vtcp1kNdebo/GqfGwn99S2 X9KBslMY/n+FzrCc/vzbMUjr7tSnzWaZCsupMSqvseQsQOcnTsYYuzGs8inYT6oV2icHDD6nHEMl SCBnU6VXtpFdCcX2FhCl90dUvPz7LZTuZXkQlu9IWONqCGFZAB8iQkIkUIOo0oV0+E2lUjLxL8j1 ntCn1MD6UnwzoHfwO3GRiIVJ1LhRaMP9zMXBuXs5Gvj9uvIdGhFE9ApBWI4axKf28r4fbO69ojyh D0hu25SrthI/tETfnEbXDwnZK23ntoQc1KWcW5hKba8Vz0UBgKX33qvcQT9PlJrzPpwJRjFz0yO2 5NshC2rqGwA02UPBEMmwW/oTXuUpC1TTdLB3EE2CnCfOrCS01C2adkiBqg4KTELeJll/3NZeS+ko 0refsKc086jlYWfWrgE5DemWLoAAduAVeEZndadrErGoI2571VgXkMAgnRqgOoYJW6/rNHAHfn0E PgY7sks0MShu21+mkTTqL4NbQH/vuZSjBzo+2QVMfiDNS9Y7R94U7fYCYtNjuW/DJvzLSQLmbdWr RCIKn+w+iRX50bHkfrSgon5rL3UkTIYuriB9BMPhNUPx76en1TUiUEghg3BIJ3afSybUBf5ITei3 rb4eb0hc59NpYeWRm9HAOWfW3r4s/Dt5KJnn+RSueU+NkGOEm9MH7WnyHhwpAY3UgtbudEDnqwid RRHjQf88AEhKLNO7da7dt1AcEineT/LlrKTpHfUU0lLiY5H5jsVFVsrXk58zQQEbBxpFFcuOmckl VGPSrZ6vwJaidvKV8QRLZwhPxuuRc0sW5JZ051CXNeuZVq1ZtLH8QdeQBByw4LPmetyfGDvS+Iif hKo5TRU+9O95o1dXAPsnJyF+Qn65oyvRbnTTKoUt9GD/d8bMF5rrvFmwOIfu3H0f34lfTw1ZqtCd AanIZw/IE5MCWKo87b4QZB+vrKz1g7DT0lT15TIpsud/qaL29RkOs5Phedm3DDXQD9F2QHKY+lHo 3rEf0pqZKxajULDYa2H/oK+nSVsq74PEHhQ8ZfN2qF4n2bEdWj+ACVnfoJuwaQS0e8itodz/Iv4T e03mpx95HxIljg+ptUsIKqYSJEaiIv52VXybkUhcrUyfk81ksHbiqGYMyN6TevoD+YEBG6xRjM0x 4usfSWb4v3ZZCjRuGXJXZpz0ZdAKMA+P2xXGGvdD4w6QpGBGdtBi4JoOgtG1ClrGBxVorlRyjUhx RNKWsPVgGvY+LFRh2yXsVcfcNz3hRIXKlRopn1cD0C4TL9Zi50RJcDJJhycL2i8ktviLwmhgRNGr 00G76I5+n/IQyof5lY2Bj7czgVyaiFFOiUCJ1V36MYEkyREO5c7BOgbWd4S+I3dUwd07PZJFwrpy 3DRspmRQLlLWDicHWQCqi07XpitMVKZg5dElTKbuXnOBvu3W8a7R24nQ+MDSr9fevK5Fd6kcStU5 qx9Uenx1VPL3CpiwaXUzILRB2A9dODCVsCQDcPe98qo0LwfoyAkfiljZY8T7LDYVRKfeCMOlF6QF pIEjccmon43xAI/Lhc3i4cnMvPj6zKPiLq8kr1t/2nwddJl9z25QG6m8iFGav+zb4Uz3ZXRefx81 hzWM042/XspBSgNpqg0fvfBp8vwzmGBP5CKlGFvxZMtbPO20t15v3iCBfU6kvtZsz4Hu0R5O+hXd sjdqnFwVtt6VM8MfJ8IPEpuDkKSnCGIKb/PWIQuVmC9xyJyEjWaEJ1h3dUfPcSxxnDMjR6i8gNu3 ufnXDWZK2nIK0ofeFpk5cUNGNjXdja49hxDWEHz1+CC0eH9CM1ZwhVTbfS9RxePXDcAsVgc6VnEr AGb74pgy7xp4mQWgXG9KyviTWDXGBdjOTjKRbcoATF1uAWNbTeqGnXXvs+XA8ym114vXjSfEhTcC s1MbJvyHFsvsNBtY80yMU8lBGBJJBfx3Eprw0N6H6OhevRzmEbvyXI2lI+BU/g5475WvqJQcWJyz XZvI5uHylsj2L1hmANd3mmVBIqrumfwv04WsB6RJ/1H4stmmxqJVjhPiorbprQRv6jWOyxxejbRP SRMkl24C8L6aItazaibLmHrlhnpVF0SuZ2z4lU1tRTKqHqmDJWbqS+nSxu0P5ZO46VdLpY2w6LFp y0CpLK/AWXrpDLIg5lU7x/GU7HpCm5ZVGo2Yq/u7JmpZ55RHA9vbbcwOkOGVXYC8YahrKHA4pzzj 4hBgfzEltWzzRn2cDQydwWLWXkF8UplTQUSME2LT0M5CAUpEF5TwtZVGb7GHy9Zz3DX2z58ntx0s i+5r9N/Vvrd+/pmRKbDW4wPyzZwqR0UsNafWMlJ7pzez9Fy71kfVWE3XODQiFiwFoU44Q6KxYlKI 3lkj/uSQnwuPpsk7cXmfNRfyiQj+nrbY6d5Q/B7Tsw5NHISstTDwnSVxJnINZKfX2Lz73HJQo7G8 zns5/BeFOtCewP3K2b+4oeOfdmgQzbnoDv8LCcrpGVZP+GsVB92lTV1OT/MCQw4tF6tQMplXFAtM D2J0vQVw5Y9lH/p1fAvD10gadd5gTRmDwQUcV3QqTagoGxmqhjuGJxLDv+xOpC/C5glMLQnaJQKV GbU14Ejdo7uKHkhnLk6djU9Kr0617DDgqaZQj47xlmIitXVHdN3GCG98dt6SXoPBpTqcIUzZxIpR xcLuZAp3Vgh2xbZpgkNB5Pyzduxgk9Q3vg7vNBBu1KD8mXciGSmxyYrLVuBdYbCufg77Sjs/np3l KgaNbd4eUVRv+u2dOgpUXIsuSuo8ro9alaxVWun9TqIK5RCuhd0tVOwVWKWimb4EEAlkRhR0pddY A2vyLrTwx+YPA8iHEDXngIOaY9UmauQpaHmPjU8DucRzjQz79HSfrcPHmYxvaQATau2qINim3e9A vKCXMF8e8PjfNSLDZyQKWcK9lolfnwWN/M20ZoPhitZbmiHt2q1kmlTExK/PCLNIkpqxZW2wd57P sS+FwlO2p3ehe/wH2Uftq5wyTUUBVPgyPV/EjWfRMBqDriVrfopXzRcwtjzRdcDY2euY7h+C9C1l 9uaRQdQiEa0YfPaD87dkC7Zn3kqFb1iVCMo4PNEQzEiGjWbCl5sHH6mkc3vU5B3x8zjsYZ8YVdGu YABg+xUk4rD4rO/EEErJhcYxW4EN3qbogfsv+YgLWSGTsArnA8YehyyNaD4oKbLmm2EL1hCUMora GUCSbvuZPhEPeLWP1ihSMlbBftqvQQfVgyPfYdWwDlB/3ro8foyDYdeeE+if/0mWcNfBV4zm2clt nZvW+85o0kHSLuxMLX9SOe7L+lFPk73Zapqg4BWobcdAzoHbJIj4aI0kK1d5vrnNjVbq1EQk19mS OoaR05JmR5xczUrb99ZapvaKgnFwO/enoUvuKeckFRoJppq25qHi5FtZCG3HzzFb9Zuw7Ywsv3/Y 9vLOg6mBIiT/ZQKQBe6hFNhEP09Cs9XyadnHZFn+50iKwajKuETlc6JiUltNZ5vhNR22yf3RSqJ9 Hm2XAZ1d7id/ZpSfkQBd6FIW4g8I8gsYeXH3CKLMTaBNLOIE0wU6A9JgkSPpBIxCyAElrzmEHeOY WyudkD1VWrQYbJ5YMpKAoJ5JgUfN26ma1ojO+m/8Hih0ERZyTlNcQc/npbidWEco47NjvXLDsVKm vqeKjQiPntn665XbMJJwkvOv2TMoQXDNHcfcJK2L1neXQFqQ99coNIN52qu+mM2Hp8LXTe/26erR Tem8PU0sBZgRMLHQPAnYXaITSx4AyN6ti0DgAcJ1dHNWWxZr9AL20c8fHFRgPCkEDzqavSZHbwOh S5JoScpG7QLTZsio64+ZXxIJZ+gkAqDD46JRIBvFOT3F9aHdhtSIIabUOI6fenOevBV68ligYHix i9SShbtY56Ih9QZtg9kze2qZmFvjUKfNQEPGYYvIhlCqwmpX6ulyi1mIS6mEYwMkE7IBYrsC9b/p q1K8fBKlX+9YSjfkn937YMJw7kKwrAYN2gBIS3eomjFMAGGEcORDmdDfKPi1JQ7QQ05//PYBk+Uk brwOffEEiEQrI0ASHsUamHm/byoBvwAZJ/862+CYjb7X2EvERSdXBS154J4JgWHe5DdVB/1pHLpw LSGDkqsnQ2ongnX5j30lYlP674RKn/X0EfcZ4TpfLVzRDz+iP2nrNC2sgzOYJam6saH0kOj50EIi W1ETIyPBZ4f3D/LchDXFKgIhrXkkKVQoNqIaGpz+WZDWLEbSH1JhhPQO5u/S3MlvB5EveyD9Hfjx dKxdFih/yATSc1LfRbfX2F0pQJuhuIRk2xo7cHXTHheBHenFkY4v5N8SRxGuZBXpfBmpzK4tDzKJ m+cNgWAUk8kubPRMPXEl9DP+SAns5A+FtCa5a2x0lFc6ddYUMdmonEzytTvGy9SYN8Lg6LzsSuyD qlbv3Ekhe1lUWjb2l9WBJb6aq4RRov7lFHWctqTPDN9/Gp/rlz62T9KrkiWpssPybmWY9LguWZnh UV5n3guU9b0yyGMZFap0ALhYYvz02IBaohxOBf8gTzoos8/yJXsNZskLG0g3DPISNJnLENG5iU3K AECCa5v1gIH37Vmk3WeVn+MAH4j6pTTN4UfMN0bDSKCxrt616aEaVcnj3xn1PaCAmpIm5Yl1XVTv ohZAV+ps/64pRKDUlv+medGFHGwu/H+6HaqyooxMjimQj2fNDwM0ogVwKgJLhlCz0rriLVbvB3gL gTZr734TqAu11vQhH+zX37ePdPUEIkGHqMGa3vEp94/T27M6DjAreLK0P76mK+xxF1x8inNP3oeK V7QPWIceUQKn6yzIyI3HHw3dJ4Jps6a891J3fSdeux2jqD5YbIQ8mXNIAj72gLccpdsY/CZ7F5AL GE8wxgVL6vckQS+0U1Sq1h2BcwQU/CKiTPQhCNcU7ex0W7Y3wDHXFPrHEEXbDPqftYZIEx9f/vvV VXz1ZEPY9DcZ/4Y8VyrXJIkQqb8/YUvAJIORayhHVkztHreUmtIPGzruwlMrpCG0L7y108DDJUaI r5tzwM3RgbqlE0Q9oPpcO+2RfmmY63mWXPjNgeP+Udjms1frgyzH7lfQM1gJLRJSBs8/hRs/cp0O wO64HlnbHLMQN746Utx8++Pg+Xtky9+yFbppYzSgM56d2dCdprkwsFK7qC7ZvYqqJ7k3EsU4mM/0 zDmpOWfiLYKy0mmPwrd7xFvvKlZO/pbMPovCLr5Gmza7a7jqFxpfxeV6hCCBHly2J55c4PNmdks1 lYEhcI21WYZn0OfjRv3EH4MrYgRPrIG1IME8XfMxCjM309VzWzYwSywI1aqDiq1f+erfcHR0VdsH A4kQdqxphLMVa+jrdHNAbIyk/zBpffWkGuSnhWJ8aBlaO3fjgWqg5zldThvuLTHn5RNSpd2qRoF7 7UQc+ac4kyrTRU15zVf0w4ViobSYP624BTJ7fK1WScC3YHyP3QcOA2xJ3Zyplioun1+M8hloUK00 eI5VaUBBv5VexRvWq+h/GO9HsBx7zXjz2AASCiEYKV7odmtz3Y+V9NOTFNo+5S2K1lNinHecIAqW EBPb5U9algg0/liQ59E5XZDtPiBEHSpP3Kf97XVpYQLBEoUtLS+/bcPA1XlndkB/+EzT4OSkY3gW WhlWvq8kNFX1xDnZ2LXjIsZ0LYC3eeY57ntbtSpLdqTBEFu+bwnhaQmxnwNtaugDiXPkl6VmRLG0 H1rUBOI3+eNNc9kUEf6wzgkDwXvsx/fCT1CmZ7V6caDthqKv3uyHub4t85XUMpSAT3eQh6IB9Iyk 5bmRG43MbbPUiP69kYtZRtB42QiEKHxwifvAB2bmR60sm135XAxqijW2YuXTphyqMKScZfgSocDV 85R/YX/kn6+NFKLyQGoBN3RjMQi3x5OEjcI89EfIFZwmErUvbBIbPOtTlI6YgZNpqdKOhFA0R+NU 56y+m7SiaRe38eFA8bZlHQ7cReqPTxrjdzMa+vuRYM84Di4o3ZRDYSYqbWHC9gDZZK0VMiHO7RmD ctKFX+O+k41kAshpFEO/O54117wAuYRX9cBGEJ01bjdVJsAt+QMyQhXveu4LPbdKuGKr6X1bdiX/ SZZWbqyEoQG8q4kQWgok95aqbP1Muet4UKFopAkLt+QIYym5M6y9gBZGUjNMJ9c1VCbnTq9qvEuK YRqxO1ZippsruJn4bT6HFg90wBihPuToNWy2LLOkbiPrSLAKUgyxE5i7I50kKHw0S61U+owBpxVI v7jnNem4ZH9ytuzVjwNfFXOngmf01i53hX0nLP6r0AnOC/+MTPRykZKnef55swVdTmyqYZAT6aZ4 EbF0adCmvSq6d5ri1ZP4EgvNsmsJr9X8FQ+XQkKwJ/UVhRM8xRQ697nM7iEOaKQ4OX+kJQFEGLhl Rz470ygTjc7PV13JmpVl8d955jZ1Vk+3a1RrafAHdKF4YR7SvZVKZVYNAGHm7erje9Iv0qx1Vnds XjhedRt5uvDQK5U91+VlVOYxU2ZYrpOjR3qJLP0fu+BUZtBfzF/6rVVHZYGeRvHA+Ljohx+KihQ6 TaBL3oTYeKWrloxRaZss9jAspcTPEB5MPdGxJCibmkGljd0NrWBdMc3ZkHb7Dw7mDzYAb2tm/K4D CflEG4napO+1/HhpBfrSGHDUkCjhFFpp1WcqZ1TcjhyLNefFd5vATITx2SUlfIO8t+sgkBfy1HUa mS2wcuHbg5zre3JOMdPnVlEryWArIPBaSI8Bs8pcbdb+UHQeX600pLfJiOtE3lJ4euFRoIBGR+ty QKNEKJSKsSBs4DkN2heVlGd6UYW0Y2Rn2PqlwyvKuYmPGs9BgwI7RrdH/cd8DVoRKVseoUGGKdMM DnE+xXWkqrR7mjRBiJUz5sAVwZJJ1RlzC6rX1bzqY5TAzVW0VKSBf98Xt2IpPnkgyenDdv/KMEef xIGVLXDJ40i1UhvUFROhHLMvKUd5QPagRwLvFjirLEiDiWy18yYjghM8f+fWdyGDqoTaW7DN//pA aTH6KEPC1YilIjC3Wwa16zU1csv4D5sx0O51dQra2g4+Ov9rwZsskTKwIodx443iTkoDX8dZF8YL HnUw1R9ga/TF9xJF7W2OZv+YGNn//2c2LtTJycqqCBNgtCwwr0+fNGYRdEAfOXjrjRI6rnL0DTWv 4yFbRzDtCAc8po8o0NKm49GsoLR/PBKENht1NKEG51B2X2feAI3J/G+LBNeI+DryyHx9oMh86lky yjtbTqwrkegW77+qa9BW8HAkyXuEQwrCtPqdxleZu2BD3geJUA4Oly74NRANKoUMdRPcaRPEw7mk 5pTKr4lO/+XNKSzI63LegoVS5mvaNXFltd9Z8aVfyu6fjcKAupv33f5xgot+cqIu50Ds28r/iY5Y /7AgAVhk0vVzeTSgfMAosNirp371HdXkqrn8afc9DMTji2MRyXdS6IXZB8Rihf1ltvJjd9mfeqfo z/PVtgkgZweHhx44TTvXFLocmXyRJn40pLfvlgFcS65JdP325DEnvk+Q6/2n5RQXnUsnap5y8Ty6 LM5W9sfVpAJ5whJYaWuoD7GSCyQbplYnn6XVhEu1fFZehKygJG+OatKR3aGrY5zftI5/K6jTRaow oPN+2fzQCG0wMI/0q5ezuzrefB8FTOYwmkfrV/ZtqlK78mP/eoN+4ysNudgJi8Qr844lMkx4EW7l VexE4ql4PK71XPx2o3fQTRYlYcRgy2Vsd2hCBE1wN73OQi2AZ+gJTCl/JnHRItlPhF1rjK9WH5Pb npS41864+YumPyh/veULCASspdmTGI6xMWtg22kzF1Rk0H6WRNrnDoDPpol4CxbX6J1mw+9PZcB2 PBgK0vmYNpOqPCpS5Wl+BWqPahQSL6/XfSkrv7uHAVNpJ4itsnJ+2M7GIXw4J5fIs57d6EJGZ7EI 8xdiOTpbKhva9MCaK1kSN3mWLrETb9CuPuqLSZbs9gGXanh3MuJzWQ6u+rKRepCkTqppUpYaY3M1 spSRqhb0scfeGKPw9kLcsbceMSyWqsHyRLHenM/Q8ZBGRUsrQq9gphNsiICqIsBv4V0TWYaqUmHQ JAhuYISdJxeNNHjbhy9mY8C8bthuOdzsOdTE2vTAIQAXT5HDD/e4zXYvLO0bigSN6DBgAONhNuvy Z89tdops9EmycpN2+QnRb7ESG9NnqXusDuZ6YOkTKpawIqfeD9CrM1otAIpc+rQJRXFt9fZkxdJI L+vvHDrTEk7NhaFjk4KNGvzOv4bBkXlKwwdGs2Pgl72rzYNqkbrqn2IRevQQF04FFD5qsyils+BF YzkQdmT8qPr4zYpEQWkqz2+GIW9pYrVEZoShBsZvhbptqfV0VRg4a9RP4ZAK5ARmJK6XLzXxX+l3 HGsUG5JfjKiipGQQfhkHJe5YiKbwwZV5x6++kr+jIkBg/VHP5zw2L65q0mlZXuIOH+lbes51wPx6 1p0lhvd/hPF461f2ZNrosy3uH8GINESAQThs9Cna1B8mKg1dq5H/TEDYr6EJQK0IL2aBBfOZ9J4H S+dtgpMgtoDfMDcfIHYceKRplUsCO43r65zVUS+poYvBnnnURQ6A/j1n953wcAFSsCkjHvzyYKtE ubHmjpxr034x5WeJ9nc0ShGHdOJVh4CDD5uigOkKMuWNzpqWkiE6MoVihiEghoVBz9Bn4Ts+TbHA vQLqGVVEfhC6+u11pDP2T3BHSPyraPXSS20lyXQpHCua9sqdHeHPqYAFBaQXqcnu9YQVgEoui4ZH mZq0pyDiFtZ4L1xKh2Eogo/MoyeVBDj4JIg+4imIhPHiMmZek4cHyFB8jtCzwG0QoHZjGNZCRiXU 0rfbYalPKqRUwooSUDrWH7V1Jn/9bjUjl3tdxBCO+3r1vnJ+wq/Ud5N+P8JdayEPfUxNvTbDMcrg 9MnPqii+0SDbAdJAirBS72DSCQYAKGFIcJx6RLJQtMfLidXq+s1xt3zLi7HFqNi5NuY3SqmtZcnT YVqx5kjN3A9IpMw1oJgviumbMWwC2Edp6EjjshK8qjvZJBPlF2YIO+rZ1WERMCqAZTNk0hVVYWsD 2XUQo6gO24OyfRpytKcoVJ5eX2mnZjI8Sis0pYPtGsVtmknlIspJU/39302VVV8k4htBoE961Ufb dk064wE95VjQplLSuF2+IJT+J3MgDyHQZC4Pybk0LKythi/N/qFxwrpCthMyVsGzhuqwrBG9/dKd RhpUWrTnmRVB/qJFI//a5V8R0HqgQQGJbpQ1+vX1sMFh0zfHlaKbn6bknVfpW+QlIlVDNRoF6C+9 0LQ7n7lLxb4obZNLeC8z2k3bHSNChDFNlIP83BSFVjfMs5XPw9HbkP+dmHTk7tWdCDc3TJ6u1zOD 2fcCs8IFqPEol6QYdEKy8vqOpba74T1Te7BUM96fIvSjsuS4S3wt/8M2gtodD2u8AYtpW9VudQEb LzSQUHbujOxe6KEc+u7ELR5ILk7NjRsPp9t+Buog1geghqQPO9nmrJ6fvh2D294P2vGxhZod8jgx 7gqOZ3Spz85OpyT63yer4uTkSiGU8OX3V+mvuT43usEUIj4csGXdItQkJML/rVzMdvPSV6SuW5X+ slg5IYDeS+gGWKv8GyItgxKluJ2zhr3hhdGZi+VFCYCGaFXamN5VVY7uOeg1dIPHldfIPZbASTbg I3rwAItz/nk3B7PlqtMWuk5g4CdyaRjhHKxBTWwo7XZj2DIBIDuHyfV3Z8SBNZKDoTeu5r9EcFph P29Gocy+uHOjCDnbAZGAwAgPkd0qxBEhtTdTxNyL72nURNV/Yvad6Ud8TGrGVUVBXRQBJNjV1wpJ ZFp3hLquXqC/1Xuldzq17yr4MODifr0dqFSrJcPTLPHRm45HbHYHLKFrDEFu+mDpRtCm4HtVxgC0 UcxH1Ek2hjkMOtKDTmgA8oR5SswmcLMBsLo9t/CmoRKHJ2ZTu0vcgRZdWUpY3C5NaheMhPU5JgRg V3JmXVDMilIG4Y/pAuYPVcOmgeqRqk16hL89d42K6BLsVMPJYXhOU49bTFwKJtD8vf/ydwu2NaH3 5teWcWjv4fixLfdhJsL9cXwdeztsPyK5FBGeGZ9avZR5F1azAu9Vkn4damfaSAOuigUtv4eDIkJA Ryu9/5jtm9ub92tjPWyznmOzMnlUWy1SmMTkFxd8e1HtFIoplF5Lbv3LEbqgCP5fBXcFuVaU4xtK y3PNAjXWv6U8W3CFRsqoHDshv5Z39IFO7syOlNsAepPlzadPJWtZg1nn7+8P96JfMkSedRl1t/uN SVwOr/qxO0UWXX3yDlsEqA653hTUecX1Ja5WnuqnGshtNrqKCJKHH6xclScC41/8sI4/frI5iv9G D9yYPd8UrMWwymSvI/40jUWxMffc7v947tQ3aLw0/HwIzhYuO3XnCzE4MnEaDYsXzcgKFrclYWq1 pmIYNMqsBiZyQs2suOTQ+F5v5YkyaWClQG372JvGGmp0bLXm0JwmQnI5QBf1etP0+gexUtCmZ1C3 6F9FklfDJHNIZMP3pBjX8Xd9oQrFz9F8/HtUZ9dxNc82S6rdyuPZ2WY0TZ89xXNLyp70ShbczAbc aAPJ62nh281roHvbfHXB+PYn2t4X0xPMIYIIBhGzLbgo6WeqshSuaL4ncoqHjEkO5wFnWzgl33AW 44zdujdLVf7v/64xXNNO7EqGZDr6RxGaqtOSylHQH2G5ze7HOy/POiucqLVMjx8UjyQRbfJN3gKU VVDptgSvch5bfUHy6xMm6+EbPgnlBIXAMjjAbmbcxAhcLU6f7wEqdROMi9lebeddD0G6fb+INiRz /Gny7+psoHUYO9gVnmokRCpo9vU/BlpQbSbrBCbERj9VMdDJ6txy/nhcT6djwrMISTarh4r/MGCb O3+3tlI31T5I5gX/sbPXf76C77CKqHQbSnxKg3keB7jd43pBnUQNd5dMkvWzkfBD+8EQLdkzTRXD HJl8FmDvIuojavvDq3glxO9aB0Bg3DZW9l6ezN/tw8Bllr91f498XdNG6+kP3xER0Swgt2jb0EEi zNo2Cd9fjmysNzx8RrXrs36zx/uMbyxYHOTWFvU+iCBZCyr0rSOTSn9SgLjucOLVEVt4vEAWM9s4 TLkOXWofwaVhrZSLPKuHi9X5mI2kmyBKuXfw9wqj2pz0Wnq3tQ11B4lOi+eX8R4gAL7nLVkP02uv QFSDV9jMO09ow0p+2c/PH6lgUbazGhHWolTuuzVYasFJvJKj+LfWHUd9O37HqBgpoP24KgC7WgBM dvzieW3nkk1ZOBANkAN5tO2+XA66tonqjxVi8o7NYVxvUN/9Tp4kt343RR5EGzgUI/WvgwITtAF7 5NlRElQfZEielsOg7CICvS7i9EAe+xAHVTsMDlSG1Pmh7fmJyZvbJ5SCvWcx0XiqEBWJ+ze5NAum UYlbtNkrQHV43ssphyE+BeCTzr6C1ihlUYqCsYa+iXUulpn2OhiGPPmP/x4Pv0zLuErofZ0jgWxD LdWZDLyOE1L3oOMRrRAneHcmcDkV7x2bOE6/pF7mOZgPqTdKsek4qtboRrwz30RJUAZbeRQ7EjLH zfMItWqSlIyrsnfjjYpqoMopJ8rQFyRD6z4yib7iYLBSpanEb1ikqAweMuE5b9HGd0chkj0i/iq5 i71FTapyI6Vg/pRHnta9Si/aDE2iVZXRDphI4UQxETP0mPPad0XifdUgMqX5gQF6lH9r2armT5sB unCUakrcnlsRrIT4l4RUpQfG8DKgSwYmySBZhxc4sAlWCii4SxYvtDnGaId0ZLftBnc3ezErZh5O og6QG+xi1CCM5Vq9oimbRQ1HmKt1zSnCdDw7hQZCl8GUIuMjCeMyEZSqo6h4IA7nKRle38yicmmB 6cX3NbROwxDIU/CpkfxwOG5wFWeTz/mBL9wDVNIF4fCNixhqbzqGumBUX0vCcr0neg7mzPBtNRUc yKZicPx0OirdtTyMqj0gXrFwcV8X/e5y2gHhRqNiEYVzYFQH3/u+5NlHh3pHrW5eKExF3XGp6hWZ ki/cdqgMTbfJORi3mokujlqx7fuI9eXuVPkFVE82VixYGiBy+Jf2mw7/MCzMYEJyYrgq+t1dpRte P8rUo+XhIjPwVtqwW5pbIe3+wDEANCpEmVnyS1rc7WzHWt8DS09dk539KtJupsFIEOT0a95pPLG9 9MSeMKr1tQn6xYcjtaimvLZkljA+eHkJLyB00nLl8fnpZP/ndoVqWeicXdEbARf/W93BpcIeXhxk eRwJk0hS+G9r2gyH2gsGehbGiJqwmYjM92DloFL6ilv9zufrPLPbDdB1QDRy1mT41NxCx4aQ6j6Q fA4/To6e/dtNNkqFvlBR3/DLIIclFxPIeUmK8X2325yRytv9naarb7RC1VoJ2Zc3/AEh46vf12RZ 5v1y4/DLTnpy5qgd2dpvqMnUiTm7Wju/HozGdZVqxuXNrodXK0GMMkiQJMXrIXL1zszu/DP1aAWO wKKxr4kgBivco4yyr6L4VeF3U2AMuJpUpDGMN+0UFUzVh/EIKVQCUeerep5HKZrMwwmT7VaVmKuu dZ/n1OsmCjD4EhL4ZHkUXdKqwJ5wyq5h9ytTCxIBoj+tdXmiy0UgF9XVDdHmmJz0TWaFMTPp4Mad CgMNU3W+eXFZkzIUQVwMNXlF+BGy0nrCamOaHb3S3ry8X8rkJ+4rBVKEUBVpOJ59+X1+dHzVcSzv /t8bWyOJGFyCW4xI0eaz8iiai7A2E3OtnA6gqgRmNjCMoCypEEVxN1FKrpkDQ9nouoxyKTZu9rjX HKKWleUMyMectZEvZ5ox8APJDW3GW7O4Vax1b07Z0fuiS4uf2dCyJzIiDP4qsNyK/BN67z59AnAX EGpbiXCYtJ/I1Kj6augXvKyO9dVDUJqNzeKm1hNK3e9ywxGXxRoU1ae7A2Broafadc0V/M8UHVV4 ejHVS58Fu/YAPL4Y2Z1C5nhky6c5wi4LkOzGQIx/T2fgBo+mizvUR7oUXVZZFzKLxXdSFwnUqIhb rO9WbF1rUQcZ2/qVqVKiBfzuA3aznH5Ix/IgxicImjrXf4TVrFfPUgILCq9GA8KI+hG9w+Sa8TJX 8DChHVFVPkkLX47m4dSUzG0zDiJ1MYgcbDPEseFkSS9nCPz01DupfrYtA1IsRf8+jHue09plxpFJ yYT+qKl9GhkdF/dZ05uzm10vwJDfRQSdeHvMex6Hr0e0ssq4d/fFZjC7x0/lFL2NKEKLxnWtFYAV LoPLGs2/tHI5H5tQW22L49wIKTxMz13zAIgzw1P1huSgV9KdMAG+fGR1E++o2Yis18PJ2gMrXS0u jh+zHFRMfkVFdrrJbwmsB4O2y3gbalVcu6cEAkLpdF5x3Q8l8l3ZCASahteyM/Qojr4WqsgqM/af MsEqwypJ605LiKlGVRjk1ZfJ+XngOi8T6up4tC0/nmnZpPU0dK/dQWg7g6KagDFQCSvbi9sn/kzN YMtshka9SlUipmeCvp5010nho9vmlIm1WuEQq8TuCqvTbXOwHpbLfBTh3KvUvPVPRRJT0qtjNB5f L5UJ3wiOivDPssGnCuX6ldGMNviM7QzCaha9Qye5vc/iQEQQP55x/Xw9DxQ4y9qi3oiacc/Ebcgc FgiOqfzKjPEyv9uSa3yzsGDaErSZd0xJNJRUaD9Gwrl5B/WFhL/xQx2tnZ8eG/gjTLShhswCJT5t JGNIsTuYGiW4b+tikASK/sw1I3F/DHuZxVHm68ECeAjmpmmg7a/n6BvScGpU1+UTNFnlc2NOlu8m u7bPdbHG0FU+t5Myx2ZC/GfJ1f486WVPzoHPj81LYa8XD/rZndOzruCaox+KYx8n3vbYx3qx0/LX hP21BB5NnHcmHemAIAz5cwhZmnaRJIB9h/WzHdW53lHa7fbkUddyo5SpCaqvJZwaBtAXOHLfwg+1 zLYXSv3qRiFsI8PqBDbkJCQZHWsaVMgzIVaZ5JkUl+Hee5pWLrNw6gO11VIp//zQrZ/AAYdkBLNE kyu/82feRxY1MXyxOm+zi95r0gZ2161rlRwwGSRnIZ3jwOlRwvLWKrMRBNJEeWpqB7LfVjQmIjci qijsSo29RUoa5eH/s3T7bFJ+wK9jWmC3+iGvjzbx3RHWEFqsqtV0/maCooM/0hTe2OvIFJpus9ca w5XvGeqz0Rki+qjwZKl40kX4HwhUEdEuDeJm84pjVkuvh4VzjmN97uDQBReJ/OM7AJTu7wg4UKoN yAFd2ORmJCE2VDobzgpti1ZVhJeaUM9BNeno0M8YyBrnLmmV25sYUQbb2g+D6CLwL7o+G/N6IvQw 7di7V8hb5xo7lPdQngzZgy/U0yuh+OH/KaD0lFrmovgB8S9xDBOhlbiEMPdaNXPLbm+auRl3FBZh uzL5rHHuTx27ScEvX/M5Oafvf04p7rSezDIelY4EI3SwJYiEUZJEm2xXEn6sRA0ocgbKwIYKrgAI anJ2VpI9LJ/398XAlU4A4VzXvqLjoAs44zbSXiO55DrwpvYZseJ94XBDZjquf5mM+w5ZbzLWuVR/ 9G58fUuLrp/zuG2Fw3dzJL1pbTNynBdwYvz8Uh87X61htxt2JfXp5UArcU22srvQBvtW/yl4y1Iy bRv3WWGsTzKXeH3MIlE+XVdoxhg0hhypQgsw4exb0855t1TW72tXkYc3RMHythYEXByodaHwV/YH w7wRf3/KKOsjCmaj+S0iGFVH+Yl6ZcIfiS/7cvMmjgLLwCuG8vnfn5XlK76ZJVGU6Vc5p6IorkYE LIK4mQEk0BPjrN6Vn898JlKBaHoihBH0nYAgEOrFXE38mxRby+Zxc88YcjVxKs4hDCLLk+4AtQKv XuWbRBRf0a2AIygdYAhmxTLU7aMtIB9b4Rnlq6+6wjk7+2arzCk65ZSjOb1cSTqXY0GiGwOuZ9py Iwq0b4We05rDanWb1+OBNhqaetpWEq1kPv/5KHCToSSjCCbGiFPp1x7b0sU0Vrw8uT9ikFKxrJpd AfAnBwEN7iVRuP1A7AFL+2IOVnMv4+GCbAaHfts5HEGXhyouBRZVIzfC0SzR+aGN6Ps4dZGlCHNl OXzkYZseX+ugMAn2g/wVsbm6vc7bGrkJ8EmBSNkbztRxqgplZPpqrvz7dcXPlVljSCDMqUBmEdbt KsIYtSi71tFQ5Ft2/DMNDxhvy/SLRtus2M/BIodZxWK/kSH7OjyJEJnSslRkA6rhhaYzw82/3HbK 8wzTiNtkg+cHVD2iF6F3u0mW+F6gSAIg+nJ+GTjAHY9B/9gWnSlH/ndyjPe7Jjsc7mWA7kWWoG5f 3bv/DHUhueKwlFZ87OxKIq1D1xTlfUdSTyjT6dXa9DpBGqb925XFJJK80MC4j5RqvOyWad1d2vs8 aIMiD2a+n/R0vuLtX9bpqrx2MYcPwgqo+jtqTDDRNgKnLS8SjIOFXmho0izhgmVVWGGle2XkkKHZ aWEPKFgd1eJ6KZte8f8ISbHxBbL4Lr/utpS1F1x/vV9zgaaBHY9uUaTU/Ugfr6S2FJu5078h6Hi+ K85ydKzKY506j+huaDdbaiDg2wI+Xr3Syhsu03XXSuQYo6z0cc4FMb9k0YBlXk8jvqV3c8M3UliU GqkIqbyobUA/1NCv3BmSGu7EZaExVxqL7Iu84AEpv7Oot1fsbeWv2CdpL3IFeTD/tTion8jQyAir P0voqq6bm0rX/kdOw73wNGVmTS3prmRgy/ISHwJK/7KZP7hO0sIeHV3N7R7dKTFsiYfDKGEzUyyQ lXY8b/lDjuxQU3fNxqwrPjDzLTHlPUlcsP+IN4VmusNI3eD9l3ZD5EaYIuzuu7qQ4+7J9NCcWTif kcWxJy8haOCi+iMVOdXcQicNAQv6+6jrHJ+rL6bLMDkZ1jB3mHHtbJvtga8N9AKVQ3e8S4xqfUBA 0FvZnkW3+KEfp10Ugd4jjm8lAG2f+4rLemKzIJnaafil2t7ZQbH0NqUqrf0qDym3txt2Aw6hsfOV AMLb1kjhHZqeFXeJXhG8aMYKrwKLqfV46cYtszPkrrR78SPAQUqkFCdm1xyknTNEpDWX2mU2/DYs iMXBxcRo++lbEXecmr4pZpXc/CFaEsTnYoy8m93rTN7eWKQG9R/UAgA5BClh3r2a8M9zqTk2W4tC PIQCiSTxFenwxtLKhnJ0hMzpmrsgW70U5lMBGSH8hLdRd07cJlxowTHp3+qr7i8mSb3TE553ums3 DtBIAxqSfpwJCVB0Y6kfULMsLZoI1Z1KVUhoEPOcF12LIR7cWVZrU+GkQx6wMUFEk2PpU4rExLDr czWZ3OMQtYSDtuy3UfO7jl+0A6mLzMMjhtVZekTTFapnltDUVEj6Sjo0gpjNguYP+jXrBg7emxM/ ne9I9k/0/7UYuFiVwiJUpGCYw4WBFkqqwLs7yL5P1SQJvC34PMG4txoGDE/AFwtyeWUFaS3qZUXj lAd4c9bPOc0EXXic4cd0xycZW8bZHQwHKjxgmb4Qe3lzeaWiN8YDzBthYHR/XyijpX6isXOFnQ1t cyZ840sZ+lAi/GAgnQN0fXpky4hGFmm8/wAHAQvdSLHE9tbIrT5U5dBH2ChatVQfzUwYJznIZ9ZQ JDMAdEDEd7Me+982ymfZImq88Tp/EXeQ7nh5bggM3lUbLmvtjX46ILpDSAcs75sWc0YFJ5EksoR4 zNFiIENc7EkCPXStoNAWTeLYPD6egjU+iCVdukEWu0RPPcpiNGC4jeOy3ff+9o4GwGDCxFlNCBD0 b86s89x/8OeC/c8476gM2zKzT57flVezqMvhxwDD6AdCU0smkOYPXJhTJ8500UOvAMMGsSeTuY3F eFOXEJey/6t/BycP97y92/XjYUGcwJuJNy+FyTvEAGEsSkj5H5r2atsG9wQHAYnkcwldWe9jV6Dr IbtCIgl/YZF66Msd9s0Ap3aMpx3hmOJqOqLTN0jk7clIz8deuyC3UBPsMppGBj8LT1UMQXvC4g2c p4rarH+2r6NMEw0kDuTNbVTEYHuSIrTKrc/s3ogO+kVlG6o1ZOYVbiz+JMiveokwKCOhj7FLc/fa 0Py8XV6ckCm+pxxvasWULz53is6/CHpI35zGDsyEbsUl+r1S6pkI5jkEAnyBITuOVie5K7rk0xax Idrs9o3gJBnshWKf+QXUCubr5KXBbL8qavESblM44QVn3T51S5Qb0PpQGvc0m2cmtfnMb4Av8wUF uDAmhJEfOV9xkcKCHN9cp9b2pR8REVL9XotpkgFeTvgmPTTR0HPtm0auK/G4Z7quOM+O+DYvov48 GtS6k/vH4r5d8/5gZ/+Xvv5bVG/wyk+/PHDI3CGYLD7EYdLMzysvg5+SorGMBbnZkjgA62VjUKsc 64KYON0N35Y0yJT9v0a0b12zMo0/Jzy3zLO1g6O4FMyxVVAcUoBiFoqZL3pvjX/NQrt86yGyYfMT DrSNvhg0CiNZhPhz5SmCVHwwM4T7Vk+3YePGgwyNzm4qofgdFpyOvy0VFznHkgUaXMLlacXjN4mN 7/Vpe17BmjFo8ZAQPcaUbHu079WjnunNclchiLr9frQa2fhkJeDLoqfJuf68HjQqthcF5lfLDwSX kgS2ot7Qwbg0yIW0fjIcG5t+q8cTdU1gNGOPfE/siROFkoXqMBoml6X4bcFDdcByauavpCc0/sl4 y5MwaJsGrzM/oLA21thCFB8BrYCXX9xa6Exaec0dQkf05JiEF/PSnnONOi3h4wkvbdEr1UBVGaHP WRKdjr35pkucElG/UupJJylQy4raf42AeMAvy52UUNl0ELOHrVdJDLP6M7R1cMy1mZA0y07Exh0f 9F+EGP1pDW28uzocs6d2saUvcIAHhuwZHs1ovPREPmqGmCUK/qZP3ordX1u7rhfQfYU9yuoEJNzW 65bqw/RdkWkxPfvttkHBEyZv9L8gQikvmnXdvQbtSpQzE4XwjOQyT4Y+NdbVUW0/Fw12z9tQVmZs aJfA9EU1xmJRKYFW1WeH+4WuS//nIY04/nnufHcwjQAOBcvY7Ubij5oZl+TPMkzuxWPnLk95I7h1 TzGLTUC99r4IMxFH6xHrRv4iwXK3Iyy/hv1rWEVRvfk6AWrufZXnvUF6p7Y5NdpLbc/HODMQ2MEk VLWNWeoXjKYz46ARNejjPp35mQ7WsXJbn91DhrnX9ESdlepi5W5N4Eyj3hgA6/4OP3oDY+n5VmKr CX6bMBa1NC+TBu7v6xtErDX7q1b5R9gpZUJ6eBxc6OHJ1Ifqaqq4LAkNHXe6A/bu7twiMGZuamln W/1UUi6pIoiAUzxNxM6C065db4Y1m0WOJruejzV5IKeIwC6Jd6+tQucrM3ghrRGpxrEVyevTKG0V CJQ9rUcVLQn07QZcCst+TJWki2NpWAYk8PpsXkdHzbnBF0z+Mq0g+9+wugTCD3ecQyTRAjwGdbVu 2PvPV65Ec1d8+R/g/MXB/M1kjp6pcawltLyvO3oyHtiKh2/yJjrzxqdz3xYuR6XZhBRSHKZDhTs4 +wtNrqf9CRLkVofva4LDQ63WHEtBCp4xaKHRzmMbe1JJPEtuizYmwmuERNsRL1/BbZWQo3luOOFx ajAmcyx7/c6gSEU/YNek1nVhHN+6QKjljn/A1f1za/+bxR+jcRvnex+YjSoqANTjy3DTzVcPktIn pjynR+R4bDSqmwF9caDX2Xqcqmi5AKTSFV4D91C7vzGZ+/K3v31j4x3s/VWHqt63KwHTE+Foe5Gv qxx4Ih9VyfX0XSd5bwR8u176BJ8MQYsMSHDbhisZ9fkHipZyU8mjB8H5OiGGO3AYuOxc1GfADVvP bNxOneRwLD7SkHr7d1UBieJ8lrSiaEaUVFvChXevyJhBXADZXbYDVGQE2PIiYM5D6wz3QGTyJuDb m42FSUn43AohyH0Rs4Eb29HdInFd4ptG1H4fwVncihfMIVgW1MBr3aTOs5NB3qicZHDWoFZ4dw2N y2CWFQpMtIzAYha9UognB+RPinuadbBotwVWz4OF6EhDmkfgm7zqlZL/DmPa3TzGRRPX1A3LXN43 3kpJ07IbtZiUFTkhNcmZC4D6XZKbfcEPH72PEpXtbCguEyEjne+S7lihLlQItKQdtTtu+X1TkxUp W6xalZ0WyjrBoMh3sxRb/+VvMHfmq1coTVFxMFFDhla/u4bqTynTaXM+VLRHqkSJWiH14hT/bS0X VcTAfTqfMYgcY/c1xrqmZt5jkJVHP7NpmPauXDNRk1np01VOq5CkhnSDu01Cum4WYwkLcq13X4Q+ 5LbZezY6budbNkAn++keePpKKTAEdLEsAu4tNg+0SzUwHrxsDf1Y2nVcLDGWoy9ORPm2K4TnqzM0 CZdyM85Q7cxBOy1Bhgz6ylTYO8tM6lUwSeALRS8kkr3W7j40YPosHkqm7BElwA3J+3D02lokMjjS +QpWcoCnnsz4swezh6jSaTp1jk63gaGZ+ZVNZ07bsuJBl0ErlUCRL2huej6ZseWThtobhwkzmBkk iU00Q5qAncWSlqId3LsZUnRasiCQZBr/hhwrngDqx5fStcvnWn0B4NfvUiLDybW4W9PZCWy3dFFV S6+y+jptm8rPUT2ADVh02QsL4OVNAwzmdLx3t+aLNhOCEdMBK1K8TPazF0Whq24rMQB1aUOsIvyS yjwucgNLteRk+AG9s0Sm7LArsYhsnIrKNhTWscrxUcZ6QJuvConjwEz4EmEE05qCo++0hYXzZHAP pPisxmWZlDEQTC8Tvqr8FTsUnva+WOL1K5PS9q/jwS1oesyqLyu+6opHxaCFsMEX1noCUlgyrvUh FAOQCqoLvFh7MfzYGyBL04PT9yZLaRYxlo+6WCOnPM4YKQ8PNzHgPl7wdF+1zUMuCsKwS4fP95u8 aY/cnd8XHuxXj7w45TRN4nqP1o16i8WEg1tl66f18aBMcH8Zb5SVdCO9YZDFOAJ0cvEjlyVWs3el Jh0bWygpZ+IO+zBW0WH64DXiIBkbtJZvUGWBcYdTIN7cU75LQAry68X3wovxg0T34+qR7whC8xoW MZIPcVbjnVy+VQwhU2ORJu8JcQj6wYjTaZZKIpEt/nt3X3C4j3INOYrT0AkKSnAaNrYzS00pK32Q WiVLcyQrQNwTPc60Laq9qyK9WAX7bM0FrcluhCe/bVU7y3I/rw0s8PRSlagi5zKEvksiI72imrxD a3BMmVcb+BThap0ZOWcQHmrSa8hDJOS3oP0VssTftsQT8nsvI4Nfnj/QmHqi4He+OD3EiPb2BDi5 RBov6fCg8mvDJpPqlPFhO/YOKR1LnazctUP0LWmtphbnN0LfFuz2IyCWAaccT3s2ydXyW+l0zuUP XD791V/N5pf4e6wv56PFgbLJrGFizc2WwkTRzAD3EgTiwVixWz6D9tlxGU65QoTE8Q1Y4HOO+CGE b5uBS8nVDSTmuBUpeEq7EC9zac445kgj95Lmu8rlMlGwqpUFDqzDUImo5fHZOY32sThSeSFd0Iww 3s7DYL+9f53HTwSUWmRe/Edf3+wFFTMaxy14CXsoZFdZT2yWd4hkbvCRI73VT5lQS3To4KXH+No3 Wiv90Tkf2O7CombBrFxEVNgx18zR30pKIJj/XF9BHdTyJY8jp8gJJrUOLABwbnkfWqqfwwDZ8XNl j1LgDWrkGhzhM0NXmJpaTDJHS3H0CxfFg18O0sEg4XjxhqeXcCWe0eUWEU4ug7e8ZfAFhJCbRenj R+zqq4GQ7SMP+Top1gqd5fJs505s3TMv3DH2NCDhXba3+azfdQCOQlqT4UPuByHxU+6SrRDE1JJN VUMxR2ax3YnAjVKPN/3YQnoL+wsJqNZfRKMhlvybidF3S2bditDCvaQq2Qep5FeBKsKV+egBSK4a +XapEPVjovd2FMne06SVLCEKh5wOVcJDZZkh3UAPYKAo2DTaNzXwhi8UvkcuyzD0tRvIFee8f6nf D6VIjASoTxGHNUqxhemywVPLlCJyxhMl8zY9SJYVloq1bFdQ7tEWUS0MifpYPSJNByj/0m+Zi3sY Dgo0imruz4CKJY4+nLgRjXR1fTKrS/J8L+/KHF+kglvocafeM9vLDdGu2U1r8Rg6biemiWS9wUJD kC0hznx38TED1r7kPV7qhuzugvSp+mYoyZ30kY99lHkJpWrvXCubx4Uzb7E1r7Gvp54FI9WCt6Ne Y0XD38W18nbmJQiUuQ/grcmYSOTYNrRVNrN01rBLAmlVnxWr3jXiF9TVENqu5AVrGDRDJwwUI0Qf OfXuEIp6bsUCUuZDV8Y/y9cKK6kJMTFigu3LhXXWl6Ak9l/nHqaJF11GcOZXY6IMbuJvRSRtQ0i2 2OdJjzrF3w3fgh89rYMn4qZl33uMHdaBG4eeLwP4ACVTfNmlLxgSzP185x9O7r43lWRGNgrCeLtX IQMd0euEFLtTOKojCAazSngSMhUkb3eJI7FAi6fKqJzKFziMCi1mXdcno9ZH0+AbsvT+y9Us/nhA CQfq4QNPxO1t/6bBik83TIj7qd+xySJ/YCWkscJrllnv7eJ89TnrXlnHYLJ35NCR2ZeeBn4V5s1i agk8ht20cgjFXkehhNFnceD11YvigCHfkfFybnpximb5hYvMRCh64OwSB6xzh+/QG7pBjpmFEBOy 6Er5bMK26cJYhLlay4jY3pKijFrVy/7gCsbODhL/qm6OFwyiME8M7cLjc7dtgQ61oqCPTEo/HvP3 mlBw7L51Dg+83Qw3GM70cTDWXUZH91ho1gLuWAIXcTXG3h84j/zAgurMTmOq/aAJRZ5F+n16diT+ Vb7s9anU7SorJwTnyKfe75DHkbCEKF0NVIRf//Bku6fyHEKVWDBOpLt7xVDyngD0CB0fd9x/sCBa wMd+8v2ieoNZqu9Sin/aEpVvN4L4X/7RMkxvHB2ptg2ouW2/acbiWSIzL9dYaLy7rE9gfIumckWQ kbetSNLA4LlKcRMUueBjOoIKGhBSPvBgPg2WBPa/TcBoSoT1x1gNv4wnSK9VJi8RdYXvBLL8Qi68 d7tCkSTc8RjXfD/3dTT1aOLqtKsl579YrllsLThEByYMwxobk5mRodi/PC8SIC/WYBrQJhnHnLhr gEOQuNk6n603aCDN/DvWKb3bBykyPj3xxdcbCgewk5AIALKLgkIuKRN7BJm3wCcleg+X2l0jTGII nh+D7vrMETiKlTEeR13CET8U+kmq8ZYAn0XpptXZ8k1dI9M6L/bK9msNl0yUHF/4ZzJ30W1hnraa nLL4fU+QuJASxvQg5PI5M46Vj9dECct5hJRreDkdipKGbhAFiP8368sN+gBLBP6axElurh16YOxu 7g1/L/hu0Vt9unbiJ7O099VkgwAr1jYtdS3PuDkFfDUBxs2U/I0G7e/5bx96bPEVhUF1xsU5GFhw LLdEUGp3ZAQjqbf5wxWeDRqXP3HFjPcDrb9wMNni3F+lHRCVressJmyGIqXwaouniZQBKmcr/wLy mc9nrHJKehRU7qAcVE3liyDHXbnFXPSwoAwhwzmvA1QbKZmBpDgFoQ6TsdBfMz+3kvTu1P/q2xoz 97QowetwbctK1TNuUXLZB+x70hjRh8nSA88VvRxg1MBeYKj89go/3Qd/yyuJTiAKJD/5c/BiA6Fb RZOI8MH6YuXmxbVmoovW4NfJy99t4F7eczQWw6B03tiF4YkV/eQAI1/eR9CJnw3r9oIBeE7bmwkN vH4Ff/SG5qFweUkEB4Aea44E1FqhgV1AI9C+NYHQN7VONojLw5qsjZcAvjWu9sULVqHPUoEixJXZ 3OQvxjzFy8Wx5CAinCyCRaUuSshq4mlJ9eIrGIcrJcf5/CWm4Ux98WkhUaxj02XuNIFzumbEkDTG KSxi105K/zHeMf+rt5Ze89aJbKtzDnz/tfrbyBZ/vyqf6n6aJUBH672GAmwGz9+b0XAnDBUVpDu7 EdDs2vsO2Cyi1kwFQBg4/BK8PbdDi7YubMT6XAtt0FmgQtBeUrVCU4cR0ld5iaVj12ivku0sAdwX MDrXVwR45QTC9c9J6iUWEpGeN7qIZ+IKzrmk+YIR8mQ1KcKQK2vPzohl88/v7vAS4T9rTC7xBqNY g2VrwSiRJtiFRqocjXl6tiuG9WqFovwxRVrDoFpysPwyUSgjOpwIedl+vmSbHhTGrxpK2TwIdGTz 4IvBQzMQooN39Mx6nd9vCxMdH8FT0nq7z/IPt2Say3Ikl8gbNX22t+VY3MGs1frKiPeUkdk0WiGE fRRQsel5lgYDyhMfI5vk+3nSsiyp/fIwDWjRWcLfBLq1F1d515ujmKxb7VGGcowKx+GTgXv6+ZuU HgUZSr8mo9AXyC2XsV5Ursrw/2Wbs2vbiLeLSvv5VroNkouZEK8X17UxB4YDG7+OpZ3iO4qAvHn6 lkNac/Kpf/r2ZuIE/tdkH9fR3JHQSEDFXnf4P5mw+Ae3tMgcwGxvivbvy7LM0yvq7n5FP4e8k5/H 3f1D9avEFKplasAV2IJWfKBQL2vYqBCcfNRYBcno3Hn/h5YRcsLO3z3vBuoOv64IZWK2/av5NWAz iBW/YXzxqpU4yEkLCtSmpLXVmlldiprcH2MWqxonPS4HWIYQlhPVpGpKmpY4tCU/ZY0U0V7yb3qY GgiieL+sYKAWAOfCBxN9O1yvT/Rxp1dCHujzbdscpWwh/AW2DeAhWc/5ME7IZNfetM7hxQBn2rpV 1jpADPIAsMRXblYOl21vQDysrrJ+Deus9KIJA8sh/7B+NxZ4LdEiMkycrnQBwCrNWy8oxJNeX2kS 0xCyVtdXM+8bkKyL4e94qplwePEEmw/Ru2Z/nas/VIEBkg7yFM6HzG+8LbU9cmlbpC1lBvAW+keg M344ege6tbVws/kbhiUgwfvtSAVisJTEZBo23oYLTLdr5gFj3zLbhuOQVkBWaTYOQ0JZbvfugSNr mz74byMWmfybwV7GjunctBQjbOY2154BuV6F34JPtSI0NiyHuDZIFfQ2iFNZWwF2UBzYHKLQt5NX WYj2FIp0mjdjt0MpXHfkDpofF167UKIoB6RHqjJN9wXV9Nd569KmAqyu1Rthk3nekO7icZ5XCXgj J+I5GPk3zUQFLBtw+ctDOcMVpMz4vx7sl6tSjwqptYBeKCXv9XLbM6h2KsJtbmgVn2Izw1e+ZryO IjY+XKH6D2CMJLkIlzGcORwbEp9J/OmYsbP2DZPPtgueW0XeHjc1mL8e/Wl3+3yKTl8dNmaRaysH dxfxqir4JiVXh4oCmRW0FfoCu0Dk5LelssQ52TUyRce5R4ZXIBX091lrL1ghVs9cZa0WOHYu6IWa 6poeTHb4eFjpxKEfy0RBK/d6NZ5Kmxh/25Spl4LkyRedEUpCmaQCtMp/gH21I5+Y7ufuv2C06gaH DUQg+vyew4ZXZZr8GuJpzgxizDgfkMBJ49whWw94R1FWmQDYnYiZmieBSIddMXXyLwi+bGP5O0cw iw5xBoobiO5gMEjdeOIvmFlsrdOKnnGgSeQ66zH4wai3UkeuqrCI0ZwfilA4vrLuiE/ounW4fZwD nCGzgYN3riuOkmrKz8CC2i7/md7qX+KAV3B10zjzB4MBybYHEkZXdhO0QsIdq5rxKkePzd4StmpU 3XyW2vtvaM+D7I8k2GD9NZLfVGDVQNGkRylSJ+OMTL/Ajw4JVBoWovdXUYP/ZMTSL1xj8v/OIYcw h8J0zeYO6YGgcTtv33M5cDZi0EnadM/z57o8hJAejdZ14hMwk3nFAz5bPhslM4+glIDGqsojAOTz 0ad/TQBBM4+QnmuqWY9pnpdkJmSRiSOMrYtsDkK9Ga6whevem8Ol7KUKzkPmoFIftKKdw0a5wXY3 OBIzDBB5lEhjUFblG1/qzfoQ4dJ1vQlDpr11qIbQyDSgaYv6KkEQ63n9uoM1sKhVWFIEojm/mZ4D 9JXT+NOPLyNUIUCPA/a1L5AijzXDZNSSSzocd3NWGwOtG/Rc1f+V5lj8FU9FWkngXCdjE3K05KRp T3XURFjKYaTa4PqIpmgs2FoS0v6MltCeJJBOTy4gvhpysFZbH3BuSaKNRJlpiEe0e6Yj6s4UWLa2 xOYUPFoB0A4FxL9s+Zno3JRjVmZiC+o3WebwOKQGrLBAh2G5/LKydtXvKhGaO47cmaHUgDJtYp4Y hCqnvogWhPR3yFNr55viC4CBDY4l894uk/rveJCW9HkC9pw2kzbWDUEnf9BxNojJnvWWjc3pAle2 l+wB8O+VIEJRXU8R0ct+llt30ybN/h3CDPiSjXJAPJzGevmiDqikYC6gZJoZkoSJbOV54lktP1pe 7+jB513/yFGSJClxXvKK8Tc4hsRxtGZLVfce5JKz9BjiJt9Z7PGGW1/M3Ufsd+McJAgODAbzEDza S37n3WcNob8YKZHpzEKNQxONMcCRbIzVvildHtQhj5xaxfLQbp3wKVrZcGxlILda0YWFLcwvh0KF ooWUUmIE8vruEF9m2e1XGbFTGo+w3CNYD5UyVmSkv7PKmF89izS1HJetAR8M5lm9L/jp0ZiqBvNu pFQIsalsWRjOUVM792eTnQScD7NZSa2OyXH5J/sTZWqU9DweHGBIdBaTuKZ+8vtgYW77XX++PJoL YDmO3vfprBhND1CYlLoXptscAyfSs9y4zHhCikh0vshj1o2iMLiSzZZyFgDMDdbXOcihzrlEncK0 URIGt2e34VjMct//U9+T3wzgnBiGJnV1hjMQJel2qi2U2JyRDazOnVEWrxeI4/4yEm+Mbid1Vil4 jU6i1GHjeJQzibUDiLJvMh2W1rjPlZJvCxYeoCGOdSQisg2bzk0oQEs0IwDPg9QB1SABk6hqXRku UNLRJyU6H+tlOGOV1jB4w3406vjrTmVwEvvbh2Mn+QhMNAt5VC7EBwXLFZ37HbWkxc1EPCS5BHKi PjjSw5i41QGwui5ZNFVEamM6G2MfjmgfzLPuiBuvOm3zd/VksP4kbYxOIymZApWd6E+eONxCj0Ty yGhqVp//pgTERt4bxlDoNSRwl1GNWTC1bkAhWlRQ5NzzflImwULldRhRHC4TU5lX9jJ+qV0koNqK sL0hcRTOUrEn5KqUo28hvjj1EqZqkLvx3IGHK89QxCKxba4y7koXKk5HSlOI8N9mhPuRhoAKLqY6 1uOoiX13XgWZQxKM7hB4eGNk1T8Kp5l6LeY7GxlElhzzqk5stVihOGX17mmVAU4xGtIIfLlLg53a yi+hf+0otWqcD5Gwtn956A3e+Z6MUWNSom3QNWIgaoLOIkA1CpvTGynV3RWjeVO0PuHEiHr2gXKd k0YVWMi8WsURgEAnZiQJqeLfqbU64H022xa5CjwxQdxLuJgtSFneQWzdX+86rg8EOBcfxmEeV1Zb ALo/TUO1uYCmOaxPMRM7SSSfIpdCokGVUmE/KV1k+6QaqB58P009OlpN8rfEl4p3v5XfRFviV97b n3xSHHs+YA91Ba5i17UmKHBpA8NGoCeiRXy8gOu/Hc3MFiioSXrF27GGNyaH8dzk6vKI8JwMAEGd pG0TZ7QzyxG7pqqI/e70CIP6hNP1CP1H2mTJqlEdSWd7xCQKSJuaa0vorV7ERcs+bIDCRhnnp0bG GJe3k1zM5RG063/Wab3Nsv0999OhIxNTWerVsINqwiLTucZzFWB5ID/3lPp6E2d2ObgFLTtraG4L k6i7eed9yDByJs75LO94JGye/FtcK9DOfYTwjc35b21X3sQw37V8xPjsxI3f+dBMXDJp+vbGNIuf hOGWKucpkq06H6uMPD4iPzFOClp/b9Ckqo8OBC8i6uS5L+0MXNnskCUkUdQDRKcY36NN0rzAhufx aDq0PiaMo4aXhiJDA/x6c4/dj5mcVltK17Me4LMOgzx+Tt5EpLTaVJRF6Pc/pVVxDsXg9E0DrXHC P3FChCe4EsYu7kP9CNCwveRBPNRIiELH75fvZ1rs3YvPL9X+JoPsW8TPbqpvSjrd3/6HwKtA5M5+ AJEcMnaXBnIHmoJ+u1N33WUu9W1PU4iNZ7VDFylePZoRWZvi/yobMz0b2sSYUDQO/E3o3BxIjoqE liSdXUjw4ipd5nNYxoeE55fu9eBbjFgc8oQRutdODal9aVHARUSwXUWptou7mzXj48gtKZYibwGS j47H3vm/mKb+LjUklt8yOieQvfgSPpAyuszgGARhNMz8OGhYWhir2KwA2Do5UvNYnOWbS7Bn666a Y7bIUhYPcMWN5uULX7tYzvjrSdk7e46LzHCA16yfbCUzkCgZtXbW83fV/7X0G3+VCw4Qe8w/SehU XYEOzmT1mXkq0xQLHc88xQHyNi4C7mTbbpeIe7egqotpwJm/HoPxkrzLEM6jVBQ7c4j5SucJyuow 9FuKFCe8PEuYU+l0ZlXlA/7GFfdLf6Zr40j5//xlNsonevtRiMdvOTR5afm/fW6GcGBAl3zkIR8P 61k5FpM3xq4X27y1aGmAQ8VXnu4VDRB1ECBRNVgTWUWSrSm4tHmjer3k2C/XBZThAiUTGMVMbVIg Nrm9+vGVqqq9dB3SWDhAakPPKr4sIcOiJFlypVQiZH7yvjnXMx8VpIX1Pw1gT0qF/E3UADJeHZpK 8Kg20KvAg6lGS4k+FXvJGZzYBWh8GTcYbseKNTi7X4yFjaGAoEMb9oj92wyiiaPcuwwE7yLC6QA0 vq0L+eQ5QEvkonUj7GpCzVJF16ix1Ex/3d5IjoEOCcPGxL2GihJx45lltNvoo+Xh/e79UbZVsATq 6SPR7y23aM7OBhMqCByK+NxzPV2y/WdkDkyPRrUyswha6HI1Texq+62jO3B0nG5/dCqTXHT0I4Ij sbLlvb+2sr9CWp0ehNPrEW9uK87eoSWWxh6++/Ug0Ss3R4SYSys65dq2uYiakgdGNRVrqXOpF25T HB5dznOxktR23Rbph4UA8FuiZZvWGox1duw5+8OyQyVGN87bpVE8QfOGwbl7/vuNZ114PfENtlkj xHbvD8iR5l8LMZwwQJu8wzG1BG8bjDtJZB7miR8NRKGELXZQWp063kaXRpmqNyK+Qzua3aQG62xi CHLM7P7hEp5oLM67A3XOUbhaUEQVTxOJFfqwf/w7shnIW0Ppzl1y56Lq1NUVRbkmwbCBWuLYx0rM 0LKj4V4CuUrj66lxIHj96E794r+7h06KfmxymWJdvhuNS+Dmp4arn5o8zXo29NdsN/4kwG/n9Fag n48gFlfV9deZl8hLfEpa6op2n6b+MWAIjBaDiHwW1nTtSHdTzWtwhW5c3oFvmLICjWE3SMOtKrN6 ihCPYYlIPP8HoakipzMPRPItqz9qQ02jmF+rCLrl3vlrYoHAigoG351bUXMs9PjzYKmkq6FQ6QUl rowTEWSxaaJHUadC91FH2qUK31tNbLQ/wIvkwFAadhsHSQjNfBPoR0kq2JI249v/QYom2qinMfMp 84DxGkSbvIzktCP0dQBNTaiXp52dBLSYBjSU5JtbnT2FiKbWSl89l+bl3Hj8RBDoBF6wasit1SNF aLpoXf/AuX6YXM1dB+ZQU3lJ7PzWw4XbuyRQwxtUdJnbJuMXIGkoiZdcN4bzLM6d5Lef6JQXEtgh Etgkn1aUM8Q9A5zV15LpHk5dfSJpVFCITMl47nEElrEakQtpxivry+B/zYJcKljqvYb45zBHrlgY Zh6alz/1Stpt4LyUEROQY3/Gumi5OEx//bG0VueyozDu7wyO3FoZbtElD3vCHwcCgh5x5t5R3odh lZClmeMqjibPb2hsrk+BfNyOVp316yAr5Cufb6Iq5I9y9WQDKoy2h4YXgPAQZYX6lsZ/NE3++sPq 6BWG5QyhrLFmSDeaoskoMCoTTPEM3H5NL+3P0EXkab8Yi4SwyR48Wi6kKMoQRKiZhNn26FPf8pMC sbDcfHl+62tzTpr0snh918LqId/xcsGQLKS4DuFrtS0bQ7HfIgg8Qb6NvCdN9/b24x4kPnTnMx95 VIdkqCK2scnHeAl1kMP+Ga8OaDfgSTIoDBv/LMHp6npwGyFq5rhTh3h2XZPr4YXc1Q9CbJ826/qn MG0+L8eh6wK+xw2WUnmq1o6Dg13KuSsqS3sjwbDKipQPj1GukuHB7YcQJiF3zIuM01uRxqnn4CB8 f4uHeHeDkSSRqn3kEbYNGExq3OPwxubsjtDXSyZWRuc2X9rajmD44/s03EC43pq6+3uh2g8IekEp c9psVhYyzX/2sYI/I/7MAf10ILNZdFVb3/k5t8BZ1Cv3y0bP+jrOx3kzhR6yeQ92YKo00Of4zYFZ r4eKU0gf4T0c24ChQCbkzcTuHlEEdnTQ3beZntmSGR7nR2SNBCFOiqsuQs0hydedT0eVFcm6jKeR GwlkEOZHaFy3fmJQA+IrVQOM0GSsZ5V8jYU68U/yakuOweUZXMfMI0qeaX5O6B3h4qsNKoTz7BA3 6FQXu74yhNb/QwxAs5RsWMGLnF3vAJTw5ad8W7XjUUYaSC1MY6X9iKQDohX8wlPgnZCFqBanqF3G 7rkxOTg/sALFO02FnHA5lWEnMUYpBdBRQ4UF/8QkpPKn+wgyLjScaf+ikVOqapexDwurty0HbjBo La/uBao9sPuQ812vmR51R9JwuGj57atD9s7QMdnyFEXIs9o3lcgTaTJuj/U9tXwmdHwBWePdPleU zw2umhs3oWjk5S7VjuJ5P1/2dNPmdQLKG3LVyz9+fwkHp4mrTwsaLh9EwA6lEMjV9Ai4t9ag5K2X qzx+r2JNifDiFrTr0JwDsDC0l5NTDs2Ybj4+JBu0jq9pcpy2SEja3qdE4L4+t4a9ivWPUoDbFpeB 5jPOK1NfpoTd+yAFZvbJbTlKEv+eWXAQnqix5qiYHq8Vv1KkLUNUhRf6PnkaF+F58wge8dhvoAEo xU0Bv0gqz7fyWSTtyDjJud0JapeKsRtn+5VdzqHgsn4uEL/QmvNktQznIk3drRaEEwCOkMQxP4Xm j0V8CyLO6i4XjVkYkDC5qSW2s32NzWTDBTsf29l3RMW/ubs64Fd0Sua43vQouuIRrqZMVXNFVlHd C56cWZxIErhwqqsMVmfTNp5VaMvveTMmco/YKOwwxPsQjp+pM/puGnO63owQllSp9FDcNFx0nYGO oFdyiMKjih2N30l9cdQ42TPU47y9xlcqoY/2Wizv4LSb6y9fxwQKLzMZmjTBiqtB6d7ovBULujJg DFCK5IyE1OSzyt1CLXTOzlj61peQuNmsbM3YpgzIZ4obJW/o4/WmP+uZrXy/AmPIVGhs4C7jBbbA 4tw+OGSKSeZwhUzSDrr8kBQ7UtC0JV0rT2CpEN8E5VseKNKKWeo8oDHxN5wn9QH//oKln2cdkYW2 EJjABNZr4PpQ1zT5gc8UCI+Gn5KJBrWyWDSKDg1b9+Z8Lp37WSw2ZpBSpv0FRh841cIRvoRSpoUr 1a3KYYRsH4PSvUnZoBMuQmFYqVTbpj12xNJ/2SRjJQnsuQIMoKUBZdyxPN1AIyLdDeEUcNm7GahX hsq/fxd3RrGxyvzQpJmuM5BN8XBFqW65djDd0NXIMwYtnsJmJwy9eV6roLN/dQmC2ahjC3ryHHE5 w4L/R8zWcuYE93SAEz5NL7cWesMf2tahIqblRJlxEIv9n70FgmcGEdZn4QgXYvx76s9in80LZLoS VgfhkDwWdgQSCQckWeiDraOtSR8oxTIs3jJoPYff3fORINk5wUnfk+Xf4HHTNsB/Od3NXXPHdXdE rkku2Lt8oiyur59eettHBiODwMXU9QH6Btdz8wcn1X4dxwQLTQeSwKl44Jlnli9q5l5aRM/OzDf/ C+X3HWmR7pawyywHEylbw/Pjqnmy6oNltYJuvnSwd/uEhSbfHct7sHyj3qa0yDnvWSZQLp8OsdjS jkLDshihRJ3xceuWs5dxDL/l18sDnlgougIENNV1yaVs3ZehGi71qUmQGIOMUhGelhlGpL401SCx gGK9WUAWaLvf+KKC1U7A7eBqIq/3z3bJbizWuM4zcSBCHkXtuY/N5qcnOTT8kdmJ5jO0o66K+pvS qNsfokkxRmGRmkcwrtMS/c/LoT0olYb6G8jo4Rir1OoLE/L/jIZmUO1eRrZdJe1reXMngCmTEfOM 7+diVuOfewouY4J79CV4xZJqgYSPonWasI6tgk7Svm+4X6Vy0GwU91i/RiSN1/X2h500oa6WOZ1p vr3kBII1eVNyEmmepohbC317chuhItpo1MzDW9mnLyKRl1o+ByokQHOzJKNmKhIYzCncthKoXMcP yGy2SDUxVq5MtHVkUiFapE0aKUfmiOR0cJhMFOSizQqpAlMUb0Hm6hkTHgwIuxew2m0SLUNM8fHv khyETNOLkQgEbcLvgz028uysNsQuR6u2azn1uchvYhvt/9rsNiP3ZZEHtxSEJKdQxZxgeI5OYhLY c/jvGsNjkCBfuhSwnA2KToRcHTtBvBfRXKXnj2JQ6LetcJodTOPUO1HUnc0ADAQR+kob6l5QEpuD r7Ijl/ot+SnW62wt5pwKMepXhwy663Z1zKoZC90jzZBH6aidRNcP8sp+0Ny9i4DM2fCRJmpwkI2i vyftGuoI7VTKeVW40UMFHYH4XpXcpJ2gzacf/KGD6Yfu2vLBXkbouf7WtwI/9UfXO5XE2nQxUcAL YaUgEJLdTWld3aUuZdQ41AqSkeORyfhMjJBqk7F/KXL2Sqmou7f32+ehFdXiZou+2RcPLX2wDTKB ygI6cIT4wTLCR3341gQHouPeUdMsf/51OzCSMsk7ff6jxlV1/wIDMil0aosE7kUseNATuGlluR+h peWVHtffIvu+wkJ8YoLRmyUIvwCBQUYkQ6dFvdUsg76KktG3mS2e5gKN03jtSksqhIImLAE0vEwN Vr4BwXPQAWoBsPxmTQDgTJL9BuF5p4JHVLpdSN8DIYNd+fmB6VTAeqDuENymwVBR9eqK0qaG6Np3 2l9gzMNz2IIEkdclBGbkmx9zJShKKkDWX5bHm/kqfv679QrnxhvCmhbVIaCXbOkT3WzhxuzegHqA Lui8HchM2uWzX+Zpg4NM13XqbrGQSe4H0QZesGRaJ6mBWjRbZI3gd0i6VARFghw4DRIrniDhkIAR 6y9uz1/42urx4ffxjcg9YXhVfYfwfu2W0eMmGTQwQlYveR4SJen1+kznhhSgdF+NNVYqRs8EJFKU MD59Gn4iGJiCi4Yia4wAYVBZIKTlwnSjvzKNynitjDZfQywXwdS65RA7PltzdFG2EHlmdlvgW9CR pRhUKYSOLujzvyLgmDNGd5VKNRXC5Jtw8WcWxd0cuTff1EztBhNCA42XMIu/2AQHYgRKtTBPdHOZ e4jtwujEJtP4H6etMHsMJOUgRJiLoV5m5+5oMXNRAQftze12lp89mfjMHutMcgRateOt/xnBSFUw obTddBUox2sUcFDp+Lu2296vv6nVEsSBFjMuJz7f+McEqMN9n+ns6L4tM23iwNIWUMqafzJxo1gx Mwrzn2gzkRY7FGLRqSRprPUyrWv6tW2L20eJO/3PfYLjStLKlqCJB+IQE+nsZjRdcYpapvJ7bcB6 U8xiYfL9BpTGt4SDm98tLRq6Xy+O2it0ZnmaU4fXWJ78g3+LHuMggTxFRP71e2zMMCUErTHj/Pas sLwNrDMoCtJd33HAs6OnF8PN/68bL0keRH8Ys8wt/6p6YP276ppobZ72F7Qxx0w4e78J15+oDpuf nkhgYEznXnyvFedTgt1KPo5QNyaEwMg+0LtciJtaRlcUNWixbEp6HLrnpvvwfYvR6CCWuth39rvM pKT3o4F1Z/Am98PCTQ94O/NURToRNCHLuhBedCxXIJpMO9nZFhDpqqRZSbSYqyVQqYBAM3+1KMlh jYGyzXA1S/b/Xre/5uf/CYKATgJM8EzJ6qBohPgSYsaK7//JMHRqybq4SYHAZRwG8d6/tMo9wOr2 bEJMhuOOo+Un1bv0BUmw6eRl66B7b6FD0tKzor1xieZEwYRYao3l3WD55vuVeF3kQz1lstAQ5rtH kDPvctNuO5w9FHZwdeegMJpeCVe+f4clfmXDwOqvJctsaIwcVwAa0ZVAtlhtaE0qCUfO+pb8eEnT /hiAvjJ4/im+Y3j0hcm01o0CQ7gtFpGipyfZ1kCdBYJrAKBEFbUEnGUv/JaMRO8ZOhnotfTT2q6t SC2fI5J9TmdXrQcgbhOLTiRZm1aSanNId8QiEG7C3Ukg59Y5pli9P7vHTbYOOSBoGVSdFFhDps2a tEYI9lIUB5DOBD2F/QfMxLGOm3RLltHaXr2zfBprwpTCJxuRTHwmZkTQV3Xt8D87fO6k0gH5Asy5 yEVnq/2C2I4QxJc/bvjO//gH7/nLmExs++0GXIG4oiq7OWPh57e4gFiLXG6+VWyQFPtX1GnYk7yb dL4YvYC7C7mfFt2swJBRwysNv4GA0GTLepWTQPY+pgHb6vVCryBPtoitV50/1QXaN0+QHRWRVHV7 Ia2xNyqYBN0wX9k040Q/KVloMALiJeIzbmlH7V0aKYnHYMbDPgJV72yDMhkdEuqN2gF5I0F4Ed7H 1kJA1hqd1xQIbJjUvrlP8FLpvTbDfXwyal3h6d+uvUzb/LFi `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/single_rate_hb_hilb_ipol.vhd
2
346661
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block c5d/nNKy78G9LpctLILJVsYaLueWUboA96osdKWIjXYhOKs13OJFLmpL43I/WVv5mlEanlsBlzDg UuGlQ9o4Ew== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pENeCqyU1XFdZAQzKBFo515I9eLryaphzKRCoKLreaUPDPBspkYlRnaGsxyopSDlg/Ok8GnUH0TM b3cZn9OpWXAIYg3D+g53qc69z4qGVkTkrjgT7rGG+ToWWYlmi2uhyEbiMwKJaQUc2V/YsLdWkygE 417cXDBi6y5UkksU+88= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CvEGSxzo4z/7PlzG9srZsQtlwxON+ZLifsjgzQJlHkY/qvQgaVg0PPphhPLerTADhkTx8dAnWwp4 q+9rLGP4XPjyamxrZD88kWNxPcAAfGiZvozL/HNp2BiMRybcxTyetc5KDnNeZwjYCujv5wF3urTN H5DqLZHUcpd/Qjg5B5QBr1GPiCaFeuefAHkQ67X+aPK09nuxXDQDQqJa01OJnFIexsF1FcoQbA64 G3XsU5FeXuE44c8Ak6bjlcvxydT0WZX73jt0h/KTB9Uf+rjYVDyJBqBCXRG4DeXmozcP30Pcr3Yl 8LG9KOrV81L55lBjB8WlSVsmcBk9HVCRx6fGTQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oqWozIuF1o7WOP43H4wpUlDmfdFAtu9QtJi+J3MK5/jXf/thrS2ECTOs9D/xYJVCjHetqcBfb9lB JDRM5VODLmMPQ6svwH+iLx2y9bDnVaaGB4LLERHagpA5yZ95Vx/vDo/oaIiHSXVNuGh//MBC9bGv GSi26MHEERkNeCmrtT4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qb5pNvSBx9rZ6Nc2hhdPsKst257CelGltM+gA+ZwwV3wtjXjTNb6tMPdyJ1EfAPcEUZXo6P3ki/q 0QXGwXD1LXAjDLPJYoJSZzCw2l00+riOlMC2kMRNK2PjSPfleVasfekd0YDWq1EmuTSsaL7Nzs9E lVU1wGEKj9OoOrdWbA66UNwKxW5ugrMJxfv8pJgQPR6JAhdBVj90Yz4ZT4ktb32SDraOXE7n/857 3omUhUbReIei78kHRML3OyJHe5tsRMM5vSj3tbUXc2nEV6mN7Q9bGIdHUh1qLp3KuifsmVSXRDHd p/oRzn48y+RlI9/pZGfaH18R4/IIexwy620KUQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 254880) `protect data_block SXdSwf4tP0g8dF5pzVW7R5SK5COy9dGQVu4ssuWRPX8GoOqXXUEKEphCEl1uO3j4KgqiN+DJp8bs 7hbtOVMhSqfOJn9gXotetU/hDI4UmkWX/bfeR4EUGWQf5yJWLTfq78yAjDISXdSQYKoFW3OcJwRN 9Otq1qZ7e9uQlLUUYgg+6mj3SAJ4JZuo3EOGIMCBX8NudUgi5dYzER99x2j1q9S1jCDGqiLLgGu/ aI+ums4gVd2vG7LjulCmwPjDrDyEKSbKCbs560M04oDdMnPbh3C8I+H01Q7Yk9gYTcxbbvRgucMA ZLtuuIBJkdZ6WDvysLryMYmm/XFggjHlrwozdBYdvwEvBFPLyJREZPWkSHNDO5w/VYKSnZb6ltVc QuUaLWCEGE3yDmKS6mXrnP1fwtc6swK9n8ILQcgVCg/puzRb2im6jJN1kDWshZvnqigYebe478am t4RoF64PkJHtN7YQbt6zRygEaFUJoPO/KaeKMcSJ0WVwYfZBEmWPYLwxFIpgyHvypFVwzvyEUqk5 XEOhTMx1qnJql7CnmGqKmvzc8TgmG2T3G0yZOySvH0A0yLtsn+hMI1PUYP/EFAocIf6duDAL+C6/ 5cQlyGA0CNZsUteKc1Lnw569vbLh8D/Pgk2qptxD49dUY2fYFWdSmYxMEo/KkzyOXzEhsXx3sg+Q qbcabRMourFvucyz805BlE58cQ7UYMsFWUvpGX7ISpJ/+MQvaAXqmEz5Pry9VUHh8crUp9T70ObO 20P9UAzZWgorxCZK0uihi+bJ/oOmXY6uzOgfjYUuxTMUucX8vE4CkPU6DP7VJsIrZO2U+sH/sfXP VjrahOtvr5JgpPsvwdtk0QqiwuZdk+EBpL7lmNfqDTXU4o4n0GINDrczUz3qDAW7pX9MfCk9O/tg 1Ei0mULAVOaMRzMSRj2g+wn24OFkIwEr2LRiNDrlEofEHyX26j9g3pQ6d6zQhwqcakUvRHrtDw4q Rc7YDifYZTNmvqWCnA6xE4v50ftFtkf1iDeRoXX57MoI91lYjq2j0Xkes0edLgQ7d8kVsYV5fWX2 lBwCI68mcfaI8Vu3ixuCYZpiuSqrnE0Bxq8qKXqpsvNX5uls53rEA8mINTor55WB5omgHq86ywn+ i1v3CMPH38PoQxlhWwNPSGky26RlZIbXkt8p7QPehIFH4iujFSKC6P4iqEG4oANLUgbILid04SfU xFq+6jGK/hzUDp8yt/CKDQKfOo6Ushcfdeu0zuJ4TbPLK5+0fItd65fjIfF4iY3Ju0FWHwm5bwgQ rs2Dffwvz1M3jwhbGsQqFYzlDmvzP2De7UHHS3TQR2WWUZTqRoju3smHsuT9/uJeG6O9uaunIJyM iW+r+DHB/o2ZHnIDGaea9+jD0mwSbrn8cCsfqY/Kb+H44o9WdPAwsKtGviRBvEEBuyNA5ZtUngPt ZdQk/qGAkFqDeVOss7RfiCwWjKAI4ZS+syVDawpMmPFr1I6IGYi5VkdBuZOr/kMh3A03l4hfyZp0 ReTjscPMlJmEtPlZ9QMXq/uqIkOS/pHuPeHdsdZnb7euDqSKsEYxmQcRIoJKFm1XhbyO1Eo50E7R yAmVmEEd4OSiguC9dVxf+MxjQH6yfbiq8+d67MtA0ZBe1OWsu/dKvWbalGANSCwyeyQhx344DmZM I86oGqJbM1yvLeEy1F7aOHEdNg4lWkMMRjj1An/9SxtkHuaTs4lJpsjdTSalY+dtVZ6YIY04R2tg 2BhreFq/hYVaADIloFVbEftn4IrAIYRNflbaVlwSww/Hu6Gh30f2KCbw9vTnL5R6v24B/6+xvDcg 2LMuwzbI5V3PpvU48k0oWMDMPB/4JSIMVxPxi3P1CuE5bOg7ipJXhNqTrbjQNQt3FRoJBl4K6da9 zl7Q7J7pAyLA/UIkk7tLF4fzeBslf0KTFmGHNqKTX7ddfQgpzOobO+Gaa+S2dVVovcRiyIHZNatP lgtqUs+aFtW9mzB6uGuaEuFtrftrFyaMhfTq4SQ00KFJ7k9vgJkxzWVDbhlF06/iN5rYweX4qgUD H9Mp8nlVlQNvj7hvyMB3f4udj5w6jH133PaaKTJmJM5K/Encd/pJFrPVeXhAN2P4ppvYZtdyJiiI gubFfq2kez8CI3q2g02LadAyg1lbbydnZLH3Yx0gVDGtLBmCTYfTxjGSV8wnc43AOl3SaAx/zogI SAOZhjJhUf74S3LnbuwtzVEZqD+JDRHgsiIjCCMsRiUxoiuB+dlRuQkVpjXrDS2g6Mic1Ho3MDYJ wfOZbesYVgkN6jvUCOFO82usseDXhXQoEH9llS5gwEQtYA+tJo5sxoZKX/CA6v46rKg4G18pvm0f ZJ5xM7ETodY/eAu35aHR3HuvxT3heGucAku93k9QMwV75YD81PyY75bw9ai0C+BD6gkTSfQHcc7H ne3ZegqHaxZrA01qeTg0LkGDi7lwFhTzprcDmE1Q0/ZA2EeRSEXv1NF87+hH27FZ+NXcGWhWYcP0 nIiXrCNwW1SlOGjPYIdyb2xPDdTJtJLP9WWSYzob307C4GTLpzYls0EsTSVe9exCXBJd2AXDW7jn ZjvvD8N3y9rtFI6agLlL2C4VkmXQO+vXnH/FLClLJideYc8E/wSAZPLv10tsvVsEBcwbTnG+h3Qh mjAzc2y2Aq/8iXELXIvuPHODGmlBZAMe3MmQRff0rW8/0fdGtnk3L6r8TKMs1zDP/L/XqRN15Oy4 X9acd9TN4RRaFSnYU/RB1AbbJsjI7GIuYSJ09SPvkwZZn0DAXa+I9EdfLCsCd0CBeALTxyrBcLW1 ywlz+XCWmjQWh1iH1z9kx97g3H/L+Ylk5lrZUa/xknOiUA9ST/WNo4moVOIBFqiiNg/BHkcHZ+hM d7LigN4AKFu5aHskv6aGtAqVk1lVo2yoVbALVr7QPV51hsFPqKJNZHw4Zxjb0lzmT99EoVG4ZMZ0 A438r4ucWN5pPMrUUqiANmMAYmsclthrVUSKuRX64BT/tl+1S8qfP2bnIPDR7Fjh5XwEjR5kgmeB 6nI49Rn4//r/oomlruwnRaxQNApltV6A60kAT+MYKi3GbAk6LgSnjgII+JuBwpaV63vRam9yCUu1 dXonCi9xxPwGGrm/e82q5+rrRR5LZEPnG2f4q6B4IaOnUNK1QOHo1FarmkXafqYEvHAhyiJ7xGsm 58Gfgb5Qro5k3woCOwiVEtu7pVaBCQMH8WdMKffUmgiL5fX+I84Xnev6HDJlrH+/wvKoIvsSjHkR +y06U0ilWDoAFSX6kICVyu7KGPa8tLwbVxnwqrCD+dS/B0mCVIeS4NKr0gn2aXPhzH1FDG53i6yQ gPDElKeRyOfEZ3pQos3LptOVZY0hHbWJBchDi8b8RlaTHXrBA4cfO+XIz9KWdZWI6TgVrVZYx00c jxFdXW82XkAK/ovVu7HVBk8MKeNXUBXq3eXI/AY3YwGaUM6huwXYOBxQV0nS4LZobo+XqFu1Gm9Y h3LIIXO5xfW8whnta7Ul9X7mRhE9iRPJtl/+mSA2u68px8+DfObSriICTxBBvcHN4RfybKBy/0+O wWKyQTHBrTBAN/CPXzYhPkS872E+rvfmCpD+SGFH7QiO1Z/8Wcik0o8KDVnLeieLjp+PAbS/gQKe AEFPUvoy7n53dbCbhefDAJjx1Pbf2OGK4d/0IQ2S/hyjdXwo8DCw6mleI1Ktx5ko7vc1j45pv0SS KbYTgOdfxUiF+PrCzu9IAwLLOZf32TATB61hUyt6T8Cv2b1Hk3kxAbhGcr7pyi+NQ+JkhsAtWnHO SquCKJuzKdC4M6IsQ+dk0S98LFT0K7BpBSh50GNeUX29y2LWRwjWNG33fsJAWLa8GVDDa1RCws+o 2T99rbpmOYosgvVQUor8bJ0xVClfDDSyTYWXI0ETVV2HIykpJf3IBZPCZRAi/tH+KuylnIXXzHFw Q7fFuOJUfYKxBCBrKqdlWrQ0BP+kwRPYe1MfJVEadwA8i63nEbWbAkFqqpLGH40zch/PSy4lzIMT 2O1feQsbYfBp7zC7op/rwO9LAy0oWUb5hvPsAmhjGtliz1iW2Tp7ocXW0slShALZxm3GPnEWLV9X Bo2NRhqEQxnqFJ4bBd55ZineSjp2zBSQVg7I1yXkz85+iDcgL93/HabtLoh+nLaI1MLpFe9o1CR9 815/PhbrRxi9OU8r7/xdh4qFfNkU2J4oav3JO2uEq9dhMAw1/Q1XRy/0IZSi5cJ8dIa8HWEE6gl3 TatOXqUtE6LeNWKrCzrCFrMapMgynjCIITah7eAiGvaBCp3U0n3F+LUvqTIUONnXEiqChumgenV2 agJaUcA6NUPJ6OFQgX0NPBSb/ajfwsTcKTbijyNwR952giZKxkX6jfUj04XSQfQAzJP6IbVCTbzm wRDtvrO4TtNAmc/N4dntTk+m6ZVU9XS6fnIizyTvKiFBDHvPpFNSwz431H9ij6QK32XLB2s9sQjQ w8Rj3XutFWMwOHZHbtRwWLLFYJo7vk+fU6EP+BY1rglrzBGiij5QSlQlONVvkgLIq+GWCKNO6UJe LBkIJYFJh6XtpdfDFXg8n3xYctLQh0qYICl+z/zhsuOxgaU7QDiAAq1jXeqJ0I9ypk2LOJmltoaO hceYNpcVs0AIB2NB4VRtYmdEOhFV6otBv40Tb2wYrps1JEjIEmOoWST3WZ0G7BzTtLQybeY3D8JV TeFnxgTcj4AwgjRQnkIVteu97X4Q2EUtjGK6yPBEw/j/Wm+aW+8cabHwRPqHVmRd436mHkHUuHOF 5qu08yCWFFjFFos8Z65J+fsC1+bl2p3huGh5dGYJUoMMCQJZVOmJWMs5n/z6PokpblvUXGVh33Lq MyC510ypF+xstJKqv1pR5RC8T2XPxOgJk7U1zOjrJnt0+OXmpQoB0oQIf4z/EGRsxJilrXno3l6C UjQ5/EX5RoWOXJcEHcOBKNh/iK0EhbY0XF5kTeHnDaBu6h0WPXJn2tYSccSWChuBabhBV9qSwL1j bcDM+GaQaghmvR55zv+n/ChGWU4Ole35+HNTeR9CgCOcsloc7cz1fEfanoVOLrpTEDqdHse2Dzbe Xqpz40MVqQGbncjUDkiCzP+Px6aAqMjb1v4IQFT3pm9AnvNLZaoMdaQvsoHKXT37lbyPvnF4pTG6 NgljP7ArbTsL7EikGtANG0gY9TUWk+1hpWt97LTtbWZ9bimxfF3Nw/n4bX9xBSX6/yEIf6NA0MyJ DdSYEKY/BymZ+JxOb/NjHqHqACLz3Cv2MnyW7iriyMvOWw6p69Srj9hEKszm+ykXqSf1NJqh2gPT c7VVWSadkrRep/EnTCmeqRXTmVzk4aXZT9TfMc9rA6+LWdLhsr/7u6Khk0WDhkQLpiujCd9P1S/F PhFKqTW3IDybB/xB5rxVk9HdMDzER5Bg5WQYzAv/LmKc1fHHyG8uEBXnvBNuIL9ZCq7cCPPh4GQ9 +94OxGdCQ0wbM+mGFxVI7lypOYbyhnhSa+7KcLJT0i4Pi4lXMOeHTDAHGEQeC85Kgsp6t78pSjLf r/TsZaqrpeAHpO0fag1H43AFfzUX5uJSLSYj0EWnMxgx/y7vpO4K4whD6+vNulI6O5HaEGVK3njJ uFLSNo6wz8MYQ9u29eiOxky+fWaRr0tofexalYKRgUEBBZDMT6uZ9dHr+ZhmnGVY+XxuiD6e20Ue MV0z0cU7cxu/FzWNb3dAOQ9S2JV7hInlnD7MCOI7mx1hUZdY8ScQ9Pc6DxpLCGNmF8609YiVOQaE HOu2WvaSVG4opIJ1nDJ7riCzxCvU63vR/UKOzpUYmv5CG9KFkxIm6pTWJa1cI0vB0O7//WhKSHby AH3wX7cWBrKV4HKC6ljQT5ktQzF0N88lNubFn99AdoMlvGr2UAWdq+URXN3zOYoC0qKmMAHZSnLO 8rdoT52oZbtStupWTzNRamwsakWPmngrMOWYvj8+8T54YXztGcZY/ULU8QJMCd4GNNUJCua2pMIY OUr/VaDXsynKdSLuZh9wSRRJA/kWX/BWmf2kHpmI3613ObFlgHTbFje+ZS84NBQv2CYt1imyEQGm DEykel7y/3p9PqiKlCIXGm9S8fnwTeKPaaf6v4IXCIBopGjl0om2ap2l3F9lHMMY7LR9or0Vrz7c 449BIlHr4cfpE2NKbTfC/d4inSQJrBOV90HRubnLBV7qi7Fwk0tbsXbV/fg0ciKxhWrL/Lx/Lm/C +drFkD/ot8CtzosA3QUEzIoONb8Eqe9ATJUBBpgGr09idwTNPFEM+TtLSM8XcVnua3/wTu7rQA4a ntCEnBm+KfvoIAmcJKA3DvDHOMvTRcjOs3PxAxfVrf5B7YfvwoN/qHxhoTE+/zzwUNdcwEppsTPD 8rWWG9YEMg/dVFrEGVZm40FTkfDItwDJ6Gv9tPCbqzwzsBZDlBJPew8s+pkhARo1Uk1NlCF797E3 bVj4ZllyBTwKSNZfj5H/m6HaxovARB67Wh7cnRJEhXQJuf/aFRzfUTQmadAwc52ov7Wp9no0r6gC uahNKdq4Fq55E1k0PFcgcstjWFM4hgkw21k7FG3o2yeSLCAV6Yyyc66ZviDhhkgrSHr+HCeLQ/7I f/DunjrlJkEOgipKkmb8L0c9az/7WJPJh9yEMp1qWoGSw6rtPCQWFOGn1ElPyqsBKjX8sydqTU3T BnFsH7SBlliylkOY/Vgr6ArYfbJRnjQO4SOpFA9TsHgKhJXJL9B7E52I8+nhuFUc+aLLQInO40qn FtOMCAzU5laET4g/8jGgulHP9SXtCjl59XWLGnkDkde9BdoIoyxVyq5e9NzeAF2v9FIg6iUCldk1 Lo0CDOsX41R3YxsJIn5QgsEYiiZ1wLPB37aKZO0Qd4CAfVgtE5SeBkBu/nZYj0UKWFQHZ9sL3RP3 5bi2J9EbL+F1TQbOvUxdPmi7vrx0Pbpm60s67prE7uNt+/qd6HtixnjMQ6XGNPXgN9lHWrGOjXtM E6GzBfuHF3bRIOqpQ00cpJLl2y3G5EGf4yqHQNdN1GrUS2++nnxIMt0geBpGeeMqHes1sa96/axX DDX41vRRhPPZwa6V51Npy0ackNpLbhVNaTVfQmF5HaIdCwniTRE/IR4SgieG/KI+lMiVqcKtnaba xF6QBPm99DEvB1n9r/Nz2CcwrAm/7f4KgrgUJMaanM5NHP/Ln/NoexuoUUb4Fp0GXpMI/vPLJ8SG 7LD3Rc/IOc3avuWAHoBznxJAaFrE7rSccPJmn/pDhVtefMKEdRmChK4WzdRYxXodvsTTslAl1hrk yLsI++T4sDLRjM9DcpjkKFY7kpRdF72oRMFs7+UA+vTRWxuJY7/P4mO1mUUq+BTH8QO0VW0YPWVc DPoGw/X/qYGHVxCDa77d2srEyf7ob4jCB9CKgi06Zclxt7FuPfI8VtAhZLZQJ7xumaWR7FdJKOhh PxfDjYccndbxhSP9zjhXEnTC5u4vJbEAdMI9IB9iOAIQV/vVUio0NizYrNQTjQyvHvtYojJeiYuE X9/FZbierfr61IdQXDQpbCJ8x3gh0HSDRv3sYQPcgiM09BGaFe99D8cMMpoF0+AzqffM5epm3YTW zFmNSFgbp/uSnlpJoPprlDYtrtU4t39RDcmjsq3lh3thV//nqcBrmsEas2QrGxPYpnXcwlLKCHNO aKevg0935iUMgfpxMQpbCpon7D1q0Bzfx8qT2sUZVOrYMfYwMceIzEAQPmf44Dv6FLu/XDSB3Gpi R/sBl9X/iVG8v2YiXR7kFX31r/3hUzq83+hMUD879y3deFv7H3vJkRy+s+K0n1bz9zZ8zQUDUNE7 EdWpA3hLRZoFINMQRIlRjEEpZ1S+cbkYuI2suJjmZwNQNarPDa4AG8Orp7q7iOwhTftvUTmBB4u8 n19c3VDfvSKF8TV7oX13/ZfS2a2xgqDMI1LnpHwfAGTM54yWLIkKIoa9jYu+5zvKvswGXFGpz1it 2FYPvJuBdWRRbXebmS1ws271jkcyw829vsXmfq+C2Xm0/+L6o3S4PpQRC7axwJAm7CR+H7dPOQ4l XR6hot5mU/8IQEmz0awIWI2A2NfMoI9eHtsn1H1DGiFbJnXJvlLBlatMFkBOrvi+q9qt8EDXf7Er mUKK+q3xUY1GNY0n97IzL67YHgNY1353cPl3efXZVCxfqAdHGdGP+mAUa/vP5+fl/QnO4MoPZaRj L1fdOBqjKCxXZxedQzvucxtCMqY1ygegCck7n+W5ifnOl56erQfown7Wm3f3xQYc3aiwkCydWfaa MuN9k6G7Ny9tS5FG+YdBRxPGXeGgaK5cRa1n2pTx+n+tvXck+ZjyxzzrqEiRQJ9Oo3iYMy2h8amt hSGM3xbBmIEhM/OhuYGeXH6IRtHAOtL0YUp1vM/8cw2gw7ZDVRPhSYGg6NcOixZzv4ynP9Oq06sZ gVrihDE9zG613jNvZ/gwTk+BiVHvIno9oI5gj+x9O8v7NhL/pRRHhLlH/hrLDQegfC8SJPwpOg13 HRWrhzkWFAhPjwOAqI1N8mGDABQ7KA+U86siDEWDqJFG2OnMvxzwZpV6NpsIwgoJf/gveKm/flq+ 1snJBy9jAZnuxKwdzE5wGT0/NkXr1kjdDAn0T89gTSCkg3w99bseg19P+r1f7fwOLPz8eeXT7yYp /ak1v7KSzhrQqNLY5MvuPvRyIMPh3DW7G37Vo7WejTJWOT4lmnKXSyBcD09yzqydGzWZRHk8xTuU EmT2JHkQgTCH7w8kiwHLClw9rXq3sWHHZFsifSvX3mSENF86useqwOh8oU8z9PiqBs1RM70Pmb6W si80PYfPIwZdDHR68lVLD2haaOOIVRjcNAGwoOYfsReBuEMR2EgR8qaI7f7foZcNxi71bsJAqtob 94ylvrwbsuwEf5fUG+NBIBwABANwND758huguxkF/ldP9aiRuqRIlEpYaxVY06rBmtkPOR/PgmnE g86c9M2kMCnCo2cXU79W8G9neIqHkjP1+F8KyNl/oGwBqYmbD2mqDmr/dYivA0uB0fCvk99/o80r +h7GPKf9sxtc9NWAh2L4Y/drXA3ZP3XZDua4GXqiEkZ614AlA+GfiWvT2S3fzihld3yDn3L5pFrF 3TJO9Rcvv9aUl35Cw9BVwvac37H4LhGendyPFcQDPZ1ZL8dcWC5kXuBGtaec6s60wmrwxA1N+51i gxllCV8byBJx03PojuJz+HYhNP1iy3neWNjPpidXCN2FU3/JeZvT/X8alIE4/6DVuE79FkMr3fMX YFnV6OzrmP+lKn+LdPXGSbG7OU7kv256n2g8brH5GW1ttj7PJthzcqImkOPmVbSyrZ10vSlCqJ7o 3Yc6wfdNhhU1OsnNSka4Ieb17TIWkRd2WIsAudv3KT+M1QJlzZBNBb7sme5eCpZ2sTrJ4U9xlvCJ J79xCR+6/NU86mt6N92vlzFKgTxn3PBD5IDG7bvsYs5rtrr0O0xIrTkerGyXXZsqPktCi3rj2HNb GSq0J1xRnHWLucqVuF7pvAq4tdSHss9sTflBk4cPdcjmMQyODRK5lLen6ylrsf+hxjAQtzvb+Qcc CMveWh9+Tw7v126bWHSCDWsHMmxtWqlrv5vjUaenHrH0zCAKLU4IRAfn8jkEgcg90i2CM/sADmRn 8+hqgOt9O2uyzCr+GtsTpncpt2IlzXZHuW708s7k+bMuALd34d4/9zlycDFlTLS8gjt7+vucJl1v 4S0FmZuwWGgd+Y7sOwIt3O0DDxe7NcpMgNPtYsvnWAlwi83a9TfUYPr1IVP/siGBL10HeQicfhLS TgcqSFOQqvPxsrWjprbSc4SosUSPh/auW54Fq+b8s35abLQ9EfmpG9M23VI7i5vzGPsaiGOr6nKn /H+fNzs4vZcUNoV/jnk3QQCdtlfcW2zivQhOXxx84yjbBI1qm85NsGojWxTYwTUsFH4X2u7TTQuv 1SSHkPm3xnL3+/3cPmJqXAJjloB3cFREWjKF3FL+Hcd7bB8rApZg8Uvotferk/HcTwrnTtA+qOvN hC7nsqt+mVU7grH8UcQXRYgQqcOM3wB4CFppgvS6VSjcUesDDEtu7I747mwO8x06zFtsajDGERQW vlEBr1KFrUYlY+f3jkEDo2YxxKrsStPiiWP/ueG3vZkY+iDCtMzwLflEoTxb1p4CATad92c2Aqvs G8EnFXstea9Fq7dxQ0Ub3C2YcM4ilqa65/tudy246yA9qF5nOypZZA26z2FDLLRaRc8ucRetNSFf 7V8/sKWRik9GQeYi/Q5//HDzyLxqEM8pz1bz1s6jGFdocWc77WF6SYaTpKmYY9gVTOT220MQ7cQ7 r5GQ7Hr2VK54JxGWuJgR53s/n2Ce35ghqYSTnVGwRUzEAZB6eD+glAxgKN/naYQR1O8yijxD3E8o 0KH1Yj9QoLEgBu7xSNiWJRZBgXzH+1tv3su5mhoXYnZngw0MK0ocPLHOarruSE4GCustam/SlOnL CfpXE6v54hroV5hdUhN/CWEeptBiVtWtttiObNrwLxoIFkQEJQVBaMPxUMyVZ+9B6zz5aPqDab9Y uRTPPVocm+dsY/WKQAfARSdopfF+0ffqZRxvjOoLXrp94rjntJnOf0Qu9BaKJfQYujTbj/230CvA nrgL4zdVyHcFJaAXoSCOngGQy37JVEWmeIZ0DAGhz4fy0uqgyRp1KDx4UaqrMqHDfGgbQYj/bwFE 1EFz8MuznmKqzOodhT3EM0TsQbJjFAYyNOeEp+tjxVdfCcd+KAAugvDqO6COgimBrFN7eE4c5EYJ bMTAtuP0vXSbAiae6wallAAYAr7QAnof2L0lR8nz3UmP3lfyroyZbav1fVgLKyoY1Nd+jgXEsnqv CHMkfwSc9tBf7S2EKjgLb6UninPUvMuimMsCCJicyb1V4TczbFmSi8p29gPkfiNkBDF34X5ws9EH 4KUeQ7xmzEpaKO7lXQ8SJ4iihWc7fHKxB8gxRkDL9SbuNVuEfyM8Apuzw79VCTKoPENvrhp3l2+Z oerI77XMHLdsiliXxPaCo3fV2TERj/1OldkL4wp+AMY3a502oTI//356j/XsfeDhD7p2gm4CAli9 flxAnLqbHhNmFpTiSDaiOOmMILmWyltZvhhVI5mJcvC7WRzb1agAaruPCUJMCFvxFwQQmfT/Z/X3 7dnu30jXkgx1rJvbivU1gwmEBMJuvw8OjY4CQJFbM5jHXdcUPhS4Om1Eu7wGFba4m4zwX3rKam3C zXgq841PckKOQnlOeBR1zKt/ZHH89I6PR2x3/vq3n3sfKbZHn8Y5DsH8RzuQlCODpsrdWUT8Oky2 +A6KK0yA/JfDHokIS2WbPwqip4si3gKCqrvRFJnge/sEDgkjte+8YRx7i0VOCGPIWp7wXpP5fLX4 Z1NZq2pvvrkJkn+K6Wp5L7FA+SIn3SjJNHswzO4dMhgSq1wBfJXFT7liax5pOUfdNP6wgrYVUFdf IisLQA8pYPCQuArzYPqNoE/o+ftbGW9v2C0k54QELvEiS6khWdrgj538Ri4kAHF1yZFiM7l4E911 9U9o66n/1fXbFjdbcIZGWZuS3PuoebbXIrJ+bPPqciUIeK2R2uOrzfqcPR8dA41TFT9Yjybnrv9W p4csFLJK+6GJyXWyha63yVreZ9/GV8iSK5j0mTfOn99jkfNZ4CFR1EWIm+t7qUG1P9FnSCERiv4W pNiPRnndecM1GF5HOvTUgg6YnbJn693+Bv6q/v0vKyLuoekRCZDkzPTyVPXmfSsLrhJCbEq7Xkhz a63pZfkHdqToIThIeK9dVMQVng9zvOz+8BxcZK379BPt+P+ArSn77/8iy9y+664Jdsf4y3duGh00 vDiTubaQJBjK+wm4l5u/gTJ1hr0j2D1t02LbmQKJQdBvu19rQE4oOi3QAqhtSwWllxQMq3csWK0S Qxwar3rLuQ6xNSSNYlNeN0s3m8eOvwhX9hfD3CVMM1fmResvDaAO1mPNgW1DrPJYA3GtfCyJjGwt gozqLg0bQ6m4riVHIdSU02eDUKPTxAWcGGutecI8i5DYa2JwgbNyCbBm2qk+/iUw7XtPU8MiMv5a UkCC74y0ah/cWawEYeHNJRjl1nsdK0xhZot73Ar0/0xa0bnq7YMZTYyCIZDGwe18iGeKxndc3GII X441Y07Jb0L4M5Y2srZiNX4Nl1HGnit3CPr74gnzelFGKeG6GCoEjPXaf2MUlDg5qsnHCMCVYpw0 r+mrOsNjlpwjKbXW6T+om95ARDE1PDYuNZdfJe1vDzg1Hf6ul+jBnRdJ2v7WjcrhWZkzsRJnTicR P1yEaURag0tvMqci4bSO7GZRMjYDIHnORF/xSAKIe/4Ogi2BUYIwgCVhsVNw6vOvwyFs4GWy+t2Q W7WoK8LHRCQ/MDezjkYl1Y7SSG39YyfPD2Ek+yyJGQujOTVt/qNcpJaRMxGakAABbSjIuJBxiPw6 WErqkWsWPiThUuix4ZjRBeVrgiqCzfL6w8khNuZ6Ax2UqC4725PEVPjnPkYu6HgKLuhvKRc71uKU 7rdfEc5qC7kx0klaxBCLGQ+sImsgxY6YFveS1NI/YWfNqy4hc8XfUGcZ/ToTaEtCHhFF1zc7Y+hm QSwtHgYjg5sX3Inf9pc3XPtU8LP2uO9dxNuMgqFn7DSlxybQLQ+h/ROsxnN9tR1guhJRyuEQcwk9 E3JuoM+0ZpL402cEs/fQMY5Q93a/F0YDpeencs+chD71LLQFuXVraa2ayM7LLJKuoM//mmfI610u fQ/ITyXszgqB+xGa4oWEkVoaG7NaHyUP7bjLvbOSgW7D/8dLNh/6mwAf0RhH2Zt6wO5PyJK6gTZD slaFQuPZejN1KSLnDjUCP+udSs7DO1wIkFwatLNpPnfTJIAvIGoPnX/9yS6ckCV0QzwL57+RSLAz /V5I4HdGC4JotwrYBo8rKpz0WtCL/UN7E34J+si3BGJTLz687LmvZmSlsg7rWseSYZ6itbt3eLHq aZWzfLTsuWutGtfJWbr7p8J9ARmPTbwyxcPlx2IPOe3uod8TPxkHwBrmBjz4S0WcQ10Hzm+L2xw9 CfoXe30KRmlXbA+bRMdyoW/ed/43kuqynWtxWUeZk80wAOW7W2L2TLfj6zwzzzX10AtZCEvl3J1v 3Ei/M37Ed67lymUwF7nIS54lcbMRPo2W37H942eERlcLac0j0wamN5L0uD5iz9gI8pL/NMoblRlD 3Un3ZgGWUayGK/bR8V++WvvTdna9qLwEKfelGY+Ht7S4wS6fnK8J8M4NS3ebhNAiCzy7YOesYa2G OuDYNL3NrKYXDGPzROywr0qr8d8UyC12tfj5EMXV9JpJAGsEIaT6HhtyoFYMYp1LgJYxpwvgpBMm cp31BD3pXJufPsh+XXpv5bjDAx1xD5bwayMkfu63wpf1MPBY4x80N2oki3KLAYy7gcZaz7MKZIUq Nz5ntgBzyxRE9PSFvA9US+PbfFbw6gKDgYN3YR0KW8skhSszbHuLvNMMAWhyLBJHryH4D/HYxsXC j07qFG6vVWOMZ/F/FPxkr7JrxyFL+Laro4GZIN86p1b0+EPEEgWXJ0whn2nuMbyHDL9Ksx/M5o6x PBYw3/KFzytQuhOQw23J+gNoU7g5NBCQ1PxZhJvpqwDCHplLTnM8/21Nph7cg3zVplE4V6ti8GZG qspowRItZs9NK/QNin5lxhF/ZfwpgdAfrp0250ebqmi8x6HjE959HckeWmPqnV5mKWERmIESS5E5 IqEqCewySkdaiHc9Ecwy5c7ZmW5zmCMP9PT4lMoqFz16P0mld/9nqF1SpKPiLY9rZl45ibQCuHDY zQm4dvzcHNSe91ihzLsA0MVRsUDW+NnC/z2cx+n0YmN6j0m50pLfzA7iiT9alERs3zbVW7/UiY5c YNRtoTsFf9pNqFHGDTesjYubMsBolGXhQYKOXHFJd8vddVb6jpCyzwDH5aOv6x8uveckt2FcjvQk Lqi+ltvw0jZEl6uEbI8dv7QBrURowhuxro5KPXxwwRvOim6TfJiZ3V+GllTTQDCBKEUTv7goAiOt yGc9ozcSDga+8qWge5v2g7Or9Pm80K4bAkSMQxEWg/VtZxHCcEvwKHyk5Rm/RYhFkJ68qPIgXWns 8lTo6GBxE3uTie37Y/usWbGl3c/U7DFUw0EYvcebk/zsdl/UNEiP7lopWIiDe3hY7VqSU9ztQc1g VnE6VVj3R3jVNxh/boLrDHYAyeXDzAhLUweTzNvU5WB4m+h6Qv28H0xRHFE/+NYPOs8VNhIu/8aQ qN6+IF0ffb23i/pRU+QlqMDsbovVlzzs7NTY5J0df6RexSguzcDGhIL04Vuh0C4panHpGpFJRV8Q DIaJskFYKL/rwQ2qmLDjnFDQwX/Qn0JwLOAbEF3BntDmEaAEpLVSTAiZWCt3G0UrvIVVkxR3b24e X9t8fnEbJdXA0FHY0L4aOivJ9Xfr0bG/lvEPW7p6XGnZem9VijzMyg/DoFnOZtXc4/DZENZD+Tr6 YmlU6/Z0IbfHjMiyWdQ/0jUGOWt3yRUiZIycJAvEA+QrYHKrFAz+BXvIUyNFgmDsUfYYtoBidXRf lLKYDCOEZNsZ0Cv4Wy/nImLpfB6MwIgJIEFQmhfkYCCN2R/Fl/eF+uxtT6w+N4m13CKZ8zIouAtc bkX13bVrrf9GSnhmZkcEJizoDYDBnj0wxrTyqJRNQgiESeSvi1syKlIjS6SPIb2VQZ9PnIuYHLzl Pu6oEFzoXtD27qugaYEugKP1wILpn1RkrFtqP0qwylmRtpRT1eWI+KKpb7bSCSUsZsUQltR0FM0D rvighR7kBCXGDG/E5fg28kxUZrE7pL3oh1oamTvGmrqgMgwfDtjxyJ4vpmIT0LmkTD36X2O7GSyv b0grNUbHRMibHRCsxP/RJhZd4bp3+MtACWR6Zl/CwOQLAOci7SeEPKadZHH8YPqq/Q607VNmrRf0 6mpMAfAsrnc34wCPRjxlc+p11AaatpCWjezle79m2X0AEE+TXexzHXoVx8WF7Mh0jYHJue7/LBHo ym8g1NesPgFg5gJjOOlMX3e+ervbB1XTnJ44kz+vvKSgXBeM0jMmBdRV4bOcQtSAYNMhz3ppcnMq F6YsJZJE/MmWu5ZSJP4DdxoJixx8kXtC/vqGR0efaswlywF+rfai1DIQsZMk02qAkHXy8DL0nsLK c9pC7lPb2GLU6vJcHgK31TQIg/32tZ9b7V9ZJeJxfJOD6A+xk1MruTCtDGMV+A7j54l4uuxgNEO7 fCGmU25FERTlfV80tlFPwfMozeBtwf74tN7qa6LP5hqJRpBhsLt9zZGtbctoncnqhLBQn1A39qI4 RB7gMU4ugwYqPMGpI0n06j3ux0CB9aF+TPMKaZzuHt7nssfY6h84faVh9/Yi9MZhy1UGZ5vKiqNV W5MQP51524PM+KJKknmgOnWpjQ7mLYJnHxCMnqDjsMP600w5ReYdSHP08qqJh8MJNN82+APSMoWl qU3iXsmIPduo0xBNesc4Jh/lPVYx4IhPLBlDu9KpXQl5H8cuC8Med4qx5jfbAu9QGog+70XeM9d0 zryJRn7bnUe2pRA4sMUcjmp5Zw2HIkJi3M/Hp4SYk/AuFdgDypYArwUooyhf179CKGRP+4jLuTxq BcpMWsx+AYFkyqo0DhHNR3XP9zUzSKlTbu3/jb4RhC+XWf6Wszxr+I1+Lhgh8HxmEzX+ojrKMTkX x5ByjQ2JMkcVa2bN6MaJLEbQsxXUUFDHIsPYrd2pYAj1zNnjrIfMhncUnXxcQeiCTimt8JIzEBPd rC+3Dj51s93Zhp43qRTiFidYpERlqEGBvxExgHOV+4Gn3NmVCei1aUgT7rEna67hyepwqtbVj7Ax /i6buGu+q6TZHNihwk0Jbyqii7c/gnizFK0Y/lx4nY9tPySHkS7Wmn8A/5sFyLmtGrbgt5+9XEII zYbJtOTMEVHhbKYqMjfp1yPiuHpGH1rbrG5Ea9QMLFDHXp7gbMU9bU6vLP9w5LlmwU+C65it78Zj fxOmwe9DaW2onMYFasnfp/yvSy+pfe2aLYTfMaUxetNz25XwGLtr3JAZlT6+5XJGauU5xgCoPscR Dn3FWBAEZ7k53zxrnI4d6/Mrvt4uilvr2H+LU6h0jodxYbrCR1/gmgewiCh/4aUt2zqO7OAL/ZRU PttCY+3EN5dXKbrIVHR4/kOZapLzIX3JqErExEf/xRBpeK8AxSqNMy3VNxKETkPDUgmQO8hDXqW3 aCR2h2gkg0oJKKGyiWA5+qNEcKL0zGnNz5D6WqnCgyHOtausu2QZIScZlvBTwe6Vaz5dHO5gJWAe St5CU2oiYwnIJ3OZlKCN0VL23wMFH2vy9HgX9MZfYs04YA5fLqu9K178TkC/216mYAYYOMfl8YQL 7ADchj26vzGf/dHudVoLBJ6rZEUJfsYyJ8ND5jJ8GBgHOgy3yExR/FXbkPPapg0WQPCo9WRTtOJV o9ShZQEEqQY02wOir/KlY7eLAA3ThW4anATb6kH8fU4B2F6nyNCUhDmoB8g20nHbttXnBwvn3jjB Xun9P5lefZMgpF/FqUc4joSj24/0lzyMVVpfxdCZYqmJkhEjZkw54rDsLNKnY8kjzWI5d7m7iHxu IYbeiuMT5fod6/snu4pgjFPcNXwfgf3jdNiip0kghOYPfZHXvfEqcD4nLnaOCMBd7ly0dIXrG8Ne gmJ9/8DwpPJ9qbk/0yx+jyd7gkUTJ3aNiNtYZhELq79y5oO59peY2893aJrmWgp5QjIOBDI2OMVU qlIFMZx2LSjrbgQNoDnKSE7QG4Uw/VNpAXboCtOeavjILR+yjdlKUA/C2hjWoZaYZYfdTKyWjXvi OBcREfTXaUyWQEKrcFubtHXXhxncD3KyUoRvaQ1/dTuLHHC1A33t0CXJf7ilGYv1t3yNN3Gdk1QW x7pJdw9JmezH7V7USWEZEdWJ4FVVneudRjOd7WYuTsHm9c7hS7Shhwwbph1siO4wNmII+1MA6/xx wOmsMTYZyUPA/5QAAQFdtazv1EUJIwVTcUZo4uagxmtzJ17Zhyw+VzDuUBq6q/jkn85sOnckFjou kgfnFNsKaB16i/1yeNc+zo30msQhGr7lJyiLAqehJFmCvY/Yru1m83arufbAR86wEPGtDTK+IWpz n3iRMrDNjI1iqAarQdqv2VF2INqgl6ZQC3uYWvT2Otlnq7LLMzrVDilyUtVPrbWC05zPjWAbRNdN a9OhCbcYONHi1uvPdxoFSVQjvpan5NFJ1Y1f256/VGZ6jUq7kr6aNcWWHjgNdWwqa1Z0OPvbcbIL hjzDuEu2fOQyx0i8CXFjdTFUbnJsClwGxw87fbu4fVDwCfJ8dzszeFaYg5FCqMrWquxRQ11jGh3W uBpBQLDL7Hz00RzMtKuFPNS9eGWfTLCXw6eEWsH1T/h8aSHGtRVSsF4sdWXsmOQZ487yOhEUOtLD Z8fFOcRBhP5lnFxC4hfjD5G0GIDtdL7fFk9Sjkr3VkDOwaun7PUw2w85dKa+5zboUiziY2YgLSdC nsjKOiz40Ct76NFJSHh5T9x6PxneLlrf74ZVUzLhoH2USTXP1kolaxUC6LUjonXK3JZ0IjtZz3rK /Lz87+6xum58SOlVk6lT3WWM3Tl8aFfROJD2YQGPZ0xxKOclzACYFGdSreFLXccbOvFaEEM9Aggj 1vME4d/eH/iVEWzHYM4FoAaHGWoUi8+b7mrXj/wOwRNgzKEwFfWI+AXhohUFwT4wBQu8CO0DgvxZ J/GN0vWcu4iyE9Y09X7EmSURHFWEm4USYwKTz7WlJk4a1UXCadfsBWrlB8duhloJqWS+f4hiwqis nqTzU/5rlXOtugB9QvavnZz4bGwl6gSPnzU5xec1QWS+Fs6CO3XopLKdAp2zkMdPhCWJJaQzWH/e ILdcoF4mX+vAidBTXMb3yNmleFuNlWCzf6PCq6+DWTcTrhvqwALF0NjH3rjHmH1APAExV74NtltS yysL31kjm2GzE3c4IgiqSy74Ow9cOxbTlHU94ruVhUIJ0irXbhWMGZ8DzBivGWn+HC4YNOXQcYJe 8PVL7BxF9lb7DnxrPZEHu/WAZlsXRiNSJXz4UGScXJkHwlrbrMtl7QL0PQB53l67yWFcV458dR9i Jv9odmtc/oUQpuKxyNecwceUaJmKfWaK541h0hGY+IItjQu2foz+5rlWJzXQNAOYQehwmoxgQ37l /fkOCXEzK/6jjBe1i7q5AzIJFv0VLgmO1+bAcGhG1cNdKkUht1pmWuZ3e8OxECrOk0Tu5lbUVY/c G6baYx7G3v3iV189XuTY+wGEah3XBnvWty0qvCHnR1B5Ilejsr9rXdJ7iob9VplWudN6wpMzCPXz ylQMm8bWeOi4L/W9lVluuP8eW32Aw6Yz4OS3nRb0kD68bDbS4Z9qyvv2ZAE04SiMwZHPQYuyPNS6 E8k91Ol9clv8qLDUwtK3iHbdi8rSxri8/6ToS4pvhbBlDB6WubFfdZLNFbbVneNDCD3fNuD9+ftr NOw3t7O6mayA/kZZHkhUAHnsyIgBoxINlHjORYVShMEadiDnreiO2Np+k4wfZ25lUpJXDdMYZoHw eCj+vAzHBrNr41ImA5p3NF2gpN16ObsiZSBydjjWdlHg7aLvtE69UzrUKUMN0RzwLfHka/QLcbaI hYmjael/qxsMvtWquN3bFsE+NVgaYFSsQeUwQvTreU9id2a9uQY4/U8lTX5kXXeCOMvZSBbMJnG9 TzioPwzjTZZSsqJXw3i2mbZadVK68rkipn4KhzOhF9ZkAbBjZEelcvzu8gXEH5TrRC8/Fy/GdtQM KEmW08iZwHUnjWZXt0zKZt4bjk1FFxD81u8FQu48j6ef3e3hVETq+3NMAs2VruEha85UsC+fQdYU l4gfyjIhztHal+HEVSvOtxQam40+EpFUScZujAxOUb1cw2E+YxbRJZ9kk0P3BSnFj5N5KiYuMbO6 3LH4852CX0mZmtFY4iZpRIZ5U9/rqPAx5B5qkZv57MTXMizs+vX0K3rf5GnzQvlQlCwmVeIl+UWK ZRGPIhTAZ6/sKVWOJBKepWI8aR0+mVYOznnuL465Ylm4+3CemfdaC7pOsx6wrF13z5G9OxM+5+Bo ZUFYJ7h4/MMB4RNnXOBQ9ShYgKYPmQ+BUzZVBLto4r647wW8P2BqmmOGxiZWzYolTLdjPz1nf5fU te2z9mpl0R5uz83Z6qvuaQ+yqbehMubkRQKPfsETpHSCEWAje9q//jBiYQ64bzrdaGZHuFcZYwCg h0t40BewF4DU/2rNAXKwuomFMEVd9LhfUQvPmB38FuBHsNuC0buIqG/WmbMhJrUOIxSXjZZgMbft D9wsNXMtIpM/Vnhj+3urZ73TLx/12ThW1VqEk/+bQ9eb5IvdAiTMEhfzpT5xgiap68ALIedHeQDa hliMFjc+tX7alZzRs3RDBylL+J45XCvZpgI3DbYz/eWhvQRwO5VXLM6rSyHcsN64w4uU1uQq2Wsw 9RxiiGY+WSu2ySEGUndXobeLIoJJ8Bgo1sMhxCZahsDvV1BU+8P1aumYlTkgOASFAIxQJSbAa2n3 SJ5DHp50/Ec4i5YTpCFISlBpcyA02uJlivf2pr3YoU2wlWU9G4aX/Y+VVi4kbpGy6FAnkrD52s9z DIcdSJTiUU43i/71WKTrw9sXqGW9/wHrfvWxUl2cfGFNVtN0xg85lzPXytrrQ6Neof4KDL2JPGzG zgLYqR80oU/ghxJEBvUsYguwpELSnJh4cHyrtkqXx4vt1hedIQOnoibzjoBXwB4FW5G1Pc79ve3U kKWcgS7gDN684TDTmJThAP6bhcB7wRhfZ/KZCCXzu0exwF0tupdS6HM+Ol26l4cfB+2RLobR+BOK 634tIJJqq/TkW3cHZiGImUZhr1hhxnesJehKBHQAIBga/eQm2uYhNRgh0sRy55VtHGfeN04tN2xE joEMRBclcwPq9av2tPdk4q/fdEncRu9NYur4hKuBe0SlvSdizcFCgAwIbDUdvAXGNFfhb5odQllL Asyv4ioWZbTzCfBgmYXCytbVhYFPiRepC5bNjj0D5eIyLYUmzG2fYpwjxbhwHGGqCUIkIxyM48D5 2ZvvHHE/nbEpNglaYd8Cqy7M2XAO2cW7lzaNKwbmR36d5FIKMdvTaO7P33o8BcM0vCZ7k/MPF3yb n5dWWTiLDeaS1PK0PnOKiE88kynpjil9CDH0CP4lqV83EpIhbOIHUJKvxFKRj3S8D1kW9pykZGwX 2In0+VRZNaWP4vVixadsgZKq1ti3pctCh7iDFFFWSCHfsUGtcmroFVWwXeeEjBePB5IrUm/rM3Wx 02QrF3NTEUuFGKzdhmjLo+e//kURU9PK/XKYv1HH3a5nFXUT7nIv2zSyVaCPuQeB5npX2VNaS2iy n41rYY4T18QXCPWcSk5o1bTcUCw+jeR2pz6OPZVTPOJ6WutlBlm5pDTHYX0yqHb2wWmhWubhQ9M3 kU4FQOgM1CoauYAbVPN9rrCDplGSi5mbnKFiN/6G5qxf9tSbPkC5ZS33T7a+rpsQCrvFDH1rSBb3 7fVFUMFf8McV2w6aU/e1xd113/p77ooydpBHwWgm58vKwdQA1D7JH/3STacrTvB2iC8e+ewGErtv bnQoWEgPAiDY8qzafmRyvahx+v3LGgW8CIweqPndJfc7q0i1lGwU1U8H7Y5NX2m4yualaH4u72gG CsyalhqCMyilCauPO+cze+GM79E4wM5l6VSxZcmYDwSe67uDeU7KxyqquH39bLUfs9RU0a+LnXdQ E3Y+6rDISVrnAfOmlL+vGTNer2Z7I5KDA4NSm79y/6FZoLGMwMZLq9pr9gplT9gfOC4y39j4y+UP 4D6f9tlhU2pLRUSaAx5TtG5/dma0bh9ERQ2sbnggClcF855Zivln7u0TXKCZ4g/LgAvMRufrd9ws TLhPrY6ukAwjcf8bNY0G3njJzspekjlEU1k8Nl8HtksWuLjOL1ylIwiDZ6BYhAyyDZCajSq8qqJ2 eXQmYv67fXUn0U+Bss0s9xYFw6em1AHPPFqe+B3tpTAIFbVRfmTrUfpqaAAm6TL1SyMjlooB4o3n y6F48bohILzRyBkeCj/6Bu6Xsjwqt+w0VMVn3kLbkd0P95g87UFblXiH1NEjA1noaz3gVxZP7Ywy WkBnBdbSSRtexvGZC4tHSkH0p8++QWIXdeQl3H3xtMK16iLxKYunmkUqowMiYBSb1efKcHOGLmnx IPFPgiTQXsZu3kZ7Dm/L4ZiukmnwIVsLA9LJ1Gq6qkObv6SWiyUT+c226tQyr46V2RkAOSSaeUbh hGbRyH3aCZOEsUdjaRFFY+eNFGzSfip0ajImnMdfialSkc3PM1SbU/t24FCx5befY2yGBoN7aMKp cupXaEJlYYBlxXNLFhvJr6FuN86r95i9lC5oJ1p0DwqxejeLpxTMJYXvZ9IKI0N2sCWvqWuVXeu4 5pTtvegTW4iwBCAer78RAgf1pTFp4fYL2P9y1wz3M0CKKfcX1b7xGBuxz+mgRxuSKCNuXJNGChD1 ZjpMeFpyUhNuCvKJKH/MId2XOpZW2L19je+FPFU85GhK0PMkM78Kk1vr8wIAl+KjRpocmnb7PrJQ nv9DaSsC6AaoDbZZy4YMuJyL4+3aviry6HzUffGEBvAy7+HvUt4C52eopT+pFbCn7Qm+snsH8Blk b4+VvKyZqZD++UyUJkCetOE5NnORIkrcfUYzf7uMHLcTMadTdaa0WsoqDDTkT0RBmU9j9G9ITmjp p537Imnnt2SQdLJ8XvyRJv5otl2iP0fz9T/k4ZqC1L9LRVR90jcZtnxRDSMtqTaPxxrMUWdx4iDK 3Z+SYHX+JJJnUVMxJM2YPQZDKULX3mCB2ltg9qAP0nqOS9kWrh8ZAQl9CsYU9A/3csS37VcPy7zG CFP6f58EFZ/fGrK1nWP5KsODWvV+Ky24V9z/dVCadIrg6yiDySdn62TfQZqLoDukUxC0oW8KUDlb M0uJ2HxHFUySRFF6bgy2jIoD/a49PPCK4jHcwy6ZHKA7Nh0jFK/JIJJxqm4xiGdQQjuyvPFujVDI 9vJknBI08J1VOXiCu/OuTzgnhB5S0F+wb9EesOs8ooWajvAZWsWT9bw1y9TzY38fYDMrzYbLJ5wZ ADOK35Tu8jN3geZ6FxPeqR/4+SVJskRHic0xf2ZbY+7upZFwmomuonRUw4gsDEaloPKPg2qvqf4T If+Ej5U9JvgqbFYTvWslpcIn9Z0E1Yk5yzfJdL+R+7TKKqgiBJmfxnygcaWc8CKMy5tJYyjwJOQX qC2ZXv7d9k8BqVHzTP2jxwLpun+OU98Mxp87L2jESgomEzBGUvMq3XlHNIWvCukPEf9vyScOJtld fjhOcipp107HgoEZNk3rDtnrBsJ03D9qMIY5ZYW7NEmYys+ktDp5Z68mXsMHegiGSlm72wbq7+G7 yn2EhbSiDYDARAjLvIIjb0cihqyaZoUrSs6Gr0ZDi08Lh/Ow+N5Nn/NSS9d+lW7IKJ2vvFCSOcGT DzKAzC6Scttb1XrWVfLLcmF2/vF94GjRein4ZtVKRUHZ7rmswHVJYv/iTaI7N2SvQ9GxsixADlQy ptlNTVxbGk8aY6Yg/Z0Ogl36IYxAoabQ6t+AyqC9TtpBPEx+OppJfK4HfFhgiWMkS7mda3u0uGkb siV1xtTg/Ibq4F0KUqdYQ/zkfTbaywJISZbCyebTQ18sKRQML1aoxmHaimuE8maUq2Ol6aM33DLO 6b3BukLoQlKTp7cxbA20T5/1oGgTlZ4yZzCS2kx8pe4C1A0upfjdAVGz6DembZHs/2M1StcqbSq4 NBNk2tEFtXg968w4q/LHXgDxX+EEG+DzDuX5O/7ibuVl0UN5wao+oU1P0GST8ETgsyd3+k+zbCQU h8A49sZo6Ot7rpgpSgdIkQtwX4H0mb4XG8UOhq4nATnYkBgxU+PJJdT9gH8RjfKzASVVXXj0Cd6n mXLHGmygr7Rs/YdRQ4xeE2kTj7zWydEuceLmTh1GCOmkSj/dGgIfUlBaoR9aK7fXtpGaqAp5gUnE U73z3Q36gxwHpoe2/+7JEIkYe5H8PpSUeJeu9mYSZ9jW0dNzuYkx3NGywZ6hU1LpMTV0aWvRwq85 Cl9JCh2CZJ+1JdDZQEQQ5ClKBstTrGzavTtrflushX7VK3BF34ZNdIMgjhWtidgvbRKTxJyZi6i3 IE4iXJtSpP92syMVCnV19+Hl6QvGLahidGztAGusaNbpmaHM+fX3rrVlRLUu99dJt8jMwSABitBx PnJzIpZZ2NB0B5+/bROkpLKiazmPS+YKkTuAQqEURXwx3S9DXb6hLUWbNs1ipf2qg0UAxZhXk2YB pI0jMly2jdfh2Sf+evO5i7FUoN+7J80uyQXTcEO8csU7ryIbmL31ZIltz0uBFFFHJA5OAamBzxKt OOyqpkZ8P7LjGJkNQ9XLpTJXHQu2+A2ruQzg73XGCMBPudi/JQzJ2frtHOpLCvtHcg8qP03bnabY lIq741m21GU3Ui5LxBmct+bzNkY99IKwvH1ab6F6xUk9uPikIO5PmrtPqUdvwyeep1F1kC3ztaxx vqgBA+agA+95Pvg297FRiVAGqD7xL21Cj1bXXM74doQktcJc4h17KnnSSFwblbTCQGmJdeE3v/H+ 8Wfp0fpP8u3/kNlWJEXb24lStaMGNzJ2O+JrqzJK6BGc+bBtuz2m6hP8EVJvaaHTvRCtXjxMngaS rw/21UaT4qguLjliVqDJrGuTOb6Uz+0N/7JohMrruWWDndU9eotazq4JY7FWTxrEyzn8xovjsdLH o1XIa4rCH9/Gbln/KjEtmqZA8HlHUAnpJi0h4uZNXphM/zrxebvd/WQW4/BL/7odSlJrW8YQ6s0t pTdFZtqP1NLDGZwSy59kQ6DgbWE3iudosXTR7JSAph7kDY8h4U/6ZkrHrMaNFbHy9tFm2/mdUrFd leiKomBfmfPLNZvUGY6FjhCm5qUz9X5GMqNR8altkwee6X7agKgV2EcGR1yXWGJj0FQlUYQk8qRR kjUaZ/h5b1LeHFw+EK5KCMj0ybwqQbnzlitMnrQWrTds3pNW+0hQsdEnLxUqx1Gwrd1Be8r1kHOU wg/4EoUftQqmIqYMwsEV9jFxW+iJ4QliOCIWX7KU0ylWTsi42a3GO0RtwvwtZuGqwJucqrqB/SAV xwl76XKgx8kvPVR0fAMuMifTa9R7SUtzLxtCDuNAAHr25CL3VK0eY9/ImRlq4McP3Un6G/2P8y7/ 7Ka+oA/b3N+UgR/4A63p5OyFSZtnqO4rmD3TggcbVWC2x1N3wRlg6m4VQFaVSIAlzqh8PMsJHu8c AK8pJ3bxkLnc+nj8t4JCxbcbNr/Ey3/Gca4C/cF2yGMyPCcjcOFu0gpxH79DcHjd+iuKNx2xqoRk 3V7opwHkvNJdzRCyh2IghqYh6Jlxwzd7B/MplGaqeaNUEMaEf2S/mfK1qF2RXxDQiZ3waZ2JLjMK iDRGuYMHCkLOVfuBhXJqEFfq5Z5HjBVNYueVmpByor/mRx0zSn8mklURL+Kb1oFhwjAoLKoLGwfy gv4AoxdJm6zCLU4rJJE/yvOClSywZi1n+HhsuVs5ZEOv09Gxk5xAb4pHOgJ0qoY2cHUv+DcJXBog mGZuryXTwnFH9ESzKLqH1tVHwhs8Hvffoxmk1WIYpQrtcbPBb1s6j+JVZMIx5ygyrNSiWeiBWQw0 fJRKuRH9/5zhDBEkTREMPtYip1fSjmW8oKW+cT//WH3/L7WX+osoTLVBIBdiFH3tw/R66l8p4fI5 RObHfXuC74HmobFs5PO97sIO014oSvstBu9NJq8QFBfFYdThxiFXWV2XZjm0ZmO3Zu+1IP8mRDql IdMuvowZJU8FNqmlz4A5Uo6ATyxAgzF2u1wwZBj/j4cMEjRjh9BHpIhOLnnOUdNx94WVMxbx1FYJ iQFXWYiMuLrQ6Um5kGK9Ts238TTbgbnk9Rdc/x3DbMd/nG0iltU035eHz9lEs3GZMHGHMsEHklbR Fg8gZ4TNQhL1y9s3OD44vNIvMdidtJUPtHszUUtRWGSiXI3EuVhten+2ef6z9USL4FIfqmJ0GNMr +ekhPSojvQYxhQIpTf+DaKfLifW1Cj4LvHeLg3qcD/LsYbqQ8V5WGASXoJI4vz+OAWy5ddhJ2YHE i5LVaM0y7Z8ZI5O8/mHUfHFP4jcZVMGWZalf40Teek1t9cQfRpmaPIFp960hcU7tFKuomEyw5Pny 6cI1qjaCuoI3HcUL/4mt6WbhelgKFDwwwPDAC0/fBwQzRSiCtXs1/1tkA7O+1SBnbf3l3fu7c3U+ RBW7DJiGUu1OHvj764PB0AVtBBNIrSEibDr1K8clpCC6rzs4S1P6RMvr8PzxRpQEFpmyFQccSPvE JpMuqn6DMrpb8wL/f6VZYZmE7AZPl2QJ8R1LKPCbo9YjxCP7DnzHD20znG5TluKF/Y0Z9M6Ty6ww cnk0LaIWBa4UNfAZ3Xl2Fm0hSQgbYDIz4fmeU9jzUi4wUn6f6RYrISMG6KykJvIfCap1Ee8X95Ah zvarMIdbRln0rwpDpwBCe9JYrOH0EwzI2IUGK/iSmyVhtFmpJqXcXJqC8Km6t82K3rw1AalmXaK/ BEbWh3WsYxTwCQ2ohpllbmvlydv5hqxDZNelZmolfkamBfM6Sr4zWfmFZWxw8KUuTVc6ZqU52Ihk /wkTA690+9uTeJcunm3GSW8+0EI/S2BmKBQXIN5KPi+S/izTYF0/TtQqeNandR6cxAS+S4mgER/V EnQd2H3q3Zs4rcNMk/wf8I/h3M0NK/4j40WanGZ1HBRMcOWGXukreux7rMwVsfo9shBzEINbA74p CUDTz1g4S1CAPjw1+aGOgCbk2oPG8x/KIDXwGz9nIhEx19byreyM/BoqZYmW0ZMiODULvfw6sx4C evGRC+/njYQX3pY2+0w3i6WovL0ZLZM9pwkivvgO3ZxeutD9QUQx63Aym+MI2bI1EvA5pRkDYX6G gBB3GMCaOocXS/L4Bk9brXi4IPDFbVTToqB1OOReCZOC4SK7yQ0NX/n2fuqTPK2Yt4HabSaOExxo Ecb5c6UcOnHZ5NVyY5yWu+yy8TSvRu/Ggil8b5DU377xxtN0T/Btv5/lwn33ES2FPKx8hJsZDSiQ vKjV2wf4wsRNf3GAXyHrBuzbex+RxQAXA9w5jMOHvM0wsTLFYfy6GEVaGzIopx/v0Z9AvYleD7ae 03PYWrXZMQzkAp/rlSFQ3XbUAPbI0maFWZahKL6aj6lqYclVC2t+huSrOYTHGv1VL0c643WTXFgL +WsgDe1aidFG6d9yVO8C3gDpzh+3W6saA5jcap46fjposGjCY/PwUe4acdiBO/aWxUumP6bqWQqA NcemC8K9Fmjq0SmYdt9ityXIuA7+KN6MMmRGcSICQDw2awyNCb+NVDjGPbk6lxdl9UhzTfDz9+Wu Ttqo7m6tazml2uPFZFK60J8zH/3uv+fVgcK0TV5wB5+2+f6Sxi315OBsuDAC69OwSrBsNTxXQ0TN Be+4Vd6MklaGIr+kiAtKY3coATg46ZY0a8wwXiykP4LRA2kljFq44blGI9oprIiHSozf99P5wd1L yF+0/oApkPKzwmOdPp6CXbQxqT1UM/Zn8gNMyqRrEaKByzTDk8w9pl2IXCDtJIITTgZuHZbw7B2W gXtdxf/sqT1qJvuSmJwhxrHAjIPn8BDzIC63123KVjXNxg5tr12RZP/L8I+avHJh31oIt59+Ol1I mlWi8XMEkgeChZa5z3TciyfdwZYpLtooxiBVU1EXHzJ6zmDvwlmboEvB4qCsjJ1CSQcOXnkH0+LU In6MP8xDHFzPn/GMhGQA56qoJngy+Pf8Ct3aSF+tjpXNwLH8G9JBfqQQtmxaS0eaMqxS0RgT2xrF oWSKhhyyexAbQcP6brVX+b5/wmpH4mLPrYVgnhefY/Bk49L9u1d9rYDUj+DyFnbQPB0jUf6txblW HMxE2nfm0oVOO4mYLg9HS8lhjfiPrdianTQNMMX2G6vq0ZNgJZCey1hTC3eqFr5sLHEoXcJrVyOg 08C22XxLk4eWJ/zd71V+9y29+Ya8/ksQKX3eIBjQVH3ewFeTJjDvO449uBH9/l3dw3qQhjsnXLwn ItD2MvOvRw0A9fgAuV/97f/1A5W5tzXwDBhYKt4wHzHkbsIazIx8L59tu+Q2vHR11yUvq/Lm6RGZ ydmp9LQzKem+j+jOTJiaj35ngMy0o/qkwWk23bkiuQMa7deOihIAh8WsnzE2Hmn+jcWLXnCQ7D7V uqojzgagNOrFFE+oS8AeeFPoPgmVNlyz8j35cQV2kfsIL/mMBAa2nbxe0Wj9jdkzDyfj2M5m+O7t /zx2YMZo9GQIRs2i66FODUdZ1qsI/d8jChZNb6e2aUD0ZKjG4PlblSXpbORqbsh7vmACAhllQXIr CqXOfZ6IxS5CIoKDcqm+SEiziQsa9hEn9M3gIsFRhDtyXDIg8dAhgsRhTZc3nz1ZbjRglV8y0J0E AdgapsmS7cZO7OJq7r6EM+t0lnJ/LATssDTSvg3NPpw01FTWV8/5Bh1YICYarmIAxsn3w3E74mvs ZLH1+/Rwc4n/Fzt0Gule/P9kqTstrOB/Ou4hTARh8jOMJGl6acwoZXIQKkhTDtmqDnn3rz9SfzNY 0lBEFA2e94N/Ymi5fMXXyIOTdJlADCyS9bU0Hz0EWxZdoJQ7G11TE7ZTo1QojULleIOkGKz3kPGR OAeObFCKKJDf4P0/wHqyD9CtIEFlG0jIxxQHKTkcreD6VGzDLPWi3FHsChd/YT/KwMywETxwHP8W N4Co7Gw3ft/fuspSvaCb2/mtYRYKciCi6Ek3fV619knLcj88yBwf6fJ28ZQStR03O3MmjQb7hR3s MEuSiOeBNDMt7wsnzZujFnhKOKuE2HVlB+FqKXK9lxdmWVJ+EyQ8T8ENUK1cVbXOoWtenBE6cA4l grfi8D/0JPdY/Nvh30xgwz4ISdSZPJu9vkcgGo1cN1GhbttQeWSytuEN0/gT7d/bu0PoF+qEAVw9 l8tN+AOaXUV9NT7R7ziy52AXWeJC00uEAiKWnJZwdynW75vcssL+d3QaKxKJFJeR8fu49ujoGyTO pGC9LqQbKjmooH28D2hY59zT4VFm3E6ZHqq7NXkxbvBYQYdBoEpPOdEw6DE8/Cw5fnjyPzhQ93uB SgtesR9mMVJPc6VmHd8FxOETW8T0rxVrjZv1y3cKWRsmLsEigPDqFoCqmCkq7b74dHM2YWtCypON tZ4+BOhTgU+bYFFZCstwfiARlp9ef/aTUkqxsuGr2BDLLQhhdlFMeoLYNGNn4Ol+GTT8s0fZngb3 NGEfxSZCm9Lb2iZbRO83nvacVxbwIldd38aqB+fPsv/wWjG0l3S5qDhUFv76K3rnU4ZswsU8jLeI C/bPJ4K7TDS+x5rjYsR/TgurDi2t0lXzAAqQzvzJkcSjjUk43LArQRzlyPRfn8d1OfXFdTsQkPNf eKofm46OtVTHIyOCR7e9sTwc6kcjtpG6Mg0ZB08LT/kBAbcJJ9EL67vQ6JNxDibbw/muRBK2oqNi +x7uVPIEBGcgakxLTlvfkgx2e1VrAQT0GyHG6PtBOSxj1F+8Klxi1oV2ApKUNpdQ5z+Mxqf8jOTc 6dOujMzZpWCgFzu2FChbA2owd00AL0hbs53uwrcVCngiFwAIvuuKLOSo53gG/Rs7p6n7AAuoRnTO /8aSkiKC9JeAaE+QVtfXK3BLS/yq2MKEvLvX5xurO8tx2DvNSbxmlQZFJpRCGjMZhkcZTOt1J71g 3IFO3wMVDEPQTbFuJ3tOFQZ8lOz2LPKtU/mNpBQH7iQJlqtsm9qfBo0DoSOzLVSUxG1qHjaUaSax Dsl/cRi7tQJV/oDxGE2nee1JHey+gyv9ujSGbkLWhOKsDhLlRQke/6PQUZFrCk2uiUmaJ6rAf7o1 0VqSm5xHb8iNZDH+h3dWMdUfiX4yQYwpSsnxCCJGJC/rml3gEXXG3p9AV4352q0o71eRYZcl/2Hz +kzNv6grErhV14cA2ONjP1SelfkMWruNkCO+KaQmKpFQyQdGIcYMimZEOi5e8CMsiVS0w+2jJmo0 ldoz2qd3RO1DxUmR3toew/FfvjRKg/qf8rsFJZkRcJo4iAdkSgXABZ8LCzP6ZfwPlAKebkMaIDQQ X/dNmCJtlXIk+kF4WqAmgFetB4tmUSrHVQRRxssMk/xpFGjIq5FYrNqu3M7zNaDZzq+qFrZYpz5f Y6Nfn3yoQnQspxn5VdlUiyoHYkjEz60dr2xtUwYai/RADk5hHvnG9VCr3BSgXJd0MLzwh5FVxrV9 v1UaQ28Xpu+pqprrOSKaHvtIWIfFrlY6rUzviJ3N7ErZG1nRpUmfPRdRKT5bBsqTRlyLA9E4zNBU L5PZT5AiJZxHC0e3oaTmQmUIht1XlfdNmfCLtV0UeFXGYb9M9eWtwZuXlDCZC41PMhZ4zgpj08/l I7cuU5t+hDkGX6f/req5GXdM5Pqhy6kfomFeVUw8AqbamlkR1jmUHWFhEKKoUHTRz1Dac5MWYGEW vJOWarz8hqRu0uUZyGyerb5hjuguAXrffIjpJ/jAIn8a1n1mtybzc25ux1CLywtZNM9CwEzVyvkq tEbQ+x0wondTg+y5l9ru+Xd6k9mTd/IU6J5CDZa9yh0dIKik45tD71Fc0u5mvyvV0aTs/KEmMib6 R6N1390tp+x84m7D1tfL61/9LvoHrIBS8ces7aaQfcYbufmm4Nu9Q3Na6rLGAyIZFgE3V+FMdWeC ErMW2Qg8axuWscaIDRnrvFZuUWlBYBc65CKzE9E5TmlPNfE0ff7BGs6lX19NXWyzUpL6xkrqZ6YH E8szMoawz4ctgU2Dgfd64sLQYSj1mSFo4Sw2f+6NjFnJNBJOhr+5CVatMSl1rJle9Fjz4uoD6N4D VXrY/uZ9ca3F7BWqWvPFVvd0SSgLf4ORKSbC1SZqzWG1jOZkdH61dsYfwBQVxn4EgIxwfCnDuqpw zP4KvLqKfw0CfSi0nN23fd6iTSFT8AVsCVWUC6WIjAAYzq1n8uOOQvDFE8HMsrCmxqCKIpv6gz/d W0gqGvPf/8myPJba636Hhnj7TRY1UtMEM3IpiuuVrhTpAEd5aHkNxwx9vHppfO/A96gDgYvzwHUH 1CB7LCLVi8TmWNtcimsShaCWkz2j5jfBBASo0WFXjUBFYuGpRUu1ume+Eghf6ttkre4ct4GDQFnG 7gK5FSkLcEaFk4IfSySV9e2LVbQ8C6olDpugfg6Y7E5F2+TVD76d6VwftVgox167tW9KYYHgJjpE sADeXLeFcKhS6GvrWxb64vQoXY6pz6bjwsevIk0ipeTbJK8CZAycAk5tjKhSLZBaluIKWT5LoYa7 Pzgov6EVCjdZkdvzfckPUnQNeXWbvX11Y/qdOGD5PkWhTnOT6o1M0Mo7i2fIpoLJVjwc3ryM1kzC aOuzHl0pL1+leVaViPKuM3zkIdhRiM+C/Yr9I1UmnXREIMkobdRMcdTdOKr7CQG0dHkNAgZVFMjc LhjVZW6h6LHo2WihD8NLjV/JEQOGB2W8/5yB/e1C6F1D3utPHcn0CrrxCm4hNMnbmQfVfgEtjxmo zXxJgzlugpdV/dAUqO8QKt9+QEpxS3Ne3Sh74LbV5t+3e06mAcv4CHS+mnDOmWb+NZVPqgbsYwBf 5zj3NvJ54+X6XHUPqnvImmYuvsmU5E//QbmS55UKQZOOUNVlYU71LHgv+r7cNDqude60wbH05gpd uydHIvHjOJZQxtAhSPjK0SUP+qr8CSV7yw+gc7nBmwQ7n94n142v5Y/KGIYX+9gSBgpouSoCeAf/ xQ1FS54AxdpCf4V6XtJWgts/rP1IBaDIBNbYEfN3ob426+xO5WqThz8RVLwb2T/fM4qqGTgbkDrp q1j2tg83phAX6r7lJ9Te/hz8ZTlbXCswHQhQCaxXnYmMf9IvUCUOiV5AbCXDREBnBzS2B8fYD31u pQuKNZg9Azb4/cooyXibdCac6aNC2TvYbQayRX4aEakgCVGJZ4kspnWmEIsre9Y+fYbYgM63GMqo /xJ/vAsrzeQ7o1GSHzjIVXRMetzIKUENytGMNbZEt7gO9F3a3srD47HXWlOcxN2I9pYH8M4vQalQ Lnqq4i8FJBq3Iur4DfG4NhSiHNT2fgBp9D1VNmZW1QpD38j0UW3PObmQJokTWxuurIoa5RfOjRtv Pjxb7PcBx+zwSjnIhr0eN6rC1vm2+loDEDhKPNhuyoEWZ0cXw+bP+CsdmzRWfNcYg9aV7JizHTMC BTBdsMGCCjhVL09j/vWcFrZsdyZdX/USvYBXTZJ3b2DPsgTMJ7gHjtu96bM9O/xIS8xcDbOZiH/I uCT8hu2VnWgbhdcWuAN5WghT8OeXy7YpTsQNjH245YWPIX20n1DGJWKpkit8Qsn58COT585x4E2i oUXVqFKF8FzFcYG7dsh1FItvz+7ApgSG4I02SYiu6KYpgGxursoi5xB5LnBLWnCH3YR6GEHbMMtb HVwUMqjy9rxb82Mtw4icaOr+MayBK6N+LSGV3vX4gTBVEKuKkY0S4tbBMyuCJ33qbjJrnUY5zGNl n3+s4mIlRDZybH2gD04aobPIxl94GSj68aFHdFsRNxwzRQuehzAOFxmGzhQKaB5it2HVrfxUOYB0 /u0vnymsRJg/cfx2KytLIEXgyOuQe5tZaPs/bgX3QmH+YIMKbffCQBcH+Ds/3Q427OcbcYALqeDn s9pW8K2MsXpIe9ozNR3CtNpDkP0yvsngP1IRyTJD/UCoXGh8qZoV8oJT4zS66P7dQY1heaB6qR6j 1H0RRrsGhUh726y8m4psr7L7xaFxf7LG8YKNhU/6jM3FiMPEr0My1kLqcU8xV667WvU2vcZfawb4 4j9s7TV3g+pIYkcKQ2Q/CQa5Q7WRhgzRk7Gjp2HozFZ5TujJOBhK5a3RzWTS7OHGgc2qf8W0w7Vw gkLpijXMNFH34CDucnoUPderyZD/WoaseKEauZNKWQ0teiQxXdg0GyVVasvTKUoONLp7kM2JdO7b qT07r97S5M68vmnFCHE7jvYmqdgnLCNkHx9dfj9eggeQiTOMKTpV/DQWoc9y79+lfsAORZmmuiG3 qVI5aaO288GsPVmzjicEw7I7JrH2vTc5kgRxfuq7AEEwoyE59D8XeSzDD5hZC1x3k85oiysTQ1Tu eFHoMAselySW+j1r9X4bLTHUY7EFywF6FLijSW8cMHhKOcYnHaEp2qRr25n6KmKXPepS6mtkOElQ 1xpi0ULLA166tCetK8ElHz+01d3LMXJ4PHHggQbmYzjitXbmJB2nLOZq7xpooIS8jaYMlczwRnB4 PEmPpSiRpWs/kF82sFezEFOXDddSRKQmzsrMn6Sxff32avE0QXqR42Iyt8bkevardn0f45swO/3h chyLrwxO/3DEVZv0z1iPoWcICjY4XHmBwWN7j+Xqri240aNeYqujLndktUcG7F/nOS2mJMUNrkAB iVNlsaqKqXpBJdKp6WSJBxjuvY/nF7BtXqLO7PFCjLCiJs6fjYIwyvSQ9cfca51hVcuV90Fd+6gU /X3+UdhsvNnP39joLMVHAXJ+wgg9UmAjSydAIaK3VChqlDEhlSCWrA1fhANy8HqVZnREsOdNzjJ6 OmpUMadAd6MwtUMqLXX9g99soz53RkBRzuOxQ7VldUOZyRuYc1u4LgUXzIxzD+YDZ/6CZ7NH7/A8 EAhgVHE1kHmnHi5D8Hu8DLaELg/JSzHY0xjccL98LJIXflR2kMIN67oCP0g1lwRwmqC4phOHuAlm kOukEuNjvyiEsJOqT6LDYVdZ7D1LRuZD/cV68DY/OiNMNSHvnM+UXDbytcFwAdw7i/rILsZ5fNvA UBNlrWrhaVfZGA1aHylWhGISG8Eg1EUeLu6WFchBIlxOnkFx8+xZ73YgoQfhqTzqKAWlbbLe5DO6 eoh9pXyHz06uONKOmc0dXJKXxHk+JdBdmb12qQxwC5QIr/ChzBt/ypTFabum4pNd3UTarZLBawuc lIZTilTtEq10V31vhcLVQn0K6O+Ced6p8N46tNlXH8VV2lhoMwu+omReZh7HOWK3VxoM5jPYcUCk 5Ai3h6iJ29wByukktdjdlZnloWVvtjLT61xl2BdFeTBZmtPzmoSG17Q8pXBtlVtUCwpCeyGwy9qa 1VdcpKK6TyOV2GW9FSGu8WXm2v8QndPEePsuC2wbZCoLk6PLn/mTTP8Ssb/HlmSMDFCvrdpMbSgc 4cyzCxjIBkzP0WCfyqt5fRqq8cEko4Z/qBagTY3ST+tFfBm3qZ1h5V++ajFYIGk3bgWu8PcftuAf UpNwHXRc4ek/qTRaneq/Yc1ZR3JqGpPDBtS/8M3eUkp/1d1vRRfpNcYsWD/hHrHUuyVoHl/Lu89p jArIsFiNJYaorWFv4wrViutFXMqwAHm6ITl2NDC1gp3tGdASaVRGzrtqeLkpW0Wa2OqTLK08w0f0 CfSUR327a9I07YwvZQ60g4BZV269/kovOngdeFF65qIa1VqZhlDtmcEklafBONsAmmJV81oTycIC Y9XsenXrOleVYkk6AP+A/0w3b1vUoRnB8Cwwwykzs7yv4yN0VyKTMWzde5ZzflEnGpsAW4+vPBR7 IIu4eGarkgLT2yBDFicyZUFiFyZ0lW77A39n7TDPl7QMVKqHXdiGfeInJlVEfIjhUUwNhGuzlS9S p+Z0WcES9dEFulf7iRE+/agrxUSKXOg/Rpi2uhGkckyjH7cskUdo85RqoOHTcjSKfLA5kXtrlB6b /e6zY5d74AY01VC0NH1xduCK9CSmVzkIX7RbWDYZsJLN8quvnOSCvi0rVMbFJuPh3Er0UcZesm9Q lV19CJl67Wo4YguGSzIBqrAgMozMucAEBlhNlXbFO3GkIT0Xs+u5m3OuYi83hDt/lAyw1JvPQh2O wpm9m64uc8PlRVSO2dgv5m044AkhisjPJlSyfqT5B5FtpBGxaqOi1pwlrZ4QjHKgAMh312WAxR60 uD94xaSbsPwyNfVOKCCemFXAIcQNJW5xtqqGAO7R42G2CyRmWS9zPYRYvUMYLz+ZDERciOvLD/a2 +6hcF7WnHfx291pxjOuGEvz3VfxarpguZrzgd1FHwtu0PmmCuEG2kp/2Att0tenF7SBSXvMSBtVJ MKpHsCoZ0b5shgqKhOgHPRu65/rlHk1mChrRgL6Z8bvxsBP7YcaCDBInyIneEX3+CaxG71jb+DbG 5U+GDgRFHJvnnJbKkTUF/PJ8jX+O+fi9bDgghZZeWtMdJe7tV8rRbsuW0R6BWoD+qZNJ3ZyxtXzd 1zxh6lrhvYcC1XmKO4T5zRKVxtdDKyoJc22bh4ryjeOmeKILxwhv4vqj3O0zUD9cJc9uguzWFU+2 mrGUfrDY994PXTSJo+iKVzYvEINbpoVbfsOM9bBh4H/fiFXBoiHJJJNWi9p61EXapJAjI9gRAUp4 7+9V8HpiOhyXjs5O55W1yGGjPbCB4h9u3RltrcSzPYJZGMSvGP1KnEyWUIYLQQYguAsFvoslXf6v RRwCoebsXJZVGed1zchit749JLrqJurl0VckbIydlRnc06tfzXKTZH2rL9Avr/MyM2xb4tWZu4mp Qv4k+4sG5AWcB/b/Lqqk1b5KkktOREFY87n02oOW1qx6+HRdAjcn/he0bdqGpbUYby0z0MyHwtCI nMbbnV8c4vjQQODoiuetOXj/ZS23tTDY/Nb5XXRQnU0EBOudATx+VmuJJ/IsX0I9TVr6DGOD9MuU +paeugkMPPy9PBRwI5R+b2ZJnn/hGIhy362gkb32xuiWnyRbCCqVsm/wFwnQRG5KuPvpiqjA0Psb 8cZS6yR+A3sITiWBDAPYSeKMRH0KPNua5huPnseKdbgDCUYci/8Mx89yvCJkBP2ZdCYkip9G+WLN RKQn6E+SCoFvcFKzOK3EkXsDlGytb/f7D1fWlQvAiZmZLdmEOL4maJaczMVP5qliyae3pTK9I6kf mHG9Dqa0z/XgWQ7vYyAULO7F+wVUdIAO4wa6Wo6VcMLJU0y2PaFC30wp6TDVHp2d/cHB2OlrpfCo Rd+pytpSh86pwQ5kaF3e2PRM+OT/6z8lfcVSpjdXmxR2Bk/RCi5G+x0lVmJrwPrS/kIAdtjbrTKh 7gN3jR6DnwvX5yAWtPlxDouVR+EdokDgJ3W7nkdUWyFzzU02C3WSkCspVSAOve183J3VaKUa5bIL /tEem+akVgbQg5pCw6BqWdezD4KyaLSP29A1PnE8fpQSc5jxlv9IL6USgyHJll1WvKkh1IO+eQWE u4llGyp2CMJSjhWMS9z5s/tx2vBH0bm0HVxY+DNFY6VJ9pQ/ic6uDWbTRiftCiVuA6Dg3Il0ZPHy Kzl+m7Ng0td8i/nYRk8ruhJ8/Y5iej7BcyOl+pJ8+bqSS6OXW8cQcVGXxtBH/FcgW/VeWd3i4tOT WervDpOPC/zYhnW8XMaIlYxdhfFzHvdcT/1zcUIivuUqLbJpDKPwL/zY54q1SmVTh5OYcp2k3Tfc U3kwJ9BeTx7+l3xoE05b1QnWf1vsv/fKeNytr3jwI5vu9sZI7GcXzW2qzV6Ov1TrcbPQcuQC/nmF pqt7Ww58z1BviUwHEPoplrTnI/SK5OhuiIxMdAwRttwWf5JbvRSxj3sMRdtPG92LtyUn+gaQtPAB cdjp6LsIsiyW4/FpjCt/U4ymQ3TUOHtspZHcrlMR1v9NGrJozhAkOjhH5A8FgscoIJseg0MtJ01e 6e8oifip9pKvDoQoLM74If3aNYJdlBpeiMoQQ0F/1/PwASoEp37fXuVx6IhAIDNorfvfYL4W+3Yy JchoLIHrI5wmqupvu/wT+395vOoh/i9hzf54rEBUTvjN/YOI78hsO92KXVXj3C1Lb0CCIX8U752v sRDsoWZb3ceb8NaAAVgLwKePIWzaIZ0ywsbIVZKgdJaPr93pTQUKxr40z93oBwhETWM8pYoAeYOR CPKfzhI8CQP1P3tqmPUGUdXPQ8bteTVNdjF+jIj9HROao6RB0twD8vINiwTR4+86h3SLZCr1CboK lM4MNxTylMFXmOlqyTJ3P78R2Mp6fww376TtI+a6NrPM9UtOUxeUWhq9cUMxPERqZk7IF/2TPCFN MDsG83OMrUMLCh0a7bi7Mod4aI/Esmu9cbddJosCHIxpCcMlNrV+ZkjnMOBFR602IVeuQAdZKfjJ 0OITgbESBMBZgTYpLQCcMAsSCxdSWbpgN3o/XrYKKo2BeEdMI+nCBOD+k8hejN41wiq584hJ62HD BOQvLFOjFUhzjCBrYCl2KdSK11mWV3dnMfZZdF7wido8qA3qg5t6qLs6YSDK4WzcC91kN6HBTivU NYgOT2pFLncknBMibTUDhRQMhbuz4vX06aJ8ZuaCjIevF2EBX0ha1076ez/CYbYXJc9ynFC+YTrz ncPdMTkRCwPIzpqJd+RPoRBgqxJq4atPwzZdPzEk25nYIQx9YGfmWH7etantLfX/gcG7ftGVul5t mAgET2Nq/RN20N6vFv3H9jYkr/Gu84Y0tsscH0YZe2a+nMK75dta44WAikNX6kG4ps1MjKMsE2y4 XwHljc8LJEoGW8vnmdqfqRrB/Yx1RjmCMoT0Ln9hCy5lIHGnB1mI/7G++89GG1Tp48gSDrA+QJNo i6Fw6tHU1B+SGxGQzIMuJrvT1Gnby9HI4BRc6yR1J07xPEG19CY8sRuo2SPQVQI9nQDpYx62735R mPXAgek+2LuxyUFmbGDl16gDk5egsZRxxbASTdsenfvCKmum9HeEf/4JMiGIW7l/y63jjkvYUKCI dPO/S72ZoaX6rfjuSZE0VyJEAiJKpyHJmNKcXpPTMUEzDdYl4xVjn4wtWwOHna4+gGRX9AvkM2EP Kp7IJTUZ6jqpIYo08f5rrBOUjuH/IyQbFOB98iipX5YvC8ueIjV7FOdYUqoSPlPuwSfil1wwnfiZ LUmaCYUj6tlY/cCS1X+tY1fSbzY5jm6VSn9UfTAeKb7XVJSXGJShC5wM6IKobYyCxdx1PhNh/z4n sSi7x3qe6U5guvQ7Zq9qZEuhflscgdUNJ/LLpffsUlwoyCxj/Yynzablgtjl6Jaw9U725f2Em6TR rZ77zb1S/J+5OD0oOXtlPkC8YLtOGO/XfcgPnzq704s574SKA8JjN46qPaTb5zFVW2EFLcDg4H/b JpFeMD/F3SncV/j9WDmJlfeN1OtpmBcM8fxTkg4QnwOnmTDJgPYy8HlpdtjLMqrKXbWe63GeS5eB mCNw81oibFCs2zGUvegFrWQYVg7FX1pWIdHRUinQK3N8ArjreOEI2XC3ADnmH4A8tCCAUp6bYv4P jcS4Xcmw6laMpnqSkXNgC7b8+GMOEEHflje2AWTiu0wdx4aHHKNANWRP0lVHjdJ1Q8dG2ofR7g8Q oaUPBuN/Hcz5iahdU6tqeWhSI88WHk7ckRweRdOwY1f/tLft86uQlX4csnzjjFHdPDlWfZIB+iTD qhcrX3Bwc+ihybKQAsRQJtJ7yOd/62ayF4PSW7mhl9ksqdaCBmw6ujAfzZIC70u+2LIKRw4r1FJ6 nr95PZgyBnN42kk6b7QmUPwr2vPZEXlTq/5c2VanXmb2r33R6YMtAfQJ5xXKs2dBUo1x/LOv6J5a ZLy0VgRBB3vJWbuF90rRa9nbog9rznG2I+qysbDNJ07FSNZLnKJ5hWDYTsqAtw0tnMn0iiqvRf8X n3EIenOqFoTaK9b22SNQne5CVocGRlMUjboRHI7f4LArgdm6TvgVCwYYzBZB7khlLR+LuQaTx4Bt 6r40CFTQ8DZX3vJ7nEQmRK18PEzwYf9030Z+/bLvFLcBYr4VKF0nDiV5vQPJfcebDZC+G/3bVHN4 Ln6EmFAUd7bE1v8PO/yvlpOrnG5zSscAnJdQZfQ+AaU6Eny3MauzUBVrDgY3/Un+XxvKxda5qrrH bSm31dNCIdseF+ZaG0krT0BRXqo7lMmiySwprh//yelVkegCKmJgMTrQ47s3yfra+pZvXed/2bMR uI9UXZca15pA/Yk6oL2mgXS8YRRLbRJ9Ms24bK7v4AbW8QrErQ8bnYDSPkDGlKNNoq+rqwUH+gFd fHEOPXB2vQNmcVVvmaTI3zx36SR/I+XAqOvSnJWMSj37jns6iVrpcssdGpCp2Wzyai0FvaWn8bMz yPkf/6KA0pHUbvgADo8Md9AghzF9xZhMmxVAxg+A5nK0V+HuBvvI/+G3QjKi87e6Dg3uFodiSeEU c0rTEAaK+PkiLq6xC/a1hy544MH1dRVZwkIFIAdt2ekfupMLDEo1GvNw3osNJvzBHSajzs50FPT9 bu8yBjxxUgFqOp+ku8tmixJsbRRuUZD4SXXznEEPA22n1SDnpJumpDTRc/eIzTHVQwRznRHoCzlg fuTL6yWav8J88FFs3S9KF2zDbJJyfmCSCe1Wz/7eaybJdkfMa+3bKVykq4nJezObBwZk0HTo/xN4 uABa6ZaUz/LbVAmv1ehZA1C2wb0C9ubTnReGF+wKflxfers6GULHRZc9cW1EuCjXPxDKWVzMYfcT Co17xbA0EEJLA8wFsy2xKT2X2P1UqRiPac3UCmiL3lHMn+YE8hk+0uSy86T3BuT0RiTzfOiovfid 5wGOTbWfkBz5aQzstZtU+r3RGSf1NWQFbpC4yEgXhH9LyJEVVjkMIgaHJv9Ku/qL4Av+s0Gz/LM7 Ku7TTvY/pYsQNcN71jBoOdTfXYbvd72zToMNWTeW6i9zJVT4r8Qgu0ELg2U4OuO+u4DM1cg8IXCn NTE9YvKyhKz5ObDm5DGlp2eYEh6XYfWxMdJH6b9Kc5ZMeSaAhcgk5O7s39z4sttwfrIPP3M0Ygxd FFayTiJcD6sc8JiFWLC6jb4Ojg7MDdyAB1LS2AJe3BZW6j9qyKWVPykOOP4d2E5bTcwu6otsOGHk DNR3nQKHFYQhkG6zjHdbMYPcrvRnlfeeSxINpVnFQEuW5usAmFqkrwSddBZ/HwKY9ERIadsc9ziT BQr4IMbqoDRhrg1jV3S/B1FWfqnEbmxrOOcFXfWH3YXou5PO9huz0jqN/7JyvHzgMgZLQHg9mDlG 5BuTz0fj0Meu6aDwFgeA2P+WbH4c8hrs/c7KyiPQgxLBAuTohHJ/cKxGXmDdfMSNUYK37Nm9adLj l2s0VNE7aU79vSXgpEIhu06JehdsLfyNmyTYMAavyv3WaArz59s7+mlBjzRau58BARD6HiGVfwBp j1lqHwazvWzOgME+SBTEMEPz2xpxeyolACj/TLb2akBYKoSSsqE71kGavkVUokGcOhtyxaD26H7/ p8YLePHHPEykHf6Ucp9h+Sk4X6Pr7UUx5FDjYTsiFN82qZ25AgaC4qcW/4DC42jT9rc4iMbkHATq B825jY7RqMtem3q3GLaNM5d0fv7eFl5IU7U9J87LSViFJljA17aM5L/lPBjO0LSf+cHs4KWQz+cX bJVz2vNL9V4gF7uE+ZZDNuULnNmuF4IxUy19NIacZY0zyTOoOT09ho+Ml8rPUX/TXfaxoojt53QN v9aPYRKQdfmALF/3yYfK1qZqTZe0m7qwrrfwJ6c2Pi5ftBXg66LbVTTQv1pb2kD5FWzILX5RllMg /V3qu7SpjyDqgziJtz3DNulLqJAFpJz2kPEjrDB9PwKEWyihPLEDH7Pefc79L4tylL6Quj3Ygesg UPvl2iseJheBFdpZ33ViDMy4OlhCEA7jcfIsJvladTAPPIPY14a1jN38FnMWqNxXrkLlWKUKjCLp 8J+Ja37iPXBXgnOkZFfwJrCGlp25R2jUr4iheNsUZ0VjeGW8g6TKdW04Ofi/gCMosa3a2INAnLaG eX0WCUFyBGs3l2lKEVQEZOjJwZLRfC1KKwK71gjH+uyFbHzMcIHbwz/36bpTol8LHvhOwuWO1ned zgqvkKVip8v/0Hwz+c7dkezkXwlvZHAJ/wZiftvH/4XjOAtBhYeF3cZP7UD8OXIlx2RwPpXs5kV5 QQsmuIvwyAkFMbdHTsdD6CEX1a2RCjaRc1a6cr27pysuQuuO+CCOr6WJpgIY6uoK/tQQ31fzyATu zYcbb4sh34YPc22DqnjFqGszErt77RdAdyUZx0TjXp4csQ2nc0HGhqd9mYP8gXYpMVXgvZppr0d5 guX6t27HKbtTpnFUsPnj+G+dgM3Fu2nxly3foOb6BNWFHUayb6eTN9jPQujNcHrBbmvHYaLsLL9R 75kLu8GhEkqWU3VwEpZWsyg6TNomH/3RaJUMislZhMZpxzcMMRmWGjC5PlPRNH4VOCK+bzoqvK+4 2YXT0/txajkDD/lBwVf2S1/3zJM+6RGxobTrrkIq9WDIgYdubEVbV7N42mdA0CZ+zN7S8ezvwXhW c97rWAaUo0w0/B/GTRQpPYfCexSFM5lEM7uQOLPBDmvIqmn86kJSV6aXeFoEGi3vrxCgSwArr1NL aUDas8rW7ovUBPD5zZt01uwN19M9tyhENS4mtGm48U/fh6Vv26NJQQtL8CF46PbpGwv9HGhp9fIO jyf/7GpqKDmt9HduZaSVk5LPTWB8OKQ323P4en3FnBCRd9ORyMRUQGZIHqEvgH64Ymc5v6Ld/ePp ka8GRroXj7D9rfoCAH+e+YZDD4hm60ZIBT+fNFgYnWJv+PH8/eXy7Q+HbuVXWroSNqw0vMr18D8h 6ehz0cXpk8t9zBl7Wf5Xc+A4sGEyE9GZ06H/8psHEP1jkvU8AudywRKfWTzbHPqSCwb2hSXorQHF Wld5yYRX+5zwR2wN0/CKwWP5RPYylZ+LiI6wJ9rAAwQqvYzjrmAhRljV0i6J2eP8IgjFRWyEpudS yGbnSZIv3T0BOeYBVwWPBlaR3iw/foklw3iMSlaSc2ZX3vlSLRYU6bInEEVrlXwp7qePV1/JNfA6 WA2ckXZLQoSJScE7P2gBRMXkyLNzvAeeoguq+6VEZBGdzXqRreqK8K7SkkjGSyGJu5WaKH81j95i owS+mJ7xqX4z6DYz8vIhnBto3kHy1vHSTSCOOj/kK0jqiXj0TzOKJi4SZzdyH5sHpcKownhbnkh5 E0dM3D4p0DYOklIvO2OM8taBzcOQDlTo9aan9AAcppBk/jw3tLKY4kfSpWkitbdNKOwqP+vn6qoy XJTGwsWpVp3xawHnRbrJAhdT+7TSb3xJ3JjFgVKwmL+OQvKkc7v2g7pLt3EiDNrfdKfVu6LHYPHy kDEmmf+d6CZHZ7yYblx7U7+WXVtu0S+8qouXxrxqyLjrmyg9CKV4CMLwqzxfOnssLGY8srQaVXPy pVuo7ee76BXuEcZJgiFZwSEs4F+Dz8oeh5c+SX18TTooD5PB0340c1hwu8bpuQlhJfoDuX17ZV/w vhDVEb/595cnuN4nvAYO9j7uaEmcSQp9ijQlxu21+0orxkvxMOkPI86JxieVhJfma4WiG5HMCtXB TckQiQmfPkLyK3rK/u0+8AnrnLVOQJF3kBY24OJtjE98R45KIDMYPAI44ocYX/RHRvnhEHTTNdBv bLZdakt29FO6sgs5KHNQ6xQtU1L1WJzBy4Jl7G88rz4v4TW9hy9j0d3M+Q1Yhw9liROY67QYgXcQ KYWoAt20X41ZD0ZR7o6useDmhi3dI5/YBayMzoyaplSiiy+i/eu4f3T9IamvNZ+FbhD3L1HTYqFr qP6IIm3iuSHs65nn1W2qmvaoaBIpKNs5O78fao5mYA58YNkCEYXiZoCBiptKdXEP+5bBWuOO3jiO 8/MIthb44oYTXDDsnjf2TaR9tQBQusZY04Sk+oxJSklaXoy0u/Aoo3XIEU38wtuFsrf70sfX9X2r ixZIMglPXYiT3BQ7/F/9EwGrbsY877h+sc+wmp+z1PgsfN8nBglnIrj3HOdzw5LA7Uok7QK6PRqI gxXVVPMDeJR7BHogkCx4HnQ5VQCGRCpJxagPws+A0C6VkhBCN/cTrgSV3D3GdmzG83e3QkEDaJzc E4yiIVwkGlFgvoQbJT7AQbEflBezVbBYFpyEbg2k0+Kw7yK2e3e3TfF4EdtMuaSVgfRd4qsxXXA6 rO9oyaIVLkKoP5AhG4RZOCgsweSZbXrGSTJQqyuIOTINw65eug+uKHlJsOxW8IPL+wDjGnlyzmWb /K/f2WagIOjOO/YDE06wPiK8jGHwzFvesYz/K9OLVr37uqfr/Hwo85is7RqDpn7CjFjfvOUyDexu ZfiB2ayfmIlQuPVpm5XSEXomkWIFvh2icmBX9HuApQOEVoXaJxCJGXdcOkXl/hJ3kXlLzZRadDSz RO59Bc4U8lGSG4MYYROnNk5TW/Ycm3Edb+50CFg6WLL2AIo2x3wbMJnypbrwrcLiRMXq+wNfnv2w kqWaYUdCMMmbBFXIIlycaV96cshaBKSgj5yE7SKTa+hqybbsgxGcZrFh0jmvjex0ysWvLrXxmpBs Cz+zt8pJjEB+VgqJo9gkeyxQHMY4YCvj4S38b4/Q5tesaksEsLOjBONDc3RX/gqwb2yJk/ALQyPH Aw4KS4ZN9KI0yI9UUTLmBrPfqMdmIjHCQsa0rVkxaaV82QRaxuBtR4uxqTDq2mnSdKjPgsqYie+0 XlH5wmuWkZQGnNC7mbZVR4Ld3XH1u9BRQPmoUiEQ6Xw7FRPndoMDZufUj5KJpWokX1maomWCPFCA VwTlrE3YxtMwJwOI/MJUdxPB5K6DKnLyILGtdfzqXEOvNRDqW7+sZKIWQkvxVJmJCEzzWQRW6kBA Fw480t7yh2B2728R4RZ+72sR3E59YapPV6NpV0j8orIz0m3hVoX686VusLUR88G5ADvqja6UmaE6 Y6qAZZz6TPWGoem6vXaw3et5kNgY1pSr1tb2YvG3TGQJtO+MCI7uig2ooohFF9w3DPVPd8BBr2aL gwOGmuetdAFWusHQNQfZgH7Q7esBE7WN20UA/JxnI+N7908DgYVPyUG8obDXrs4zoOTYA2r/U+J/ iWhxGzPzruh7n0tZPPE/in1CLTw4QCAj400x2hpVN0zVKKoEB2gSizSjq4B7Y9CGcp8mwAK78lg5 cA1EiLgocmd79vPtADnxq3Kd60nk1dCRU/NfoBW0K8Di50151DuWEvfgn3voVfPts53maK/xtIHl cvpS3tnoCRGiKnhEq47cnuGVGe7eDEfcKiJX1gpmlG/ilmTtUUPlp4W6PQj7YqKOK9mEk419mYN8 9kSCtNfMDlP5/VbakH8TFc2b9YMZiCTuyceaJfqNhrHiSnruHZ0khYJIFI4yTIiPMKFr+lT49V5Z D9eFe6lNPcLC847KPlna0Dl/po+q9W041c0VkOr8V1K2zywnUCHyxz5cfTBdCFMrHYHt9hnOkdW5 oNtP3LTfJF6qphyj4F36vrIcprKdKQ2l5XEq2Dyvz45jS4bZRl2Vqs4/Tc5UZYoT2jNkTTsPZOYd HhDrEqHMKUPwIH8q8QoVumMjpTImzRkUQnn0WDr/m6FyU3iWNj+iXJTMueBJ6sEorYgM6R1rRkcq oyTmWlbSMYd5/CP1ZfeRZSr3yGtgNl2+46XRWDI3726+oo8eRPi0hWBiStAn+HjX3dazfC5iT4Uv /WXjCYh2c1uiPQ0pN+clnYTSW8jB8G26Z2t4+4MQft3/8r9ZvTCCSidLXcVaAF7TOrdGf4MWpHB0 MK0FnqCvlp6vqoD+738gaOOmEKC/OW8aiT7/QYkrxx4lpVUB2dwwyahyzM/70jga6ymuJzx/lR3Q G1PBSnSCMDTI6WvxYNK2W8bWBch+9ZDXgPZk0ImM9pNVSQ4TG3SZaDGPuEgLwr01MHC7w4tL3xUS Kp9/JIn19f4yxFwWKKcMvHBg97wMcrVTjGmBnmOmQ/gP93m99cWPylX/05AnKsnqDX4wbpOD+eVl eDuugGXwD2yH42+VGgy3e8Sh6HcsKpVB2vZPO+zWj6V7khB/By1s6U+f1nFBEgWYTAvljS7eQOKp wZhwEhiXZHH7YaQ6E9okBIDiaMvEe9m8b2IKeYEJTANHh2VPOXVnUvyMN3eePoG+RVQNj6jcqNnz bP4zV92EGWSrdunHa5bbgl2cRND9pW6uxnqPLpeK/8eNwRyfn4RjoTvRsh6ED0kK1CKTh00icOqr fy/hhaLibUU1fCrumnIzyWBNElm4QF6fzV7ccx3OExhX0bwV8PFHHC0ZhK2PhBHzLWeWyq8uJfwD iXeuwxkJqEVBEBeSONaqZOuiwlm36thbPwQqJ4ko75ZZemDP8echBP5IfXGW1GoyU9pNjJSOfq6T 8EoYyXkfNHJL52lZLYGN69d7UdRFoq+yptuISIYKBcSMi48VbgSWFKjxOqtz+Tlqk6yZ51w86uBa RzVHgak9HMcPAFxpYu+gHe/WuWK3X5xQcrZFnyBLI3cNMaB1Di1JElXZ4kxQb53l8sd6g44aKwKy oRifC9x7t1yVPwtOpMfrRKyxnRlxAk4n5r+iP6YBN+/Uws03Nd80AXOx8in6TBQBwzzpdcfp/z76 892Q98DDkdAijKJxR/mXwGd/qpEqYgRWB6hdPVN8F06PVYT1T0+7XnNW/89RgWleto7ug1ho6a7w N397vnX6+8zkjimolK0W3hXadXRbf/9gxPUIjAAi+BkUQXJU0KWnEkPpecBYnE4lYQ0g4+HoMOy/ SMR1amxCuLb72cpHTKPP/iUJjSC4TULlZCtMGJkecboWIPKOyNs1Mzn67mZZ/HOdPbTYSY1aFewS +QPlDvTAW3Jn3RLXtjEa9pYnWDVyxG1gtOPNRl0KBmMHtXshwx9gek0AqNdkx2a9EcsDG9CRwev0 O40tFI+AJHfXTuHAxboXb2bJfLPzoiFygc45oW/9td0zkCY0X2U2ewFYE2vau2itXoDM/fCvna3V +Bkkpd++kAImHDfzGSKH4YQUcH9sgy5wJeJfgql7X3gQuIe8Qooj6CyYRI+dNzv1ODHv8m2avZUd BQtotnYfi0sHnIi9WFgnaZ7mbxCfxm/FvWsaLipusthYVbL9aJyRu4DTpK0yAgzAx2/791CmGsuo bMuYni1+xtnwZH7bEA+rHg1Shbu5TARSJI8DLDdWdSSN267Tq4kMeBz4h39VLCohe/3pHU4QZy17 5yJ6qPXtx7uU7PTWJ0JJ6ahUvV96Mn6+n25K5HchEovkXZU0gGQtMFNtZ+crNtNAvNXuw4uV8t2n KM7KCCCDGgrYpvllCgiaPsfBYs37ccwm20HbHKxgAN3YdBzWwDcIWcNNQGkKBn6Mt2wY5w6+WSsL TaLVRjS2JBUOXvRpTq0PI3vUV77n7xVS+apevttHl0jnY7ALcIEBFHddT8Fvb9hIMQRliMTqoU80 HZWaU5Uy2uD96Q5zQyfTbYvgmP7cj4SAAnGuOWSVDo1QXCjEk1YJbP3RNwdOK3EE/dXy+9h5ALaL T4SzKq5wGBePq8MTfzihk8TiDlTg0f/+wH7gb9forvyBBIpbs0YmYqIB6SQNDmeJCkuQlOp8Qx3I dNAyngIG76ZxQzAWzz99TpySr3oxws6ChRfbxd5IOBgMIM4iQdfctZ6Lb26cbEwSa1zNuCnYpRV0 R1V60dCjOz5/1ry2G0Dn/qxBXPbIu/2BDMWvOtduL+3oC1Kk/Mc1BthhOo5KL21Cfqrib0srB/9Y iHRWy2E3nzJQfxIfoSJ0LvDKcBOtz/qbJVbUeJeKIpO7ot0yjiPwTD56IN/eeJ7+CH6+yyrI7Zfy /A/sMV2NGjhc/1LcgGJyCiHA36LzCODk7lswBUugf+vVzK10IoDPixJKpY7R5c+SQ6P4+X2s5Hpd m3L2fD1rYhqFs1S60fwjsiIB+UV0v2DjKnnp8fY6efCo/5UmOOL/L5p4+Vzjd8PAbvhpKFTb6ryw LbuB3pf6zAycOkD5AKZVvNlxw4IVunGhRCDU3whXVqAjBI80T56hUZIUD1UsoPpVM+qc9OiqOuKF uJistlz0nF3ajvysrwA9WH0Xy2ylgkCE5T+HWHTJWX2KSLgpalLXgs4VbY7klVPVjKQeuznrjYF3 MMgi7DeI7F7JjgPeD1St5Vv685Y5XG8GVqoBkGANKbkK5adPcCa3TzQfestL4t24jgSmgzdWeRHQ sC3nLrd1hfWBNJvoghqLywhSWnMXwYS2hsfNONW5+eSkGGSA7QxXVHPO2/osw4M4CQuvL6kEPZii J6Uedmh1JUVAfT1rRXgr6EqHM+4Rc6nyA8Uh6a4eGzeyelclQvQxR8aucA+n5xSckQE47kUc73T+ QAB34F3COyrU/Df+EAu33C60MQ3LDPGtLB3yq4Tqzp9i58iNTA22cx/1DEPdLV75qZasUkgkoff6 pSVNCZyLdyHk34kQunCWc39AeDQPFSRqyyK8UXw8RTGCQ3/xFDCOBQ8zEe04eKEuWEygiEVQZ0Aa ySq1pMDQDgPjgzjrp2eFsz/MoWvqhQh9SC8JFs3RALEzz6SDjlt1ic4k4vS4BuDgnrPdbdL4yrUD gZvMBP3Vqvb31s6E4E5RjqZicvPtWl471vTZuYwOh1Dh216AP6C1A0I95BwF6fwmtqWb1nBFn0uL /lbcpYxa0fDXHwUsy2yZ32Ixng0NMkqzWzSoyEqQ4isXTuoLpL9TprZPQUNT6ymJmN2ixkYv+gMa hN87q9p10wax+FicVrQOfGJnfNDG1H0c5WgAJ1iQqIoSUEbKYxE4A64Dv5IwPppono8acwHULcim caDSiJ49NAiJSgl0TwAME+FvVflkEoojQ77tntKMq0/nqANzbvdL+z7LLWr0n6Do1gXuVa1pAup7 b5RBT1XVkTKK9p1gSfCU5vZ14PM1Jvt5D8RYbb2aMVKn7nXHO30OU7CDhdlSs2OSzen4WkFd41VZ MEFH4+oisDo8KvmditrVERQWU8WSGgAoSthR/40m6872V8pMwiHUxjQW8KwKhg/5MJPCTbNl2btj /awligFz8FxgTX1a8IfnggFqK56zMSLsotWSMYf8M5ONVx8mjQ3xai95iekeVUTCG2PlhgB7bnHZ TVQlSREWxAGVtwmbfVwGWzbrKybjSjMefkClHtNDc5WY2zR4GthnGPpHWfD8qaTvE6irzaYTE8Q9 wFJn5IfHJ/OZQOXGYc9wmbSjMRz/0mTTEmGtTWjyTGpq79Yho+pDfWUHM+TpJ4+4Pv8rctBN1JJy BceEczqAvBm5y9br1y5gJRQ8pfmq3OzSNCYaUexKWCVEVM2EN2L5KRdaryJYuChXRMBS5j/TzBbI udNc6cw2ki0lcj74ygWv+HwdFl59dWR7k4Eht4boyqCXx7dMmiN0JsKYJK9fMZnOVKMv3+Urmtg3 5A8O7Y21YYHUCCS1E0W2jdEqJkxH12bbLTb4YhmP8+wN6ZkSeanCvJeBpnvx7fZQD4Kl/WbfFBYe sq2a05uD3EITB+e/COiPOAPKJnQU9DetnGkf/n/+6MtlhtC4gdD+KYNFWCIvzr+X13nBl1M4TzNP l0dChP5VvDT3EgpYxshbE3fz9K38wf/T6WC/VFQfhBH2qsYYcWwhhekzlL6rpOaHHcK3kjVC6Leu dk0tj2cr+o/f/6jpGgGUN3BHt2UDRbj5Ws392hWgre0inHAVVAGKfVCuitBFW+hxwupi4jZrL6Ai 6iX2lKZL3K7sqmw1KzzlAYbHkdWhVtirDWcta4u5+V3jlurtwBPhbmq2S5oQArHHpariU5hzY0m4 VovwvlDSUNEgS0UfjLkFUxPyAx9eizrhpJdWQr43d4v1aXKALfVMu6cDqDgRcANKaoMd8FhTs0/Q 357sNCKc9EvVWr3yOq/MqOAXjP3tEjfK8sRKSEUz1KjmTERBwVoibslztGs3mh7IrEnc+/+lj4wA FQzSeTf9HVcGsSYz1S5SVVKEeQYI8uFO7AdLh+jKhmfGdDmpmCbeqnkBiCSK7w1LhOKqlJ4AUmqt qIyfB6u5Zj+fQcV3eMAkt8fpVnuJihIgs2stSkD8CBxZiYjGTMhhIVJb+VpMwVw2FM7vrMA6+bmD G55S2ilT+svFfZnvHJZEXd6sYXsIhGGAfw8f98CGIUqqNu6rbt+VBYYf70L8uFqUR39fzXJj8Oxk qChou4ZvKE8l8uD2zX+Fur4Les51z3Vj+buO6p90fOLxEEvPnlC6YGT8TnzgSmSumP4RxxL91V5C xn1b1auGwclmlBPyVUtzK7OfsZ1OpCMJk/ilYCQCl9UT1XRPIaWqRGSvioK4ef+RPDAjw7FEb24/ 1C0b6omWYdKIUD5XZb/+DuEY5lNLSglpEQ4bNjImQH4OfK3h8VZk90k0t60Q0r7el6lnrY21wTjX +xAOmO0F6VTP0tzKWm3ZB7C/1xw6FVoodoan4cGOX7GEJAJSwCdrmntZh/hIL/ffGxv5G6pgE/Xf //BS/xfxoyaXsNudmHg1UBEQ55TnNe3siRi/nPM6NUUaaGIWMaYQpYWpRLOB7MdddLIm43UgYbnv spjkvjQ/VizVriEbL3vzlUELAID/pXRoUIW6KEoas1El1ZlzXrlyzQ2XpZMT6q6VZhQo/kgW/Pz7 novtyvytaKHa2DPRvtOywxJAS0SHg4ltZoSHRm3A6uSbdB6c2QfDoB9cctQUekUYAgLYdll08g2S UpDCEzSuPvXwCULaRN7UlWkjFbeC5rmXNBmcXRyryiPCxHIl1lc7EplmwCcyWk5DLF5ENlo4XGYA sNS3BcK61c/oiNzWYXDgmI/w6An4OhtSlSFRZ2I/S5xWHI6xeia2C6Gro1v0MQnhVR/87IX+PbUf JmhprsBBD1CtAKaxSLrwyC4/c5srzhCmS7dVSTZkJWi+p3PzjOYh+DeZgE1zRiFYLvUXJVhCOWHq Zn4nkD+ZSF3ssbIrzZIK+Ley5oUqmF6Oad/Il4VXwUsY1657ZL/bVudYu10xtL4X0AX5A+QQE4M9 sMZ0ubTYJ0SlE87Ww147ERBdxP54BmFdSX6SW2otHiwHfdUgsyfXmDGeCJJq3r2hCktn7nvBHrQP gZG0W1/ezRiDkV6kmkZ6KX7VTQKNWFq4Btj1wmE35GmkBrEQhOXYEu6Uh01/Ue37HUrcjTYDUDu+ vO1dqWSIhHnQrdLyz2uMutwfZvW7G7C947n9co24o62UALaxEkeSsJOJO6gnaA+qTKT0XDEqC6+u ukS6yIREt86yYZSTpOmhji0CSbvczp6SaxswllooBDcis20p2DRw52cXopMgBlruP/kATGE6Bzej SIkd3LALFx/oodWE69RbihZAgg1gdWxFcgRC7rD6smUx2Bjeg+LnRY9AHcqBewHSKGX/o03hdHzb +HkEMY9j5yfP3lYfVYkRjTtiXzbrJXgurpxig4j9TMri+vLsyNSk3VygK25nZwc3j4x+mHJARHyN yXJTYcboEu9+mVehqFRhpKd9hNxdOLVGU1gtPWIqkWbomPUR96Lo9H/XI7SE3gxbWH/SvJoqn3dI TjdDYJCexiDfwEpqrt5SAyJ+FHXvrQ6E+IotWtZT4rLrFlrvwSeAfC0x1GugIREumb1fCvsPPuXQ yveS/EPHBC7esaafh/spC9EONIn4xoHjseAW3NxnnmEwYCqKLG+r/g2KqQnFcRAbQuzwRJlFnSHT Wc33rENB6SHSPTccjF/uC2GN59quvaN8x+bgL8+ELolX0M+679nqkYBsCbmsHv73yp8t2sqYLZnl a2iyU9sNCLbJVINXhl6YOS4uRZQa0xcV6rEto2lTr19O8/e707slJ/GvgbcXrgammsjXDa64Phnb D2nQPvoVHb3P/K+x4O8BbSyp8lNLmBtsDNLta4icSbav3wFirtgkWDC9kQR6p92ueYxt++A16VSS EOlxnuokiOXXOUksxm0FnBylIzHrKrl54oWNfqWT0N06LO69TUgC42bemqSJLul4egBnuLO8/Edz o3hLly4Tjc5h5CSFz70v83HhlfSUSNgFIisGqMrNIKBSFN+UB4znykykX3rw+UdeAvaRpmKeyxc5 67DRtV3OINQVWtepL2e94DkFiEhbxbh9t38Gp9w7IhvbZD9Xj9Zl5oTeJtyu7lig1QorF+kMJfP3 jdM1GxZeFya15Q9+W6QL9UxH3CzRaSKh5HMrRKKZf72STKMYje3RCQzsPaEAEoWLv76coJcRCY23 I4V3P0sZwIv2Yzxsbqo1IxFoFsZcoKBuu0wD+6lUNxE1CsuZoEyzh4MwcTX/QLCMMGlVAvkQrkuD vhVxu959r6K2/gjA7AqaMY6Ub+Bxf/6/MUKWcKfSx+o0pHvKw7mOMH8uMJM5cCt0FrpqXXp/KLpl 7/J1ffbdqyH0Asok67vM2VdbX2qDikv+NAICBzOyQrMEF/mEyq6s6JO4oofxzcDM17SoKpMRJl5G fMldmd2JP1fYjc26yOXbS6KuknpFx9OLUTjNyTETr2dvKsgZMmZBXF76Z/pePoMXTptjxgnjFIcn TZarIlMRDhR5uKmzfU4L4wQ6wapDC9i+otrl88Z+oEtcH/kipxJnmucYlvWExcbUCoNqLffo4Dzc 4cAOYMdUoFtu+x5wfmYKb2XdgF4HDyDzYpln4dNvNSMmEEzGXl9/iieA0IiO6vyVRX7zcuH0ofmg JfEB259tPxGRVw9GnqIwZlY+hhOJwX9sqny5is5K0ajr7cEatdRoJs3+AHoPr+lUlHndKVgkt3fn 0QpTHpDmeHepRXCuW4WKNbljwKlZJNKVPUcBt1u+sF+MtE2hETwRRTx4jmdz2KggPw/k80tVH5cb x97Bm+PC5qkeJIA674X5nwg+RcavNrDCmk87088UGM5/ogjae3BBXo0ASCc4ky4qwL1w4ATvhtQU elGSVdWQM3xGHrc72EEBfCUYJnzvKHO+0exILQcdiP1oTPVM7IBkhgdjotIfTjQh9gYWrfBMT8oP QZ+DM0IgjiVHt1MnIVnwUxj5BcYOt7ZQeKc5dssrFa3tfisLOZnXC/ydW6gaJa0TZaD6K0SJ3c/f GH8an5ZvFGcKNW8TO0bv0lIsayQs8puB/LZe4/C8sc9seB5bx/ISY2chdp2xeKxM+xY8EOMDe4TQ SuDr0N2oG2B0NzpY0KdVxjXDjYnaNRurKMfksBUGZ5VhfuNzQztjT/MUoyAucw6eWhaJXjS088DE htmhF6Xl00HKhvYCUE4ak8KQ5Iot5G/SMzUjETP/ps+H2A/W76p8OyrtxCJPPJ6zO0JIpMaK5CRX jlt6KFafbWop6Ga8BJwX4CzMshHP9km0H8aRbfFn8hBheuQQXYAV5EhB/1olZ67SOBdjAvkYC0ly +I284fga6qivcwa3odWHMha/8hoykvp7TSsD2AyPu0TIXqK6olojzSPpHbGsyqyBpCKcgAc2uD+7 kQKADsV2i5v2e3ltvLSvfsqNg/Z6ewXbDCZiEqzc0ABXTmFbIuW081VMv9SYpB2C8Cue+B0J6J2e ZF4YPwzYjaKda9OPtr4si1gjVqxKA7On4PRCA2FmqVbCt/CbCh8lsGMT032SmWG+48GR1AnikBN9 TD3nsakNaokYG6DzxlLwHSRgWtrg6eQppIxtYYph5uQuaicpLItuI4gxr7H62YfbDzrZpuvcsKFh 9wEQe2l3gNngNlFPsjlFsVoDZrvKdJEgv3RBzmE6KMTZDK/py8WQY6I0x1ipGCtpNQuxzG8Qf3OW tnitVBrdf+GhxJY5RxomoEm9lbdO1fKfkMGOH7YDw+5pTxL8wxbixzLnbT9YptaY7ZLomO718SLN fGLl5lMzoLVbCIhJ4yMkgCifI1y8CVR5EGQAYjHk7RiVLlOBH2Wr57mxwtUHa/dRvVuq3CCwnOZh 6gbo8E+BYtcL1Gj1Nh9dPcg4XD58lyAQMK0chPgyYHYc4mIc3cIeVPHjdzEOwBpjTMvdRcHhEIaQ DZaeMEwhc0m7Tfswse17KrxPuB+xbe2Sy2upJbYwN88j9/7PVTwboLEHX2ZAHWXHM0mX2l4l0nUo fsBTAYIWcgzkI1bVe+m5LIEmwSiavimo0a3/fOPu+TMUiZImvQA0boSxUShEYkGdgUPPPycyH/TG 3HGonQCCskFFf3XYM0/3iJoDUDegc1Y2JxM3vzHMBEO04ujywglSXLwuexaOoAEGHn/y9ZifHNsc ArPMUWkE8OoScreT0+f1eFEv3v8dc/BkMq0vHTDYdlR6EtPIQWHrkW5PUaBRgsRMNbDk0bptNLAD wjAo2s7ctJU3YMNfGvlBbQPb1V4Uky4e5AB4CT/kSP+0jOfXpoiXFsYkGdUt8OA/kDwV8W0XBcRn 9YH/IfaIX5ZgkfRBY2HNKOUwu19PyfE3YSUSh0HYuUFgXwBga3UNCne0d/1bimikL8OuDc3I5g73 mJ+tpyffESr3H3uHMe8TfDCLEhlKcLYgVgTiBj1LPDix09gP/4RFJ+w0xM32mgy8NfVyIm5zShqR PeL3yLn5ctC7/oKlLhmd5SM0CwGHQZjXrvcBup6ADqMQ96jD2Q6bhapBiRdspBTtDNf3qbM/zEST Wlgm5pujCOgAQXDb8QFV6FOQ1cmGz+2sRD7wTR3AOpGUTu/TlhDLw5Yt3YuEs17EU4NL7XuFalTF MhEZ7rNDQdJWdGCGbITznyrUg86lO13heG++l5U3eh/KeO5O34Frl/XHBi8HTVymppjk0P2ou+4E uY3Xfgc+gojTY/kjow2EZjv8PkvthMI0tjL25+857e60LBermfxCP4q8+uJqe7k+n/sz4V4/aFnK psvaj9pQfnHbsu4JRtgStctMTd32DoNeWFtDUYU2m9//vbb2ocL//ipqzzopPZ3FDD5TDqMODe8E GtJ0JAC1DuoeFqH15UoFEJh7y97gW3aY+9SFO5BvFA43Wh4lq+SM/tqotJ0nI61vrjyQPASH/EAg BNNAdpzfae6kPZQ5MuUPA5/k9WSj3pX4k0k3DumC+4rqc8wXMOSofejA6kUxeEGV2qGVR+XZH+/3 JGXFRr6tiWRVhXCBoiAULaCPeRuCSLKURqGYFfEiOMmdbGXLhv4mt1YkBYy+7N+Wb0/BWk0Mb7ne FYVvd+w1v6KkEKSxgD03PZaJYD0N8guxCyQdzBxrNwoWqp1DeYdr4nEqKM1Pn7USILYHBXZ1dzNA vz64oFpBXWjQxwYKkEjoZAfjeDVKHkR9p4uziouDzws+uwmg3M3ovuhLPrMIBDhfG/rY+9uZqNTp 8ma0nyPyzBCq3r8Ib09SFfm72MplWcco7UvVuwfTBrLsW2nE8QFGMKDlD1pgvA3sge66trXa2K6P O/X0+Nl90o2AuftrH0GbL4HKoAJcXa8bYA6B15DjXEvRKcTOauA//RYVGKUhrnP7yKnRV6uGArpv cYiSLJcaLKGNrbhaGYVAW8RgTfcYUOaZuGe1+vGQbWIJbZvuRx2uBXBxsiqeOe55v6NgtksvXCIw PHDMwzsU+NKpDBo3yJhgTyzPbMASD7QsbSI0V+4CFo/qu/pYase3URXzDLu2sNRjec2OeF0sGQcu /br0cAssqZezY4Ds/q3qEUonKHSOPZpNXu/ZSr+thYTCyeaOtEQg59rzpyjuXF86nqN26fcfbxm2 rp/CmYxXZdaaPIcHGYVO7XGBddK2xBgEtwVwDaTdu9tuflkzNT72fOBWB3R5U1Sm3Ez3RTLZing6 wRNfxEhLAyc+oNewwNM2LRHIIj2773NuY2vBzJnhyXkyICuqFDYUkVGRu6ODAZN5ZB+PBee93Lmx jVdtg017E38zgwo+BZnNOP2yvOYotHyHLpkiaFS5HedVm5gHPDzKQhlx12DQ4UTR+kEKYcqymWlr OXeXacpyfH19pqBTRP9fJNCVw1sx1UiSfyI8P4YI1k6XAPYe+MhbkJ9UFm2dEir4XnjPX9C0CEGW BQ//HsMUsKc+PfgtD/lLhLxo2jl83orDomngBMWmQbCku32mg/rsa5im6Y11XPHrLTETTdnlR7X1 cvvdTzQ6SJk2NO/3EC2fooIaLchh1pyvViD4xoQhGXsHsAF0K2D66ZF98yoJTDDzIo0FzJOAXpEQ l071eNkVBOXNbAG3QNweFcjR0BZwfb36g/rfCwS8TTakkVIUGKvIdwBh7ZV70yWsRLpzwYQ+srpw mWxDgoCn9izphPxckUwotaMOjH/m9L8bNC/fRdQb5wRtsfay65/03fS6aaNfT9lexV452jfTgtja EIDyIDZHhVAzNeVq7z712WHRdN0OI+F7imGEwg5qjt0xDatvlE8cRM//QJyYiqy7g33g61Krynsa XeJg/R4EKNSgIL7UuXiRaFWIazkWmkQQAh1XtgzugtJVX8swY3rFfK8nP9v7j1IMSE+saxmVS74P 7wup7XjSDBIEWGYpFMKNYw5FJR9DRw9KPgC/vBrt3yx1HFjNnrUpoT4mcR1SZtasRuc5vEziDp0t PwYiyWqOpLLEBMeWwParx4YKmMyp77ZUm25c7LVfr6iwBZZfGOP4ANetPwPNvA4q+IxyArSkzKGZ lesUmwqz0N8xYAxTejZN7Oev/EF6JKsKmSy1+bNIuI+hbPXM3MgGJx9vGUzdeU+NuPv/z8v+1o/t VbpgIW5HsZFBBNalSl8MA09Gqh2OcZiJIK/AcGjGE/1dj6vOCSLEGSA4NMkquq7xNfph966hIU0T 3lLOXqULdWCtOYNfbMQ8yJeAdCdLw6S+04yOSXa0h6M9kLozTiBc6/9BJcvrhxvN0HJM+B12JazQ 3DxBxwvvgWIzzcaoQ3zfU2jcpro2JtIoAwqP17ak3kdUAWs0sCNYyd/TR6uBI7NwCpW7CT5XwGQ/ eDibF1BYEZh9EPB0zpoMceUviCNx/MxALRAQ3M/YEFNM2spEvDeu40jEnXLH/5RKqYfSLDcbVyMZ ogYsGd2XsGmusBtf68TywBU/hX+920Bkcw5r6spCbsnzWuxweKYnllSqVmvbZnZCyMVH1XDI2gF6 AqsBfyIzYfLSB6kh6tJFGNmSZn82N8Tb8IxbyREvJBR4QHVi+ic3vhgLN8T/c/iB9rkXzijpw8g4 qfIcIaQjqrFHRsJvUovXNjP/z2k4iIQY5YHbSHDrBbcj2VUCxlxK7VqZmhgxm6DJ1nfTFkBguLBJ GnDx2sxPqMrifKTBArbW8LrSXx3g0UtXFKK0/0f28IuMRe2LDbfM9Gk5cqHWjKs7GSdai+9qsiA1 pTdiygM7o3KCCEOVhwM9oKvVDqvjqith1xC+HUc4TVkDQCHvNParLx1KHXgkYgLdBxs+b3zwskNI CnUtJVhFcZgGmBp1IHfRn3edMYVjtLTwEmHJwz9+7ALhpbrbOoSEIeaKDFTxH/tB/R53HX1dfRNw qkEU2MknZUoQnAM8pEWBv+isoJ7CLd7tzuLrfigD0Fc8nqE3YasEtRZkn2+d3feF2USx/m7fOJhU Sl4K+y+Ik/e2cgRe4GbLcHFCYCuutW9eGEh1gTZO9c5tnwbnpeN2unXhN9WHzZFfY8bP+6ZHzx0E VXBmgUXPAvwtGme1ACnIFTOqyYIhqTIjoIjYAq9OBud1NEUnVg7UQtJpjPPT5p0RMm+EnyAjWXCO Y+Iz/HkRPDp3DKcn5rsrEPdD11oTSTmCZlFYUja4jOenr8ofspW9Kf090rupeVtb9JlPWv/zg5p2 sq5F8XrooohqjElGEgP6pdqOngYg3J0o6aUYM1XiL4asM3+1HqTBl9FWo0Oa5WjGnrsAbLwZF+/P kNe8DDk+G9m2mfoilGHkgVZ66uBg5bX8aHWdTS3DyIDrUbIUrl8E7tANYSYsrFzPNDm1jIMK4bKs Car8i88bwbaoMcVr0PCM0wVy3WpYK7Dc8MgAzwoiAlB6ki/5OQOs97Lew12SmO2Wh0qe4Ky38dYd vO1qMwj17q219XPpMOIfXTjdtUBpH7L9QYD9GIhoLEFa0QMPxeQcDJw+m6qbQKWCN6Pzjy+UYDFw 5OjEkaBnwldndGF4cpKP0oJ4nQp1fxJLq8udlOEjUdq29mQevvrUpXlxeB1IFLMcOqI7laGWD2gw zqxK6ke+vAQZE64rbD2ckGRTtTVQI++71uWMgHxMBarbz4Vu9BGMhOu73UBZ2a5SacTcoM867a1U rxp3qXqdREOexgNgJwxuPy9uKixPai2stVW8/faZuSCIRCfQR0HytQBb4Lzp/8Q/wMU/29DKkb9w 2FWgHcwTg2y3+RXse1fFNSIfoPfdBC7MjHMWavMbm2fwIkL6Xlty8a87kpSzJYDujazLHEW6Sv4f Z+CZnwhBl1gV9s82LghvcNmok3WSxPqFPEshdEkkIr3JqfpLVG4TlGF4ytySzP/K8jWejFMr5Zjv Ah2h9VT9LGeXKIUnrZyvV4bmzbWIashSjlUFblcjV+aaQ2Lr8HTWyhSmML1h5TEqezWmS+35clYr 2t4Hg/BBoQRfp/otlaIfYsr1BUwNkmmYEmmifdY/b+qTmlvgvLBy0atS6GcpTYIWvXlcfDgBCQle Z1rY+vKDtexT//YDJcbJoZHkfc9LkvuYpy3yWpPp5ZkACfLxYy3xj1bz5dqmWFeg4PZ7Qv/p2xX3 wAfCIwxxXwdqlNLK1yR/tltelxQvXo5Ot7bCixELgDDGZ3w2LRtnAW4ifAUXLT5ygaHN1OSsuNOw CXEcEmPNJT76R+kz6vgr1l1soKgt7+Xm0WwlIKfXOVZjLSDlR80cO/ZxjtUEz1OQcsEbzTj5VPQT 2HsIqbCGS1LvAmDss2WasnG5QJI7D7lrMOaF58ueOcO2/4xZVwzBqGVm1OknJ7+yknMPtmunlYFr EHNLcx3LMuNEbq9keBOzk3Zm3Jk5SUYTOckcBVGhePH+dSiBOscfR5f8sypv/oqbYrc46Tu2pk/W 46YRA3u7ZLLZPjn/WxcE5EjP3TLx3vMz5ukEvZ5O7rdnUUWgc8A5AVuix+zzujjNB5Ik6U/9Iryl Cs+zUHCp+rjGlGLnRQyKh443GExVNz6N9YL9b39Hzj5QpZyOqUBzZuCePxHGBWKhq12YUMOzPk2Q otmRl+kMyFrICOLngZL/AHlG3LpXdl70vzbknCoNm5J2nr9WegHIWhn9LW9Uc989fWitm7mnamM2 4sPsgzzy+tYb9cAYfKqu7U/csY5rTrw9la1Pf+UjeeOlZaRZRTT6YU4WFG99/5kVykV9ETVs8GN9 UQL0ByVJguvFFoUJsP8ykfjwOc50WmLQCnlP6mSDoC9ADQsU3Bn64JQfSYlVSbx6OC99OuzLykaC xcsWBmGuk2rroPyYS5xlueGUZbTCM8cjXRFphEv26DUzXjYvWmXMUEpOVR6CLbvGfj1msDQWftWC qX3CuCf+o2YL/otkjPqyWk8cJ/KfvVPL8/kQu0A1NjTBXD2MSFXkovRGxJoZ/LF9co8E/xJYP/SU zVIbale0lV9bUJA2WqQ7c/sd1gtfZLgpqzEDDO5aUhAebhzlomfQJn9vY6toiK5trdjZbBUgt/R+ ROfMwkLVT34UiRxx6SA6ApgSU/uP2qW6KaFqLn8upZZEQqwqfsIWFp4ENsykPVr6isSctMIvniSp PS/ZIM6vD6FP/wd8LLzM8FDpw8DEKoV0uwXW92GXwXZm3O7O7mHVeA/AuXY8ddrURujOiUXpukYV UoAaeqAfWJ8YvMWunMWywiciXzQMyelbWN48xInRKwpMTXnyOQiG4bu9xUoiSnBbJW2+STHVhNa2 9R69kKQhfTaBl402pTsi28WKKjCANCuWHWy3eLAdzYzw2MVef5mjwWRISp1gNT8Mf+/bKzZSQnW6 6X3Vp/G2Hq5fY9YsEDMAfV/xOvX1CwA5Fl4l+I4NItNYTA4OvZaJLQDtoBfOYJLm3Dsgy/t8iODp qn+HhnZZUVmWkk6OOOYtmsaWcjcNjFcsEfiuNRqfPDfx4nXA7JNFR35zkGJi2La8jW1BWOYyuTDv Nml0BdncF/RQ83ZfOGJa1BeHknXbRiEcoHpb2b4rTQsBrnMdr9LyHC2tDUlaaWvj3mFRl2u+cmat 5HKw35WPRkD4je/JN/VfeEaykbkIm0tJ/rNaGpB8KS5/AymAhu72zVL+/IdNYo3LFGYoj0dUqKFN g/znxfvh7MSrSKntF26/987ydqHK8thWkH2pErfFEPYq4Bwk/txZIWfruzbGvEMRM+ctEp6SNsEa 3Wt7rJoeUewIjH39i0CDDSDKes1auk+fMTo4bIDLOEw3yEYIFW2Z+uFH8f6bst8MKtiIEcRcqlJA ZsrWg9Z5srxvGEx/y6/v0gCACfjZY8ZcGeH9Gg5htLJhaONL3rlv34G2bMZbprluBu6zijMiCYHU WagpcYDIHhlDZcV8mX2D0YaW33dn7POy9v12ckb3H6+1fwWPfeBOTqoDAai/roLbVg+K/smYq4Av Qx90gd/saTkVJRaMZkQ9RMRBIu648g8mduKZuy8OsEDZeh+frSojO1frjhj3F8KlagOflbC3rl4x 7SLWrg18v+/bvy4YTm0HtcgE1PX3B7Cet3whLHb7FwT91OxIsbhlvi4rNyRacz3/pPeSOKPHPj1s Hfne9Nu62F9f8mAQ9w3k987Z00XxOAqO9/68vVg12XHFCiqhwzffTTLexOd68dS9jxZ78DMeL+fH 7LD1XrdXxIpfeXcdJgScE6/QYhlyLfYwt5BEkBCtYHfKSMGcR4l1L+vwaUqZdCNEq44hI4xsl5lp lXF+x2BTl33Naud7CK1fwwca2ptUhBY+6Z5+inPsfKcZl51bzWX7z6dGiH9JVuC7QlR3JN65ZULl ywzohNQT6ydrjKBwy6eLlJhY7G/FfcWPSLLLj+LeXoS3prR28pkADS2uwQ4CC5fHTXfTEciDVvb5 a1oRTTvb66iBQl8gjFrC+sezzMJFk/lY8nI32sNML2sGmCgWZU1ZBxVVzbBV9oV38+yvA8Ulahjc bcjSKo66AegbxBTAdHCLBHV8YbuUg3IwZkBsHgtcoJUgezSsQLc7AWZ9wjsbvnx1UgAvWHXL0/fy CY0hngcJO5QNthdOPBwMoERlGsyDXbxVRHJcKq7taoh1h+zMem8do6ex8Mn88p7fLprf4P8YCwyE 2fAN8xivxiIeG0sWBBRqdRJT2Khsi5Y58/epf/U+HXMb4NT1TJ66etD1MCJ1Lx6nARYxZA6Iy8y8 tKtCHQBoAY0YqsQDFfg6A9Eo3qDNM5L8vrxW/ZquAWi+EgQTvtfx0smz+92VNcaGfzGDh9S7nkqH 3YT+Hd9Q4w4dRtg4wV/GE1Z7eKZQwtZGbcoUR3d6wpTHoXvdDZqr91QcCaPFsaR9GJFrJrk9+3Qg /lS8MfyB0uYZlmx4JosgRKc/G7Qfv8YhPiwGuC4MFrNt46fRvq3Vd0N1CqyMr/cvZxAcT8nkHe8y ciGkvo+Bgimj5+t7hMfXeiXMFKFFsTqqHCOvdwU2VMBm0aJlsqe53trputsAJuPjTmMPMBHiLlsq 4dmBNoaE29LwfqJ0zbBAh5NCLn6wIoCpB4hgtkosowtxpUKJwTGZNMsXfkqimCUUBh9G4s8VhTDS KDUoHfsQ0Iy+rMbJ7juA8ig9F75gOqZzPdgC22IebeYW1HsrNRQEUb+A25egWRolNmftsAeqLQQm J/F081uv4O3PMom9jiQa0ezCIF13YRufKLKk8I1FdaipXNGPjt63NUXe5XlFTBMX6JswtOxrr1+B JDlBHnrZfcAvDtFt2j4+bHzZjOI9bUn1XYexf2n+m0MF5Q8jk1TIg3L2Bu1T88+zal2T28mPoKG3 6X4JBish/wWINMEbt/azYtgR7A03BBrN11BiD1obGFlouVkuil1y/FURU0KFFPWerDQ0zORB+WLR MAHL6HLPT66RXEjQI7SFsY1x2YwUf+p7Dgfmsv9EKPsBD7/d8wYEh8YmmSAkW1WfvhhNpPrAC3R+ j2tCDTH0WXDNvGnnl0Qy2bKZUW0ZLFzJ7GyiQioimyTyzynfUeLs4OflxDT7Al44Ly+L2EPBTuyr wQeQvl8AFWt/zi13/mU0lruR4iKJqsMb4z//jAljILBJb6ji5OtfXugT3IdV8aAOG++6BH1zDqvG 0Qws1BX1omlewKm0jiCg5jmGWXN8qeSsJWq9BflTB/55A+qZ+1FQjx2q/zI2cUR1wb8FOgh6XjWi eygffimTcM9qKZGlBT1HEt8n28wYn3+XF8W2+/MpcaI+goX/D7AdvRlK0JZopy0M8P8IJl0wwPR3 kE45vbk66/mkp6Jh1tLILRJJpyPfYLGwXprg3NrLY3IGwzml4orHX9YkK9fMEGAsjtVyFV4+iqDb 8MEJkIzjl4lUygWJs9qeY2DbYuCxlNJ+qlLOAUN/63jG5DrepstCy6GbHmPgRmKRKhHOC4fZjg8Z IxWxajgaq3UfjAbJW2ALnZDGT4DmZvmRUf/K4IMyZeSn749ZwZCbkPWnxrIp38877CUedJVx1stD 18D5avuSztni0d8f0hWaWIWvblhhat1z3jWcC6oWVnshZSh0FO/YBKnXjoqo9/BtiqYclhC9c4nK WCwI5dYaLbY6RRxQ+rFJR0IcXtAaZrLa6zgqd1TLjLd+LexCdOx0VQBvDLHs1Xm37Ug69TtQldyt bz87/Cb8WRrAlxvIqfMiy+aNt31gtv1EEWQ5CfnubHPCSQSM0iTrVXWkoRL/k1QBW6PKa7Ev6mqk xS6aI8+BhLJPDwlVIHevJHqZbcLVNToTDIqpJjqEK72KGk/A4VMGHmjhLlAMKatUhJtbQUsRVtpd kgtheIut8mb7djS9N/YivXnsu4hTVSc+mHSgMYiJoCD6vNxv++stlnUy7QO2Tp0dteFPMr5PK2Vj bmijyN5IcX4nnWCYNLzBvHQD+zn14Sh68ti2ICK8r6gPl606L1LNXI+2KI0gg/GmJuLKHDV3HLgp uf3XnjJO347OZZCPX54SMEKAwnLkhJBk4oB/OH6RRGT8g+7uYPu8E3/a0OZNAlc8+SU+Mjm4VtXF 1mLZ1fBZJ6zikO+DNKwnKiR6uhlIu4kUOmbuUVDIftBPiUdR8xJ3KjctAjv89ewvS0kRbJYUdYFG elCWVo8jRx5eI+7PxlSPFbChDZkSpxwPeTVQpY+mSPTtf6VmLaW2KZPZ9Czvcv03LGEbd5wIQpa+ ZU8WYa04woLKPRgseLZ93I1lPiCZafH6j1YrnrGBLnEW1zWPNH2Lt22SAxhi5h6TCO6ZUdnZrHDR Dh5G+qfMzBfGmM2zYTmrWrhJs94CcUbuE6jddwnMAuHGOamrHf/CGZNYJ4Nf+/o4DS2pX/0p2mda JcjHzDkAFCDak3n+IAsSNJwVYoN01zjbjRCMqwtYzQ2TjQe+WIdGF4REsQQl7qlLZ6wSh6ClkQPw jtFF+SIeprdKFjYJxJZPi0N9drSyD36+39KvA09RnU7TLT57PN8Yr0S0KHfERRqaW2VnxdReaLFc ekVicbp/yJhug8lysxlHt97K8LEnNbP3g1lXqW6ZA1jQgMz180//o1gtZYkp8QMev0uYYxAVTWMp 67V7WH/OTl/RkIOJkGuCKGzyXdUoO4VJgcGizeA+Sv20Su01tboYhBeKosgWIafJWM0HOjNyvXht CoifwcgLvYfcV+Rb4OfukDwWRh0fvzxDVT7cyAEO24ysTSTgAKPVt24j/1GYyWc8uCwZ8knz51mG ldTRHrzHrwQeMWyVGsjE+T3UR8kpv+1OHftzqxFWiLlDFMnKylqcpGRWn9MxkNn2H4EPqzSShxx3 pYQfLc8jbbYwia9QMYOkpM5w6sL9aJymV+gZ4ndP3A0RpU/J0mK0S/I7+progWTAYOCElyn/C9aZ SPfMqfELsaNgkO/44NiXdeVHwyLmuC6i4q1n38bAo1pemXiHLzP85ummTqZ3OrjzVQldGmDC6RYQ LEpyRa/uqr4C6A4Ao8RjmPZBDFCZKl02UMgn7fypCU+btJNbtF+kH23Le4TA+maV/6vDZooYczPJ xRXIOA0gKgmfHOh8xNcOHRdTS66qW5pPhYR5xkoDqP1T6v7DggZEQ0dVeFCJ4V/rvXY50r/ZpMwt xRfBDboKIDKUwkqaakZNYG/GcFPC1rKaqm6bQKyUdS7BPRcIISt6B5eGBNp0YFAFRWkdz0ejK0oZ +dEOlyA9UugjXdogtZelVIE6Nz7JjahE0FTxZ4Eplie0mi+8d3dOLgF6ZS41Y/qhJfwRscUJ7lLc PR4BPzG/A29hOCdNLSEUyPQ9js/5pVapA4CjKYbG/3t2yphwrTBMJAAXuNAmHSJRgGLzh+t4+CyL E2rATfFB/BzrmL8OcrlVnp7bvoth5LTpkJJgFVKD0ba9UNjyLParANjzUZhE3gLXtH2KWRS9yz9f rI7nZPFmwyaNbcMek3szi/m/KkKERlTqeL5v1daXUNYlZWxm7/8d0mmsnc17OrV3f9W52Ia6E2Hk Pw+cnJ97rlmWcqb8+3tetE3zi2pTiI2IPHDpSHyHAwijkQhtaxUgsJ99yLN5OrqPEfaPWuVBf+3G FSvI6Gw0abeKCiAoqRFl9OkqAaSo3NilSeCZza4l9UFwxfRq2917l5l/n+R9bgqHgd9d90wIRkVr koxvWnHsjJ7Ob7Q8E2qHg4JoT0LvTJMPClm6rS5L4TQbqFx/7LUeV/UYz9q/FNBbnrGKdHtksSvy /+0Xo5bQv1CBNYeD0mFxOKKXhfTVW7Maqr2BV7P5XibXP/bqgZt/AcyN3o17s1eBI6OtHaBBDpzy kFuYr913OUsnibLQ7BFz0AGUuZCGe0886mb/zP18kghW6Phs5jrAcskr6iLpfx+BYakvT0+cCYkz 0sZW0KKplCE9OsiCACgQoD2aFbCxFP3b4P0NlgSDu67xz2rlxBcaSnLPb/j1JyapsVYcSz3lvoBg 6hUTYNxoogHDZC1nNm7TCa26qWxRqDYtejrIC7kD6ITYAWyfmXDT6cYViatvErMqjT+BlKay5FLa 6fO1n/cL0t0/Ah004/ezSf/vUXzpRBlzEocVSU7KSXjBCdzhLGdIckENZybSEqMAyJY3hYPgyjhr 0/Ej8Q6LP5ElosiodtygTHXvfolZFNceqqc5BKoLzZf+F0grAUMqSC7bFWclXvM+mL0CSGNvaS2B /V8MS1J++D/pv+QJl2jIcCfhcRhuTAyCp+Q+cJUm2Qd9EVsN6vCzwjWFLwjot+sxbEhPEpPaq6rn BZA8+faOjX/nbdz5Ov3/KN0iUtJJZNwIKomuY1NXawEwJufiTouf3sW894GSK8nda2NT9ngsu/UY IHavDtdHz0GwaKksgfIWlLNZbFBZKli47Aducs6qdP6SAJyF6sjtDEYpiP7E1x8GBNroCoIsvJL6 94/BdEhUQclKxTamCKaBpUlO1exPT2iRG02SMckYRaUNVZkSQuGaxZpq5M/pUIR/fKQvEAr5I7wS CdJLErBlh/7yuN7lABuGoIJZMd4VfPmNSnjfisH/Mfj6AJ/3QesLWKNbJf4fh5MP4EMZQTUMq3T+ 2yvSyIEfjXQrV3stOgdlEGbsm62FGTRaGfccFp2Q/zbNNv1tTZwuuuclatjIYAbn/a5ZPZSsP112 hcvvQC5+T77gigEHUBpjJ3BvJnwZCx1tUKTHm9oIrOiFPh5ypCzNpB8VQpD8F0Pt9Nd77I1a5AYu YeC5OPsQh+iibXJwvCCL4659JvDFXbWUDl9OYQ2BtWdkWJT9orFecnH6gBjeVq9HVu6+vzCG8TCM ex9TjbrNKE2izL7QOApu4n3yzAEBnlwtY2r0IyRmbX1sY0nNuVXMndnHGPPaHdYm2W8zvQf6qf3j ra+ebXS6O5nXQAZ7anKjs+/s+itw27G4O8ba4ryaqZZcGoa9Z3BFhaTic+J67COy87sht05vV4ic wmhYx5eqWjHzipUHaq6cEL2IBCFX9aFPvF4gzSWoLRC4fzpAOP1h4b7dISsb+Q2nWY4Um8Oyb6UU /vyrmk2LQYILmPQKcIKncqCOAOMkWZ6hg0/jbuHlNISb6/s5YEE9rVyd4NrgPyTL782TAp1KyFWP IWo4ovhVwT1W5o3VTlkB+dbi3DsawY33NK8Gwk8WhswR0UttYoEM42JYI9o9Gvcxdqnxkn+cqeVp nphRPD22xDTa1EZTbXV4jhsLUl+MYn3E5zhxGH88PyxhhasrAq5qBOncASa5RqnzVbTnnLop68Rh kIK2/0bFunCL0Kk1A3KXjwOXgV7C2RqJU0am7Z7qz6fp/rGqRcvbMNwf7tNxCNbAtpzlJZDq/QCB aE2x3DJicMrnMWZVkn7laZW25phIO+q2RIhuIeRQDgA8XGEBqJBpAytvFzqscKTrBZU7livm2FEB lXQ2Lb/gJJeirC6rYxgpjD2j909ALBvoic+Nm8QxT6EZPGV8qoH5yQr8rDVCzPTbcTHKfpK4mQTO 0+jxqTAW1FtRkYrWWaiNY6bXIacdgiSmU8gYBy+qmhlAG9kzR335wv36CxFHszvUsEUjAUIlNygj h+MrHUQZZa0gK41SsQpTZCHX0/o5wEK5uGzas5CWfYj3ZNMXMlp+6JNlGp3eVBKS9eVVPWGHDfbQ esmBMXq5oGIaIPOysamvlPg4pmKraIM6ovPLy8HsaSwCS4YIBu9GphvdEhtxrH1ng+8Nz7KzkpKd jaEHOLAYca3lRgbdOWdfiRnYKq+LJaXefZz13ZiLIC0jVCaAoobLPQtTCvYGqF6AJlRKZMTBb9Ww NceJTjzY2ScX9l+hzp9HDSMHo72xQzp9bIZQQGVhMoppMtcZ67VLXs8RYleHJEtqU4reWccOFmg5 GAh7F+ied4H1C0784fbIKhcEekzlREp3uyBSPwqlJ+18OxdVDnAFB7Pxthbk+UDMnj/SZhbirfLS FLm7SFdwmf1b20WPVnyJ7SLsEVx+ESyo/14rpwccMkfblFn4KmrUbRsGGxJnMXvGzYqE5iEDynZE B0wBg8HB1ibZRqxNLLvp6FlmW2pDr3QQpQaNTism8lvuL/z/+7u9CdrJjy0BOqQclX4knQKCq7lL 1VTssaWcw9c6fPHKuIrZi27q8pyfmBnKweyuf2AsHcVqJwEoecp4b9ad6CyDMT8uvDtvTc3Hp8cf /sxcC5B58Zi2rZ4fW5YamG3AZVDJ+wRp6+qi2HlJBmPW9v2p+c9fslY4TWLbD92Afoo0+Wh0aegi I5JRcyMu3ewXAqYQO8zguqIUcvYde7wSpFKb9/mkRIYwr1opLQb/aDhNQ2/3gPtImCokJAo1ogI6 W99gGYSiDEpxhJPK4EKO9K+TEDmiaUFOyIKxQoc/dGPqSCciQl615oDWeDHEqTvyivYW0FAM+YdS SiidxTQwSS4VN4FkzktKzD10aJQsjMs3NX7Q+fF1S86cRhY5e+pqVfASRRYGBpQCVwDiE7Y61bFw GXNLodX4zYJJ9o/4eYb5ohK5YdSmVBuZkbV0Um6s7CZD3IgFaLL/VTiwq1AETMhmbQYvZOGq6qPc WHvt9EqNelYI7zWubL960IXZdr3HmRuN6KHOZuJCzy5qyimdQ3THAgbTyyMo5poUBFay+vEDD1be QiRShdSpbui69+rY4tcNoGn7Dv3/fcLWiU5zq/4JqlRQwcRlBZloTIKUxYjF9Cldgj4rv4YIyFz4 48WQ5ARZb8+lny87iQs2CydecJr0shYDhP+y2oElYf3i782UsONKSoK5XgKnKX+IvybLk1W6Yf4n P80yYI0ZubGMLZ4UJ5+ccWhVkbD4hgbSgiMO7EYq3hOKZEHONlDGX8RtKf5K3Ci5bObaX00YHWu7 4hfNGQ6ZcVveS/0UWtjHA5AFVjGvt6JG2LD1b9aCuEpPqp3jrA1/+u3+AxuxcIkcvFtnZj32aKMW ZbPehBQm0pQ0z1b9aVuSbfI8v6lJ1KwpmitV0hInjnpP3nhSD4e2xyG7aS9I8R+YZYaRIrPfTQBI bL4Jh+9rTiOumHK5DPRrzNgnqRDBsqLyZ/s9UKCZn/s/BH9mQfkemDUZLIk9EmNCBayqgbYzRMXh YxRcU+wecR6wTIHqy8hMihGfjE0VwWwbimrI8jsjPbtACJk4YZSkBLkYuZ1eGPgnfNPd15UHGKuN SEBEBd6N88wf8BeCpmxs18G3rHLegTQfKXEymgMYo6TJbrEZcNn7Gn4NxrgPv3+unI9/wTILtDXC Q0yvK3o7rOckkPy7C5pk7jUVLvVeBgtvSOe4aLBFcb+46rB4P63ux4f4Mj7CeX++1I1IH0lEE+AT I59/+112Iyqlej9wJweUlZqqa3/gOZ9D2YO48jlmdBYKVB0rI8E/1t3Xq/F4fRK353D1uvAPilmC oRHIukdwk3pveQyQTul+C6uJTx6cCUw6f0r33VMhTgmqaeO2pl+gONzDiVDrVUg1YRr+ICfu3Rvv xEgLzqgZFHprkUjo6nCAw0MdOauYDI7Nhw91n4K+c3Be6ec8U0qre24USxZYyLySc8ofm8CCVfTC m2gNWbUxmGJxdvY7UpGzv1vdIeZQ1HM1phSS9jyoaRjBBl3WBCajoBm6uaPEF2kza1+hzqJY2YlV /mcsQTypPFzeyY7ukJLcRSlEyBBYRiswnkSSqOC3BHcAQlLC+yDIl9a7wcfYETEByQs953ILHtud xTId1wDIUGR1QXkaoyE264mIuFqI114Du0Lc/Xe2SOg3mfY0vbbHux0bXEoYr5Zli68U7+3JH5p2 +B3Hw8C3ohVdRFWcP9Cq1aRvQlOS+Gsr80zdOVgl8QWshtoeX9navQ8TEKM2QyfUuHyVKKI3DdqH rj1Tm8k6SBqKKfblAcz9G4UcDaemvVvTv+6IX8dSMA0ccLUUugGAyt8WMFiFtGm55ql6boi75LNq evbubyUIYjTt+3MdQ9+1I9yJHQgDW8708F7Bw5z+LqrG0NdCcWC24CHHEy7slKGV3f6NDd4yQfNK ADjKWh8gWGVLeKRoU8jYGazOFCTCjcuaceVMr+Q/+BFCQSAkeos8kQCifHjK6Ts0ry9loQgRgzIj /HzVEwfgSsLP00M01F07k+HMwey7Dh87UrSj9iIJZop7lMQNHotg0/6y/Bc0DT4DIBjiZdIwn/8s EOUQu2kPSZfDplVj/eKeTutIPED5i7xThQN9hiAsXNsDnvxvEXgcjegJX0pqO3omI/ic5y3EhUE5 FcuV3kKKte9NgTdazis9LzkNWu8kmPCWjTX1xqw8LQvHdz4M+mhD6glzoiycGKX0zMM9E7pGyu8h B+TQLuY4RsiPaVQDQbgn/8AVOMJBR4eWx8NLcoZRz99sDA9qc/bFPmD0Ul+3t86iDbgKos8Qo8ND gAn5L64xWDni2gybqcyApw4bzwulHvIDJKp++rt3fjVzMb+vdIIP9vGLy2WvNxQx4I2R547GpZyb nO9awjYopVXIuM3ejMKew1C7dQlzIdPoDasaAdk4UvOz7Hr3/eScezRHr5SyHFndQcAPrDoRz7PY GQQP/beexAdUX0QG/XJ3nSwxoOINveDLISDEa1NCUdC7Nj4mD6xdbXygA4+mqtYReIXqskflizUq 6et0RyMLfEwufs+u3PN/nE8YeTq3lOrUOQiDtYHhi1HqbsIuF544GW4CVImCErOkIn7g8Y/NrPDE lwVw9U7ZD1Wt+F3uf0SqZWmpWVtKUCXEPGtxEyFjqTsZY9XVleJQhR4N8/F3e9EJWjf1Nk1ZVyT9 Po95iee/ic8GO1sNO+Hr1hMwi5DvWxrsl50DV6YWD9KTfRvl3qtNZSHHQ/xwuW/f0f3UCR0sD5ag Obnmd2bWA5x+kMovIclGUCracOTI7SdjwdXVnetlMqaom1/u/ScERgw0lWLIvBrK62negm3lfz1k lJZnxjQLInBbN1lCWSm6W1YLGzs9sOw6N/vvWsjCGuI7YLseFWf/UQuhuwxGALrYaBraWzeiAhG1 9OU68gouGLm/55Zgsc1TEvBKpawHeN1/cliCSSYgxBVmOPLOLD3JJH/wvSZrva1EiRjrjyzbAVyk 56s3rhB/EVi/t/d7Kjc512rFtQfg4cJA2z1lw4kVEYMazC64oyhsZhPrdp+sPHFmL815LihGBH6F apsvfXvLEbI6trk5doricrXGUSFv27VPFlazjxrl6iQ/6KZGNXMU84n3dZJTkcnbkXJ2MeqwazNC 7a3HdBJmGE1fnMUi/+sBFZ2XPIo/CjtT4hvLTPSFGtdXcC4wGelYNIAOHUZ+txnt3Ol0fHb7zs0m ECiqJxFBF/dyt3Saw9c1qU5jh93z+VMyB485emAX7p1vn6TkGo9xydHM78OqvgfDPSyI1YL75CNm mfRvIHyBrtmP/EBJSa8JWKEEygSi61z4jLEXBCsC7tQH4PvrZgB2HUqFJIj+EImeulZMkFtO6k9B rMtDBYypLmPctVRdy+FHDcmfqRD8ConOMTsUfaOD+8pIEUN4Z852dC+f08mua6XKqG2zbNKn28o8 qDeqzjmZjGVRUSjXmoD67gkRPODZimj9HF3y7Ra8ZJZONduEydvvZ1z+bMiXhdN40J4ueHzycfj+ BD9kKluZFkprHxbciFV3eFV4+JvrWA/3yZqvvdEc+AHQLQ+lgWSqtpRy+hKKs32EekCvGmuo9qa9 yzn6kjhtauPSEZqyB6nKVfTd5VdzVF2pUUQ4ZqXC9zmgigGYkKUogl3wMao4N/UCkrGGxImiSwiO h3oP2kCTCgFoo5mFGP5WsEsNiAENX61/ica1leFQsLtovmgN2qyS4P31225lKta3tNIK4nGHF/Le /1Dom9FIpeDhLefymflYDG07unZq75qtonhNeh+ACz1YFZ/GC4SZKEn/f1XxOifcfYCNSU4HpOro N5WI0PCCq0W5+Xq+p+p4w53csQlaTHB+qd8WNTzEdFYjOT4nqxNYrCjBDblUJTLNkmAcOTPd+YYN ntJyoivO0Xm54AWVb0k+zgbOs592idYDcbIXiunn5oNug9PyRx96NGTiPpvM0We36dUSL31RKY5F 0zMjzqoDeZu1TZDQ7OkaSyrtEWZLnedSQvwv4STjqnZ4PmwlxPBYuj1D+oGBB3ETNCnlU+GA1nJn kFywETVlLYT+GfkNLCAaBm5lqHzSjIAmxcLtd7HiVGOjvnVuFpRmRI6ZrO9KO3Iyd0/UnmHZw9fa XXkygVpoqN4I+X/Chkg/Lg9GJELNjBJUFdlmEiRkQqs90Nedqp131gps4h9eQ4p2cAQch5HOtC+i 1avxg8pNGE5ZJtYwjSmDHMJ4IV1fjd0k9sJgeh8D+U6b4gTJaULBPH8E8Q9FoJOnQOg8NUGQKfxJ KYHJ8nr/Zzk+7jCKXxdMZH54VGe59xmbzaCREJkcE1HIfSUkdLiipLXQb8guhRbF9caJDER4krRn xXTMB/iBuioR4dOwefvBzV/ddThOju+hSNTR/wYlTZLiw+Uttvwjbkk1LNELB7h3+I7Kx5acH8NQ 3d4zowjS7rZJ6AQ19/GwehpUx7W7Z+vKeXK596p2k87jCNaK9xitPJ3J0tt7r7I/MEouIGfrvSs4 BrDsBvIFGqyRoZHTI9bSckuMPguyUg6ECi9e54foyDyVJj/81BDZgwpeWyRgqZOp3DwPyj9O9EEv VYCPnifqefbN4dzbnxky6j1dWe9Uy4XrO6E4ttxJ1KNcd3V86Uro0NsoOZS7Ei14RWjz28BPV+Gd c/23wH3e1pcWysyQMNXWKqV0e/hUjmWsRu6NjarV43BHLh+cUk0MpQNXpQQ7JVGctCAcwDWR7O+H wOUqpQNv17XDGnEnynvUi+6FjzP2a8uIPUDi/SyNXtcRD++yp11s+mU+d8Dcz0HkhubM8DMg9hpW PQMEpp50GZ7ohYrUm2y3hm3YhZ0vjoAomcUVmCEf7nuf/pnG3ufrX01QP2pfGcrNhnqwiJmhch/4 srFhi6Fh6v+hhhnDoMybXroNSniGzAXiA1y/Q7cleBLMpx4w9DyCyxA4N+JmpjKHkbIKGtam8i/c buihmwulLbmb4NT4x65w4Frx6ZMQ652lrs0S4Cb6FJ9oHjfNLGahBCjnvjACvy04TH74lY/WVT8V hM8NwNG9ebBXFxOJ9A3WJTTdNyuG6gr53Rob+jtdSVQyMp0rB7wazIGe2PojK5B0RC9Xxf1xPDXF XrTy7sbqDHngBiN56CXcBLd6/jVEKiXT3Aon57DlPggUQgME+wrzMp0MQ4oKenXNBY3/WQHFrltH 3yDNOhSZgADi2qzy+EBUMn9KAe9TY0UqZLh7LTmOBHbr2iwbXVfNkmQmNO/fIWeW+MTPNiUX66sw ApbwL6T39lHdDLlxR606/uKLwWi6xLO4mwjrw/lhU0IJ/2FKPdu1QmDJwIGNh8sXQF3J6o2THeg0 06kj5nIUuWVb73plG5oPUUPR34JIX93VbH6YLDB7Bzu+h23gR9SyZqftbtVmEnsMqD6aojrHxoXb aqrTnKbD60a+P+n3LIduJJwYgehrkgtNQevM1O9QOmGxxZxE16l4O4u1FoYPGYv1LC8AfPwiSYYH XqIO/BizyXo45dZZ8x+Arxfs8YKtEITFZWytsKGHxQXHOAE/UnPpR3y61ZtDNKXcHdTJhWgxjknS geCXmWpkSXIpZwuTePoZ/P19eJU1GxgIfMMIqQ31k/ujvPBV03P2sPs2xhQsx0eZ9oORf/Gz9T67 pQ7EQP3dzwGBxnSqZxIt2/rXEz3otfBr4mvr1+Q6m3p2AnPc0M4slt3Tw57Gj/QOtmgNQ2hRhC0W OKSGhjl+SFHdLDUdHmH6N9aI7HlTAB1u+8XjCUszvuQcXjtEMpkccfEtBIj9+j8BREZZLwPLKzIk Ek6KdiR1zDX6rtrC8cws3SrggxfNscIwKozg5XM3PR3bqVaDwTTTVeYIEmylyX29PntznjUM6tyJ VUD9pFdMqF72gQ8bVrL1QDTd1+PmljPwZLNSx0I3mFfhZajZazspdXXihuCWn6OoFvNddhOLQ/kK 74/MrcH7Fe1TeX0tqa8ECwwJUUzCxsKKwLhZIXH6q2uAuK//H9C2HFR0fnJ3EnphBdGrZ1e7fl3c PevfrRbdDVWAl1TrZIhtP2SWY4M1qvw2wFB/YXnc7YdHFLuFg2YoW3S5+cMilFMu6mkBrtX+kvEv 887mQb2sguuHyIHJq7ZWFAb0Y06ibJVIluV9kS0LzK0N/Snyf+3l0kWSb0f3sYQ9fOU5G96/r1FX JjRC+6Exr5YofXYppWpLRth8xUrABzy88fSj5uL20JO5OpAYE0TwfdDI8SEKelgfZVj9n+ZgfqgN 02ktTj8LcuV8r6H82FTJKj/KgngeyHPmczPjs1fmbe+Iqq/idKg+Cgp9M/1YjKsPO3s7FROoJTT3 df2kjg0x3wBCwnpEH0NfpDsBp0tT9x75ZmoIJZ8oHS60C1DRuW/CrysnDJekwXisZ9Pab6kbodMY 8UaFn318Sxngzpw0/ZFWgIVWrWx4GLLKXQ1QqC7HINIvtnknhOlwkQnrJT2DHwtHsOuvquD548oO rfsvUckQR8PqhQR03o7JpDkWr1Hqh8lylTvJz/KGlnFNplHE7OO8sKsM0CIjfeR6mXg1EKh0Uujv 6EAqaOMlA6PP25OA6zv5hIMbtlzahLXNOa+y8zv2mrjN1b9hFaDGRhSnZG0BEwzIiXX5YbN0kGj/ 0PNhvtkQ56KxkjB0LMNGbaW+4ELyf0qzdNRJSzM+QwuaaSkI5852CSrbhXyO7+xqrvMUbYD+VZKr nBULmo5iIQ2XhIHZAANER8fxYNRGS3utQuvONWJ7ZRblxSq2dhV/PzOpF24jgbmTL/3BN7MwXyvl 6FdPOoVmcfVQBGYhYEqPQma6ozYeeVkP+2NYNlmwUr3k962ldqRqrCSP738A1F/1rkhukIBCBh+u ZjnVdbjM7HvbbTly7xWvsHzsG85p2r2ROAgY/7pzXZ91Yl3bP00/DlRnqmtEwN37jFPpAqSF7QSq jWktphNOTgR4WE8EWGd/vKSRTHmBIiwLGbCVqI/oJ/LQykHF3I04eGBuGKkmlDL8NAZCbMO2d+UE mw8x0fr2kSnHC8vnVBlPS5yk1ib/7THvJ1CeIsTVX9+sx5MBvXSmuQY4ULBI3yZhAJHi4qd164PC tZ3yvP7iWCaO/MHZL0tfEWOQysQcXcaaQW+761jbkBSXIMXc5G2s1GTFhZjFrj06lGlcrpZqnImz uvjomz8IYosVJQ1JAakPXwz5K5QDfCpySFTR0zZC3ZEV/EFzoIl7bHkq2rQFYNhw4kO21JB5F3e7 WI+dJlLII99GSvBEupkBPbvCK+0+HEGkyImUtbFAApE8H+gSWU8idk+yx+HJBhn7FS9OK9tBD/Of IcShxvbDOOv1PKfsKzfIBLWPj9OaUBlk4EGqxm8e/ktIyYpOn5XIe/5L3XhdpyiK9L24usm/tjKE f80X07pNpt+5now/0F/1J0WX2DcDpSBu+U1GnD3TCbIWypy+hhphijdW5rizNaQ+bQuZRLkW/SGv VERSmJNr6zK5ySr4h2RSu6Z2wAnWEfvXIYhPaX0vnVMg/BMcREJL2bZOV5kyZV98z3LoO6i765Tu Um3hyl2cmbKzeiK3cPEs6zyzQ4j/TQUM/WEjG7Zj4OpLKsUBpEeWt/TcEOzeKN/fASIw3dd/YN2V lKIyq4lL3yd1Weadav1gdnc2qFyjjpCXEKvxaBm7XRTH9Khio603A/jN4C09NiGBOYlNosaG3xHh tKYtNxkBs0Qeo3/Cw3ldJyDrUGDWRXT9N/mhlL4/2+EyXgd7pGfI1sxyuX9F8+WT/mQiBo3E8FVf 1mbME1UDjU6rjWYaGUsq+hIeD5YwX5/Iam5SeJXl/CNnAptG4Yw+zcUa+6VyeXQgJf0RqT6VrOAy vDQXI+1MFZQfn4m22QPTaG6ZFk4eHXeWPLuZtBOQAy/2HdHzb2EYnuPc4iYF7R/A38b8xfv5K7VW tF1crTfR1MMvYfwrACsVTTDPuoGxgcH7LtK+JiOcYAQnBciVMiVui5fe5NYT5y5GK6I5kFDPhqm0 sIqIAHz7gCF9bgQfKVwwrpxbwSGtN0s8pGYbpWpiHF6EpdWtxh72Zx9aJYBxAQaF2LZaejiqLopC 4ON9hVqo71env6mr/3LVeT+6VGlutNMp9BG4l752WBZ7GOrcz8wbGXpcui2+iG9wK0M7ItRjDOf9 56OQvD0VbhMIllWftJ4AV1sNwHqi1OQiP34YtIhaEGCxoP3QujEd7vMB47mY7iRHZes/06wfXZ+k MT+CWqYbRAn3Ft8vnUnXQBtB8PrqibgoyXQKiJM3LrK08OKv5sJoWGx04YuzOdG9RKXVwu3OMwoL PkLMVK1lglWrHUZEMVk7IUkwAb1IKxw8bnDQnKj+UdGWKqpdYcqWo4TEXMVR+zqt9qyETZmK8oO9 NT2qo0Ro79UCfs/bnAkadQYT5r1IpG+fB4P2prdGL8fFTwheNYuO12KYnq/LM6mBkWeRyMh9vpBz DgPXPYDC9uy8j/dtF70Tl3MQHTv0Gdog/AtCZUJkd+rHjwuyaNAiWO3zbP/EuDnfz4MojN0Wz8fL dNm0tGa0xdN4fp2mZhFY6WPw/zVkIMgslaOV2YSsmeU5FkakItuJDZan5PDQD0Q4k0ZhAR9S+/Z4 hvQSCWQxSpLofQgA9O3BpOIqzUZ53/issqbeBQ37auH7azJQ3+6Hi8zPbaWO7xapOY7DEzt3Twn8 th5SZK+7mDB/p4swgDVAe1/j6MtUhAqN9lmNGpz/IewBChH3aThbyUYgviQaXesvZ1EUGrrFxDAp wbgaxQpDrt1LBdnZdSbPMDq4LmMVNygLoawFSV+LlY5FX8eBuo3YE0Mw4enBydQ5obupu7lZm+5w e9DApGJ0hLs2LRnU7r7cNrZA+5HwKIXwERYxq8eXsUoaygXm9NNEqGIeo92ixCLiM/iOsxwc3Akj sOHCyGkah3RB+IolhRhH2/XboFd1CJuBHzS0u7lp0j/HJZKCPv+zpg1U19hn//vAP08Nyc7/jAeF AvLyreVh76+sQYePh3zp120mw1xF4oQdRyt6sBaRzqXpZrHjNH0hx0Le3CRGB0UDdqmKNRvJgNQC 2IHjdh5aSPAwDfzptAxDQR/oGcomm7StdkcdiF4ZFP9M98//igWyBrnEfPaREMn5slpO+Abco0NE TyWBmy1HYekUHiyxXx7j7nFwl9mXKNf3S1Oe1yZr9Hz2HdfPqp3QFnu73+PMRE+yA7ZFWeS7rHkT tRZVe74QwK48sWqeORs5BHC9yv3h4dfLHlxT3xbkP3GI7nru2jCv1kyr7fvt73xbASg1wvTnTZtJ Dfq2qV67HQTLI8kXncAey0RSeBzDtVJTHK3tzQ4CZm6AkpWLaJrNs0tyTMR+QE3IQsR/9MSZJtEg FzYyk9BaTu2RvvS7v0eqoo6tBXdB+qUkTeZOUqJJ2yetjRczFAsoqtOSGR1ibC7HArB6c3WPVxGC qO9Q2X1prCGjUeSGewo5VZd1hZ3aq8PCuOqxo0lh+titL6ME0z2tu1O0x4wVoOydatXTBpLZhrEy GZJvfWz9Sial7TxYGF7O3WaGZrmoWCyX7lIqAeS32kMlvL2NnNDS2pMdJrA4/btNg+PVDPuwk1Sy fHo+JB9p2thiZPKtKlWOEPk7yoDfdyrRjXf2uRN+svXXQReNgJO93BXXMzvsEle0fDgy8vgUNxea SF+Asx8JbqF2er2ZuG9v0E8fk8Hhtdd4uJYR3FkfGuJhxay1PSSYADJ/ME8KKdY20JLbHdNJxnAt QjQ1vO3Aq02WT5U/J8DOgl3MyWFd+10Un43ye+Nr827SRgjGmYPlEZFG9NdCEtZJzTEqdwAJEuCZ g2Wq50kijAYJttLkU0CCwVego8wyUYMSAK5/1rUplURiDFxugaDuyeoOvOblul3xG2JqTPFJFFJN ms7Gd5lG6IEcSqxOHfkBPpT/RYPFDmc+DP5UrAiYfAzVr/zb+UQ9OfB3gfrx5r3cmgrkh8qetkDZ gPkET/UDCMbGbye9O6mW8nAjctgSTU2JZho4VCihvDVejDt0l64q7Qng7pg99Sng+c31EuXlXXj3 fOErAAU3qoANCzBqLzqXskcJGw/lmzFDqujVUB864X9+bypx7oREjIQgToUUg9akjFR57aba4NZ4 3jhbSk6MMtgkdfl8MCwhKJI/bGzxHgNPG/DbQ79Z6jgNGqA0mVPp3RO0OAagr5pnDnz2BGvHlD3r nirWvxc+0j7Z7dl+clVbW41nPTyYvrXBF+AHfRXbE3DFZfNZ9RpR19i2QmgQxd55sie6ThzJgOeV 8AmwdxQF//RJD4KqWdGBICtFnpmSmurocku1e8F+o0PoHdxEXKXnuwX4rJo4Zki6MFgfyjImC+JF WddyC1tr+IyBo8eGRo40BLK+jVZGYrwg+1+N4r9Firor6qTvwUNHWNVheAgPMnByg45btBPYBDB4 YR46VSGVl1tcDJf4Onj6vxqRqRYQe5by+rcVhkM8IyIxnAMWwLjHnAKiBdnMkEEb0ivT7c0sAe8N MJZxT/D15hCmyEDFzOhKPfFFfqA7xozlfDYyRLAnxLc8+JpzpBtWoCjeyfJ4OrJHjQquHXwsaM0K SF8d9+BHZV9K8QReBff2XWuPchi2D0DMoITJk116Dh1bjSn8lhH5+ZxO1LMqQAORfIldRGAzQt5F cUG4KcOlUThhAuha99tmXSietFh0UuKu0tnb8B1q0aaDDmGEZZHhSwm3adfP2zsrMId23+LKN5am RZui1uOhiHHACmi219yIwyg0sse+HTthTtHhI7NITjmqQNhLaOaiL444GjsDhfRcnzaagaUIL1N6 6oEDxTvzTBM7tMTUtnPEV50d8g8v6H3t5r0zqrP8Iw9wKAgtxrHnrqPePHuFzrEpHw+YebJm79DP EZ2/l478LEDJ0GpJAiKhq+QqchAOGjH5EseHmuCyCHIVKVFMy5Oexdih9do23khDRbqcnvS8+DFL kzKyOB0RXxt5reSy8NHs7Y0uehJVByIj2E6lvetAtgqCAuQ2R8/VRmUomLm58zYuCU86UQ2V0is/ iHqV90pnQwM3CYxcvhqGcntBMKlZLI6AFfJAUhgQdRtfOgxCuM+84744PAoccgeGhfoqmF5zkz/c cBL4jhnY4RDSUYGRyy7NucbpXicc44EjqsiO1iTA7TK1kpIbwetNGVIPDgRTwK5aQ9FQNPpXE9Y0 JN4xuJpu8Z67DJUx7pTuZDWFN2dIomm8tUGXLdD5J6rK09uyTJu2HtlD11ZKubyTh8NrrYS5T6Mb oaTDf+P6E/LrCptR9tX2tLuc1hH/jR0OH10FQpqOj3roqv+Iqv/GxbbyMJIUHb3gAQGz2Hgx/hSS 60Sp5IE0lnO4TmMCjvbnGjx54avN91lwgti6VB/9XY0BZtOurIFDRXxrTuM710HXluNrWEyeK3j0 ZBzRdFm6JQA52W8+Hb64yx9Iw/NzRp/QDxUTeHMQvLlHXYGpXZzF6QWvXHsKZjRCoHrk3ID+WPXC fw3v7EbW9XhRhJuGo27c9n5un8D0L50xeZfJwtYzlBtGTcrcMlw+nQo7OyYCmxsQInAapScJUBAa Ork6rDR+CqrbDw1fcdNhQI1bHZ7cJmZV3Ipy+4pAFuOyURzDAgFmHucXrAWYSz+9A0h47xNLEwqx hOZaaxZS83nGL7OrmuKOV0ZRncsRyWHqVbHNLPXaa1pudv2rslkdMuJqBIJik70teeFJkwGD1BmS 7DyPYE+ouMjXxHJxfbmCpmAZNMni0mgnHBbAoUuSfyFijyRRYny9FirI8sNYY8qcKkYN9l3BZ60v Aheqs6/CKWfLRNPcqKgVA2Ghz87gbAaWcV1ZjDPJcY1pZwvFBpr8ykdU4H5OScWTkLKFDyuWdhFe kCUTHgl9P71oG+ofyeTPQdFCKcGqlkQ4mGa4l+5dUq4V+4VXRrhxRXAz5tslvxK+OBT1aOOhpEYI PLh4WeumEs92snUBcJOdIcECq47xPqfM/DUYi6cbCtjfk4IIJhfTt1+JBhdNJvMghPzM42Btiha+ 8ks2prYEA+sWAHW6/yGSKX1KY/tBeh3eWHLH19MIMReFkrZSEM5PDKi9XDEng9PHnZLLWFWKNPZL KS6D1EvubMIy37MLUHWEB622h732Dc35OlnqZtOg9g7wtxqp2qZy9ubozbYCUlc+tYZ3RsCvzK2V kVogduyFGxAvqDYbXT4/AM9HaFCUEvFPMSJb377ti+hMFvH9m9ZTASf+7KXkFAdUNV93OpPEgY2Q f8lsxEI5wLmTk/3r6/3X2HofZxRCTmuvZuZo9JYPVMQ5s3T/aPRy/QxSkbmcaFHUrDHLCW+jpuMo Z0Wpo8qJPy0vAOFukM68bH/ekpu2vT1yY5IxjfTwtvuRZTesormewhePjjfPrPstJp/5NRVmjt5w VCAWQx4oCfgNxB8I6VHmasPUR6jDFMpjv42D2RoN+ukee/O2Sn/2zb9KLGnZ1Fg6BafQX+Nrgr8b +7lsc0N29VBMzjFy40+8kDQV0TGempCj1OE2/npVBDM36QFoRVJq4kQ9fRkyiOVbIeJelJSDDpMc SXHg9mubGSY/os1vkdqhqhpOqCYz6CHJFg7uP7l+OTdzyl86wkaoKfnWDe7Znhj61MHS3xrtVLE4 uTXZTEbXy2nM1vONwQ9Wq2Z+jIJeKnggQoxzE1ZbL8PrSB4GrEwY4y+yKBEa9/eV88mnvhbMdnFx Vi+uSCi4EPBJ2tLpRgwXi3R8Ep1BAy8IXq3wCveb6MWFfcsJ/OGQ9dNsjTNKNEHSh9q+GTuGNEb0 QLlO/rNNSCVztUa5w9HC3j74GLQC+YTxfMsJxqNdjjsuIfCZeaCfQ+stN21zjCzlDFOSKainSp1B pGGQ4Q3DKoDmCUg8gVVuxhyJAlSOpHhN9UyS4+6CB3uhNsAS7OGNwKjNnJ0rbww8DpZNwKNioY+p 1VZfDFWEmWy2xtK52BLK1g52X4d4lMFrvWwwATAqB2o67Elv31JE3aI3QM67VUxdDV7Xuy6rFTwr jV/tLiYjQFX+Cthwzi5v6br2/EcbAD1JbIUOGIS4JoF4rdxeheLMSXxdVy0UwbHwOeGAtnFXyAIP +k/+/T0HzivTH9D6/9+PYZCsFEfj6PQzwctqUVqp7PGTDGAlVZEkXSMf1IlT5VxSHLIDcInaUbDU qpKdRpigD+jBPxVR2KUJ0c6PMnBcXxz6RlYrpCGkWrjlQajorkFuRrEgO1NkECYMPNR+zCScdeay /WmGmmWCLA6penI8UclU0f9Ceo0i8LD3E4Kpv8b5oy+r00/60EVWV79fxwE0FcoEow9qFemO9c9r xF8yKOD4G4/n6ndvs3YhadXAQxCdSTdMzSYAIGbJjxhOoVQOKwz4sRdzDF1dmPe2BogvaZuC+5XH oXckoRX6yDDCMzxHIojlRC02e7khBRtlsnyb09tbP/BrxomGhGwRgDXhHz4XnDF7y9EgueYIE0NH DBo0HbtKLs4YPslGzxOXmytTt65lnMkZ2ksxyzhy43rIjUXmvihlsMr78FlIK8k3eUs98HvAiFLf oVjBh+FkL8G+tH7k7xE9AZjeRsX7ZJ9O59GhLI+5EG5Ws4qCyFl9maV9X3iI46QuvDiRDGpXbzVh DJ1SNM/gUPS7pTsAS8CcDZoQUpk1mqO/Xm2cvDfTQytteYuf3tCI3Fr43LmkxANJJQMLjOI5+LjL eR+ZGU6TirRw4XScr5t6orKladZ16bVbXDtxkh508ilKvr4aTrOFGuCAa3E8gn2rJYbZPGqOX7Zk qY6wmjHyB42UXy0DUM0Pzn9W4KKu9APl9rvqrsCtmNoUICFXLGlALWBHlkFDT4znIn7l4wDx74vR XIM6Bqw2X1hOsxXNCDzpTR1/7EjwOL/MyjLKA+wuHnq/y7JBmnZnaWG36I9fTGgNmQvLSWXhEAYL qfpKyGSZ6BBAxHZXNcXAjsmJ1J5bpgBsYczVFntfO+R/hn2lZy1t3HqoM54hVURlJ0oAHM71OOP5 r/1g0BMwgYiI9pbpLPDvwx38Omw/eXFlRd+3rDmFqGWGQ/SBgIh63WidL+T47y7w881prTVPUFQ0 4OVlCscTtvjC3PbP14RtZK1pEHCaot59lV1hrLEF0feHq8vaU5QXHvvtTX90rM1HDxmUT+q627qC 4Enuh6SvyAPxUYX4UziJZ1oIWayouWkuv+nqdtzXfQ6sWGJqBupujgzmpYfo8eBmlVqBBB7UUgFO Rg6+vC+yKr/VYNVp8Wa5XUCihFG9Tu58K/AZQXQrUavLoJPEWUp6Gmffs0A5iabC66RsRHHeTXRs ccfDQcs7w+W9GD8xqZl9rABpXgoGzV2IT091P+/spMhlHo7kmawSVQdTZcexdVLvY5NL+CHAIk9s OH1EblaVvbbON7qpDweHW85QVyt1ZyyqlCHUAr07pSFySvBJRrrhq78Dyv6fbGBfKlU+2HmN4cF+ mvY+WdBSkBIeI5tYc32gj2dbt0o9AlGaY9CJuW7G3L1TPVSLrMjhxmp+ok+m14KwXIcvRb/zh4kA P9d2qjw1l3nvC4/VWBjDJubjl+IJc1P8AAEd9vQ2MANsSACueaPdTJLXmrqCg3OwH11nLKE7Qty4 VLfmadE9y+jk5gSZ+Z1FEfDjYq6hRXA+FZrSEHefymtoFtzzZZU8Xm7CCLuWrBup3yYI5m+OPzhv i4pZNw0yDf33Gv5dvbXQfGMSEKDDbLdw6XmCkd3mELEGgV6VMeNo9oawfpt5mVoCoPssn4EWmdqd D+1RYNIhhMOgvC01QaU/7KG8Fnl33Vg0m4+jd+G9xeybpoZMlOUjk9MDlm3BA0mTjBQqdoCXfigr KIChMNoF6ZnNFmwfhrdNXTbkF0krzerNpLFRJjeYbuvybWvNp/pe31w2A8anhVcHS2v+cLmTZsUq vK9e2l2uIPikME7FJBijWbiC++z5Det6kwRuELbSXBX4ePtTRyE333F5etK2GPnekY2XBH1g/gEO JxRpuBpOE5eK5uytV0dGe3U+XlMf8ZzrRs4DrwTBrn9kGDw1chu5Ydlg+FKLGeyIaPV/xQLNEJhz Y59tMR44fvyxhHAbKu27cDjxCTuxKU3DgavoNBczMW66PJSuYlR/RSw0IOiXH84LFVrsKon38FJB ypYCEgNjIkD3KNJ2TV+b076U2119/MgTYymqI6KoIpQeyffN355N1RoXE7AH860DRDaeNikVixFX f+IBH3S0me7g8muQt0Je07HIowZR3y0g3YSWYkWlKQFUh4KELBHji/z9Y3F+H+lGowytT6RHpVSe hiThE62XY2nO4epOHvOO7tfG1FMUR6ebSYPYBCiR2lyPH5eN6DmDvKJexzkouQXshaRg8KmVeXyK 7huKJjpT6TLnOyd+xDU/PTIcfmzES/TVFc2BVPDH57usytN1/0PZ5cNrBCv3LhA3IX7ghOo08L4b 2WJ5byAb2vu/asy+MR6eAH0FTb4oH2tcJHDr+XIFb8sNGJnV7ZQe8/2VU3oWk2oz9j6Wd+pTklde 28YRzZEr//D0T7WB0NhQf44RQTJUjiIqFvC8YoIU5wBztBv9CnCNEKyD/0DVVKrJI4FRnpsC7+4p 0JPtikLSxXQZAtzQuO/s7poPtj2m5TiEu3G7btTmNCM39NSXZvy94MqegHDjXIMnqYbhuGWmsVUF fhTzUucXQxyzbO7tdet30RWvVBNOEJQ8InNBKBk2P2w/hGUNi3AvOOlt31PneJtl4yvw9WqBfa3I zN1zirRxkoCgqoypuFZSne4xTwyXNj/V9u+25J/jE/TSX4P9RPCFIOHr4upe7JxJSA/0TjlC2lfi 4vNvbQvpkiBdltRAoynITxVoeCA+efTcqXMPdjUM205uJBv5ltzsGrX3HspaHG7IV7ZxCHI5BzBE 87SmquL1AzIcmI6Rf9QhvsCWZwJTMz+DPARJDcfA6sCszG8axANpMn2EHVhP8X7HS1iDAgYE28Hb l7ZIhS2VHV+eSuqd0KaDsnQB2Qh2azLd/4HhGpHGYqKD7dMnhvkWvC0MWgjrUmaZJ10VNAEI7ZOt Tex3cMJ1Nw2gcVUavZfa+sti42IVQYOfQxi2CD5DGDraOpTO1jLRqr84/SfEiwk98U96/4uPfX/a c0u9vwPH2KChUxCv3+ncwOGTPX69LRcvXgVrhHwJKdXKhJmJ4MytVezxDu1UhQMqsxnCj631E3lM b9I3VVnZScNlzfeFn46e7DTu56XtaQzEQFu9aRhkb3M1xTcmEyoRj0HWV1QJ72d3qiZn3KK7Z3Bi 7WmA4letVRZAPfuv8IkdJj4vVYB464Wn5s4q54BGbVEtR0MTzWxex8EHTmmV5+DN8FplT9Vvr3ZL SUXaqbwBtf4xQatJfCUcj33KE01hbUqJsFh3wVnWZb+d3m2rijtNiE1DRJ4OcOJBQ5y33M6rW6Vs wo3y0IqVJwZGDTipggtFbqy8dWTkTzkrH5Ym583DMp+W1U+SJuuM/PNgUCyD54BA/lrb+ARnzl11 WwAEeEpsmNlJOt3XQ+98aC7BxwxA/sJXCEl6WYFl9HFz7q49jvmWgdKvC0gKR1qBa4k8OUYtHz+G nee+UpNzSVe/mW66aJmD/UyYSy3vryt4m7ubeN14Qy8LHfHrg0a4pHO+Li711Zmq+grLj9n+N4tQ Sv+5+WRX/7odWnRYBa/yoURx38PtsWhf93FdqxzeM6Z5LoM7WmZkoTszNtdHYrEXuwKWGg0uPoH8 OQiQnc7XqI/TS+aisz2TUV8Sq1bBOkovPLJo5zPMEmazfWVVroa/licTTC8ShmmTp06kij3KfxVj /xbRBAklT2SmsWONUf++DrM0Bu4nqhDd5a2rFpVQYyVvhd07oCcEd4k6H6yFe4ycZaPOXIIifh5c VUrb6oMCnNrS5gzQop4hR50+pRTXH/F5HmsjTGdn7zr+ypvBVjoBRSp8ldg1tp7Y/Sq/DecL83+3 Qs3BacuEFz1Uq7Ho9+i6LZTBIhWZJx8rvYv8MneC12Anz8IRfekm/1KA+n0VGbOAPq3mCuTHusTd TeFnOsFaedm24jJuejTj/Fu3fuaT0SWNHnSMNLI/GkhQ3KpRlRO2Nwd/9fCrjESghNYJL3ZiXLsY 0mUuvhhbTIq5tbAW5aRGrypLWK/LOAIM31PDohHZ4p6TuuOOvTPN5fvI+jDAX5lhSX0aY/kvR/fK fKZYg3ghnTHlBTk80P3uo87RPdRHK9CJbHUejHw9U0Y0PN7DgUCgZ9TnI6HZLKmPpwpakAfSlJXf DSkJU5pDAonHnYX67dadbVW+GMxNGV9V7+LOuBAOqvhQOPMHZ9lqPDj3yR4G6APv43lZ8ZdzdZHb txAQhSsQzpcPH9PjJ2OgtxAlsVp5d+kH7AG0cL3YQaSZFWviZHWBq1i+yW+Q4RZ3XqnnZ7l0PqFz J1NtYzQp5GCENhDM1NpQ0rlIujAnoXv79/1Sfeb6NWF4zUFZijY+e6uiiQQTq//BI1eSHmmUFHZT jP67CkHH7prjVB4nZiFnpXKRUWK6H12oK79LR7pu2ONh2VGtwCxz3mMbsTIJih6rMBTrFkPPnnil 4NqVp3g3SMSmH1eCuIrfGjbjje3plr4Tv8U+lmALPQSKOOS3NaYsaSzkPUEN87xZ6qizWrQzAVsT VhCGhR1/MNNVHXYCtEcpuLgewce3v6bzU9nZVnQZgtiui2g7y3cAVhCBuSzX1R5s882ad/qvQNxB /jnNRAurbnEkI5PTL/OSJMmjPvJsbYEN5VlTK84+reLX2ImfflXkUkAY0UJwdeQdHJ4DlG82Iw4y +6Smi2XpYbGuP76Bo+hi6NAajIIlzLQncGyfvpSrD6dmLRZL2tZ8+NyqiEmp3BQ4k+2h/YV+EiyB frfvgdzKNMzIsVl1/JFdNpPT/BZd6T0BWmz+FEWkI7tmBel1dsNnXwqjXTFBSB0doYhJN/IGJS6V z6X1EflqkKy4fZWphI4l5CAxueO2FEKsf5X6crEK5mnTSbpU+RuLBhTFWiiq3iO1lbM6uWwyaWaZ jUp4xJghYdVmG+aF/L2MGQ1WAfPzgukJtz+bcb5jCC5mgmFEczHcxA15acBrZKPJECr1BnT2Nj1P 2aHzOiGNYh+oBAaYWEM6x47+sEPfQN665duwZSzdQw9Rca7LC48LwfXj/nT/H7gvia8sftTW2FHg 3dnxLvUcl8NKbeR1UQts/QsDN5KEPY9EQ3yhNgaJ7LO8bh619HPEsy3ECbpK+KR5mGSMFvhLfDKy BF+Eg3qN7pSBy9Y75MDdu6uahOpZm12RN0xt7FUmcDEwPlBoCCHbwVpwFqGkAQ+yH+2FLEytwc49 OtK3FIutRl5PYY48OwKAULVFMt1O3T0hvLdDDmaYGZxa/T9hMh6OJ8A4RMMrTr54EnJDzqI+tX8j kHSIVb7uPkfB/4xPZ9h/XZuC6155Eu6cDzIsdnrCcrJyTPR1Lo1l032+Dsyhp+KGiogYW3VutRz/ 1reyE2dA/DsjHeOk9ttKi5IEeYPSZrS+YHuWKru+Q4+hrd2ujyDHkg6w+KOL9Xi9tekZI2/B1gG0 KNpS4Y72uLDgl96tpV+L3w8IYfd6hnb2w6NxvzK+K/IJyiHOXIYpF/zKbT5S9EZI8JgcY14u9WqI cgPgCz7KnN+NhhH8hRgtJoHq98lI5bfHR5fdc3RKteTF8u1dAENcaywzBK9Q13T9iKWF1qD/pow5 tzNnyxhDoIoHPQ14MXTtT8Lkv5QHwlWHpUH35BGVgvE/Nth1+9wC77RDSe1jdRVscZNaK5wzJX/7 sVWUGmpa+rrBI/UuNFb3ywj9nx++xMA6Scv1NsiRPerT/GR+cJnd6QVNehro1Sg36a3POqLG1qne cak8T0R/8sLjPAIKXpeZaukIceX9D8DrmSHmLYSmUv7lOEkYKQWWsSu4qnyb+x/rn0pAhWhiMuja CJ1zr0xN47QpHtnahbq3SbAOM1TamqESmvpFSFN5f4/NzPXLpYkUJG7PoqBgYAPN6r08canHRAti 6UGqLYcJlMiTgcEcqgumuF0coVTJICuiBERxMOjOskZR1jcUTDdHjp5iEl+EaqqN2NKxP5ojV47W QrdWWjGyn5dKtbCpMaJXThmlO1q5fvmweguHNgFhjpyTNltckEkN3OlPKh1MXszacOwxK/O2j5YZ ETWajTORSFqn+HFG+zGZVuTGtI8SH9YjJXBWRa8IZ6x9w9pTpqmsQn6ncYeI9f/O0qiXRKYYuDIQ sWQvf5eCePqRfIsLnJxrqThLAE3vb3fNbFciIlEZ66+06h6wLvXe8nqCJG3od+lyYQsG40Ihna6k uv0m6BuXVxNAq3tEert7hLmw18wkAY0W5e9zJxaEndi83+iPRhqI/LDs7R3UhGCXQDo6hor7GMGV MzQk4K/DEbLRi2OgInDZ51mgCMx021n+TwZ6h+m9tf11bLwRG+GUYiKa3Fd6NsO5ZX/lcAHWsupq IVQFo5cCLXaA7tYecCovTauLNfbprFeLDOCbKVaFtJN5Pa0cjkSRzHw8UU1cKyKaKSd+KrmtDaFd +dZceTGs1lMg74UY64x7Whjm9rpBvoC8cYPnj4V5j5or0pwAEp0u6b16wPeYKx84vteM7jmx46zJ zBsZHTwenkNEE4jim6TD6JNpAy0Lu/SpUqVBooH1AhJDW/3E99fPMiysnIT1iwz2vPaDksf8RW1z p+zcDnMtQuiBPJ/biDWariczgK+yD/UWAfcoT80JWBO0/o9hAjcoim6KxW/UcVgmgSwpodfew0Z+ VbHnaZnDPf+TtPh+BTFGvB8k7vnD34T9YZ0a2aYZ1NT5IhBQwT56Q3Nkj/ToEJb9In6tqvL8TR+l pK9/N4yP7h3Ak96kBbZP6rNxZywXMWEZ4xWlPqQASFlGKWdOHhSbljbJDbDqr7jaVDjeGkoTTMv5 tmgD5/fNL6OMPOMDt+BiKCvgB2U/A1axAiQ6963wYgSDhIKI0YUi2mxI4NJAOw8JXBCUy5452jYG ZmNnRVEkIBmb7RduUbrM6ENZglkVK1Hiszkp4wYWmJIJiJk4JefSsS6zVsckkRphVfCod5c53z8m tN63AirbNGvEMvRN26AWFnVxfKRKAkMXbNYP86k7uN64e+5mnfCBu4X9FpnK+5sK9aHAt0DpoCov 6oJMSj2TW3HzLRvf2FuP+NPk/VSPTNBubIEgtE7Mm4eG/PRGEshVi6hiw0f+zBHp8F4GrcUuouWa gQR1mwxjCPO3R4AdjvAzGVarChg+0xwydPa1a6jjaakKZIFJOEFpdqSjkVL4TEJrTM402qnGnsCP 7SBeMqW41lO7sI5G1oYPaXhw9iqICMDxmTOToEGihteLF0jML+qP8w/S7JV/zSjscZJhTX8CMjpv TaZATvo+/mnNF4+FyzRvPFAp4x994mkGeLexTERQBbgAk5/Atl+A21iLUOKeiURvRUj+A9WGQpFv P5YE1LmGI1swkYImrCIvwRpUWkUdmV5xE6KmiGJ2mW0dRSNi3oASJ6s9JhFBti/Pe5yixEFpOg4g q7FChnaRyoq5tTycTGTce4UrnevlJvM+127Hn4khebAuCp5e98A6xwf0/L5+78pC6L7h6OrY+0Zj 0EX5ohDA3DXRVBQawO8AWdI95kzdFQAQVGQu+CqLQo+IH8/0g+GDHYpMH0XG8CaUE4QdZxmd32/r xd2rQvQSaPpgSHIIZ8BHTwvAgLBFxu/dVgg82478njRP4cZ4Esm489l+TrtXPVb3RGVJ0t6g9ONo t6KfbM6GDbDP2sdj41vHwGXWM8Hm3+wupzggIOaez1JhgMetxamoZHdwkAsKkNmoUgyOBXlJH/ud Uo9Ob+/pkDKwJdH5+wq4825cm5wv3wpsi/X0pH6gBwUrlmDMHUgNoxoTqC0BSPPPaZyranPed+ef M4dEET0sJb2gJN/ebJtgSuQRadmQkp9GjTjYEPNJYcTERD7SjWrLZGvFv7MKcChqytGDhRjRY2S9 62Vf5NUt5dHv2KcbX0Abyt5Xkv3AOD83koy2/AlWggaPgfVYx8SkMjv6HeygtQmk/T4gF44at7CT WMY4rSxq21vqjAkl3E7i51jibDuiKSjB4KmpBwzRDmCYEiYK6nkWtHS7SZGS6vSc1SvSjjanPxtu 56g/GBiYFgOxRSkjYvgZZcqZBDD7/QeADkflUqXJCFsa/TeImM/V+Cv/faV/PRCyjIZt03F7B1C/ MgHuz8T7XVvEUx50FJ//s+U3bkxE4pKg/9/RsV8KTX5881kGdCSRxCtsiivEgHPrnJBBlzXv4mYz z8yPFMIqqvSCo32mHPvkd0LAosXolhDGO83sVvVtmx4kxsR3/pZK6Q2xpCfDbfpZxCTcPlmAqm+x ksHJOtGcTQxvNeOOc3enMpVYcE5Hyze1FSp1cb+JLkU1b1P1a/QwS2qK/DZRO0qWGFBcLoOJkdDj HeY383daLh0ZDuebdIjyr1EaMpK15JASIAW+NNXdWcP2dYxR8VlJGVPYp01aM730M2P24648+IU5 CUKNwkhA/1ZdnYR4BxGbxZuKUBO2ddjkltWaq+cllow7PCV4C+x3OqesyTfPHoOa5Ka8N2lTW7k2 FW79grRwfa+Zdcdm/BZ+QPdZQRVVOd4J19WdDO0lQFzomZTD4l5KwRBBGLGZiqfOz+FnlMYs7kZW F5tkOdnp+spsGAVCGLcgEr+OjTuoEjYpBVrx63qE42XrRhZAWnKDp3L6A45F9ZsWqvgeiC+GGGQD q8AO9KI0jUXibK0VEDupCWoCqe1WT+OfKGUFRYxAj2Yy9t4QETZ71K4enfp4ZDOjdB0etnsMTIjJ BE35qnln830cCeyohMwwmLNzUfTZoF+o7PursO/wbsviAU18TsBtPqL3mlHDdhrdUpCbLTx9p/bh jrvx8200H4hyZqDF3RjFyClfjByvctoBJa7jyN/6p9rcLIx+G5K8TbOVlRaX+nfTcmJgI9HBZnf8 HVXSVrnIDY/7hssBAcXEl4gjhVZdFH6+0XIRzjs+CEiNSf4D/Nyujky1+YgmmGdXRyee2oVevA9r qOHTMACEOBe0urkiGkDpL5uzpYoOslqdJ7NToRLFPflMsBLu3afMRCVAwWn3Ayp2CWkaYVylhpC7 DpRsGShOoSIdJyUxM2qT3QODurmcc6DS7veijzs4mXFX/GGY4UoDBNWdsfU5j3IUS6hEo7Kty8OX j0YqwXe5lgFuVsnfcPtxFcR0k06hwcgNYv85LNOFofNEkSXNIVIDzShHWwM70Ok5kOPKTUQPjEuZ pffJ4SE8sq9nShFUMe3FB9H30aNC2QciXAEZws33R06DiRlUShOxQG0MBsG+pohAEEfskCDckL0s Ofkas6U+P5y5FDOYaKKEeHln+Xlle+O5X844WcaX4OsWKmH7Ob/gEAVxCy7PDElbup4Qj4RX/c5E xVwU1CPGXWg8GAWEDY/S/wR0hkEn2BsbNF28yQw50b6ViQnQQbk9hr10wAjs6ZQwIwCxZW/SLxEa QOeDT4sZSDYzuUQaRXqShYuTt9yYz0OogZCH76BeluauaOUxrTWDaqqnHXpQKFe33RNxIV3kkKIW UHqOmmQ+Y+Qdtr1L9JN61hleVzk7uiT4djZK9VUvFSqxnuH46s+byISJ/Ecu/yovuh8U1JeT9Icm iU7QcaemfawUMFlYlieLx66cKuTh6GwpIwpuY5lJu86ddFm9T0yARiW7pDW7BOLiY67hXkODWTGc YJJTzvu8xVZS4eG13cPDJaMfu11DwXHnr5ZjMJAlp3br8zG3r+/x73Vn6k56sDQs8jcIKDSRoksE fuaql/qRoVOcAMjddTcnWRJqMoOiI0FvCXSz8j6IjA2NtM0msr7cy7KJ5UHimMBW/W1RCA8Qg7L0 SAHGqhrzNBvruQynkbnxyF6n+OHXEgJKuZOLgHETwxFPz5sczh0xLfLXZ0XmugzJiLydRS41cEQF QiWDN5QDHnWTotGVLPCudILqqNZn/dc5z5lJnDjAxDhO7FNfwnqo6/K8A4CZjnPc7P4QvOpDROOv 26rP/tSg4jGYsnZjKtq2+eO4ow6MzgmEy8NJF7JNe5AMS9C0fDYM9eN9RiRTsI6yHLUEIkmJFWZR a2Wf1S4u4BJfLADKk4wk1zpOFPPUJ0+lUx5g42dWzEq43iX1df+4DDF0igm4ixyMXebAPD0jrYGg WYxELpr1ND3vBoXOY/vpqSig/xAv2QzuMENnR9mKsYOr4YyaM+zkownUEFOpZfSUVmJGl/Ix03vt LjO5BrPhDBwoNuT/MXELvJO6HD1ELxWTRnroKF48jcKNGdJy2v2xDo0xBLJkmIOlxjK8Fff9F9dm qPLP9+WiaGGAZmo07m1SbwvJatHSOQvgj6V6s4bWuW/zdeQ+ZCFA2UwUYzyHgAS3YEORWKE0hhjx bILidEPxPdcfU318eufeo5CCiVz9JbCmpQvsGmnosgJ5MN/kOd79AEfhRyu5XwJx+V5KxxtjTR3p H1snTdnyU5tzFeopOCYdsCAGVRHlIDrJyB01o7Tgqd/73asJ4VrUlGBuvXOmOIsb9CZo7WrB9av7 c+XuizCrk7HL/USm5eFgCc7MQWIYlefGtzbnpb1ANLcbxJj/G4G697gqBx5Dt+YAF+jNWvhuvNPC AXXwQHRtSyGFNQk/Zj+MaBER5YGnkch9Q1tqQb1KbAfB9a0r5sou46BdBwtKWptQmdJfKI/TYuOo imGB7QhQ75gH7zJwlnWJ93v8wtXr6Y5NdqRzB7Gc0BxEHevp65Cv38gAadYhf2oZ8rp6xYlg0TOH MqmYSFfXS1os2rgYw5S/9QBNgh9S8mblxVreRl8Q9eNzY81OGfyiGtdT28R/R1f1TS/Cqbs9bZLt M3xSrKF/daZa7TdWwHWgtV/uR+X6VTVwF9a8tbyikJEqc0+VSJH8/OXIQ2vjysRnPzCMbC6wxS8f FwtlH3G9mbM4i4ZmW8ZGClICeb5qN5rqJDm4SsHOuHPQ1Zkm5gG6V3+mcwzmV/bDfv6TAOGVfylm MTG2eEQdyYJEIQ78ztuWhY1xZK+GFW20DScnVErJV45SQASU7sz5oCZj+rC48dvOhJ79Ivpdz4t4 BVlIyPreSkxwdfyaP0WeEWmD0WrtHt061vmLNW++xQ1nRTSNzvPcqxu4uL42CTala9uSB0Byh+Dh cFGl9RnNC8p806isvQfo4RnzPT8yT+vHIRThGOABuKkfbw9thjZ4YkBrg4b5NLPRQ3Bzf1TyA3IE slEpJRimTDlFJq8Z+EWkM77XRPjtjV1Axvcf2KdaJ2NpdNZ0/G63+6LFVyErGmuRu+0xJN15+mSb tB/6FvsT+FtKwIGEB0SiSQssPo10Ddl0ps+rJ6h5PdCaULrh/GadpwTZUM9hXPmzodl377G5jth5 YV0IsSKO+axOyvmet6gsWJwyr2Ddt8Tj9a76XnbBbgPbap4s0gh9D/HtIwcSdWksyvqtBHGf7m47 jYXfzdBIW1bcP/bPZw4k6U3noNqZg6ez91QXMV0b5ANNgz25Wlm9rhhXL2XEe7EujA5sBoEq+dYl IsAh2IWcrKvjm/Px3sdi/C3ufdOqKLAIC0D0lZ0/iVz2S4aRk+sY2AQojJuq+enxbDKeCID0MIoN xr7WVXqG1726nliGhvAThzY2bF1gsuXT5fXjEN4ZbfzgpCfTl06JnHOOXXEpZEwa49GlctvubVMs BGlROHRbLkPlWih9PhCpu+S4NISo5ID6NTMM35/It9RxWn+QzEN6P4+tfdKYMYiei/J1Ak2gDn5s DoaA6Y4RHtIOAO+2DbCQ49qsgtKfzvbyfOsCsxa/TREYJAa82Mk/OSpGB8cn+jAjCDSUwhYGtuxT cqeE0ZGVXAt2fkPBAuhjvyuXR3ezKwJcorgxlzYuQSc2JKRNuN0z7QCWfw8nDHptQ08ZdQF1Evxg SpAT2rG8DZbwrLLb05uhpgkMoY8WoSEzSJimDen7/tyEfO96p1KgL3qhIrl3DJXyoOGyTAg6gf1j /3NVPM1mjUPkPsXWODG3HHc+qe7WZ3FxXDBz0pTEnj4TfGF5ThXFGxEvwIXxO+onSpckdwncGhAb 9+WLTnGY994+aR1uhJ6nwv1/iaX++Lfh57j+KAbJuZkuaWS1YGDyAPhgPVt2HF/2L1GxjbjUHWRL O1FUabKb2iL+3Tmrs//OqGL1zTGCjxiIuDA46L+vGnwljQovDqVjI1j5AUPY0B2VWViUYfQdni9l IhcCL42Iddcye7YzwznjqtFlu+RJ8jl69fb8XRubi1j07CqyClfVNcEO2ddZHgd3n9pDlHgiLMO4 hUg0kfrNiUhK4OMk8VNnWcqvwxPfDpaRSeiISoDg3e3W7sS0MJ9+XSZJZgdNaDvFnpJ7SS7WXopv NVAqL0Bzd1IuEuSVGd1vbS1nHNUGPgWThMaEGA3YcAD5kSoRaFtrzYbCmD0Rce3amizC3drUsajr t+4Aho4CXVkmB+y/8U6flAHGcuF1qcy8Y/kNYdhv/vSlyiNJ9ZMOM0UjtxbgcjrPTb+4uCcuNzV/ 8Nph4Zgf8RRH5HFgZ9U24QofGll8zMf+O8Xd70SpY11ak5v0Xo9gOqL17ilatOXjwwDEi7g22YQs xCb5WvESNKBuZd7T4Vo4urP2tfqug/akaOsoqzTHlN0UYi5E3FZaRrXdwmx1nMQnrCAMeGyMmK2H IUuZozV8K4QxkmtP8cpNOHrZvgUKemC3e2n9qHYJhnf5zhICLzKiAnDIaMItYiMzhdNTXOWCzGN0 0aqTDCdI3ESCZ2ldA9ghskm0TkYKcbUJKXQ0VHWvsc6UVuZMtEnAkuPDgOMaS9NRcBn+juI9Ed7t uJATMAH4fVbraBzrwPVmYn3NqXpjBg3kklAjAEmoTd2QzZRjyloIKzRqykEMUz9YZqPKIpRXXOWz 2If/qpMfYG2JMGgizJUT2CSqzVgTFce9/wUrSp7CzqCSLAiK85qCpl8Zj+zNlecorLe6VUGgNzzq lAL75O+cuB88tHH8bMfXTikzf753I66oKBBwZbyE4UFrqbdQvM830mV/Pe3WlwguJroQA/Owtb7F TNtdY8qtahHhgiRU49wWMxWTsJoz5Y1LOaQCTrwQnnQ53N8QGSjhzP2TXF1dnjwV/+/BjVIqBflO KKSOAaj2oiW6P9Qm65jv2V74n3/Ufoi8ZdPVnNWLe3rW0I8nMZa0Zerrca5kPI8NnEmeFa5XIHnk +bAAwnZpM2UWvV8qN/Kchrt5RSnuwuxKlvg2lAISdue2CWW+doulyJrTLzRUm+0zzw7YZ7uZK70u zqP34j3JEB59j8RApdhis+ZylfCqLVKT6fF9J7QNXUoNPShm+mNmzJCs53CvrrvWZqlbIV4d+Gy3 Zy7PbaZZv9nQ8X0Pjf3yNg59OdKjvBKn8DPrJUh/XSy3eWbXjHhb0L1N5NR9XYsqOJCT0PvdC91t BwH2HOJ7ZIk0eOtNh3h5noish0jL38hUmaB6fKYbn+zvIzubQmrHnYnzkKyjHUJYhJ0vOGbMaONs 2XC/PCQAACLUK2sSFkVxqMFwZI0zGMbYhFMqGJC0KgoVYN82Uj7ginBDf/jvR5KMeswcp6LpiMaF e9hRHb7Q0K3c0mIeyxYGdEiHSvuVXcSfVLpeVMAYqnPTX1GNFW8GuXYDiCAWSC2gF8fN5PBL6Quc nXeWaCxcpCKlsgHNuG9EWMx6gnBSNUvyODSJdQMCqi2z2gCQA7kTYvfb2yywLa1EMKofng2eqVyn N0OmehJYdfQet+1bEFuRpGj+hltM2IDWDoeBxoBWluImKCaHfcIXgRO+lOBkKdRZFJIp3b7Wo+gd ZtJqsL7f4T2A2CiG2MCJ6+wQDim6Yb4iEo7LUDoWyaKF6E2Xd0Q29IsxrOIRKtpNkzYbEnlw3uXS sfM3GqOBa5VRsoDA0hJeGEoPNMiNTjZJ41BQqaeMrKd6FAdqJzg/Cxie5Hx6nLmGN53X0xRc9vue b5PjE1+jB/AEsLNQl7XQMiNCPc7fPkn2RkdwEDcWTGh7weF01ZpGebrdgQ2NjbZJ3OE2zsIDJE6H LzLlywhUkB1cYUVNeGuF+gUhs3vRpgSTup3Vk/zZYGif9vtKAyvsZ29ITWHDqrO4HMF+9fTPo9w3 /4BslJKtJM8Fzg4A9GHCZxMqcArWiR6PVpbw4hxt/9knJ7Fh0CdJVcIQv0vkR2ZxsdVxXN759ZFh jQQdmhj5sokJck1gcnbdXNLX/2X8lOJyp8pixdnl8BoqrmwFCwPrFb9IPgWPosCTkRYupQtaRUWa GVHnjNF+ub6YSucG/Aj36D56huSuA+CpAwht/SwT8jBjqUWxPsYeeXpNcJovg4eeDNUbzn4jJpIT Dz6AwPxsmgEZnSG/TDAQ3lH3xJI8lDqW+PRAFcGnZYh/A4EJmqJqPdLdUsIEt7yerq7Y4YlzghkD hDlGdrRHAyYClOIUqAO1A3+yVPIbtrxjHFSkOyKe2K+lcJKye2BCds2JZUgrtfXnZq0NzycB05Bx hZXcclGNGBrQuGzfqU7tqmwUyQmLsYLApMf4mGWKHRrnwnkMiSGMom0v2qrJDGg37a0JMNwgVKPh gMR9rTQDlnJdvaF3HGzhW89/9qj/czSDFyJR7xBtOnNam1nQLWoXN63LoWEp7OM5chXOvt3GFqTy GjvnDnTTXAmKyLeFzeypXf5VZWJzerSpBI8CGcTsPrbwvxPTf/A5XmVDAfTHmup4WAgLNn95NU1e bIBW4BEfSjGW02rPrqvkfoma6IDgMix68XwMulOgk/yYkyEJtbFaU2s9PcU1REVJmF+joAtkivil Rq4IVbkg0vn77PNguyIGXS/eO0OyVUU6QJ2XwJigR5nDJnjlS7d2pNQFe6cTIaa61RoCtHzEsd7l S7dHFHdgLxtOF76M12aoxCqO4D7RtDMV4fsNALzm+6gmMsgr7bDs7WWyyQLzsTB7VDosqwAcXG7M 4X2n4BMGuVnHXfNRdlEm2ST62Dbvlf1wkth4N9CZgjQR5uYb+2vEHIn9K0obsY964myfZ06adn7P Rvsth/xsPylC/eIkREqylct1lYXOJYCj8YahF8jbZb8yIGfKVu0IVIwK7Mg+7HiLOlb9w2ONprqR ae1cr1BmLSYVHgN3WABfinZv9hgD52Ice1r+GhTHNKZrrLN0op1fB9rbaeTgx3ZsdGA9nJLxifu6 izAL4SAxw/T00nqDxkOO9rL09SpmgJUZ0ZHfePHJL0cBrTSfSt32ksKY9QjeulskTx+nyJ/KC9mv wvxXer8uxTirohhwvFguFfoIORg3ktL5Si77uJXbjeCHyo7795Oh5bFxesBBqkdAnSAJ+9xRywWd GO0OvIIyR8r05HUc+RvTmEq3O7DbpT6SwcjrDhu3fBGZPh7riioSe24N3yZ4dxzGwrjbU+8F0zcA tl+5KwGZEAAYxLK/tT2x1nBS6jMQ8XW2FdKuulMBNaa4dyHxI/t1aUnagcbUrlcXyWgpybFbrgdx tg/KYw8Oek20d7Vy88HHXUQE3GZ3cE94JLqUz79HeUcwa3qqc6p0N5DTZk0m/ql0ZGY3xojbXUgV /BYdNW5e245+bhcw+mkd+IlkjjTwg0E9/hLTvOHKz+LpHdGt0cOTVSoiSq7Od0UVH6QhPqbwGJiX 3b6TDC6+Dd72aO7WyDVVLzlBG/VEJH+TO2+fnJiRRAl1DSdX3FX5GAkbvP5cus3hGstCVycf/SSg M5th7qlH1WtgBXi0B6vTF45DdcEaUG4Z+IoeuZQo88c8y4c87RvTkR0qKGDuOD9uJ7dh1W9wPYSO ++0GShL8cHZunHsYAeZvqdpqZ7AsKmCxCxOJbQWE1SvMyaRfXSb/6hUvxVioGLVyJC7Ks75gavI0 VfXYf4TAI67/gbyGe0cD3QSxB9YxNMNyyRky1c830m31kJoYtVWBEzIHszkY1hTcXRCexaVCNy3M mM2Rppae4GQ1Ef+CqOJCMG/SmDbpYOqOxP/2+WgfLb0dqRsKAq32pxxNpyuXoUad8/iIY66pX7XG yxlGmZvMqCq++4qQg73NaBfxpeb3/dGhH0uOaT91TCIWNCkT4CnCo7TZYa6EV1TWTVQ2lBG3PMgF +RylLeECGDd8gOO8KLOATUwFfCndIDFRCC/VjR7t+12uz8rOKUzRXVkE4F859gBn0y2hQD53g0rC +8WXsJnwQkN28+kCIkPKHVg/9HWRv0aKyfxrLdnUXUUIC+xUcOXZxDhhxsdK71HECOt0uEt1wTqW K4HiGuSkucS8obJ6wlbag70lDm5iMEjGxOerJST/7O7piScdkfaFtYKVb0Kb6tTVwQXb29H/X4QY ucfTGaVSCZXhFFsoXA0beNJpbofLbgbyODcc+aT5dUMrlJpw3IllJ0fiRyfUDQ60/CklsmDjgPTD dy59lsSmaMhcwHLqNf0TAZVNIPJZCxeXbGm68l7uMySDVJr3iMt+Zm2T3TmhpAUUoLpFhRNCKck/ CIAsEcUADqW+/Fxr8DRPytv7p4ldxZI9TNufQQHml34Bfbeiwp8N1XGPxE67Vo03wTZOW53tHS3X U442kkjAkmSlyadxOgBsgaF+HA8g+zWpdD8OQVBwuX4th9dDBOgYzl1D+Nqc02VgfWULkwosPOcC DNaRAcYqyCMjRheKJG+msnaR9h5MtcZ8DC3VpfcS9Zrih01D88VBbqQDmc94iwOyExrptdfw0nqE recrCvggVbbo3HaTvasbgNNtWfUHxbrAwhNQXriwEx1Mj9wMxxgLU+WuSaBcfSCaAY9lNH6XFPIe LUoKqZ2DaG4pRdokT+DEHzrqmSxGPw5SnpdtNTGlbz4y41FlhhwXRw+Bt8EgaKdsy0iFV2Q5VvFQ k62fcsh4iSRynDM2Aj/qX+rpZwH1O4g5jb8+CzliXvaPb65rUvXg8sX0SaCLG0VIjhYiHSEOSwdi kvF0cY/qBf1g2hYbdH+RF9Rwp/m1UfyRYW1VP9LtSP9WEaxOrRQGTO+ocQxlG/DwfZKYUavUhQmM e8HZVLDRFozMLCkLEB9w/nzi5WLWjphf4N9IRsd918kcon3qD+MfRlpr5D6bG3CWtqLi3fPHsoIC FV/sDiUImJuFhZmocg3H5iIJm55gG/5A6o0sZn1v38tc0feRr9V/77/QNQpyTU5fkiOcBV2Alv64 qgnUaBBZh41g7tsSrFvw7yV66bTVlWrXphsqJAjlI11Tz/ppTeEKGqbDkq2wBWaaFokgFFirv+J2 b3Q3sZD9R7Ml4QIR0xs37VZWjQL9vfGNq2x1w1tM6knMTbfeH/sWv42HtR85VyJgq+MYOlzhPpAW cwFwk8TX7sgkIPRZG9xfiSlyTaUtar89skB+NFlySaqX2FViZrsqM3OVf5VCUENL/W9B1PzeVD3L qRiB1F2FJrtG0zzhwBLOUbzZIHWq1MSe3mztOjd3lF/CjT+fTrteVFNOXS+hGq/bFfXvag/qg8kc 69vICupefKC3Ih/r2zYPWgQgmRYxbShDPmRQxRoOrhro84ZpIc5czN8CDapxde9H28UZnQTWgNzn j+efTp7lotfHSDe8IWs1PKDUdq0aZGV0wBwoQFOUtVSRmfyWKng/0shc1ffdtV3ozf87q0qiNuJV yXgMHoFXWliDDAT3wO06OG1s08X6G/I9F3dL+TDVDyd+4cexCE/BvNkxxGrc349Mn8XBDDJNLcFk bVTK/ETkZFL0jnpVy3FYS6xpRqSIXPSmF0d8LNNAJZhnTI5Fa48EpcmkgvjWBBxVfHa4e8H9jVr3 uv1yttjRsl8Wmtl74OOSVup15lgTcguTWeKcgkfsX6o8loCcZ29MxaM98mHkG7LgMxM7AbylyOYi aCUqgBwDQ/lVJodGxy/BF7MW1Z258FTtNELDSYGq2mGn8LPeg3GQv6l83q+CXurxEareEXteD06N qzn91Sj++Q1YV3rJjTGgqktpkatH+4DqMEZXd8HnShhssYcE5HFVKznxghK3bbhjE8FOVJUhKnTm /ZiYzHJ4EZ4WoXHkm1W0xmwkk56Xpb3Lb6hn+bee7kPvMhDpFl2WCOYIwTWccxavunozpJqkpz6V nPpKhcrXFZs15Eb+DrN+QtEID4FB8IkEzBC23eEXQIbUa2jxf1eyCbU7B3SzWHSg8A3KpGSftpjs rXynCDyg6uILVMfAHH03hmDqzgbTjMP5RzvLNS+8YN+ai38l+9e+feK2YeT+NzKZVkX759k+N8mO geYF5RZ60sxqR5mqrJrGsAFA/+kMO1yxafxVEzP1Hwu4tbmD8LyraOKZCb99eK/G7oiEn+POMoLa SO8dnC0OWFLC4RGZ331nS2Zm+Y+95rUjL8RfbbpoETUqe18IvGAR3YVN8MQD+o7WuvzOUpkuVkH9 Z4sLegqDsHNaXJge6R9Djt3hlLeLwPTzCH5r+la7k1lAJf8EBLoRBDyqso1Pus783jQDRk9KhaoT ntOXFhFEndcD4/2Y9W/6px9U1OhIuUC7NEW5uzQ2mOsJN+WqecKqgLbVigG+edi+1Wnw+Ft8BDdJ ByElexqx3isKRbU2hHx1qX1cA2z3TanIsyXboyIBati/hwbj17yjRghZ1hRvDa4w/DEzXwuJZnGJ 7oeiceyYxlW+K9hdZDHBuQNS6YKpp5GHtAupVUegZ5c3YpMGHn6EwQGhLWfn5/pPtmYeqAtTBulw V4O6b1dqSpgdk+nHlPM02xoL4NrUbj6LUpWW/oEZ3uwx3J7ex0pQ3KCVwjb33YRsKXDDBX2nc/Om ZNxX5kJMKkKnmv2xhDAF4Ua3UbCCvGZgsUVmbqwQy3QEjfoUknppd+QuzEOIes70VVB4m1b3fvek YNxoA15lILLYeL3qgTzOIIgvlwDtp92OCJ2l2GDHdeRrPYhlT5whpjuCaBcyv4uFsJllDI/LLPJg R+vZMmuPBla/1ByGWY5PLUvIE+EW6whcCfxP9UOSN9SLU6L+FxNJUdmY+mXWaAGWDn8xj9Kt4447 +R8OnnsbWJd9PBZtSlc73+iJzhbDyS+81yWdj/RXGnjxNUFkQG+5tjaUe1+oKvi2n9qmGcWAKIVF AY4QNL8JoDRPp2PWW77RiH9Im/YB9WIzH5D85ncG61KNTwc1LGVlTnzxc307rIhJXmNDD8/SsTLK 0PVu3wxnnvumQ+7T/tpJlDOEqfv5IYeVXso+fx5bX5j6y5XiP/A1PG+Mw5JYEHL/MkTkCaeeciEF a15KqK8h4CQEeE/7Zac1Kfa0QNtDjvtMazmAnJrkqASlVUeanVMC9MBnKs6pkreSuzaXN6SdTH2+ TrjugL+45/VVe5EXH8sS8dGi2skY7/bDKI+8eup97tpzi9ztDHjRwz/MeD2pdeNjNMYQBTQjJ2jC 6QAe344IGWzVFjMe/jrOzEez0dIJrOo2j99IMOYcFcm/1GombcxQF2byGD6hPlltK2XWFplTZ8gS TD7lCKQQEx0B9w4KJm+F/ly5lytG59lbr7kPK3fEptfXAJ+YnPVVNaneu/9kXzHwaVSyUguR371j YW6/2JlcRC0h3kwqQKmgc45kV5pdw8yPUB1OzGgBXoX+XRMjJfVzBZLBTcZM0tvxs0W8TeKyQEM8 wdil77OHE/5RW09EEByBRFW4FSlPwc1Db7lsGLyUAo0eGPqhPzkhE3LPbQlp/xXcsi8l5ksRqbwo epm9zbcXyBxgIgzeGrKH1nI4yZec3mUGfjEBxUAKAKXtxF0K0UHMEcpobImN7b2AMxTfuBEZ/ytv 3n75BUKOUGQz8yvtYgyeb8bQMmRu58ixtQ5RB4ViDsHyGhU1JpZOKI1htvNnNler0bSbpjMq22Qo CANZl2teobBsln3ppSbQGBzGIeGWyhSjKis+DfDMPDKNcK2yxvpUgqyuFuLn0keCBqmGaLx2UuoL /KJfIPDP9KzM6CSJcuAz2f2Ob831A0aKpZNTahw0Ye8rOeQmmNyHVUrib7YFUpuQN3Lc7hbRCAZp U8KTGJ0rx5clC6NKrbw/zG/itLf1slSu62iRo8ssq67kgGBaMl0zX7eFajQTci8Xz5n91lwgzvyx KmBel/W0a335xEYJBns6dwwl1HHq5y79W+nrF6C16KhhFPUzWpfNtkRskR/Yj1cF7OYMJaRdkHxj lYoa6Bn+zjz6HhPK69nosxq85CDF6cPnl7fSArAelB10ZQHutfoPAXeZpi8Oqk3631pmM8SH1hZm 2dPxa7KW+pjPeIXPjUEiUZldGNLZiqGyJVpAv3Q8vUb1nJVrBmPnlYfLe6AJwMbyWb2OTRCumzlE cNtPiWrFrz9ASWkS6YPwbxjqxyhc3DMKbWz/2Bn2VipKHSNIk15cFRUJHpk9E21GMg5tCpWkplxk dzXoAMjf60yOaIRNxaVlmLAZwTJLCrdxIXh3WAbM3t2FbCA76yP56a53kZZmv+EvKO86GFrosGWA Ulk+CDURqHjkPhoexZDM6FAf/+0TfmupqZvwiZuKBMmE0JRgp8BhPJi628mRwwGhdb2lCnDuWWfX ONwBBTgTW1MZDas/lM+z5IgVjA1AAjCFnYahni7dD9adGTFivfFErPlQvHNu7/B5ohC3YQA0xPrG +9u/+lD8eJUgP9Bt/4C2Totvtdn9wTmyBELAHtLxKNV67sxalXYgvbUy3gwk2vXl8NDgnJdpEeXX 1VEFCcD+rkDE6YNSEmBaVqAFVdyqbZTZgEfOhZiNzvXIo5COaxoUVdLtcxP+1Wo4ZH9+AXQX119U nDr1tWC93Yl5sm0fyCAaMbm57sX38Tbo9dch5uHvMcEGGgNN8zkw1FpmMs1sTfjC7eicOsuipcSz mwfVD54GTDUISthhqna7lHwhh3oSp2onQHSC/0xlDaoSWz15BsB9uN50QcpKFrvkmlF4SuV+zfF/ taeDVzrqF/z0pq28B5RAJgsX9s8BHtytcajidxoxobskvqbrDOpP9Eu8GuRZv+CIP+FmpvhqAhjV Ndqjzey0IKSphb72EZ9Zag9RuW5E7zC4S79ps4fymPVCxLQN1nQ8fOjkW786bbRGvxnpoERD4FGo hs4fi7VaxVlJOY7Ufji2nJU8L0oevhLT0WcMkNcGHFzzBzv/jd7eiOuAtShET2CrtADLqHhQdE/W DOCM1csOn/VGqgWtxSV8GgSF1LQx2fGQwj7xtYLlgYE/A98MPj83m38AT7ovYCIJTT0ErT71ZZRk gE9DsK/5HyOwWeevkNsbc1S4K0XT2GflDuoozNHNe7gg8Z64vfrEnNKby+iq1CZ0ZoU9tTx98I2Y zkBkA9mBKTQkHfAazqp2AylbWdtjd4nMdFELJZZJTOFklEwgDZCBc++0Vjp2pLe/FhoH5Q2LZSS7 padTwB4/VKFtWxoZRpgdyZc96ptHhwfaTg2fNZIihKAbQAYZz2VtSiXc3UX99SLVctbqvSn2h2ca FIBG6fXQHqJI5EYKYAN9AU6hjozJa+bjphTSOLfgNVQf0cf+poFQ9mBDQrLqPf34BZ7729CrClN3 Q7oW7PW+ClunLr1OVR26+BKeNxx34WqvjdMaHewaPwnnVmRKtAHdcRU9+gq5VvOEMovSujgFhZAm LeBiH/v8YGaSr+5D/urwkyjk7goBdS9KHByYdoC0p9a52hweLY3om/EuswdGVLFimz7fxs1rJlKr sOAo8E/rzkVSkdKBfWSRgdbNybt6Bq0xCdg5kEjulvrJJxGq30yj/5begrQjOBC9PBQWQkRyZJC7 0Q/oydJ3k8cxIUJVdUXrl+yKLuaI316Lu7PVoNDJNEd4+0hkm9Rv9ZK8NuDazw2tDqEKrl8TOfNa bmzqn50/P+8aWzaBNVvPWWns572bUQ0+aTt/huLoVOfO97ZOQssWxrcIhnaEnw9RfC0Z8NYnlndY F9yL4+GW1HUU/WIQ3rbbMDmJxv7ypIVEzVVnQPdOQc79w22kFL+c+q0hiw08yar5JTziyQfj6jZ+ RkKH9dHOjDMr3EaBr6K7CElVeIZNa6vINXUmOHfs6DMHaoAy1cqlMalx/c50tko2s3R43NA05bKi erJLg0mWx2fBOckpiWzP4bbB4/vBzAtSVk8Mn4EOe2gqLUoY19H+VR2Rc//E7/qpD5sQ+2NokXom SDCwNImk5q3Py8+7xc5yzqIPNtvc6PYIClXPjCXfKnliX/02Vqok4Z7aejlO8Lrdyb/7YLC2MRbz ktopVYtulU0SHxHLbBCyKYXPd8JEcWSYnMQwdqYxtiMKqW9uYv6jIi3UdnStdZ3et4tzCtBBn0qH Z5x4LEdpUywe3QjDYNqRcAoLKnKGALQ7zHib2+mvtKZYze+3Fm9czVrA6dI5eI//DdT+CvnhHO6e YtDEjM3y515LEnETLKZWaOzYuovdt+MJru7m1Zto8yHlqAqzWnOb2B7I6dEJpxTH2p0QKFI5xger JEIoznQi02b/CT/rj1MoRZC9vXzBdtY6M3kq1kucRCIgTevVqRJOebbYyxX+8UULRERLkZMV6oLs KrqtvW1/zvh08JKRXJmvdXeK3r1c2iucd127MYsn/T5z4cLBs6K/YxM5Zj75q3cwdd5C889vQ4lB Szz1rxjR+CFCUtusVd013VQ6prR9hXcD2W0bA+/JqaerlpaEDuFaoEWwkryfFf7SBefsRWr7fjyW PSqPFmbYHnEYFwGnytb5zauwabvG195r/TM02kgqzzcQJqim8Cyct1yxQSKJFIs6qVH6jBURbzAW CwvQYzxRgf/TG2aufrQIUHzx0u737/RAnGvJa72a4Zb3ShAqjytMwZTOhXXa+7eT/glDDuvrm3ym Vi0Ovp8lFYf8IwUINDST06frlnM/1QnRcF18S1fxI654rYzApusG+hETcxAsOxPsDW+/ni9vqEYB ig2+K6sMRsDBh+GkfdQTXxbwHGdyfm7vhLTNDEP+Ou4kIdQIrQ5Tw6XhvvxYjHIjNcMEEgEvC4GZ vp6KtRy41cDj17e3sjxH1JiiGtGM5m8G1VOBhKuu/gSTDeKyWqwkrxqv6CY8BPCCZN30lKuZhW7t xG6Ag71qABb3y7uK5pciuN5nr+VV2NlCM66BViy9xdRXd7ex2y0FFhFniHAc/VO0+QIECRPbEjdT BBbDQPV7MRu96tRVq52jmiPRIoF7ty5gWsGu4CGY9zoMg0D5IECBjn8tQsufe07EU+0Q+At55DUf bcGgmj/90XUE9X8bNTEq7fVIzwZASp5cs8JFfzZ02oBhCMTutbYTKYMJzHfGheNMJyChUqyag0+J RSbwdBoJqeryl4SWy7997EEKgXXnDkULOm3xhJvqxMvaBI3g3fm+r+gLLmuwDfIorEBu2xtguxQw 9DV85IOl4/amvTKSijxuntTUq3yHYgkx1y0DfP4VYDTdK48fCAneS/hGgdez+t3nh3uskDGjTqNA nx8ts1fNINXYDzJavNeMfKNUly7PfIzwx4qjPkNxFJA8l+8Dp5rgDH/Cd/VkfcTtfRZtPjQ38J9d EnGFw+K8FWEnlFrTbIgZ5weWIS+gVpOx/qYz35aPr8jAh6YZaLlTYgiueeHhhUonwsvBZ6p3CjwI /x6GNByTBoa9EFYDurKr6WIHGoEjDjYry5RhXH5UP8tOAvXGvQYcpWtDQc6IlK726fYxgszWemLD Q8zrMFvnOa/C/9VJjDH5oELt8DTnGlF8/RQYeW/t+H+Tn1aPoDWcbCTZXINCUAAKS5eJv4B53yDc g2FQiUH1LAIoI1i8EAdPVe0ngZpZZTbe2jdTGeIutgt3BS6FiVrMHqsXMQkjHB7PrRfKegJ2XqGy NfzFS0JtZv+4BCrSWDyLW+BrkG0uxDkS+YgPyT5MOenZSAveiFqYuWJZrC5LCFkIrti8bVUvZQyN OSW191VZAUTRGBdOudXPIuQkhgq4eFrjTIGWWc0J9wosHHhLy355EfMlJPAHEVtFofXtdl+TtIOE A4ytoj6COQ8x/SP8IZfjpdusH2UT5HXCHdeHPY6YcFszx2ReuLBeF5g8waefRuWWqbbhHD36Ahav qyhYm/WM4sODxLIjxdJxZfl5ZQlaEvMIq23+Fe6F+PJZKjOO3p0Ysne9VTX5Uxthf/mzO/pBWarE 90zAmYK0WKVAHS/rNcHcgJ0jalq+uAqT5COmstpn6ExRpioRd17n//3z1WRftSc9ywYLVxIaOjdF OE7jL+rwTribfQHq2liwVbQLiANy3lvIYn8vwi/NVLZYaze5PmZCoGMdGyVNwFetUjnJqow3OY1R FhVGkyQUo9KfiDu0DACC/+7JgM05OAeS+3XV8QyABQFrGgQVUkCIxY0zHC9IQV8DYHa8Tqp6NXQG HKaBTUbQChcjPWTQDSRw+bCgSRhr60ncGWDbf3yOMgSkGIFpzRsytAddcn01/JkXONB5/bSne+AO m1tMGf5fi2GyaL09OpxPan+Fo4r99kX8vLsHeN2oiJ1BhAKlycX7dPLnsiwIoGfv3oY5a2Um+vLM Q3A81ud18YU7DGjrh34J8BzD3oxtf3U8gRvrzgP28tXtKu7lon92o22Rb8cMcLDKPytm4MVZ/GDZ ozwSSVf1WAI5C79fIdVgWVDtPkyk8eBCo5mmNDVXwnT+4QGolsp/zRHblMOZBpYyJ6zFK1sJs6BF GSFFXm8rYfH7rL4Pc+2lOTBcNp7tY0z6FXGrK7uaSot8qKrBseusE+5PY/F8VA0YwXsY4GTqoOOX y4vSbWXVOhJz6mW+fYTCumonLdHOYege1FXMD4omaGOazKwgTNi3tuyeQ3ZBL6NGs+kJAr7aLT2I NLl2hi7jQftR/Jj63NzfIR9yVIjcNuXBh51INKRBKtOrc+Q5fAKe1Y+yAYKTgcDjcEsT742kbC1J YZVOSIc2fOaKzEkOgyepmptm+nIaY08YMpSH6oeyDWFpeuP4N8iaqYKImLXdqY4jM7ncxbpBKBn0 dmQVY24zRaPms7uLpqSxOPrwaGvxsJbkZU3U9WuwJdE2X6re7eJE/Uxwkrimxg6HBrMgsijI3QNa 4xq78WNLmwZDuRuY4IqRdSgpS85XeaQiaxdVaA3Xcu5Kgfc3Kb9+JHwsLxjhqSLrKr8zQo7duPK6 DTBBD00lY7a9lMb9sTVKrc2jeMerFjGWFpL8sK66MH0l/iDA6mBH67TSrFRd+6F4TgE9nqiIG3yL A+baCk+wwFYwq7A7EWCdQH38Vhm6DQ4RdCDgDEp0BgcssaHHni++1ujS0TrxrDuOPQm2snTxUaQq mBd5nf+HsqVaxilduL9k0fdUpnL3R/63P5O1keJs+P9ZlSLf4OwL3n/bTFXQHArv3qr3R6btBjDm bSa/J0Km1V1dvWZ9nd0FKdqqlDmuJRwoLrzwRt6nK2fgqOfgzU4IKcwxV4Lpvrcv+0T2v1ePU1rC 522+4SR9xsrmaq4F9bZVWYVZvC8XAswTnvRr6aFdPh+0Hso5oY8l+A/OyyhHc6Ac6kIb8AM++pTD 7wHCMpwFWyzK7EXMI4z96slFA0JEkc6qf3uV/UGZX8ad952mO9c36c4vFlFskAbsHJQSi21ZD+hH edxzCOQEIM4AOUF7ayLUsLCV8f7PRDiyT6ST3MtdYAzbi6HXkf80YwBQHroaA7AgKzfuC2q+0vaJ 6e4nifw/7RgYP33XvPq8FEjV6iXe856FZHXm0w1Pk7W1CCvCMooFXABBeItsA1q/msSeVcW08TU5 v4JSEpLxHmEIEE8DytzPMUU6BemLJPxe7Q7ZAy+PPKY/bi3q4cVr947StYs8CY/Nz4UlZFQHMLKn +NlOSmYyFIrdYzC7QvtiK/Jaz/ma6Q0vgiopOAv2+hFVpUnoT/zVofRaVY/aHIttNucAaBkfD4wr SXojebSOBs9RbOICsXe4d5ml5gNnY4EjBtIAp69gJiDotiei+x/9Itl4Pj1fEJZYiZzKrDw+CI6z IOw453s41tAy6jHeyq2SmXSFJZYzpwjAAmIKhDPNNOp80ptOqfz18uqJISsqsWhQLZfhN4QwUN1M 1ZtodbsdFd/+9Qy5UluZw4+yJjtmRsF8pWHVFFO71AVNU5RIGzn0I32n5gQZ4JCkPnNFRIMJrbSi oaTsuGK+s4P1d9Ja8ctG+Xl8RAfSRK+ah9zAezlb9asg4T/nn0sulRJIcYgsSWf4qpFzL6as2ZjD mUz71xlfljp/Pq8/n+pQee2vVF45TyvByxGwAAJsfH9n/EnCvIu14BABq6EkMSNHR+OxbWWTjmxS 9nlr1scmOJzqZrfPAj1JeO3D9D3HN8mxJgyL92owymvoBQaZG/l8orcwRHgeWHctkCUKc4BXzOH4 DTXDWsZUolg750tGjp+1RlW+WfkSSgSE6TRl+rr7/a/DJO1CMyEjpBMVrTq+3mS1UrcGCuzZ7Ssv R7iIMHmQV1tWSbAMlHDI8ORIyeA44qB5QjKccR/NOQA3WrUyPx1acnXDIZPOY4+Ck9Nql6GcBYMU 85jw2fqS7ojlZ5aMbUvKx2zlbZbFNz9xvMMgjMXvL4AKebWBvr97flzK8BlhD9hTuxryE7e0GCjI Ida7PtOQ627DvxgxnHu5gdgNRc0UJv3VXLUrPLwyEuQWfAh7eItxcD+hfsigCsuQT+vKvE331ndf ULB/KCPxlh6UI7243LRl1D1my9BzIwLhvNdlIdFldlzvbKyPUimG5Sj0aNrddChKSgbsiTw+5FQx 4q1dPG2lTgLsP1JeVSjjJT14ZUpI2oQHg9l6GJIlJNAjPSJywpOK2XSJYI5RuWsDZrZxqT5HXdmD jzE3+7/Dla10J/ncbBvfWXEi93KEF44b+GgQdO8iPNE9ceL1iuZh2sa63Qz13149NKH1ao7KU3qA /mQhYLKsk62xppdeOKoCfdfd2qRdvhOqI3uq+jDJckPksnKU+VRePW56CDr74XtHHUz3QtsSn1ze jPWNhLp1Ln35wAn43MAeafm0kZa4B/pR+RUJFyNDD1QUzEcH6sUte67DDrLZYmPCDrrAvADngNAk hYUECOqFUiu8cagtruVMcvvqU4DNTy8UGgnegnf+c5oAGYOSoSOIbx3nGEi70dy4HJLn+ih4Mm+/ UKmSY9y06Tykqo9HnLNRJQ7EeX9GpCVY6DoXFP0UJZ+lilORk0MWW6p2UzJVU+3HuSd5fluDRbxp G8WRZYb/7HCGtAUXBt94+mBEgfa2bnC1Y8B6Fwsop9U8Uk/2xnXN1JiaArQcUz62P9NCYH+ELK+W ITElJTm8P/rf9WjfeahfqFJ6UB4qbZZUqsFZwwketK0+QpT04cG1BHojUajz9K64fV1UZng69BhB /GJGmCLoMXxOta7LIS98HdNYv7ESyRI1PsE+XsJejUhKARLX+fa45wtLWiLKOOmatGJQj1p37SFm xCP08HT50ioqYUs0ttKNwoPYmap5b9zXs4tqceoQZnF50eGz+763gjuF7TuRH6GMV6VUU0FkBMhT HwBbjXrcQ8YbDOs20eHOARFSMN8IDPgtqbLW4GlXQObT8MrOUtqlxh2tBQjYwxSDV98Lj2m7q7ce w4tYSBtqD5BLOIpZxxhOCeQEJ5vjdInK67Oexwj3wlq8xvLB/zJZNbPMUKDNlOFiEYZRi7Ksq2hk MJu1RHNPngyGUi+MES7Y/DbEv+cOzRnq0BN9cXPEOyFDROofBCjkeAug0+3buEdIvo/WDUXCFlaZ PjIe8qheuPwHh/Hd/Wb+uoJ61DwoL+DK9JumKP/GWgDxM/0QrFao849sh65+7GHgKqNoTiEnF1eg I8adssdpIl9SeloFM5GWXieMLVE2UUpyUIAbKUbK1OQ94gZ+0H6BjJv2qAE0mlsl4GLs35yXsR8H sR/uhVWAKcgWdgMrWJIkOmBLlDN3QWRwx9NiGa6x/LZWa6vm3wE1xxJox1aGpECFJmgH033cRpj+ V5DSCUkr+HwjCx18gsKQMW6YnI/icIHMP6cAesKouQiV9y10IuYGpNyui1bBjstMc9yAfxj46mIg rp3s391O8ZFb8nb2zWQ9Cs8jbHxPWIKiqgsEARaQk7byLjTcoQiYsnMsfVsrSUbjl+5Z8s6lQbZr 5PZviZ2q5Gh1uY5JB3ywXfrT5ylHERNn7Ct3UqJPPG3maQbpxNS/CRNCH/FNChfIPmFPkLVOWMCe jh8y30TSpQQbIbKFFdDeUP+f7T4yD2pk5Sig9vOnS6N8n9hJdiQREhnZ18/n+B8LJ+t7ndN5kuLP XJefCz7KBHrxc97+GDY7FfXNfFCJ87MkXELuV3kwo08wzJCsNomzoHlgmKOAlTC5zlzynZQ832Y4 MUql9mvJ2UcvArAadvn7bzuEg192R/XcW0fV+o3KoDUdiwlOxxI0gDVBHOzoUTsoVWAaDdwgJyxx vfg+c8baLCD6cpigPHOD7WuSDU4WJEqOkQFdC1Ql0eBHXNYolCPXuZ8suUC72dLm0vsxuT7cadNt vCNyAslj4xSebpEv8Qvb9XSdF1jJLcjBGUutiHkBs+lLyFh6VX+Goc4l1nvoRPzVDHwl/Lf7juwN NVQaHPY4qdUVldu7zdrpHPauyKAhJvtuB1pBCUTh9Q4owJno/M6Ghh5DefSb+YIXrR/Z+NRMDUt3 AzswAubXea5jBcESnBc8oyazr2M+wdtK7LIlimKfAVCFL+huTdXSsclgBIPRFCTnoYyK9wdusWc1 ITQS32/TJ69zJtnLvsD4wJIeRQTy70jske0MQtj6qaqBloefFNskRZYh+IHE1jcKCBmO+Is/KzKK Pg6Gax+aQ/dv4SEDgk0YkA28K/a2hrtDsCNRn2/Ax98QNUlgXN1AEUml3Wf/nN83EHkZApFUj2Pb 4PXOCEX2B6M8+8ZxgTKb2YqEWP1UtGq1SOK0okxIGsaAP7i6KHYxCZzntQwYwMzMAb5dVTcitYnZ /1Fr4DzwrF/yIM2QQbY4YkkxKHDfjVuaqnsx1YW3blhbz9PuhpNEv408B7S1Xzwa1lKubLQSXG2t ejyDBYw8FFdcH2ZsP2+H/c/NLAxSg4tTAq7mMa29tKA8kCDV7AY06NnNC9y6egDtvU3/POef90pY qQsq/vi34Lh4Yd33fATBqFEqic8x5hJVgsSBxdEaBZw05DIC3fXq5livdU54zAYkO8rp6LsqfoxC RthEALbry2Dsc4ps+S4xbZ0m6v7WHF9uZZddi8SErj550mgjSU9kNoXdkWMX/iR3s/hWVl246CdL LO37ZiO/pJJtAYr+3LpDGFUSRzhD58Ru/fEQYqEHKNVlp1UVrnKIv74Gw/RjjMG+8/KsaTDdK+0L FSIZ5dLgpZO4neWXdDGczI1XwupB5hJf9qyDVFXksTrX78VJMZhzEM+YiZ1NEeUvAVVRlUmQhP/0 zkCsGADaAFnsPEWDO91SU08b9cNoUaljl5p5+IXd75H8Nm+6v0WYsby/2VYaLGTnbWnewJ0+PQAq 2VvAo07Ln2a+G3IB7c1pvNn9/TCIvwBl+ot1N5e44AuQxut3FweLAjiWg5MjaT/wtKYROsUGOI9j fhchq0ufUr8EcbAbY9ryHlrLuo0kTapUlk2Wgy/lYTNTtMZHeUiodB4KvAOcNk4td6HajMPgPbdL p8X/cLRMJNl8K8lA8ntVfTNyjWmeVRDSn9OFjDhoUwtLMsVVCBMLVN3zDO9tAirEsntZ0MiWZfpm A1jVF+SShNLAAxwUw69A6vRpjqCm04DZkoRsvNp2A3lElyZ9ue6sVj7ph67ZxEuGAyKgGCatkQwj xPX7TOUB675cZT2bIrofy22ztau9CqgZZadsfUqnGOXnDmYU9ngqIyib5fsl17n3cICXXCx07Na2 cm7BoO5k6Q7PNI0vQCtHsOvq9NKtRMqvjjQeV8NdT0aXsuLFR5c7OH9k1sw+YBb//Xc9an65wYt0 /79NAPG7MtOSRzhnG7jjxPnOU4YChoc+M438L+pg5+Ofyh3V/yusFVOVGM0ureEY9ezi4/aLqAh4 DtpOdMjC5OnRsAtsA37LunRFp8Zzn94FC3l0uPomliIA1wTovj3abrwJTId2UPDpzekomnsK+Ilb FxJbNwzhMUobQTjJVYMblpV5KgGnG8RbTTKHOHsq/ygQ3IaM3JBWrmMac3+SVPgkVxtj7bhrhW+A xtuZQ6j/yTxEuY5aGuZadfuA5Ab0/fetjUq3ktDocQsJD8yL9pDOHecHXlcucKEyYQ4zfFHp8LaT qwJhGveJadkIWXleazvStIFKMcEWDFGQySzHjfQW6fFuUagwf5O85E2XNumvzE7A2duDFXfh9d8l 33nsEss2YpAFmyXZCNBLBLWDYwMCYN2x2kgHVFSJnd4LozJDsgbLG+T8YorcJxp7u7KUhq5pi4+/ oF/gUp8lUt8IltHOo/TVtZ+AkK7/p5QEZ8M5x9sV9te4lVYwRWTfdxAe7dSThj9SgWtLxl3GLM3b JJAYrP4KLZNWYWOkIZKLoG6+7eYuNZJpKURWFolWn4WhLbJdI0uvLjZYVPFUHbzGHkn7NiLXA0eF eNIvknveH2SOYRKu7qvURWZYxCopw1R+IqHmuwl0h0qLXWTVJaiX4wylIAxQrr0BoZ8ghsB/nNLj rbdqHYNmcOTI69tpjlCK3IMlKXa8pS+5j9ABGpydze+/A1ialF4kg/iWxmGRbxXEvUN63P91xlCu ATuKMxRRFKi/rOIBd01eyzTIFQukINWXiVMvZrcPNp6lfBe3kcLL5d2LO97yTvxh9oCmOw5RikCj T5+0GRX03G+sYXcw/qFM1cG1Z+i3NUo39vzNEs6aDG2bXCh4etWSFi8qg159dk4+NaJx7RvuixMU 62JRfxc6Eyyqoh3r+xXkKWV/K8QJCzGOOv06V8gHOZFeBpK7x3Rv5gTIEZZWgNlQ1AzAnYC9XiGZ 3NSNq4juSJeLa4Wp2iHEF4raAd53TERPazveRl8jLKDMe1TvxeSHGsr5Lxq4uXTe8xgwV8ZUndBy smfOROX/b2gw0i3+tTPKXYJw2Ye9l/0XBSjfiNMGci55Tl5vIJvoIdMldAFmZqPBLDI2M+PsLAm+ Rd4dMc2je1bFQ4ET/gC5QRZN7TFDai38H2yWO53opl46EBJWxP8DKgmy7bU6GCiNFGOsvi4uI6kJ wPsICS4GuOKxttDTyjbuVbzHoSFIqPvY9GaGVtcrgVMpxkFyivaSKE4aOtE6zsAN9JYAZxL0auni qMooXfRyH6QIsNIKXFu/H9wI4NzrtDw/XI0DQizhHdIgpu4qfuzNu4KPAI+/SgXFmeXuunDIdAlo IoY+WowgehUp/OqeqM8+NH35pnaOejgH/+q/yYNJRMKr6jyfyfKhcxcBbwiKa3EPv9fz+Nihr3dg N4rD7S7Dkx04um1zk2uywVR8KszbCW5EOrvqC2xR22plNCQsCo+KsjH+KTPhFg3gf3tE3H25QEtQ xQ+82AlQON69FK4A5doxMGlG7xM79juVG0NafUXDstSbIWSspsr89llLrWFDnqRQFRXNpaAvbz3R 6cijJ+B3dd2NBtYCU8y1T4o3fB/Kdem7MyrNopxzFu2C9MITWegx7Yk85QshyAX5FShyrr5nePCd lgAzEAqlhq4mzKEdRRh2qYAs3KGv3wqpTDv1/61/G5jvz5qKZ3L5SciKDbES9L+VO5rupb8EZTTP jYnPZ/QzKnaHEqlPmUs+JFFPQDa5ywa6ByyzMzAOUs81k0XHsmTiAteqTHXDpiEiF7olpX/7nG+7 yQ0JBubO+tTvnVedAn673AfUqwM8KuNsPsXUJ7bhH+DKkrRNbHM1qMHq6kHRFtlqZylik8qDi/vu NJ/lms/nF5smgMUHdDPtu101QjtjhbrgwqP/vRhH4DWkmY9F6P4d+p1rEv26oLO2rVMAx6GmI7lg Z2dmz2+2Is12HDXpb/Qg83CuJrl/RG3/MhW7t12jiskWyezQYrafiLY8+tZUgyj6I8VoBCS3IUUq YfT21XxbjPrn07nH2OKTzO/quF9KF0EpkfjFrNZAJpaNoa8Ugg2bt3OVhPKMbFnXL9soNJK+Ktkh myYSt7oVqCPaaT2y1BzWatg8Kf/iKjIjNkDYKd2TOWPnLEmJ/Lt6iaN8C0X4zjY+W2Jbb+0CHcTg +3rghuvIeAo+nbC0PsWyfxrBF8K16ZNUeVPmLfnqOH7ZJ63ixjnFQHDqDegQcrwKY9ZH48iHHvO7 dz1Oq+k3WnLaTYE9Ap9jRpvEMJZn4sXw+PDG5Q5FpxadAGwY+kJgvGOwbAzRE2dvTZM/iO4b5h7V vsE8xBlNm/Yy/UZMFWcW0M2qVKVFfwJ2Tnby5katJvkYbQ2s0qvckRjUrysuKhHbxYYi6j/Kur2h uWRrIBYPXgSmJQQTdKreD4RO8HlN7J25XSTb4DueX4R3RHAbv/QaWcLq2Dw7uc8CFJEXnSZ6/4Xt EsAiuC9xWKI63Gl75d7xM2yXmIpuUDk9HnI7qoT+lz3Mnkg7uLQiiXMlBSC5gW0P2p1+vs0QVLAm E9WHbWbAFVjXg60uGkowWul95zFPfWxvDxEUXz135RvLT+afz6u1O2N6TumghOXwgOIwhJ1Y44vs 0KnmmJqOJv23VBRsO71/9XWThoOAhWMnuEw5PcA786sTa8uuKGQVYEcj9LvjqQR/IkvekspkfuQl B8lvcAxzQA8PDzEHAfSTVjf6m7Yzjhwmj57tll5NY1IUjX/+7ACAWEzQeoXonmUw6z2Nd+vG1EJL L6fCIG4YPN0p47Q3W4aO5Xyyp9/oNStuTKwW1VFeqUba2QW63OGuEhs83lkpVLrs0+k9YQsW/UuH jWUcgEErEQRN06PjA6ulsL71JVhOVfOCz7FYPd86S0tQCZYXg8W8QJkV1EdKnQgRzHt1f6NnXpnd eZzRMhswb8auoMDffZS6MQ3PAssruJtKpPFi0GFdAFzIOzfNJfDzNa1yMrNtiYip2OQpyYeKV0S6 3Ak6fGop88zmZs17BtR/WziS0csz4YDKmDuNqrI43gvYU8D7nFoWgJaEPgRE0fXEnkz+3P5nE2Nn sNKWs9Exev964M1JTh5kjos5b/hpvvijNW9MPxV7n2SYTrsX70+GDFCeVEL4KdRvruoGDSz/4sqU JOtyCybH4HJg/DdMzURz1axilRqleigUVSqwPKOjEaSd6quEHZbr/7hlTtmhXX3FAnGZMLrr+/Zf YWdnLBYgnhgLHbmjJe7BtOhuIhRJ/QIpAarH4JW2bA5DYCfV9cEdi6fPN+nOPy7yYu40lHPZRmrm xx7sdm2uphj3ohzRsRyx+DX7X7Z2DVqRXpmfyVSfh5KU6VKkmIG5Ex/qL40/0yhMBwLR6CUiyYG1 NFGhGfhJpjxLAP1fL/xKMw70t+4ADThtZM+o8n6N0urz02vO+1g6UbgtzY+j584TLzlWNRB32OtL SvrgEckv9UmUuZsT7fNtuxjFUOi8JmmwhMy5DhhJ7SQ80qF9horTzRyAuk8Ed+Gtd0oiEklGuCWV huo1SwdfE/01eE1djqYsk9nIJ3MjuQRTnQhH5a66Bc45nMlnL+JFSo0Xjjnsdqu8I8CA8Wxy8s6b hhb2oWAcEmjceiRTBEgKTx3kjCiNzwXYiGwNz2uqJKoa0KnRBeLT09FDhejeFHSzauF5vc3UC9sY Pe86oceqQ2k3Rt4OhuR/I5R95v4p35dCjA1ePvQYHvB09XaX1QLjGnFUuGBJ4jAAql2Jh9SVYX98 EooPqAnuMQms4h0QCEcRMLItA08Bf2kaNm85rLw9zFm8SDjoG/0GWUK4VKXj/LbIDe/TgZ+PRt/d QqVpTm91oDo8fIjfPonoJPBJFSSNKZaKRI61YhzBCGOfhzB7s43K+fGdL574LA50o+gVe/ZOq66L ArQbQ8mH0IM5DWyY9sqc5kuMfxsPn1ZdomDK44py1QerlpZsH1YmNZ5n+CLcA/1yONkxxh0xqn/w MaOGhI+xEBKvwwwkNseyt2TTC1Gxq8TzLm0u8ypc3VJ6TiPoZkzW5pHsZqCVL9QY645lqCKcd6FS OJDrvyudslu+JnqLWslfKQxOTSdx68Fw9ABz+NG2IXURrgIrAqWYAFIEemR35P9SIM3KMaq2GFkr 7ki39n1K+1KT7PPkKnljQzmWBNAxYiwBEtsyMmN2nVq0pD8s4h3LFf7fXbua3vmNsmXJLvp90a2W X48UfJpLppmMSLvtwx2YmY6lhwuNT747YRgAHpLzSUehs3iwUIlrLxKU8VkiuBxy4iOa+fV5ruiO ENVKupYkmFuG3m3dJnyvYDxwd0nfB1aCGp9ZLcboyYKpFb4+lHliSX+XFko3SKCU7fdn2aUOUNhQ TuZK+2IJ+76UU1yAvowpb7T/fxO8UkVYnQI5kdr5h5wkIOAsQCYRsiPs2SlrCNI13dWwTqqM/tck JxzJwnLsC5ONpEzEi9mUKJabmGfEWflXNdq3g+k68XwX3HPxwMyW7paXaD0V2IzkOc4rVvhzVzaa Gq6djw807eKssRWkJ9H7fbH9ZPki+fGRELnzMPpzprezd3thLtjvzjsFQsQOSLghe3+ERFlbwYIo 6ndGBqiBeHXPqufTtfzzwD1QLlGWen4V3X0ITsCsv41q8npcykY812tcH7Htmx19hMruz108O5aF GXHszqKty50wY+5JkgVHY/bFFzHeOd7ji7HQcDsIwp6EDhwrcV4IxycncNjCr7w/Wk68mFeHs2Wp OsmLqAJ7BQZF+noA2DN7lN9+PfbBe4RoHFW7kOOTtIstA7yxw9Qp43+oEkuzZY1KN0rSlp4Se7MP gTiS+h/pGG5lynSrci+p1ymvMcbMYW/iXg8fs+wHZDc4LPZS/p+MFaPvwqSNiqR0A0NoBsivaZs1 IszdTyOc5z/rb6D01QRoVjLPb895FDICN2Z8s4cfotYLOid8W8zpGZ10Dj5A7Zooq36Xw9gxvVhs 6Drr5PTXIakAChE7uhu5+DvuVhx01Uba8tjsc5G186FT5C2PrHbDNCXG2Y019b9pp9SGcAE7SJL2 B6Q4wOij4Rdupcqm90MqWAPK6w6W3WyYZ3nOAKpj85HcR8sbPbDHfNDn2vo2sNo1xs1CeR8hDZGX 7wIEeW46aGCuHfbU8h535O1lNyLzumkzFmPtEbfkmQ801a2rI/JfUcDxapTkZhCMqLrp/2DIsgu8 sqBIM/aOphq04s8ubrkOZe/zJnJQ9CBj2GvXuy1MTn9/KLCOJBloJLpkqb8ZSHuBFpY9iXbD+0Ar 5uzJEonwy0/n2SwS8DTMdhe94oflDKvilLeu/oFXRs0uiutm5BakqdGa3B/KTMe8P3ukBu7iFpTy nw0sv6m4mSsLVbTQYen3ixAo+gldaGFumN2IdgVgvfnfnWUrxnnVB+WxkhILAlQ1M1KlfkhQdjLz dmk7i+LvoPvUZXxzrah9OI4Lok++mnjacxZHywzix+YWdYsqjKWcpV3pAJiCuLtGbJw/TVPQbHqv 8YCpZkXuFPc5jabQXsM980a6KVgQ0G8cXO7zTtt2gAnWXlM1u54TilT/xXFIiRAIoOR/6MlvsP+Q CWOt4HuJ1R2s3LLi7n0L5AK5OOCQR3tKs5ubNtmuq+xBbDcxnvcVMnweO9Fp/4QKWx382ASHVlAv z/7smzfDgyI9YP/F5yIW4M0whgh7KbA8i0yPtiN610VvWyglI0dHmUZxXCEmxu4f35VDkiT8jcQo cU56blkqHf+pAoYEziEpPmJaz+5x8uDj80lrITGdEBZoQtjBcQe0m0Qi4mZnxd4BTi2cktWd6qGE YcDZqTwFiZEZ9eTYN2SoqOerLkUT5KwfGAfFdt/oVjTvj/xOSHJIfofZMa93C8GL6fbWcxiuMcAm HP32T9G4LW7/Ehp+oedE8c/+cjgcNr+XQO9wUDozA68B+iJsFpsPK8mK8H1j57YZ2yaYWOrVhtZl uslJhTavp6yVZqzt6AaFMOOX6sOCB+LeVKfiseEFP42nvWk8FlR0FI2n9uIx6bse0Zaul8YSjPw3 shXpeFT+s38PvExfX1dAi0V7ytUu/3Nu9AwwsbhYCUO0mGLSVaNZqn2RwHsOBD/QgabKlX3MVrVk EuRz4zOnHUYkGulpB+B5ukXWebOiZrqrMVEaX7m0SQfIrlJhMqXMhsxVVO7v7sjXdJDHF0T8rnpq x1oQGN6LLxJ8s1JzkzLleSSUacJUf31WG3cQma997MWrp0kuEdYpzP9FLsmOSLAvupYALXyM43Tm AptmSSJjATQ/VPNqKveCvLBYIqGV6mMBYGvwZ+YC030otlia9KFIADBCmpTMCqiXjWvN/DBIfmgg bM+8aglC9JWH1wZgOU1I8o5WB3mUtWmOA6pa/rE+PGXcbt6IarpwwHpHChOBdL2Hl4ymj8p0eUOZ UeNn8vuNOdlIlh7iMqAP8JejUGgbwbNwUSyWLwRI1ZGiKZJ6DvUbDWT5ZzdqAIky9nYg7cYYWr5R zjrp8bsPbJ19PIOS8diQaIeKzsBIP8Q1I0L+Salc+Ynr8eNl3KmwLWh0z0MRtEQtC2e9W07iCBtx 3JZVEyBjn5LX/tWB7QpexQWypN7esjZTqpyblEdtqIbsrMo4E0XjW+/oDwWnfuwYaP/qYuUDB3Io HJsT5RxO3pMjqRxKtBZd5+G4rdr0GieUBS+LfAUfKwapaFvnWA2wZjCwF5wte11fSI3Q1cfhXDuN 6vQKG7eYFWc4Al59NZBigEGQVRBITfITjNMzEovbVWZk6hdcoRzUcygonytuUsbele5qG7rz1xSz M0clIeGrY0Uic600GUByeo3civFXDsAO/7g8Z1HwXsnBICWmmFpizRmyaLNV2SJs5ogyWIEzfvjr youqsK+Ew/qInAfg82jsCUrspOMRyXcfBRBFO3ZkF+wM8tbIKCph4Sh5lYhXDzHGGMDX6quJRw26 tf+NFp9lnAE0uNhXN8rGb1DVEJC/ZzT6a8y/ugKWjzwB5oHX8eodNrg9MAlQJ3XOhdPrtqkpWKOb yqLSn2UPuoDCKtlt6jjMPJAkBZJviagxntZqkkppu7pgnyR9XEkCt1rr+iPZRcJ6i4oyHca0E86L l6lT2a/uzQke6BwtcBis07R19py1KHXQS/QZ2aloO8L0AMpLq/CYQBJxpPklEPe4F1K6YA7SLU4b CoG2wuzQ9XbM2RI0k0hrk8MhhlMfdpjk4QYr81h2uH97bGB34VDIhMPWQPyXzk5eVuU7YZt31EiD ILLw+FRQ+fBZslXOnv+NKoaFZRt9R5g2Uih78uTogPIP3VHsNpjHJAzzuxpOL2wFgASep7G3SoKI 1CL6ZhD6n2vrJtVx6qvCcRL0nF4SygVVzK1n0+ulaaz1lzQHOScNd6NcP+VrXHtjkN1Bs0ngfJUL 1sk17on80LmVxYW6LKeNzQ6zvU73rBzSukCD0JycBlyqNbfFkTZFseUpEmweMTDdD3G5o14ae4Yb tUF88eAKaOLYlFQK03YLxXzBAQ3E+5zDRGKTW4HSL2Y6VlAKNwusoXmFeIH9Ur4NIIh9jIy/z6LL pPNysQkJ9PXYTzOeOc748+dN8iHQWJvGl7kkYTm7uLQMK0824smtVg/ZMqbY0aRGdxHvLJzvW0tx 7gBntmJXfRIeOf0yx825tYYyDOFO6Y4XkHJX2qMbw8BihtOyVHW7g5uJZ8TsJHkhfmPd35/CP5NL IgbVwzreRKj/cCIYF/sdObrR1Irg5gyUf4lGMlVxygYxT3QKYBAhs0Jowx2pRl2tKXN3aSwub+s5 p4+H/IGSELq72Zj6bAUpFMIbcpD+jLSv9Vf/RXMfqU8e4TYi1L8zIsM22u70EPE214jZ9w7ia9yq 45suvIJKpRGEQuVnmVtUnsOGtAMdoTwLlqaKvxviRwuBRE7VVm6bqwX3AwFLCumuAHawlJB+kVzb N2pTDhsEtymBwYBCgBf0EVO3RtSbLCUfXml7kZnWE7H6OlP6EDGmLIcZbwsWXkoqFtnjrZd6a0NJ Kecrl1hrLcl03wIjhNyFmAUEY7gHOxM8UmVzNg1KMNS4urLsZkWOZKKF3ybAdyJg2Zp6kEwZb4xJ HobvIWF3idVBhukmeV6JRepIdcwqzEwgd1c1268GzECnhGsw+UsMafiRat/N9uBnO5bZR/XqkxGF 0vlwG4aDRNVGOZvsbaTL9SCCxUB2IAhGBtSG5tR/W+TatyeGl7PBfLxIq8MrLFSqxNQSo96UiV6Q B7eDYwWPyWu94Cj3DIeZrUvf28nHUhNyYD3QTgZPMFj8qVw+425DSjiAAbJJmnfXmDCXajdDbs2y b6pAzrr67OuAY4RXnJO1R3syIcBfo8Zzm18C6NP3JcU421d8R2mXtOnOH4/g+KTrh66mg3/T0Tti 6j9ixmhWsQ6yvXib7oqBqm+8jAaAoLbBt36GdslVxM9WWEyUY1QHf0YO9iobJu7tIdGlmwMyyf69 z0bUtcxT8eEB1MXdrOPDebNXIMI5T7HB4hP6qpE0tRC8mVRRSTsbfiBdKYHXODPB05tuGcKCdE14 0JtvRk2enic4IsTKuMJgP0Im+8wImC4KitTE9aH1sZlye6I/xpUUJ6TmPcLXpvh8FVwylrZ8V/M/ JeV+XPTLaCzejazcg8+wrxV880Jy+/3SHPc6RJkNpRqKRiTcI2ZFfm086fnUhaeKmz4dT3K5V+bH GP2bjdH0jQYGix/Y1836LXBruj0M+Ig6B4XrTHe4MKEaHJmK7aW3YP8cj5vwJjoIk6aHkojqoUlN 4kkd9XdKe+c+gIeg/EhTAXpGoRgwWSjGVMaXLV/XgOE/BjuMPUwarQcLT7b08Ug3hXs+VS2MEqnx DHQIkixDEufc8K+MiyopyGxYgKfgEfrhP4qajB1u5GmFTJ0O8Fx2LL8RssTh3r6kvcyUxn3cfrvx S6xOP4pFkjnT753KCtnY7GUvh7H0qXaCqmjLqgrIwJZfRkraYdGOl53+h2CN8whVqMIOFFjyS3dg uXT3nlrM9n01hlD4oBYTyPwjZt06VLIoudMWIIA21K2DWMpSpsZHlO9kiPRCzVJTdYaoCelD8XUw w4Xj3BQeoXOd7WyXHcPcqctjOdZVWR6u4l25e+1266KekNnptaroYT8HzyaVe2VLI8DDrwe8fOUo nsfH5WPZOz1xynrNspYncifmQ1SVQzUHK34UeCBP03LNkrYEqw3UdSfjAtxqrPS4wCBIBMIwG19v n4EnVi/JYwtldc+UXG2W2bpVC4snxz0P1gV4HhgHwrfgez3afQh0skzGoosM7J8+54GeSG3QWvm9 DLPzs9HYPQwU4XQZfwKlnWp8+G/X7k3u52OiLaLAvjTJ7ffucZvG3HTy6xjcDbE07dF/N9Sbl+e+ IvgVyAiZtx3fuNJZnjBfvKXP4TCKjyEWXXUPkfKk3+WWPgdRjsomfuYgNiG63fguZLjvLJhQNmOT M+o71Jt4y64ONbAen/cHEDWdnRG+rpH9ORhISmVoEHrrFk4tMPH1WDccREjXSmPdsG3D7MU1Wq95 cgfBF96WDXHnlWPp9wDBmupuG9XfAU7JSvXSjLKowKQ4LimWjy2WvDP+UYqtuNnyZpxdfV8pbPWy Yphg34an+8H7c9NA5OmqjFNBagUG1fCoBR8sUlyPzBh85AFHslw98bQfkk8hVTmE+sFaTHGAPsCq 7sbf8H556HxFBHxAE2rwG5jPkd3g1pBTB0t1A8fmN6wEf+VEo0u7NOdopTtOFOyFznz696XQqsqw XzNhr0PhohjDiMwiWSWan8LKS29it/xOsgfnB0RZeOplOlpJ6LhJ2ycEScRxijbhmYcEkndfDw/A 7EP0jfkVukygUey1nZzVIsiw2c6w8mJ2Y6d9yx3WVzl4bVl1Q5+rfiWBh3G3QdCjT9oXU+mSdKkL oA1ApGgkkNC61Gzj4TeFJDMcmj5WM7rU/esX6zf7QB1/syQbd8eqdFVVxnFxSbg/b2IOBV9XFBzK vbA/qUpMeCeLkd4M5P++mbF41qNCOxGfRyqEEbIvjre+seHx5j3bYWgfJw9qwV1qmWvC6KPBlxXZ oRlueZpjYH8RLmNbFznzJP5xVXvAgZ/0Mplnzp8OFOTcPqSoJk6E5JN/1jJhXG2OXpjinEnY27F1 gf0PEgZ38DDltXa9l1VqryrqdfuR0oY9Npw9dLK0hqfB9FiDr188/M8XSP2k7wZAvPElNmWYJz+v Dgau3UQm62ofnNdMUA/T7rdJjnjxO8KM98gYGqoHtYVXPWG9kwEXFUg0T2rsoht43HG/+O/ZlhXq dTCiBdaA84oOPDYLBF+y8XHuELwRh65RBJpsHl9L33zmaWnLFESZFGBfudEOnyCtOlQtsXXQdYKl ZSR9evSzoGUlLNiy+SBI0v3OOTvKzmo7F7DApyebkVIMk2CtoP1NN6XmbpHwjx0tVQePr/eM1Y/m qZ6FFQOVApf2YfbZkaHlDz4OzYdE3GUFI8McYWTtW6nX8QnmLvl4pIz7rOJzMTX2FM2HYOcrUAat t48vIsRX0BKrMn89ThgKiz5kc5slPZjT978fzlVqs55RpxN1ZV8oCn0XIR1UNAH+KvhTib5nw8oT 0OLGhscX6UZnqVXxyGvIIhj1m/kjZ+0BQ9qLX4X62N+bweVO9ScUZhpGSJedfvxkDfhFn4HqdMRW iRZMr5nyuUaf7+kAc4TMN+SnYYwdZHUWNPQeTePrr40BYp++5EAaASy0Bm6sGP6d5SfxSeoa3zVt ylp45JusZbDBfoaELfOyYCMh6bIAYYyat9+HdYKoSmJoFFiO5qIRqey1QFvgjDVhGA4sS3nQKOYH uLY28JDcSyJKwVq4iv9n1JcERvlJeqZL6IyIhFeLbmzirAx8b/MfWdspTvropdMRJc3QzYYxoOO0 S3u+y9e0fwkk6SG2qNfw6MkQWSR4mHjb1IvUTaWeyVna+ASAuQi65pL1sqbOFDV5GmxJKSqNSg0Y zBRLOBDTAQSLQPW2qwvLWBm/3z7hENIf9o9dwhwL9aUvXthYnE6E4Y1Kt+phkUY7r7Gp9lfvZY8a 4V3TF3gmy5g4760C8Z4G4d+ex2sYqWTVigeWh63lWuSU9o5yaIaLC1Qp9mffBDV+sEAqU/wYJ0Li J/q8OigpnahQUJzTTHLAcHbgU28jIOhwMj03ebh+uEBtjHVBFDv4N/i4cL5sEQ/xGz7wWY4F0aQ6 7eTtWJHHFLFzu/rPyO3PK1rZm+j7PUQkgGURz9uWW5xE0Yyl1EGqZ0zCRgrOCnAYnMR7lBoeSTGZ l4SV0ytX3cr1iT6/OM14gAgBv8rIwWj8qd2n5cyin7FzNokN2+Ow0VlfLTPnkma31yj6vTaoFDTe RUHSh7k098EWd2OZqfVV3EDVtQk5nrZ4kyYozNbBdWkGaHpIzTQma1Vz84yOs+h1YSO2yqWRCMC6 CyYjPuJh1v1THaoYlzXptp3t2B03TSRkw68VQr4VMAkAwtuKx52hA05FkCgu6Nmsi+RqN7edvqDC vqvFcyEIEc/nLCNRMfe9+iUS/pso4P8Qm/kVKjAbwq9QMUCusUu4Rsk/npcfD8VsV4s4PrwTR2PD 8KTqUcSqI/dnM2D/N1np1zxycet2+9qAnHWO4ASaj1x0jYZMOqLeQ7L4oqrfhrp8do0HS+eOAB3r Gdnv5/SvEHaZYmmw3kF8/8ASzZHMXNqzoJkcuNPta1gwJ6th2YEX/ZYNgMMesDKaEqIfQjZhunsL vaNGARcS/dLtnlfwSZ3r/bR2BuKUQCPhxh1YqZbovp3hLJAQYWH6qB7MEJltTYF40EsAXQ+Zb7bq EMLUNZNN3nM8bdXWoO4ZhRxFvG250rmAzsLFW5tWPk/70RITc43Q354oSuiPEe7imxIrS+eaHmbG Elo5J8/dBsykOJHoKtS9ymUmDn/kP2IFi3Qm4twzlz3Ja1R5Lvj95aPS31APpl4FkXxgFxMam2fZ naX/lpoEakzDylFP1SnoJWUDP/Htc/lGF4vKDMlEWxFAasNYVwgKHqjk3AmrBHEUxtzR1Q2AZZa8 Yza8pnfsnPiB+wYbLFREJahBhFktWeycKVwNT5xOg6N+RYLYIN5zquvzbiW4+qcegK1RqKPjCJ6+ Djv3VPh3uIPKxT8f5MYkDeJlllTG95tKdOhoCBU74MQmS7u+ELF1/AALpQhaeHrcX4kfxjHa4ysI AUmPwoTVkwpjoPpT87zuyyvdQyUhn0z4L7tzyNKOqNEVuR1FmuxNmudJfaKMLbZ81t7Gq48g7d3B tC+3l2cRBvPeYuDaqQPXVLUEgdhQ2gYLWDdXQJPzNjkyWGaokuB4igcurVIH6na0IDVtE3NI9K+Q 0eXa8Oj9jZXtd2wd3kmbUN4Zo+XgdItRpSinca0CFd8O23lOMKeuy/DsyVBvhFj5+CQHpaM1y0E/ sQcy4+XwmXYc8k88r9OUP0/AXHpY3fp1kL6CphtkjEmqSX7R+Xu1QONmYYXoY/u5rLMJSfo1tFyf wMjY7k8ziy1WtzvEvm2j30SIJf7sLByXFPl9bCETlvJAkrToxFyNNbcYMcKrFoAWRDTV3a7myN/I 0nZQvphIuHC4L32kT4BvB6rcieNIu1UEEAjtU7EvJoJ7mCBqgWISLZ1EPYLNL3UanJu5HjCAZRBM kUmCcCFHMUhfvbeu1Sc4DHgHjgp43CCGKCbMeKDZNaNSeX9gcQfK07G2wyWSQzPHNBMk/4Fyq98o ek/Lu5W/8geN+HhSgL+Dh0INELwODXgh4O59tmlY4Ei0lytvUj5vE1lxQdb2IMRovud/1IqXXf7M OO7RsYOCLJ86DvxANWdAEp3ZSrGxZRxdIbmhopTuALz0KK/6wVJZPC91RD0tdFtaJd5ld5tTxd2p yvogOKdutIRZSRlA9yhPXXZhZnx7oCCGqoBSy5ZiPsBeKgQpwEZBj10zpfmssbV0MBXNJTO2Xx1W d8Re5cvseZvTeOR+QqfurVOzK7fEmkHR9BAHb5PHEgJbRgJ49LJ0/eFOawcI4EZVktsI4v3qDB4N 9jQb0h8nsmKmYJF9dBy+4lrBgWh720wkcNwvfFC0jGTkrblqtgGvgG9YhYDXBTl6nqyDBN/xLm0W fxeOBVivUy7QSQEzLV2lcQFgdmm3Bg+tDuTwqHZJe1f7VwKh83lliOHVVfm8yOkTRXq0zKyQCOFg 64Jr6a1JtTvWxhvVajvCyoMaITPvZDUWqkveaUcYS8PEZzfbkJ8j+XVF2cQuweBGTnDaq18g659h joQZrJPGczikF7yR58ADxjyQp06FVJ1tXLzOLXkcOv6CrqyeeU1eVt0WPj9xYt4K65visFyh5nMO 9iwLhw3PM9jjewqbpbW13PX8c8npsbCIoyZ/IC1v/KtuidwrKz3rqjaC3BacUWKa/SwK5/lPm1cs +u73jsUwlZgEwUgJ7HMSeOZZ1OOn4I62Ytr45RApwptgkV8N69hBkVAcR87/g8im3buSrxgKkjSa SOocUD7Rm3tl0ri9ENt77LoHxndlb7nSjvIY4zqY3X0BvIWf1iqJDBuMNi2y0XlXsR961bx9OZqy q3D3E+C8Nz2ygCBinbr4u6vJN5bEtpvXZShma68iyOvrOchbOWLuMEGhfqUlljKbDmfdjdb1xtkf NCMa0NV1plhzThOOLCXrk9+WulzWvJsCggLYOHQB/OLfNSFV5gXLuz8lm4YZeQkVHABw4EA5kO3o xP258s452A48va04HJuSsKVqhAqi0V3Z2W9HCsP5oB3tbSt0L8ZazepB5XHH9ghz6e3hxV/arMFS EJ6UBGoVaStfP/wC3/j6rrRjH0GliMy+tcWLanaiFYCWxBf9c+u2a23Vli8rgumZe4Wuo1IoLHo8 urJlRf88ZHyCmC3bR/5D8VLJhystq5sc0dNidwr36Ufji25BlhLNTxmgli/NjgVM2Ob4DTUlDHSk WJRI9hg+cWJJp7NCoiXWBv4YkAE7v/zDkDb2DZZD7Hbb5kZFwu997sK+ai+1IZgjE3yaklyJV9bM ZwuTLXLcgkrOJHsKiaVTWl/0ruPSUupUGEKiEQls0iYqyEw9bU+aF6dwNYuX2Fj09x36Gxr4FJCX hMVxGLUlUPKq2gOhbAFC+xNVyn2Qrbl/wE2nlLem/q51vHy/WFMkrK0mzAHEPtklqaWLsolcnckq MsZ+o4qpiF+88vKg7SgZGOncBwtvGC1Z0o5SERm8tlz/A0F6u1blVZmM3LxaHXsyVu2FiYznSYqq 7qavZ/QrdrDBzBN2RX5l95z2n1uINI1bJIjJcH4vv0/W1FRL3ArL+badO/VoG7QjXZlHPJR+0cTt vP/tpVhiep9A5cMQPI12pSf6WQw9srcCucSoVE+zQ1Lcx280JVkb+wFFWIK8t5++bOWlKv4ROtXE Dp4Hog/fEF4lyKXZnM/tmiSNl+UYRnj3Sn7g94yQ4Wp22klepUcGqeGzYh2Kq8pT5dVFlNuOmgLy PFCTa/yMtbJ28db8Cv2udkw4hOFJNbboCIiHRXMWeL4yrbW7VjL0VI0euelMyPu6KsQu6b3xHALM hR35PddzFKXfNFF+VGexADCbwS6Nu71s5Mhr96q+QCnp5Vc6D5XAVldgh/g35VzSE8P5huA43Rea s+lDR4wdV5k0LF2f0mGrOQJi2uE476FodJy8KCbj5MC8P2jfu5453LHiv6YXIRJdjTeBeHK6UYvQ eloRlw5034ddI9wAR9RJmEheApgCXhLpKMexcQ9Wi5itTh0eYH5ysuweb3WHTcg6Te9F7+6mb2+v rP/rk+iEEZ8yAKARtuX9YC3ywzzqKzWmtT59Cf0N6RD8DhmxTYLluYffs3Utp3Lxd9Z18MOxL0MN cFcr7DxQf5dhR7OFGPvA0kCWt5aNzvSHdoeYVmLyUPIm48/buvkhQNQP5Bz5sWrBKzn4sqS2TLDU k7FuGBQzHAlHBry1FfCB6O7pq7gQ0L91ntCOWyRu1Ggi60pjHPnQD666RFahku+TVaRZD+svq7jh GHXcJbE4UOIup3PdIXJjzvmsx2Ch3dfxW+u5yA9d1L+pEGgIqhGJUae7c/neT7sxb1KPsKZ5HIiH JAWscHhotoXeOaf22/uXCjF1aaQuFKgkKf2fF5LkcGJkw/cubQPI139VBvzIbntQWa9gPT7ghkIR VwqO+kP2qWhrMcT5Un1csROtWR7y4a0Y4MJwqwZcOkb9zNyYTFVxpuTIHqCXqu5adBAYmjC1hlPX GuEft0rvvsyO76gK1Iv7an/q2Bv8BxZiGjGqWW2EUnoqeoIKevsVBpIpOqTJz/y69yjNCfK2EV25 nlBlIGjnI/D/m6rBgDH6qVIfvpK6oN+VNlloGwcFfCZctrUDOEaWj0EsPnYPRXL92tr/kGH+T8TQ B3JuDU/tj9rg9Nl2oI/sH4UOQZF9GgRbbwhtKYzLUxaHSgJVQ/NSumU8CB7SKywljvoHJmtBD/GL umtyWW7hbRqHjixg3IzkJxDkTcYMb+UOiy3hhh7x0bRVmfGkqqpuerF1jKaN9fZgDwV5oEOz/4jb dPeX5Sm9VpC7hvxcrSEjAXxdXtFy4Sf+OP/+a0J962HpkN4KHYBlenJrRXJ4frX6kG3PtlQo6wUL bC/bLXCAwX4itw/aj7j5d4UdiRv08ZgigBuqS9Ri9ffCTWAAf/P3K6AHi1/jGaBq/8B22KwHmItP kzkh7vC7aDoKk2FYo4CLzap+3RKc8+1i984DMW7v0FTz6ZptE2XrPRpkm313jXJZ0wmYc9QvB58A hwB6Lapb8NVltaXbmEZcprNoOweRcJLeyxzpPIRjbO3jcOy/RU4iOTSUEzUVoAPOiowh0xZfeQDs 37W4GeQ4qzJBrmd+y0JGgxDNmJpBUGWcJiiUu6tXWYmqG8LFv/8JWeel24RsymEolNcTGqQG+ywl Y34/M7IawOEBc8UyKgyA/7QCNJgKtF+BbSZbdPLSJdunBVI/mKaHx/xMpfoSYeHmsdUiLnsMMDy/ ysymTawg10TAtXaN4W6d6ZV8pHhOJS5Lq0rlyoylWjXMB6emzNZO0I6aB+9C63MVu4gySGQf9+kv 2SeG65sG6f1sxYyhFBAWkk5MoWKyOV3zBhw9Id8ufeknlYaJxmtLMjbs6chuZQB6px3UAKWTNTlz PaMpMGjzPqGZkRV4Vl45y4Ng5WrTfRAF/GdTXXClGuu60vBoNVz8NeNYKIdOvmiHJ1xCoS/n/A8u MGJKVfgeyWsL9lPFeRSi9Ohni32C7TbHSThgcKVKv/0CzZt5UO0IGTUBMxyE7kqJPfCss9AP030J FGXiXTh2CoC5VLuJL4nsUmdka+8LUBH3YVz7h9seuL73CKtgrfVeBB3R9Z4u73d1gp3vaaVCNFCn SUOz53/43Y+FTi99jmZKWUm1/qWaSx096aMzGX7OhzQi2q1ijBMGUZZzvVoo+c7Us8XQvXzSrxaQ jFoUz38jUB9OEzdFPa31DuzUgYff02fsWGccGbafvofeds5Wo9hsCoCyIBBEa9pa6yxuPkoabbcp Uf+2jic4s7ukQKI9Jxq7WlspejC4Af69gM3BRYIjJxq3P8wJCmY9nnqd6OC13ICb9IFKBiHoDqOc z7ORlZfzM5+WAhHN7lql2MFwxc2JGu00wZBeR2SPXRRYKisgCgX4ZGaUJvZVxLlci2gekw3aNe0M FiPttmC+p+CNSnCvgDacG9fQcQjGU0eoOXn6ZWYeU6j7XEtNfWUocTfZl/yxR3QUUd4GPPOBQkeB r2p64kWNiRZ/a/9KXYHLi2UFXXoOOCnN7Puvk7jV2BJiurjRwLmYC7YPj7jn0PZd6XEpdhlB7+hA Zo6Tk43pbC7xCruTFAKM1yOo1lgrLNo61BP9oYKYp6bGiqdHVNBJP75HY18maw61Y2rxIRuZomqK pOniSAI+u5Fa0DYNMGO7rtncq+6vhZYD8DrW40VKoWyh8dCw/Qz1yYTPqZSy93gHtGR/DaS8X6BE 3VQmkam9R8T597SKZ9PPL59jLnjV5iWtZEw/UCALnqTfbcZDO5PCArj56XIV+fNxeATUy9EAkV3V 1tkcScOinSjQucg6RZveRq8pcvK0Y/k/YT/geBTWUx5SIrpktAXpOKMuCaY3HFvjkPWnF6+ztc6G FFffL6VIwJQKZW6Bxie/J2406Nwx8kTgJXs+ZerZx0eE5vYn1T3hwJDuZEhBYRP5+83hkZbUpwab v4BW4OSzTPVPWFeTsCi7hOtfsj4VEAV07JspBaW6f4ZhU4e7aGrbwRnOOW9555ETLoy1r7rdoWUc OUZg6XakvCqIor5ZEyQQO55wTC+PmxNI7IVZdxKu+6WlFKTctNBWzeWMjJwWKD3IlZB9qxt6Xfhs DNZo2j5WDyKqQeaiGA9u5fAm5Q8/vedqwKP4yjfQNgNf2445ZikxG9Bntpn2j361gpR5nQt/DDiS 45qPxNc8HdbBZ7xwhV9rYXM0xUty9GAlkYKcxK8m28wTaJXhPeBEXeG8u3xS+o8aigRjs5qJJ33v whKVdLRpUh6vtPiBAzqCOrGcY93/nby8SpohMawyEuc4UXU6JsiiGJ3uLim9TLXhgVRJQU12sg+C frmFaBR5J7AZ+b5j/CgcEq20wfNQUFzxJkbM7ejONRK9UTUvGjrXS+7LlDVKSU4hb6wx6VGLujsp nuPZoCxzzp6i7kHQKrD26d0YRgssBuOZIIK4bG/6toJxoK8SoBtbb66zHLcH81vfyEslmUKoSntX no4mzAhp15YGWrx9Kc7JX6MowwMbEQHJtmKh9BjpxX5GnhkpqICXIHtGWofaDTc5QJQ6eoUJ8UdA cfpQ63PPxyD0+np3lfcIXRokn9zwJMo0pEHX+c4lf0fGMOLnLoRut2w5VfgVgucf8LTmkIgeltlN 5z2yaBuxjDe5UXF1RIRnK08SRIyLL7cBAV/ezHsTMR4rfZcLkJDHRC2H3dbuH4oqSLTXmQzj521Y Av5/4j350RpCjhgVs69nDIHlaw/4fbW4lnSKFCzX+EcS0d2u+BW/3kvF6rX6Z3wOGJhPVY3EF9Mg QCAMuaSR0hcihWPNNVoo3N+9ixXUNc6ELi3A1jTq/yO+KkjrA52oAxQwvt3xcrCbMG01dS77R7m8 icd/gbIwVaMZvxw2rqW+dDdpl/588I6KkCy1n6bf/Ii8e0+lc5FKXnTc6Avu2KEtcNAH8aVzRld+ cKf4dAjyVbCaq64xDUEML+DnQnKGmvCtgpy+3LjK4J+X6hgDu4xDrnVPmg2Z2jw4Mgv26wB98Rj3 eCA8fC2hXlp+dq2sz/U6ebGes3fQNs/gtthJcgeX83daH47L4LfnSg52pyczti+qfekz+qZGkZN0 68fHe1MM1vewm/bng1Dl1F6+rF6huS5qx//8+hPRx7rEn12b9KbrH4wfEZli/ivmuXOfnmObJzHg 0CbF+0awydB2xVtgev3TwcX+g/106NIL6mSqe01tc7tJFpn3UIr3XuPXdjvt+WB4pykq8INxD71N bKZEP9I0OS5Yh9g4dPaFfWmsN90P9gVqxxRpSOscZVsTFPXP+5gHsLNu70QAcLqrjR7nWyLqWlTo wOyWwqUP2qq/W7q9HLC4xM0/DpeI5cVNYfW/kUb49h8CnYqEgGIpf4AKoaLZnEWolB46HTi/JAGr qNhC5dmB3SqA1voRCv9XHJh+cHbEkPr8QKfVF8YDZI/Gpy0VcmGz1RlI6Dg12Jopssl2+0QmUaVp vK2CFmh3rX/teOD9kJcd22QN6cfxmhbG8xAVSxenr7oQGRDGcDPrjOFqKTv52G5BTIOFPaXqRNBj oiJYFGi+gI/H/SQ6cLnBgVyqtA3d4+fcys9WH3ksHMM6IMzj4XaitG6hj5rV4ghY0lvgMiS0TAgL M0qfK3VEnWef7CR9U013zGifbut5Wf3pxGurwdCCpb2E6XvtXO762XTmSeqUBbMhbM1zzLmdTeUK yqi+lSfeX8V2YoXRRy5XWmW/oTgZYHMMwm0ZhD9TCKl2DWmyyG6ulkTfzNIf8Nrz6Y9yXVCtqocn VGIEaysFtjK669anlCVZRmWh/R4qXpZ0addructQikM+8b/2vTzbOyt3LUgKipQ6WPc61pkObZcZ 0lm9vB7+xyor2F0BeEWFa6RMX3RO4+i7yjpIDjAVLFl7AjWw1Ql/Cn2jMUWlN0Dzb8qbfep7TKpR SZa2weFssqsfaA4J1PttjSbTwOqKSwPhiC9WPsjwyOxsyZ20ARzIOvQlS0JYZK5Pn4D6mniqMBlO TuNc/WMDWr3PcIElfEt+AXVhD22xTagyNV/poo1aWiK5D7iqXAFw4gDjqVOWuKrV7pMM1xoiECqV BhaISyJSVgBWxrHXXvXPzq08SyN37AW0mcb3ltdZGgWmHsVbvCYjW+glKgKjvLKUVitHW3GVNvPB zau/RoM18DRu8V5AhuZZbeNq0lWeWQiNXZVI3Jm4QsIBRgvm/GXzt27dxbVtbxOAthT6guqBkMlK M0h8YtwaL4zcozunMbVSYBUyOodk0qbv2ANThcFmcRROl6Te/MBLunq19d5S/RoT/XAmRlh2X6M/ frpFmOp+mg48LMdYlD7ww14+rVuSJAQGTsy7ZH9KqraHi3ndmdLNL9O8V5sgg7JBLlyYfu7az8aR uNfRsS0ojDMq7g+eRJYDpw1zPUFSp+LFDxXJVOVvqc9jXAAlv2rHQ2zGVJtesAHZ6P8jog8cv1lT 3RbAIdCO03RRPlLL7xGjjmp1GGhLdSpQHjvCoiPRwxbeOzUSNv3dyTD0ejklIeP2LtI1bdqhUN+f qcNdXMt6nIbaVUDTmT7JvLMZRR605y3F/jdzr12nRiE/1C8Op02pRyxRBb2/6bIHQm63Uyvp+K5m cjPc63185xmefcnYVZA4Rynsj13vSvf9Cc9qsg2d+kvyz0MOD79V9/s7rVNaJQFRxwphQMaNrTlb t25ys0iTMjG2O4OGYSI+OhLgqE7SrBKFsTk3wVc1XFN9o7rU8BlOjBDhte57Xl6YkMrIElpOk3W8 sKB1pquyFK0AX2oiExNPw7Uduq5TndorQ+GJqXe3vWSyNmTAn0+scnlHAT5JSRLfo73S3A4xgT4E zNVD3i5GfRP1morF306rUCM6RPuB6qcCtfkXlT+Z5V8oa+ee2UyngTOqTr4uAWdjhJM1PE+CROsJ lo/Rd1L1EDK37CtqF10pGpLsefHlYxgHhBsjyUxJ1stWpkcIqAGhF26m4MGlM+QjylRyirlw/QKT 20ydGlnvx0iJqOJU0GxlR3tNOmxxopiJAaiLBEqcR7LR4Ph5wHaR5ne1WI7Yofe6GsJ3sTNQGc6h ISleGHvpCbU1uN5GfqkUmIfecOTgDo+J/eZxflgW/1yYABfStR6+gQZCsjVqIn5x1yQYEkMWFcCl ZOpo/KWbGSCmmpeIdG/qIIb1ersLJVS44gcAyIjF3cBbmjAutPII+/A1ADyVSGd6qdWtA0MoDB/S 8TgDntuHuQbFgwdaWwCFu6v5DGZadfCBCGyHwb49KbqVubfe3G43Dcc2vql+5KozTyW9h42d2jcG vhefo0ZBGiJ97lL30esZOVBo28KXLh3fg9mczbWfKfqISIxefM6Aevo6JSAheJFQO4lS9G+k5vJe rLdCS8QAsG22gC6NhE4A02mciYM7nBk0O1lf8QUUZBJlVeC0+eHW8knvLC8XC9Cdyza17mKNmmZ6 xuQi7hjofoZ0ck7X3tG/jvRqrotVlg0dxk+gt6QVmnRhnL4VfvSBv7FvE2Z+4QreirqebJkYGMfc t4afIM2QT9Mwg6CPgbeA498IZKvHLeihkpfCgXXGwfWi9KoomJTI6cXz1QEc9aAXB+70/YoEUzDv 2LhqZD4Pfn2Yq01ea0nmOCDRL3dT5Twctk5NuYqIzbxb6MUGZYn3DssQxpR2DSqPlcEitnWfssew 57EAVRaR0NuOu7DwGsvJSBACzmigFFwIjEahDXsxYoffgiPbeznGTP2ODqlVhCn/K8oEa56AonZU fM6K7i5A1qSKRYrw1elIjQGzrQ9toHuE3aCg30vgqCdg2cj9QQi4d9FMjc4F6z5Ch0a3+SaspZsb rE3/A7y1ETTqwKwuiLkMRoclz/2TTsr3wJ9jzbTWn9eGeyMUYQjR+qDFF+amf/dASMaz0ABXP18R IisaiJSnPzEC+a4X5occ5EyElfXREEZwZHBzBlwdEmHHPZvkPi83OMT/zK3DsmszxlqDts18sZUG paI2yscsg7aE6M0wyWdgmmF9zj9e/C8csstBj8xHXr6CNo9dBhtcMFlXB7zSQ6La6T3jOXQB1rEo gbWaBqYpkNz+m4ZXNbyMhdQ3SvThpOKv0s2QeoKpjRmCfHP5FuqAaSe8eENrxdVRMeWOlIyJBFwj e3TlFbOWOeoSvKopxu4UMvW7wUful74ufEPymIY/N7qqiuH4SC9qrAKaY1OAkllunwUkW8MEK3zl SJIAniV4NifP/uckJFmZFlQg04X21Fb3d6pIfb7pNMLp4Hq0kNv6J0NRHKIPlTb7fDu8H5tqqX47 nnhXi2KlHl/8Uthu6WYmtBZCWiDCEdH4regSXiNwURhSmyPXCpAf0VFv8qyPyf7yiC7vEBxIinGo BW79oEE29y3pzUO19YZsgkGCDI7iGdQqxQLJoLZB/ye795Qzx6KkLo/68j4Db/KLUOqf5pgSgX6F keWGtL8Q25l/qS6dzGzK7eGxeD207SmcNLiboRX9p6X73RRoJV6VAdBJBDQ2Gy/Ru3ax4dI8DOPO 68KFOvDqIlbAUK1Se8qG/8Qndc44POpRjnlLHY0jqIOBlQF/hrya+D+LiKbtq0KLEZZnqISsdxg7 Vb8dw1x6OgnCcnaS+ZBqfYQjkimBbSKE4o/hAojGY/DPxJPRU/VGf8inYPIJPFgLNO7wrGGcbBgP XCuKohfTcnG5t/fH5FkZLhnUKM1mgXInDBRzBk6ZQipRnpWUWmdBI6+eDbVAbxpRK6drsrsGpnFM AgLDYrEH4mAQW+c4ItecoXAVrbmCY46LP2sJ+nc0EiSToMcfU6nBZdOiOwq6BIqYdEhq1URPttT3 0WJQS6YycOxiYbq+fBUuh0lEEM7q+KMWe7kuOuE/h9nqc9rpGehXvzZfiKdXjKynLpwSFvZoW3Kj zep1XQdv1gsM9GogCoT5gE+mK5eQXmxdXJkjLrsOlGvjMST92GUHPbr83gUewGrg8HLR7+jmXKEW u/XKmAYRyYSyPGHiaJiSwdaRsSauYt+KRLZCHivXkMI/L4CaqdCmz2jttV5hmRXRV9dHwc9zDty7 PnyMSZ6IMnwympVU3ZNxs8j8Wws2CbWIfmCunrN3Otu1Q4bBfmjf5lKMFQfKVvpfC45T7JAOUAVe vWi+fYUFiUTzg8qZxUkF6W1dTs32QJq4ANgUIOz7c5fZEVcvpRSlZmWw7rZhXYYejN8fHWQytlEJ k9XWykfAzQ31QMaN9u3AQd+Uc0iEYGBn9Yymtxb/Uov5hRcS8fbW5JovTn/dlkJtYwEta2VmiIrs PPCjqJtgvoMiNz4dDiaNuddzzHdEWhIhE0ctBdB3cMSySqi+7t4SrohtDYPljCkNhAIeMlA0iIZ0 LZ4CAGGQXqQHhhMAEfolWkyMURjcJJ+fNIEZP1MZFo0/xG9sNCyUBsHVWKLfPzFaDdJnKUl+F2MX R4Ue6SW3xbPsqpWFgxTurJJ0wZjxLLvsqerwoQqME8Ac9P9GQ/4SCKofNTmMT8LahwqMUkc3Pubj VDlaOFh7cGZhTV13sFINgRMIX+fLPdNHxiwY0gXLXiycDxFonzXQ8msnDu0GHQ5nRIja2SDNXOxe j/uyuyQNx6mpMg3TAxalK1jkhUqB+nGybMOoftpjDMGRFqTQfP437OjfwZghljpyXQDrY7Bzj0Bf VXXRdcZOOKHsqxu5jRBUzs6FPd+mb/hopdFagr00Jg+y7VKnuQObJgMmhHeox148kuHLzDM4H3Gc y27QOnmahy6guBh6JH6U8nxFS1o0ZPkmtdLwro2ogVssTq8qOauWBUCIJt7+qHXo31UHmiSnZBqV bXwmNvgHb0ksaihDmeFaeG1Nu6v2aDMi/oETjuBjOxKmtWn0+gP8L8CH4RCnGp7RLyduYyBBPnJO nr5u2PKDme8syV+QaRM6LSa5qvxlI1EzE/iUmbpN/CqYv1pcMnMA23tohplbAOm7W2WN4NLMJbPl /D3ED/2u6qtHEvis7vn2IpaRkShW+vDpbhMLTffA92ihYDXQfQijz95qXJT/v8P219WZrV32WYLx XlSMTFYOKXjTLdqutZzEOGtxyUvT52T1ghJMvfeeBT/8/D/R4XGP3ay09xK5zBAttJ/GYJp54Vph x4hiXu8/YIeV4pmQJn2ygjLrDcZvmqHh7pq9nHN4/g+m8pEOS75LIb3RhTanWtBDjQftn1xHWAG4 L5FMi5LC5LYFoqQ8F+zJEaaJZ2yxltED+HhJQbJYkjuNRgDCwCE4P93OCLg6w/tzXGl+PldkxtF4 3BgkaKCn+WtRfGBrnQu2i1VQ7QxnMcx4ahjYPZ7f4QwiiuOsl6Epdr/1145ngmnuzreFd7+3CqJH jaQTM6sc96nIaw5sqzwwPQGHYUXsz8LNvayIAgS9O9PqH79gq6qmAx4mvl79rA51XaGjUYHgyx0y jPIIY77L133ERSbgp5Hk+wIParWWGUkrMANBhesi2gKH7IFhBWHl3GIbu1RN70o/krmvlZAafdj6 NuOikh3cCwuY/w4JJfnz0HGtH5CyeKIGYrZ1CQMXx0N4gIwE7XtiNXol1gfEwIxe0WFeapjLozSd tBibPXu2cvLVjBjt/wcdly9bNQkY5IwrYc63T0dqT0zKL4n0YQuynjVRQoBTwLIgdSgHe53RNf6U nBHXDl1E51bnnp7jcRedbp45sUpYnSEAaY3vG9K5TnXxHwvzQYS+3WnfoFbMAYesovQGly1DzKRY Zl+ZOYSaZ1XRDuAKuekI+iHVy9mg/H6Xptq3riBPifLu8MPrC9/nyixqcXAR7VU4amOP2wfXY1+z gpQVu4Rw+TnbpfCC9nJg3XWSB/7KdneBMNn8JhgxoCDSSvkt/ykNxug+TeyKNecpXuHJyh5P4nmg db9Lo/NyQf9kHqSsfBmgl2ZtCfAx4yanaSOaVwwO9BKlSFYuPom+gCqiJUlM6vEh7yzNok6NXuVe KH0T6bnqSZFiQcB6ylfyK9+gnaosqSYxdBbx/LlKV0rNoXVRDs2WXT9p6hEW9T+DFxuogP/+o6A8 rupy1hcLWMKhIZ+8LVKhq64qwJ+qIFJN03ep4zZFU+NyOzxrJoQvvrlC+VovrcE+yzJjY87DU7n8 rX0ZboKSLICTYg2NpcjKFeAnZNq0w779OvWErNO4ORa8SWknBrejeTLWGw8Q+7s1RSAjE6DTQbtu Evtm9nnti6Whz2Mlk4vtaYmKUSo46dsfkYOz8lSp+BfhmdkSVzwNnuL6pXh60rMyKKTQCA6PBFnD lryXRlPz/JwYKcs82aCQAYgiCmRb86Q0CLKW+J4TRsjbXbZSH2qPD5lZRHXLZPym5I4NP92U9736 N26vBMJVNoUqsfDmbOUa86EQ2JJYJ5NN1es1AlOkuHnDrXxGhrmKIhGWQ3yBHPUjmMGFie0GjnIf PNF5N4m0fkTPyyIz4IdhBcVTOQi0y+ybrkS8NGiUMIe7XOkzSmHI7wmPdhSy4yESLLRvPhGpyJIm F94RYNEixyQhPV8Pw891lPSj7bhMzwUk32EwAot/1p6gbn8MRx8U3nkhqiv0P1GrsurFlNYitr0G RzBvaZXb330G2CTGFiVlhqLR7vRlmtWqaBAVtX3YHLtZ15dzEvuoWa1maTCbsGFr7JhrzNTiaQ1Q XD0F3mOa/xvJthNWgfxhV/MbVFC+2QYe3q0kzzQ4mfcnI7KQJRsuMRdNRnmETgMpZA5SYLRIvk/m EQXhDrfPle9EVkvw7FVio4y/CJi4rja5aTMzGDUy5lqpp8bslVI/F3WjN0T1OLson09C03aq0ho9 TCX8xms7pOz+V2fHV/AL/1+GFC+33LcWgNIcb0GvwF5IwN5g/6OIrnS5Axxd+iJ5NCHPUTvkYUx+ yBYmKKxKeOicJyx4fMC00t1m5iM/Iyz8b4sOXraPzizorXmIghyweZPnqh3p4NzTs5x0eAWxEa9i fcOyGBJuuMt3t9bxn+JIVDSIx9WK46XbceDEiudDHrrwoWth7EQG9QyL/64JJXOo5x/7QZ/yQa8B lKtA28EUD4ZUHM6LRhTPqtTHsayyN2BGy2Yqm40sGISXBzY/vzbTOpom9xZXC6LqujZ+pYIdwv0Y NmIsVtVuihApEG3NTJvvhbdI23Nn3uUUSoI0FqpxXgK3PKVyxEPbJQEBNXrRV9fIzwbTMgrLShaf 5WzbWFhoqEUszo/XuiF2bRBl9fIjtpoxm7AioIDF/Uc8ri8HHIGBlvVTe2uZ0vm/z5xn9WDrXtV7 xn5ozkmuN2DUg+8nGUUePzxU4iEREvDCSbGOm3Z6FBBdDdHm5Xt3ZnrVz9/bc6vDUEB2t27l0j6B GyWkWPbThOaE3LIdnYhl2hZJQ0Pfmx6tnbwmkccN+ikZ+23oYWgPNILsaWRdbHw8JWHOfCxgN95O Y26weBY+mtTRzd5pAsFYV79f/wDMN7EgpYBy7PFQ3cv6nC4heWoFLzm19A1Cylc6vQGZApn0Bq/H wu++cshx+N3lVLOkQY0El8p70Cs/Z1n9MFbcugkIU69l0MrTU+vqqqVhx/NQCIFZqo9Jc9YGjIb5 X6AW67TnhNPND9qZPGqjPAyVDsm9jTDMMAK2RUIRu+RN/hKnYSquln+W0iymiqpZt09aFr1exyUO JTUC8FivEPbKyydhpqiPG1hzqu9hUT19W7WJNa1FxY0tdyjHy60d/PiDKdBfA5G/FDnUFxQ5eaZv 3HpA355Ef4yNIdklsmHsuzs8gBIf5t6NntW7ztVwNCkZYzCSdcd1/D+D9Eg9hlnOiQ2P7SICH+zm 76Ooy1+YN+evCzxl0F2uKsGz553WaMbbAKKFLLXu7Lhu1GunO096RqG/QyozE2bj6P1rcSup8MO9 yGjVZQjSFrYRnUUNz84Xkb/qmv6gbimbOjhOVcQ4lPKpp+r3T92IoTMLzEHD+m76wQ/0crP3KWZh 1INEBlZXmSqNACBiJo/oHEtVX4UvE0Wmn+XyhNwUvwb6YFQo9o9tHE/C6eEUrbiS/fwwNRonCQCR HL+9JQFNgUuAp0QfeVTzZBcESrFIPrT0zGSwU38swfbH34xux9+T3TjKY6W+zCEMMJKo2m5vcCue KUUV7Z9IxKuoEVCKhlTnQjNRL7IJGhk42/XIRnGckWAidspFR2Q2DyU/1voFzE8C1ImPsBxbLrc8 xTBQafHDQzFf8SabHFhwX7x6gV7LDeg9fnRjusDdX52NTL0ZCJGxIv/7hszff0+hk+LIVqnGBM6/ vVDWs+G2zMnCg/777PTXnS8QoC0oqyB+r2dVlYRc/mN9dWTYzyKgN41Bj4VF8+BTNa1PxZ+HFajx 2c7S6LLOtVHGW4pB/Mjox9IkhNWCVlqdE4uRMqhi4wfworpVj5TPY6gAhH8ACO0Klp8VQr7XLgGZ RXwPZ0h+0B3DJ9xdyoeCSBFhcALTlzP86U2QfexRmyLiQYLkdfgSFq896rOKPdQoThgK5NDzDaL/ HOlQlUy/g6SLDwl6J01gmZ4CaCjodCJAGBoXG3DUf3jHZ3R10S32t8kmvRwPkjRWRJpe1Te6yqZQ bXQte8PVRpHNcModZV0IKtPCW99bkSQ76Mt5LW9lw9Ix298cFYQDd11Zq6JfrmRcsT8l2sczooMi 78HCGQMiw/U7EV5otR0NvQgkABo+vn+ORDtujqBjTr/gIsS7GLrAvRcl/XUwL3Vn0CMYrnfgoV/6 lbYp1VNxug2V2OiHq+/TIs490sxHCJ2bAxzVj3o2G65e5/OJWSwjKt17ouQE/04K6SkPh+M+oQBZ +5KpCfQUNUQnIBKPsXHjxTgttmYOh8DM7qqfJ9DRe/rXQr0S7sSlw5LUYQ9ize7a2lgXtcoCd6A5 k1m5baXzTr7sZVLALu6S/7hzHmjQp2ZKwtIOKFlhfe2w7godoS8PzTTv1spQUhnNkHW7W5oh59S0 G2pMSO6Xt5zHtaht7LZmtvqLfkn4NmA6Im3twoyFvpRAmdPDmU8g7gXTMK7ehLbu7ngqiGgG8j7s CTgbsdezYeA83vGcf5PBV8MpmAgJfpTfhWVDoGTBeVYpG6GSg3t/XgnWc1bvQDkZcqnl/9Gwg0sd JZx4V6QFYrUAl1GNdx4P2pQ9hPhkgoZjHAsxfnC/sXCB6AmUmcTJNhvhtc17yMFE8jruiffbO2a2 ZwZ/jJZIgIuUWppoZKj9zcXbGpYc4OpvVfFegKsDT6rcRaOSr3KIexx7yjUVgiTTHOSNlEX0ARAj P5ykvVRulxQAH09mnQDukcY0Y2Z0P2wX9zGNUmHNpD0+s307yQL4805Ss+sSxG2rKrOYMZP1Coqc WJrAmJYkODPLv1lM5xTBnuSVCCZA4jkQfZIDwOktWA1YQjDxggId4sKt1gvuIOBVq3aFjL2sit3g NIbalx+L7ERhuXGO0jiis3SWDr9GWFgCCSzI/F8exvNF2R9rfr7N9AErXE1/3GCepS1q45vecE1s hql0f62GrBrTkpiCbYRC/vp3NwiaxIoZRYZNEQwVy+Fkkc/tpyEVL8SCuo9aYPu8Zh2YkxcdZr7w mVFTDDZDpHRFry9aTae8fXXoAM1U3WyC0ZjOOUH33DHnBFRZTdBVuhJrhRFQP4+cgspOLG9vjJAF Pdla0bsZ9ww/YEGs5Q/oG8L1XtyLre6qkkgvOhma16Ui+prphTzCLvSGsQsMzdla8RV0QZtnPV5k wHdGKtT6C0B91t/kK1IyP01x64wk8TiC6kQz8BDr3y5osW1lM5j555zp+emVBFdQ8ooskf9M9RrI of9wNn7oJ/KlOvl4bwgOhuMjf7LOn63Bgfoa3yR4bLZQBFQtYfywpmTLFottNVonXTnLnGY79aYX YJMi7GMBfwc0xvyFMR4EltFyg7Jyl1h2zpvAncaMA8S7xUyduBai17O8bSWTZlHtSicV/o5CcS9n hGODGg53eXIxyNi9zFm32XbitkOPyfy21XqeXf7FKWFjuEkbhsgkzwRtJOekRmT/wQECHzBHQYQi 18MtiK0vi/7yCihmqvO4RQFw0ije8IG9hBv/G52xtVxOnImss1dPHCLOh1R3sDvVM7Ffc4orG0AQ Nz1ms8G/1SBZDevujkdaiCMt9QCj0cA12qJFBfwRJ3wD7dd1oIRloYFOgo0+oBX1K4wSye4I/Emx XaDfEtS9Oal9JvNX452KHnlkUN993i7fbD4UIsR+jKlV4+tFmijmaQcstUzSOqgoasWpPzX+BB41 x+SqC8CTf0FcG85u9uJqJYHT+o5yvk86JTJALt0kPPw7kcI/LDdnP2fS9yc2i85tsnfojldVuIf1 VJx/dbC7iU5aPqWf0HK+cwuzj1deb+7ZZgjQ/ZkmCZvMqhVKGNnUZ8xkm1r2cAeMMaEHhKAqZA4r flARxfFEPnWQZv29CEBeKPW8Fy5cFvrdW5IuxUEksyeBKlWiuHHYDlcBvZL6wO1cEb7EU3ln0j/r PsD4Anm7BktGHqsHAmvsFyPq3cU5S0n81bxmWZtQZO2+hz7LAQ8w+sIlsNqUghrHaRUwNWdvmnbF 6gRQHYWd8K+7Wl+SfeeMY+vaJKn2eCkY4/HzXjdVyIfiFJj+K+/4qqdTPyGFUDvPBohxkmiLcbKp +XgTdHAzok6x1rwl162tUaxT/RE+fOZvt1R1N3kG6/tHBBunfpn6otbjrjyPx+9bA/y5pvRSX0QV Ihxr3agj4Hebf2aB6qks6bEdTDWkRZBvD8dP/R3hyVdCqBPdhKjkK3aQJNeCwAWw97Nek7QZqS/h Az0GzOeUy98pvU14cwZs2uBCv9FhS+A8SSSjpuRolXwadm7q9WgWrCg/NM+cYa8blfyf/HL4tfEi 2C+VpxY+NhoG7pwMvuEZvGCMsCd3upM7Y3T9/Py86Dw1wxfFxF/uGmrAKXX+zFqVAyrOgALyoAcy wVYhaVtBdx2BElz1rqnmQLvG3wkhKYK1cwQdQa3EJNhe6gQ8Qgt8OS2mrFplgUCt6bpYarC/K42R lEJqnBLk4FSFEN0sESBDXLRV/8tzkj8fbp6pOz7Fp+KnLOU48MoTOmpIAEHYHRNTPH2mxnnUdqss 6r7LtDwIuvDRskNoWEM2KKsZyJ+ZSV+Ihxl5rmNWj8EBzaQxq0brD0KcoyoVf3HzWbMgjFm+BBSO q9+D85uMrHBy03K0W320ODkLuojzegTG4x/4VJJPMnpRrBZyMW6pms4jaijkOhgE4bMUqgCQy62x OzDyzYOM2t++kozTKBlukDPY5feRtPEriqksxd901vojL5uVRqoR8iMcWhn4ucFCw52tXy/5hIfZ 8+UBCHurZlz5J+eIAWoiKrH/0Taikca/OdE1m1YH0XMSnCl2hwbcJgHBTtsmAcxoY+n+5+GxyQ/e gQZ5MFhUk8TbYEd8D5NgD9/eewaVjPcer837APEIPUAVeilGTpvsC+6432tIO+ykRPXbv9smy5Ls h8AX+WhaUpOINtAZpx8ZyxcgQPRtfEAzpt6S+C3o7NMsZvFQMPvgdQhEOy30U6xHdYlsPcxE+89C 2Sg2ZCMZDx05t4ieP9Hfldq9xLIYQhgF3fWGLVpO/roqggGaQvvNQ3zliFXl/6rRmErjhrp4vp7p fiVZ1ogJKvQXbm28A64p6sU0m7TLXzr/TxJJO6wDylwCSLyf3x+adahRSmLfuEr+I74uO83nJ1ix RQ+4/Kp0ndw0htE65rHAgnOuzlSYHzL4E1iN0/8zmbg4xyTulJUXtzzxI3LF3UgO87aTVq9IaFi/ aHMYaygXt1h2iliq8vE/pP9vpd3CVVVxWDs3SGQ0crIMbi10irug051kK4i1nRdKbbHuxfDChNl2 HZBxestjYbZuxDtzkuJ5DngWc3PfxaIBapmo5Wpf9Mh63UFS9NmcBGo+N1VC16QbSkngIuY2OlwP zmgtSixximdCd8CDyoqMMT+Zofv67VJx8V6GtwZIj0W3+mQhLYza6qrYDQZgSGPiPFDjRuChJUkq 5amzsmvkLds9XJi4g0kijhFMWwTOiIgn5MpIFK7+ljTsi14xzifgqHiAkSGwMgDDNA7e9ga/mzeh WJ1X17x1gGXO3fmtuM4K4yKDkmIz76ITW1cwurgTIJ7lo4YTI8tgWOy/OBWqG+2/Dzn8ibBXr9Vo E6d4WfNrf2z5QerQYqUqlEUHC8gF4UuOtKk1hH9ixnf+9uGNuhzvJiNBSZ7zydpM+Ic0OXq8oWMx kveerQLfETvSSg1K3My6m+IlHVcTl7zSwgalzo9PcXA1JDRLhtSkAGuF/vo5ojihAikDZPFEF16/ vyPsHO2F+r+vg/XkgETVv57nOutzGXEsIPbg7cwOuSVGyHU5L3VVtidtL3bzkr0dLwZUWqbUtmjb XZ/9tGR885oOpcShqz0ZwJ3sjK7dlxrtmqfpL6uX+ZCATOJQrIDIExFweUFlCKUZlBvjP0wTCK2v tNGdzXJFw9yBJtXMPZBvtiDLI6vG97ZMwI89QEveHnAn+ebSrePOwQp83nVXvL2Vil+2YlnzE0tw 9ZSdtX98GbnlMco/Nun3XjepqXjFnEYe0J/AY6IZK4sLrayXUTyNLAp0nJj0P4o+kAUrsxAKFI/n NJrzmNbYZ8IyPNTvbLLQG/ytn2HJvfwNTMjTbBeqBSUus7/mJOmcnPYzzsrZfXTo598+jfcFgTtW i6LN+sG2XCxls1tXz4QQH0EqTOLXPXmhbcIIWpYUJAAR0dvnP6ke00KyLLakyrSho0269aoWnzG6 2uE8T53WEDtU5KdkvvhJl5qgW453FOvAdTzxKglSafvVdJq+DFZYhDiUpIMfBdh2w86L7hzJhHnk ZvR2uXtXSCb4h/2R/p6ArvGUYHa/a3u/Xzlh/oSGF10WuXphtQqGKGU2yp0afwgWdq7Mi0PPJzOi rJanHPw+SD08mLpdGwoeTrW2IEc8YvlnyBqvUBTflVzF3jhrNb7EUS5v7RbjDEXRgzAAApNy9+Kv Eap/Wqr4oIgd3ATbt8hIe5UqpbJiGWM3o8GI3a/lBaGsYYnCBSwAAOIfYvOrjpuAoabFlYdhxFtD 68/AjGBiS21yPXguAc3HJMUs/bfli3sUiW6S00yiZ7oyTgZR+RBgRJ6AgacWmE13pSFnogOS5xN5 BHfgEa7/97ZCkiB4eq3bkWIpWzsX0wnZgXNtYhqqOkEbtsZUrqWvZU9FePmnQscmSSbJDRenb3Fx Ws8eTX0DQJ5d25w/mA0De57NRXd9JPsBqzJoMqkocEtq/c8Q5z5aqpijqkPgFMgQYj0AaHVsOwAl +1URMnIMB9dUtF/RTpN66JCk9oCh8YigGizjJT+rGzrWpQtdPL8a+aVkG9GcHKWinldQfE0A4A0E MDl7PgwGTW5un9DpRNOpyLcJ3EZH6mk3f7asTReXHqtd0Se56nZISf1fjtKZx95TtzHmsiIN6t/B aU9EPnmPv8iJxrLMVgb+27b1DDhnK3e/9L44nPGtWkQN5TBEAXrszCWRNCW3CmoMnxGNw6e0Vm7B WYXI8kJvDQdIOd0j8zMCQWGEvregG0l6W6mY5IRP6Wa/uAEQ0qJyg/5lYPm1YpzsVg0jfl8zRD4c fQ9ggQD0uinrsm/QspNUY1Jb9B+nLFO6pyE8phhka4+g77O6g7AdyHLPRulcVNl53w3E/QdzYKmR 7dWT2I5PfBtUUYt9pK9+m+DeX2HAW46xmndWD2dJjZJ5gOG95rrNlINdpv9A3xI5YOPSPLTKUvR+ GF8oVbt2gkUmcYdQoD8EE83+0Hr5P8T7bs0Zy2A5++M4fJ0rpKGHTSjYGFBqNQ5fembDSifL5H/x IjKyFEiZLmxkZc3FdsspmgrpHgZE/YtqrD1pbBclzG12+PpCJQGPv2FoS+0WaopFNDJQGfGMB1bi jwL8yo5cntjtAVljjkYUXm7OxQSCoixNf78LRmEhwB/drzqxryaJkx+2RVYK1g/vMzwROVHiHtFb +4aaxXj+c8E2ej2pavdsTiPXpCPHsK4qge7VmyuXcXQmYMI+C725Q5fYgzDjR+Hh2czPMYYvHT5Z DauivwjnRNsNLzZ/5RGiQ0ONHvSn9xst7duBpPtwS9dFW54W6WQQ3gdvTgMSlOn6NjHz3IDNBlH5 PDuSLxwM64oNwhBuORuYCRHtaIErrRr6l2WpffdbwRB6uAQ7Y5VINbAUsmCmb7VoS8dDP9GfyXdO luQ+H2mdJvo+f3YyhS2albAnufaVdtUJq8KuceHlNVwPb5aE8O6Bw1J+7MgUNsNy/lGy1dCdBIcR 4u06qReujyUyavWOTl8ZH48iR7YFrzediwVGAhoCtP7BZNnnci6J5ObvZ0lnh3Oiv1ylPk/kis/f GOWJ4zgQawHuh/xtc4g+XbYPkwT/Bc/uRltvjLvmuKvrPxd1qmxxW1KJOxlDMWvn+O+Jo3/dM4sS k5azeAk4afmeex5UwlGyZL6PDbp2ukcq3yTBKOF0DSBEXGf5X6obZCZF1p1MKxdZu3ijWVSMSsUx ammegtShVP9wonhlPANd5jwouEIj557CQP9h2Xjm161+IT4dkNx5yzhu5c52gHo1Ot0cvlVAZBJO XqscGvbp5PMLps62fuuwlCLYgcr1Z/KKguvLxQ0+Qngi+LELtQLpFkxKWLttx13Q8/TmI+HCL2eE PD619rXWkC2jyjY2DTKXorISnKQK6UpUISZnxM6IX0+WLNV9wDHF9btPvynBJ6W/K/s/WObVqis9 Tb065EOrfXZwNdqeSR2nSBS6zxAn8lLU+4lqDNZ5FhznJhU0vExKU+lbpqcxEjwDLAjUgGJvvoYo +RH4MZEia2oN7czh0CC48OGze7UOT47zTdhECbYkgmeQ7jlOpUUBXLFHZnzLhaur3ShklvPS+4bo usVFA1AOVWl17K28rM1wO+x/UgXI8oqmGh9nMX4CCraZq4T7Igxdm+jlWfUplE52CLSADyj8DDU+ yA0tX+i6mqsy+hG/anwol54ujJ3nx2ktItEviSGYPEg2iwMK+UFJZkFF/Q5xRh/VjVVLyUByeq7y GXrmZuLnxONrYz/ZWU/RigyZ8kVWL1Ohvrmg1eLSnW8nMfYlTuurWzhJ5ObuPVKl6wIhVhJc0Qh0 zHozTRinmPMH1syacIO7V4zSanqC+cSxQVHI6U0BnFe8uKi3MhBJhvX8dJdhmKRlgQ+/FExHP+w2 YSXDagTbsVS2RgQE0Xc7Y31+jwPTNA3xzNvbYg9rzLnTBZE6MHiNanzhtDs4PFwWg7SCdMTQ5+4X ytiK45beW2O1YcTG6OdIbquAv9vs/hssZXeEJwixWCM3NgTiKBY14l2H0eXK4XEKUnBxjqvBofRx FviwKOSVLfhKzV3JIltBo0GSM4vZqkG7VvKgjhJL17/yCR7TOmj3nYvhOHm8BNDkf9HebMwYz+m2 ZgticbOiE7DU0uNz7ai4ve1CxP1tZ3pnm0H1007YzWVc9BQjQexHaAi3yr9zKw7B/7vVXaalEvQ9 UtF4SH5Uciw2EYFIRgwz+sZ/8wnYxoRsfivAIeVSSAmbssVNYIH7hLx23hWsuE1DswXKEhOPDib4 p6h4ufOkZ+MTuNmLDMR9pw1MFNMWjR9pQUqoO7xbVBLNAzt5c/KCrBZkCKB2EByTEepshPFDU4IQ 5S8HtpVRCkDcevRUcRFeTvbiLeGfuZHNtS73bSB6YPDZLwp7jqCmeM5cq/VbEtNa0IRpsJ201kB8 Gy2C+tcfsR8wr9PLVoE1KxCPsh/8Fht6tWogXLDufYm/u0U4NiNCtIufzGzeDFYCcCCrRkNdF+66 63pRxneOGC2ID9ybBm/3/j8wdMNK9wi/Wh3YW+REuziuyXii+HFIaayO5rXax7aQbTZX2nJg7j+N QTXZFZO0dEJW29x75DO6i5JwNpanlpzgf0wr5nVQD6SDXGRV9+dlxpuSlxhewJeIPDnHD1bVnU63 Rxx0KNv5xNCN77mEanOCLsLfNloE6XVeQcw2tjt+zPLNOH8uQW/bQdGGSLh97SLA6511CzkTbXBC nx1zgQn5D1IMbCJU0EtxSAxHuqjQE3ndS7+OcSP0lGMSbdt88hPAMXdiASdghsHity6aL0vIQrQE cZYx3dsF9umw1YU160q2ElXE4ZdLOxO0XvaLMLUIBYGNnb3y7XQgdSeU140J0HYam9NK8kknN6k1 w2jMFRg3Wx3JAMNrXIznMxkjyx5zMNZT9bAXsBE2DOuYvtjO9T26Gqvg9448TPaPzY6XAun4H2D4 zzEVyZLOpKIlWkjIv7UUAkBJKD8PDsAvhjWrPxgailQGOoKO0uPeNIRjsmA3Ket9lOfC1WB/mgP7 KqGT6zp+TxphVTpBUnd5Hkue6Qz0aC1FEEFUJYo+tUP5Kg+5FRakJh5MJQ7ndP5BbOhixfOUUmxc f3OHvxSycPBIiZgayEXtWBzfyw87sMbc3itZKt9djFu5UZxjcEvQkec0i4Eb3t/M1T89XPWsCHK0 3lpE2zfF+Ey5yx4rNeRmCEdLDSRij4Ble0ekSyDGAiqtMS5EdNLYucY6+f5QDEnQbJO7Jox5f/P7 Szv340AI+KXBKWquTVUAiQwCOR19sGHC4W5WEupcDsd1q7peyxsSW91HxRwOIFcIfyRpms7TRWJV TWD6NvHBfFWjTx1Jl6sPh0XtIKWilOACyY6ExybZjqpi7RzbyT8MAM4zCs3wOZajsdS7nY4Yr4Yf dALo9fsFj+6OhoNyfDl0zSewqT5s9s+2Kz78+hfQ4UbkKOqDZ2dyPJ8jjewOAu9Sp7D7d17v81HB 4S0Eg1d+9yo6OzccvH2gH2nLIwdbkzwCv+yHocUTDRoGFGAkOLWT1+TQ8Q+Jb8mv11LhyRhIYv6D I6WLwEwmLXjISFe3xLfum71m02f5UCT7umUqQsvgylEx+TZUbggd1BKbVgWCYIux+U0lNzVqyB48 M/CcsYYYD/xg1k/I76U6IEkEwLUlnobow5utaCiaCQm+OZzrapyHqD+Er+Y06c6enA23aQo8l46j d0vCnKk8BzvUUFbPmaMWeL0DRWyM6fsdIxApHM6HpWsqcYioAxRP+3/l1QTTBExAWa0P6v+XmWXz hUcX6eVve29f0/mKrkWhGLw1fwaIlCfn/SJGpCHB5bG5j4WUKH5o5UqAmJGtQH1/nc58v9FXqEn5 kELpvWAVorvgmTajxVfy0RGJhyzvASsWCgbxphDKnFBgzPtEe0vK1ygxBY8ohBo5KtzPsd2tSbyN n3mVz5WbnuRV8voERuADupfFVBMVQS3lecp3KqNPHzHQHe+EVvStFa4hqoQvpU75N4xEBa88Ml3X UO3t6brWtk7ZIH/9kIsH9IZ9jWW9V8tWC4Yzg2LKxkEaDUkXuELGe3YntnjvBbXc76k26De0Hgk8 /VxwmxvAnO3njFW3cat+JrYesOx2CX8koWEs3Y2kZPXGGRu9M3cCaIS3T7DgEXeYeO0wZLAJDoIg 3OiA9F/AhiKMQcO2nA1k4yTU0/XG/f4G+Od7bAYWeqRA2YkuZzzC1QYYpSjOJLK0kfL6nizhbVWP cim+OqokgEC839sLVLeVgSQkjiMoQ0brDwl05ADSohiV4w8e2pkglI8quernTEkczrWQRxMPGYE7 85dCQn7oHYcmsiv5KuTMWz4lmRarAbwiIxwjmwND/egqLBkf+pp6vJmHDmX4rUuFL3l3PjA+PoNC Jyp6ss7gIiv7MRNhDRwUlMOKajyK0NZM1tqvqRrJelC1sJY2BiRsmVaL3V0orHIEP97j42c/z41m sjUW2xYHa3uui6hRGj0ZXmJUJMxfzQ95w7uShU/rbkkdjoqvCVqrdnUr6rRoRUPVMnGH7bm4HeK4 mS8PtSgWkQS6YN2TiDHyykbF6kSaxlLS+OxQOvl5LkmPCILvJu3KFkSFVUT8vGv17zEAgR9jYaJH 72wbFKTpWsTc3dIbrhym6VGCTAk8//1yL4CyJbxEZJvhTQNW8oiiNaEG9zwF7l2lO/HfyA12firA YLF5W2iZ6UDsrDEfxdlYkDfK92Axw9Dv5s5qRgq9h64wwEglOZ3HmcRZ48fXLJkaYExwmIC7grGE OAYLpfSl3RvYxmvkHwBcuvU/pqrbeIOQpnncvVxNyvAG8yGEPnGEavMR777FWIeiyPqyWjwxSa3i ZUIlVRq6ppv3oVc+AgMJEXuNXJLAGStIySN7vZW2yEXYxPupTWOPdpGA4CHpGb6IN6ZqDwvHl3Wf IxH9+zuKz2wYdtiJ5sqVnbQaOudRjv23u6wIjE6/bWsocv8XJXtYGisoaNsxO8BFULDvEl0ssLnF LY7AbqKRMOR4ACNOOUUqNVI0nxRzi3zsVgbQaPlTOKHupAtjmD1LC6/96GniwqWgG5R8Q6EL67Q0 x41ND8qCRyn4rc3cKaCDL9txT7KdYLG/tXWWEaZVx5CYxy2MIGkysGfyzW7sFGOZh4q0bIgRDnWU 4MN1xc0+5PC9DLO31tTInxP+1+3FlDfDVElgypnVLdLzStqDLqClx7fo+GJ7ZRPaRTnUzqieXcO3 JTu/CVLgeP4ADS3PtjjFa0XDCYerPkaMjxZHOLKnJRG6MP86/0M5vWmflg//panwN7azTOTcAGgs fl0VRPSvOG5v+Vy5Rsl+jzqkw9bBzQSPq9Z8Oxdi2/4Ra08an+844kM5S9lODBzckpW472p3/lWh R+PnlQITCCGDgoDSJvvuchLeKpsaQRb8/waryp1IargnaTrzvr+D9R/oJfLCpSsfDNqeVjPY8WNI VaeHW3oeLXam6pTMatB/9/95A0ueN/nDuV0eInsLRemjJ9UNaIm5z2qWwPZbQU7FcA15XcKle6GS 3vBhxeeum64wLusFY2lJgfF9+d5g9EVewUzWt831TWYHh2pejYKQcRedlrklfPe8eDfedAwCxxQV lBcfQbNTQM4XGfSH/BNd0Edb5Ros/nzS7ZSO8vhS2MkQNI+LDhMaGDAeBCR5M0qAtTaI6suRZAmT lwFqnZ2IRvcnlNSxRJVLFiC2St5O0iAFC8XUfBv8Hi9Zo/+pMCUQYDq05ZfLU9Xb/Y4UvHLs4gLO Bv1b2pAAKwACHZeHUI5Ad0JReOQOwyUaVUMV8yS3CgOoqO//u1ldpKsm0aPfwPMZzZ4V45Iti8AN hNoW+m9QeSfaZUPuTZ3u8VEeIsZ+sXN8dIr6Q8h/aKZbHJ6SVEWNvn/OXX5sWaW/moFOGGeZTdzZ 4x83uJITFydjCY7jWgy0uza/YiY1MQZSVm0ENCjK08PZZ4w3ZD9fzHjp9YqXkQesfTCyoMdUz4N1 makHcSn480fB+zFjxLs659mDimy0fKcUu7WMljP93YsB+THHI16VrsuFV84FDmC4NQrx6H+9BYgI B6iqW48e+vfbzgMtoBmyXC+QyA4PYodc5lUYKJXQfJojztAZMecSKyH47b7oiHLsZWCBfcIIXJMF ICeS5gZMl67uaOQn/yXJnGuWR4lEIjCG1YOLbrE067ImCz4c9B4P9GYPi52dgfjba49XSYCD1Sgr tHYlVPSPtmRuSydrJlzU1orpLHHg00682DghGsN8+nCkop2bAe8QhJ/Y88SUxjWUVl//gzbBtFMA Sgrc0RyRk/bziN0lLHQ2JSIANfNNspuWElXHV+F2NKB8jSHfajmrgY72XwEzWvqF8hcEQCajZjbc RyqsQspnaIp8PnY2vNRpk6iCMlrpavOVuC0ANV3S1IOq0wTY8wC3oLa4tyICzreG6Nx7/0nGUmFK 3AOCFkZD5z5lXozakheCUodn2hj0hPCAt4lJde6aRufRzj8i3l2UsHhbr4rlVD9NvfeH6iwHjrfq STiQrv2v4MKv2DK9ORqASWJMC9KN517RrngAw2/PvB3qGxYiOlGEWFJyEMBIDLpU0FNoR2Oinrv6 FRzGnc96i7b4SxakAzZ5wuRFbq4AzbqOs6jYYumscflXwN663HV9rY/g2z/uRxmoYxzsA+0YSVna K5DgKghzgFoDL5rVQs3IiCx4U8BpDEe0r+o/w7IpNxieg3ftAlfpjhrLJ6FbQwcKKerq35ApaOrH pXgjTYGghTz+Kn4ojFpLWXzpfoxdQSbglHsLH3G3o0YJPuIrra5UKPS3l+Ee7Y4rjX6+aFHbqoJO vZ/5+NhiaDc0GnBxQ/lopLEZD5U6p6Q8uwinFdZ3tKqs5cVl9nkTc9QMynv7WscKv7dWo4qS7b7U p0eQmYQoiySz2uKkYfDPe+RuA0OWwPBuPs9rNxWjqsS8siNkmJgPKqmdGH+9qFzbd4bYO6WTD3SK h3B5dxWGBL2KcWesyP2EesGLvsaIS1oGJ2ybRoYHpoLQAE2BU0G4Ef0TpEqF5TDkLR12sfaCFOJP 12xmKNz7R1H462q5PthRStOM0JsUoLMRCAENme8qHxrYpimGyq14UOOTkc1NALQOORh460mTOWJM bK2C7MLAmVSL5VsjjjoFMWKXnQ4HInDZNfGk1H5vY04h8en6CV0HO32jDrtNyunyz280pvqThICc ms/tmcMq/7NbHKCSnTMc+f10Prqow+l2KOAIizNSBwp/v4yoojBMSz78W/QpVdx12pz7LnmOMn0U 7uSqu+Aw2x57VHn/QKwyVfpwHerXqf3u3AuZPbi/SqKlqzMTg3/ZxgvCjivPEckk7WmIZ4CoUGSj 9wbcy/r87+WfOALmnKvxcoswV38sHh6uPOY1BDODgExam7JX0NiT17aD6PB1pSre5EfJ0/j1YdAb YfPIgKjoHpomP7RIZgaNOIPpvwzwsiNiSlMjtDV+E8WG1vTPWYAq8Y20/fV7xCxZ+pR047SPQgyD z9LKWo0kS1tS6cfFgbXLIsW6WoRl0M8KR5/ZBgaFxt+UBElbnq+QyP84Oj5wYE9kO90UMLujwut4 5cHVR5dDJOdzVXgKzjWYn5NdpTv74KWp0NllXehs1buHuHw0tBmXZ3fuYcCKW3pFL9aKDPoHgp2I vtea4AB1gnbjGOkZMMIXdt+iK6ghAQB+HbaJu241E7Z2p4KEr9Sb6vToUPVbwNmTux2+GTm/Th2p c3oSWvzEbDlcG49kXMwD3d08XQlLAyDeCXE6cplmO+gbamYR7LkKpgQqRdUSQjiuQj784GvPqIHi wJSWHKqEufoXI9St03PmRbwe17A9YVqa9nw5jfSEPO5OxlGwufgvnuGNQguJcg91W7y64rurP+iI B6ddXO4N3v0bVIUo8WqZ37tXytQgI8lN8ZV6ojDeCiZLUOLvpnSnGe4Xe+DJwmHohFDeWcvBh5+2 EMorKIoMOCtCela5zg/tMo9a/SldU3aP5okZ/FhZkFrWUznhfo22koks0yE3ZSUL9bJu2fnr4Ez1 M24qC1aLcnI9X2OUsmdHseYMZm4FXegzBIUqrWWS7vcjYK1D2nNnsrX43UCkz0VZzax5i+M+Qnu4 TqJ5u4X2UlVQ7Fn0HHU0emg2WfF8UzxjAWrWLQaurEJVGMCRJVNyVhxwxziA0D4acczt/ztr4OIx LdRK5tAvVlIxIc1mFEWA87nyxWyftKHn2RgSQPk8EaRJTKnnLYteXyv37C52WdeE/RiN1A+yihVX q8X5q2SaMVo8uiT/BsGAK2xczkHIdAQBNFhMwjhaUPex2jPVpAqeuCRTXPr8kywWeJ3pk0WyY+IR gJ17MeW51M7SCetI+n0pFIq53S5JdBpi/Ok7eNdLi5ytfkkuFhvQOyszJ1lmxnNdxpOkeEvFObto 9tgAm2ejW5rUPHQdqn2I9lL2xe7pe12MpFnMgjbrg+P6zIRsZvJelV3URPEJUfBoJuTjudvWAr2S LDIOnWXJf6yv1VfdVrzTZzg66uDwfTUtHNwGKWS4YybPChIfNWRUzPf7ODIeNYZlYPtirLVtiJIL sW8jCYNYKvwFrzv5Mfb1dekI8lpawd9mW0hhhExxxVPOLbTUBU0TSLdZvlNtn1+sDLQeoLarlcWx 8dVq/FsLRUBFRLM6FRc0j224IvMPskZBsCw6GJyv3yqvLo9uB282iW+/JkgOFZrV08jqxdcoSxHu 3xoGyMYuVCFVSQe8fPOINFmgy9gOf5Xtyo7S0Mgie9tfUGDrboeUJcol61A9IZ2rI6cL9Q7P8eGK P9p88SIURTjSNzG5eZuTL3kY/V9I39RHiNjDudWG3rbVk7YkXkUYmoMt7yBor2X8oBIe3aIByuzI t7BYsldKW3xPAIkZyjUe2I4W3XCRzoHIxgnmWb04bTbAK1O7vJX6Q+nY40QW7YjpHgo7Apn23byi Lu1LCWrv3ZetHrJ4FK5AearkwmIdn/6ZKjmD1+8+fV3tie93SBIWbYpwXv+BEzuAAhMJ49iB26ui F/fVGcx0FJf46Bmv5xozm9rAuqvs6P9pDB6GOCG3MbQ1z15CHcBUhyzVAzPti79O5QnkrtXHFk6N CIRuao37+ifQVXw3QQU5j3/nc5Y/AERTDfHsp1rG9h+ojKCKoT6MwJ6Z8YaeCbFI3vn37swUQEhX qznoZsJV3OkdVKMU54mdQ8P1cifSsOvE8SqUA+FqdSodQgXrzlGTLbKm+N8nZCKD8pR7YYVg4oH6 0Y1jMQRwD2+ZvJBKI3T3Bs6Qs1YTT1io5cvsgMVinKcxsYg8QMPkCi94fSXlgS0AaUGytKkz8qk8 S7WqZ3yIdCm/GR4zPsNJIH/4mWq7m2fsfb+SlNETzsgUvRpY85/Cdk35FbqUkswu+aq3UiVQs31o p94EhvION2O6ov32KYSXErRY3LkJyw4/lSMmKD9RkWPrjNNP/Vcco+MJrf8NnO0ZDl3b+sa3KItP 6nsiVkH5AugXSrGddM9Sd4D72sCsMVSjdHPccR6R6Fci8WuAwrbT2Xie/m2lzKRljMk4jZOkLAC2 Ojmu66tUY0SIoN6BDFVW0cYIAa9ad95ieWR1EWOhMNPo9wvQjJUBFQo0teLu31zXVGUsUtUphJJM UZnfsROCG/WqlhRP52FLcVBuvUcUBrGxC7ul6yN5zPUREno5gSiJEj5nvnStRwqMPAwp0/hVpP4u so+Y7TtjrYWkUU5NzRKZa6SOapUdRLhmtr9klEJqAzv+Gu+iO8LvPlusBeAWF3Z5fcT5ov6RZbu/ OvV6IiA0rUA9oPkhAA2dfKBi6d9tusKQ+uHrGBIWLX1BkOysN78QpQ+YwoL52gekXDudQSLx6wdp c6DwKcmA9GZuwEcsp8rxZYdzt9EAmxRua2e9JsgOBjNArODoCTurQEax82yceEzA0AS/5pEgq1PI RHRUyqKclrFiZ3LZcF9ZlihM8dBVcOErf7gAgs8vaufY5pD2dycTRxIv5DuyUCzwx4eGoLbTWmC1 f36jJtveHNu56WimkgWaKnTBcgOacZEJT8J+aSB217U/FJNNDa9Bm5zuiXT6TvSqvC13kh0guUqM CjD1bxeczWKjJbD60+aaXygLbZnSe9nqfoCCc/7/UMDVL8hIarz4TFJscl5mt7a8hryzRgUxhUps 2Hmzslz1J5rd/yqd+FY6MS+dxEz/5hWpw54nb+bqsYyjok6ckycmziP00lpDb+7v8AJfxQxuympR D2DsZITHWnTRHmthk7KQEpu/WreOwsCBF3dmMr/5aDneMsc+tBhtI5+olWg7lX2CPfTbxtNFi1Wr uAJrrTsBSbz8zzqwWASj3/4DjSQsaicpsM3Jk+xVP2r2tWyN8Z/2fH4FilYScBTPSlxfOr/RGsTa DdLaV8FZq84JP0ZSor93JsyW2tq0L4vpGGCIAPNyzPG6RMPYRnCkQgjseww25UxPzMsav8E/dFYg CUCvbzuD/FdFdqRjWZ8YAoKc+lDndFCpWMgBjnMgELkdmm3yGMH7tZ21qIt33otOmXJtIyytiB+N o5wQzQIJ9urRzA6RDFVucoq5eqa5uZzxbEPCewFA8rER7kw/hVVp6MRw0Ff4+Y/5Jyc/USX5TEKc 0krU14B/7l3ucpFjP1uRJH1sygwgxfgxH4qVOugEzw3si/aa9oyRfDsQPqa4DFlaaiPF2CtilV7y isCw8ocAb/BiwK+mSAM0Wdh/3yeeUnAJYs8oXeYthjFueBsBkl6iJNl1uO6JpEbagXYzpnL1GnMP u04gqWi5A4bln9W9id+tu10QMhzUO1mZDTMC2Zw2lmeU6vBcD5sb5RmzE3r/y5A3KuMMjOqX1GZG qBslgRFQ+BOpzaS8ZmGs6MHVDKrc8UWJnAQwYJ3rSkQ4AhhSdgB9F5qykshibUJrWDrzPhIZGMyc vcRT5+pmsT4recSfyMVTW4ASWGpSOBfincTkUTJ6Il9ZSALw449qqlbom+saCU40G2U1SxWLcPI+ trAjaVxmpD40UTPml8saEZ/Y4VPnmzHPbv++m47kLYjR5ZPbh7dE1sYSQ32Kx0D3X3a1aJ3jA6Zi OTgRTIrSCar5mjy2uLqAsC+nUmNjlagcqPvB36LW9rLyn+Un4sBjBPcW65mrCSAjJ2VnmtplEyFD 11zju0+Xy9AQK+bJVuyRk+vV24rATcnXAFaFJ07/azt1Ad7H2Uj8ppX+vfrqepkMpX2M6UXeBLza 8EoETB8i1uOS6oEgPNogTx8K48w6PxMWQlivh31Q34JPs46s0A3SP8fVo27IpmBhbE8uSZcwwMkF CsQmH6eEKjsupcmOIj/yRj3qARuiJrQp/Nt7ox1QYxk/OtmSq7GJRRbcj/Gt8bG3jo9wzHO1p/ZL eOFLTxlWYMpBe4u13c/6rSKgGvGrUoXFABnwPL48LxZ1UuMDV8cKi7womVRP0zhskafdInAykKxa t5ZMQeJwyCHrRjxv/iO17k4twH/ib/mhwWQ3kYbm3OpvVY1muQEAKyBP98+vT7CmgcmsibpUdmoX hVrIheiSyf/j6100O7Pf0+yold99zHGqUs/x5Oz7aFFN+4Y5kifbub2NVjImxqpWuMKRNlznl6/Q Dog++BX7p4eZgEItQYooxZ6L70aPS2s+q1MZuxgmjvxY28Hk7EKfWuQfXv7W946Z+rBnB1C+m2lD cXlXXf1temUL3fL1Pz5/QDTrIQmUDqv551WXOaHIVx+S29k38whJx/bnRBoYzZgQKmMjboslZ23P JAcjfS+Kk1LrUvU/qGAbAIq/GePixhD6+AdQidghuCrwkSOSVWbKw0f2mvbz/9+WW5FICM7HiDIi nLECXI+oDe8w7JHtOm2MNHqo8kiBNuNP4EuzDHkG/UZdE8ChpdDpJBayuw1MQ0aRJVczcxP36hg5 yb5j/Gyum7guwRGvnHehDss5GQQX7QrPdZnZGZx8ErKyp3W5aYHN6LeuSrQv0BlQgNfsIu5JH3KJ ESiTeV1uQtu5fCrkywTM+yUwcxx4UImBMs4HYuc4Kxi1QgOIY0rUTp1jAFTxhC/YATCrBWr/5Qk0 Y/rDe144hVn3a47OeSRswAuR2SGxUnObIu4NA857GTC/7bx8/gDed0KngShVeCii+MYuEmddSLZC eTbrfweTMAJmkVAZLitKdEu/+ZwDL3XFRXAbBiThj1r3kF7LKD9OFM8ct+vTSTyu7OhOo6ersFZv gDQSjoXQe2peJI2wArhOZi84a1t5t16oEQsjL/GIDWgSZX+nKeYeGQb5jiPx18lzHsFZUHyFEX8z o28/CU5QegxhNF2w312WvJABPILzxdFuH80TZ/5qijhpwvItVsLuu9O0MJj9fjUz8OeYTyH3nPt/ 258K0H2sZilxQl4ZIfrKiTpWAQCpPfuCwHi+/vfpaH3a2ovL5bEb8XUvfbLaq3BsjhM4A0g5uYfG wioBcXicG1UgzYW+QbyGhyaOkQlgEF1hUeguSHsy68kang9rCrVioRvVfxIGkNwsgu6DtLNfxOkV JonT9R3O35PvIW+LMPr2GeiseaCvtoeQMZfvrH/S6XxxoeePo2RlOYSe+FVTPmRVij1W+z+1EeEe 5TsIVGnfUyWHYeikYq0biZ9zTbME7tPwbwn/ZHwr4Q2rTnnc7ozLPHu7CTbKzTTGW22xBNyHIPHF 4ZoW7WTtS0kTiWlq7KH4iHzljChaG3P3ZDD6VehLwEPmaZ0zCE+nv8ecqYafkqhKRyU7MCMu8Lz/ zfy+nXa89AMjBBmIKJFtfXPrrqc4ktxe6+EwxU3PfMbEWf7CvdTmYmtKlwtomWaOP3Y8P91CddsS NErOpLuVARPJSzsWV8vEaG/OBdDkvd+G8Xtu7unzjWFDO2qt2XYriYD8TXIIV0i0SO+y7mqMCzw5 TfQ1l9Wwx580Ra4cacF9IaT9bbB2W2nh1ieYXW15VOSx0RKvnS7SYnSWdfJCr7/9dgrwpbMIZV3J qzHurg/JVNiFeihVhTVhFUwEPJ4dhmYa4CT+4KgIFVlJ6mevcaqYs543BiynrVcHAMxu+HdOYWjH Z0EZtXydZAt+sTmxa/amQ34sIdCtsjom6lM1nUNkdpOhsXSLEU8uqRBulKzk24j4bgBHUh+FmKhc N2SPLsROFAGcHYFPtflGklZDZu/ph/fIciTASOkHlzCggsFOMgsrkDxUTUOYZ7WenUpPrSVYuaAA RfZG4q1evVYvgUaQXE033dXTeFHDL99u8Na86GBeFDfb6Syng6ki/2n2roovNRXBbAxd0LMD6Dv+ sQRmrfECVDKaEVlPPiKaT7mQZW2sbR8nP98VMqM5S92dc1+5Tgl6CDeO2fHY+tFG9YLYdztmuZEy QU25abu0xPhMzy2EEuzfo3dCo04LzbIjMhqQBb245N8Vk6lxexaxhZYHfbOTVlqfsoaQpu4uxOhH f2EVGVeu1ggrsjPs+omoFe1by5aqo8yCp9TXN+bUi8A8ZPPTMgsNVQp++gne93OI/jsFdCK+DTE2 pu2zkKyiC8nHK94KJP6sSgnlrH+QO4GMdnTkT9/fwGQY8v4FwENMmXaZrHKDqZELK/l1GSlMqzwl PcqP/LLnm9yuW86aFSwsec+XVhf9upGo10J/i7TLRs8YANmnzC8iz7qc6VETJh9k0WzE56ZxnMcn VNEunuJhMNus2bYUVUBr0mYLXSO9/sSbEwzj+xsCAl0lqteziainGa7u2/4wyNH5LNAzc3tv5yZR Hw+3Gt7csaxV9VkVJWCuKduGw7NjMXWnPvm7rEkCAIAtGb8bXAH9ryiPnTh1YrD9C4dwH29HLjzO CX2/aTLTkJCLxTMFGXemm2JeS/2rP7ynd7NwvGX/sHMG1r+bXfABK4Wvs5JCrjFVsotjw0xhsGxv wXR+n82Bqrx4JsZjbM8YroDVeVZdmognNS4ywCALc41Ny+REfKdew62w5OaLngy0F4WtF+mnhMMc wGJfo0okdW1wuAeDw6xlYyvt+XoJtBLC3qzzl0E066hBFu/OpLoMjvLViWpy75695VFRmdNjm0jt pc0oIm5BUXUKwsfRLnnHo22xZuoY8E+4xukLm64paS0LdVihS+FGgW3thtqmSDgKXfaDuS8cdqCU SGYYRItKL4NNqgil5jyTZXqyX3gEQ8JNgKqDjygM+41YLfV1qXaN0cOiugl2O2JYcyTLaf8w/Iro Tk92oRalU8ZOr/NDMmCpp/2ieT8R+Yx09UX9KspZIQwKq7JkKRcei9WXhy/VBrgBESY1kJa4lfo5 /SdhqZDuEt2PNKIUMlr04Qpu1V9xmv/QWxOlMdGWU2TiF+9beF4d/xrCATXk7cQ7Q3h1JOOzht9w BKYjt/Y2wt37lA7st4JZvkpGoTXyPXdv/56AzhEdPLTqzTOT4hKFevZCxBzOrVuAhmpdhXFW05d4 MdIxeAAeFJIGg/TFIwEFhKhjmWnrh5giEcFK8WjURxebhaHvJVtFRltKevqJUlLP0vY3pa+MRYtS /CqSToQwb8QBMlMmCBGt7Yz2Z5FbMVxvcGc9L2ZeHiZIFPJ4iCvQUh0+P3gHEipwBg/2GpkQH6+E RMdjUyrSCBc0TWTd4lHjsAgiOORn2M5SWPgd5TMz01zuzU9VoRXfvEX9sp1kyAc0AKLake+iE5Db 0Oi9OBQBsAJvq+lbTqyiexBqyvnk7aI27j+42mcD76SnOvq8JI80eOCTV4bTMG+5DM+YNNhtMFM9 EvWMfa2lR0wmWYFnLRPI9gbuhD5CwQ88y62w8k1rMaUCaJUJb4fn/zNg48Ec/WQu5SXVvU8CdwmR 6fW8ISODzV4KeRzuFqY+vp743bOeAAnW6WILMjm3O1WlRMz1/+WdW4SXvigFvs2B0iAcNFNF+RND qagQlcu1Pyv+zvFPKukMKVh5zSfipmkhIHcSbjCzDM0wbeQQo88HI1VshW4+vVrkCNGS2i5lfLT4 A9kmVT+8+TGEio0HjspF3BYt+vWQZ4uRi5w5/ObvBDFtdmnUtz3vjfNJIc3VzfjyST0LFAdLzYlq WVjRUdd/kt/YvT/6LKW7Azu0bKEH+2f3ooBa4GtcuQKFAyj3NJyTJNu+4RTwsA6zvpF0TdDki94W agprSjriqfnwWObPUtFXldoOGEKUmgTat0tPm95MzpnK1G+4CYe7mV/fk5SGrts1QQ0U+OY6dPuw eL+lJnXSDzJVYzE9TM3asUCnVwN7AutGkCJ/qYPQqiQrhlB2JIezkFnvWwXn7+YcVPRTeN12GLXZ /6qH8QfT4IHMy5EfTaVyhvHKu/VyblyzyWEPWeeLDsXEZGqIE0rYtA5m1+7ADa7domPJHdBuyMTk /TezVyeiXWvRd+cEWgzjhkbmqwobVToor//ae5Trpb/jF7LPtbti1j52I10wyP3Kb2n8ncjRHgGI dO8Nk/OJPFahXzyO6uN/V4ZUCCOJMEBLtzJNJs+pkx1h6VMZjQEjQKgCY6CZlbH2niM1AdnY6KCs Bi2rwWtkZIUWVTDip47pCmOxakTBgYqlEUxge1fd9TJd8tlrshX9UAuzQhmNZiWj/Sj4jVVVETMF mmZKpHh5qtU/Wpwt4kH7cRqDTBmNpNq5Zxaz7lEDVeBgw2sWNX9S9p0JP7PD7CSSsszHayVcufUQ lAe5dIvWSXzEr6Rj9CB7fEepxarKoyAvYgS/S53QmB8dIR6scR8fo/c2JeEN9qB2sMTFff5PDgjD 3iHcL1rWPT0eIq1SAqOLILDW+PNI+RTbFMmZgK8ho+9Svep7UswLwHdJ7vWSYIuH0Na/PnAUiQDE G0vK06FBPDrW+VbRYlXIo2L5M7OfT8dBZENZnGTEkma99SVbD0N5l80imNEpezpiJK3gifkY7Io5 R7A8fLzCa5sHLkhef8Wzb3CBfPaqkL54+gkZcVhHQtDfmchcD+6tPTmeD/bX+vV1WeC7LjjgL+lU uUBYoStzTRRqnGdo2EfinBoTnEysk1PW3lvl4DNIZWSQGWNn5A9yYIS69FfVxG9hIlckDkgSphYg N6kmV+GE2yI0MLem5CRtm89uZ0yf7OLcpIG7N7e+TmRUjQ7WSj0bTgx3yemMNi4D2Sa5llN5PHsh ODsppYzKLo5NhtUYUx/o8KgDf8M+7M4urBAk5xRuWN54GxGVyLg/pFxEDZ8CrJSP6PTKXlI42klt w1FSo2mOsw3q2E1hsDFvhsr7EQWhavFb1xRpr7Vz00ULKsier1yHUq6fjRSDVEL1p90likNiwRTT utkSnIilWtlZv794ffk8hfkjvP2tsXGjuep8GeJ8xf8ZcJgIBhpU6aZNNScS+zPeCg+cspTMbKxi vrGRZRvjrWA5YHDb8kT5fUUo7GxcLQN2WBkvYKGQFlhD1BpoV0qSrIwvbo9x1JLqhxcqdETTXdmi NrTjNMN250oK+GfyazqJrV//FQlDOM/8Yop23SGNOI44rXhjeCaXafb8furfA60go4WrthB/3u04 0PoHyFofHUp4nF0BlteS1wcFu+Z6og8ETAwHx2nr4yMZ2e2uosSfqmdb2xlGfsuKO37bwT4jPNab cORkPS3d/TO7y6k8SG0CcOoZ8wq+gGa96+vhNy9/eevrrOwUEhJHwaIsGfmwfmwNP7XakVS9DjDU 2Jokx7+EuGVaCJ/nqbj7/Bq+/0mnDm6zXm7UskKoWVEC1hBavIMDpVCCsgT23NZGha3qLUD7iUjP 1+47TxaUQ6UzHK8Fg6br+1m9EWHYFHFWovl0pVOvablHXLXz4F7h60I/cjW5CEaeHDm9kuesMDUa PR1yZCgKSs6a2cLaPAQfg5sxTG3Nle4DKc/BWGkfqS72QFJxqT3Ozfeh1BLHYiYlL/euZxeshQ8s v0Sn3YVXNsTWYxvxMO9KhBx87+eAhWbZ7H76+FyeyWxNxhR1Kw8zuzuBpnLVh89IBFgHyACa6W+w qCI/+MXvw3wPAEw4mLRTiinosxzUyCx6rgSzHaCsvLG7zFz2Gk0iJxzPYzZcKc71ga7X1Wguqgz6 7eraLVf1ZRZy2DCaiBKoCOV9myRU8eKtYdaN3SEsyYpXI6WndLTCZ526UUGuYAxQe1h1vD4gGUQY 5bzSXDq+ocX3oSE2AGeyfw81wdbEV6Af1aF2hMa4ZM/1Q9jPCYBukLtJmyXXNbHt9fJykM2AsVR4 4Yi+QYLwg1kmRRzZzRCnhynhFD6dKRmpZ+T1K5xE8k9Dr1DsD7gZQSdwsWrbK+zsOmhSgXrGxK3V Pn/lKHEr3SL0MwatAu2OEfNk/BQT5UhsrQLGggv8zNeMKZJlXm5UzV+pTnhHBcGfkWY/SknY0kIs eW2ansX949QYgLrFbs5iicjPKayr3X6d5Y/AzhLsq+oNU7zc9Jg8NZOP1E3ZSzZjvQ4ZxEbabeEy VGgVVL8MigmguEDbJhej73ffqB5+fuWcKNCg8iXmFZOQ9DVzHt32F0ShgNGxYE9uPegKbsO7U8EJ mjOeVm0WCAD4soUmOX7gF/+uwRpYdOfuPRsvjTlKIblrNS9bQa5IAWj32wKVHWujyNvm0KFA5+hc +KOe9y45SoDBiCm5cfD5hts6eFfTg2LUDY//7f3SFkgZiZbgk9MGp8RcIPp0YggcR3BscexFqwtT iTXwHvW5cUtq8Piz9qHarscVGVE91TUBGeThGJq1Bqf93VNr6+3FJlRnFXEsT23ETA19zXVNOhmb 6HvAYbhT56dpXL+LGFNjV/Fo8f1Oxxrp1XJ0n4pKEwf/P/hDaP2puO0uKI2uZ7ZefDxs3bFNO2kE fdWZZh0xOURspmUOVgRhp5B4LvHHNFFUOSKV6LUC270+4C1celOg+5mQlzdBL/jGd9LGxmT3WjDh tt2/F9y5CyoVps/kvu/iGUQd85eklWdQkdx4wKdzNExRMHmSkwL8SWZ+8PxGS+ezbzPjmS456v9T EzhepUWtXbU10fx78H5lOz7FsfApZ8RUX2zeGg0PAVAdWSXB8zhH2F76y2VCI1FBothrNBSfVeAO O3tnKAgGNIiQqXHgRSuhRElygp3TML4LGn4pHPUogHHoACze6QWOQ3dujzykdXi0NZosUp6lSn1l Gp5D6cIM4i5IF0JIfXUnTQyONDbK1qwYqazV5E0WDwyCXFHQMQ3TNo05GcVgE7UWTP4rtpxb5yte oqMFzVR6hzOwNQufM+sOdYPR9sG8B6/xRGmgClMJxLvWQpfOza6hpkD3T3prZPS+7gX3+D6FME+O tQKaXSrLOTvwR4C9Wrj4+ZpRgaXsFwNA+qJSlC2aagQRJQ/4tABmRVHEQ712bNg62ztOA8p2uwbD BlcfysganGmeGsMWzT+oC4zvciYnc+DehVCL7KaP2snQat35ch4gJpursXDJv9HNZWFc7kFu9Za4 OvkL50yIsFh2XzPnIYkFLQzuhFfGnQGPvcPNYbokL3ZyPtEplcLqf1qL3ap19x29Gkl74ZaLG8k3 UiuCnsedB+q/pMKqkjMF7VxcWpM2JtIQ05o7s7YDt1jUtw74fsFyTtzJngSmyH8d5ofuT/c04smk HBaq/hVNtvBtcS0bCjG/wc0uILI4DmGhcnxxciIIBioxUl197qWZJpGz0VnRmkg5QgcgCU3tG4kI 8RTPi7u+sCXK6Il+eILkTk69c9eYYyPGsOx4RvKUwx4z4dZUAifaXQASXWJb+vEzFtPU45h0SmdO ya2B2hQXhJ93Y2/jfHAiubAlSiplNCJmLRyIptdFrZqsGjBmG3aLv9BdKF+ZNY6Oz+zpGpVAPXr6 oBUVM/A2Ud3xKkJro1lqmTUScJwTKpkqTa/KMTQTqBUXErbiGef4RHq6PLDwIhIyWIcGPfS/KRc+ YmwVboiWTkK4CksWwXlXCdePHGunctqAHtnxfCKcFCB6kqY5htIUrlVGNpD6AhdIB6GwwvmEpJ9B Q2orCTIxsnT9SNHGcesOVUD3NDCfPArXEKijyQdLVpU2CUIqMx1B6U8MHaTesxoRpw6KBxbV83xe dSOSN/qV1Fv3sCjq3dUL7iYLo03n7x4bbPdkahU11zHWWaO/cGtpaC1Tuh8mZvtt1Ifv/xn4izP1 jrpx9BOGU1tFIOJoDqXGgNSa0jXIT1VjgQjw0M6dsXD0VVCzTJr52a4/I30pbO2QZqHV5gwSssqL sNnFqFTcEF0ydOSa798HE8Ei7NU16iqRnn5SS3fYW+/aAa3qDZLQD6GqRyT7VNCK+InocBzjx+Wf YFAkKwt6de6yX6fqAyoEYGSf39WuEqwVqGTvvorXLFiiCJ31D3hFjTMLDzOm0KrUcwADTAs9dmyJ 331AMeAQBNXEfGdnWn73uB9xswJrg7JJmvgdKH90EmRkqZRX2fwcpRnLNjyHU+nehFi44qdZ/D9I e2PkND+Qw0cOIOh/8m3SAj8t+7Sfvu/kj2ffrZC9er2+hWKGQ/dULF9Vq2oEIo4c8RDxvMqoJ5lC kg9zflTh2oxB5v3lE4AlFJhy3mfve+HP+HvRHFsk7sRGSNS3LTiMj4m90g6GPdgHmnmUadaKRk5G QdrNIuLKwd3JP5DRQosRzZe6584DwidQsdtH39kF3NJkTnv/OS8zpwqkIc74wh2wfvLAd6TNcuHX Wh6QzGrewyLB8uPHqjtcr6BXOunUAiE7Ymi18i1q1dp5OhUylRvagDiGp4ROAeAQRnIkkazmvzSI l337EfQf212rjgZ6l0olCKeAwHZBeoJnNtM/49C7jpeNg2AL1cCs24lUnZjLp2rY9xiaeohXgaOO rBHGJpqCvLn5wre/bCNluu1L535uV+Q1SLM2V7UDgUG8nTa2uvGNrlTtOKI4mpvSf/QnfQLoj45J T1uDle7Tcw8xSkcvwsRM23etHsvx3Q8hAwcXVaZaRBz7vihqHysARLZlLcm8LZdGFSGdJU7i97/2 oz5dZ4g1zAWhXnOR3wqiBvKuJsk85H1raBG4vERTVDSFAFjb9JHyW9dDXx4v1WEBbcnIzYd8ERdn 49jn0ZG5uGMA5pamwI3l7rj02x4ILY8ID1IlXVwRle1WWKaAbAssHgIlfKiO2WeBLhg4+rE8TTAx JxI5xkNzlLlcP2R1MUeWqF/RJrK5o/PdmKeKidl00ATOe5GvVx8XNn5b6sayWiIDGuu01Mdr+9Hl USu9PW5NrCrp7jf1e2Ak/B6hPgU5uLPyAdBugPWOg2ubWWOJb/pKPZ+SjgMBHIAgWB59GpYxIHpX AeUl2jkXIe2sCB6Qdz4xld2OzA7PGbUvejfDS2xe1OVJZma53v6FZvih8QbUhmA3stETFUUcvBbd 1DvPkNc0HOXMbhDbS4fynLHKKR2fmnYWSzzO7/Zrg9PwOQx4tjdMbskk47PduvpXA/1aS0z2EWTp mOI011iz78+kyuZ9stkdp2HHcVGKEW0fQvD1HLU548jjvI4Diz2HMJVIT5bkUFSgX0zAtY/KcAiZ mjqkJoIt8kaKoivWim7Z3eU3fVowXx2bZKzQEeJpBcyKHndokGxrF4LgDvTU8TO0MH8bjHEiWZcM EXguflHjrf0gGSffkxhf3mX+5G/SB3l0YNA4R3v++rz96Be7DbJ7cgJTpXboPSIZkvPrzerBpcjR DHKzLkSKUyfq0l+/UYVZyfNHMmMg9erWn0xRmJjQV5SdfDjPW0uRDbZXoyie45VXim4GUqPMRHDc W0V+kn5LEsk0TwBJmZYMHb9/GOTaPZqmykFhvnyuzbV8qgnNMFuAWc24/OQEAWM/hepmsVqacSiQ Xqnl4RlOlg46vYawYXVjmlnka4J7PB0wZdQ7007ekJpSeDrDiirsj8Qy53TtZ9O91hMCWE/vz3Ny wujnOqRvhxG+DYCpV20WqE24v+1CLp5G6mXNnPqz7dpVZ4vz87rm+bsKpBSxzxq2ijCLvN1NFdHU Dh8dFzopja3ru2LlvNoh4AKtgM6TgqmP6xafxbO7xachZbsCbSKE6Rqk8e0jkECfFpI2rVteuGtq ijxF6nha4ONmEN+kDnFuLq4YkxmK9nwxIqyW96cDMpaQXfj4lcWA4s08zJQDNMHV29e7tyQITZEj 8LDG4NQ/cphjr740ZNO5kI2800U7Js82uiO+c5tvztcZAGys5bUyF30d36pokX1pPX+RSlOOQA6R iL8dZv4WUW3IKMgxyYAcB9nYihAyVOFXNswOMw9oOqKIv8lmKQ8dQdaP56HKUz+Qnyel0L0M+QOG m9p68Sbyu8vcDHidKOBWESCgUe9yQyQ6FC40gFubcmNT3I054/OLW41BQJwvaNYIcmSKiDK2ebEC 9+YjzFRZzB+3tHI6u5zWipnSxu3z1MuMoFs0QeAeuRv2s+renfBsiU4fmORdkuEGsJAfEJK1+wdG oDaPiscfVqDSObnYqkNzKt8v/Ls/sxVFpd2mKxfAD0sTWXCbBni7ZFtaoizKMP4Sa4mCLH3uD4G2 PAcVPzUfFlciap3iEWVDqHl/cQmNY4mOYgmlOtyZu8gydeT1pMS7r7yzCvj6VbQL+8l13vDPnJPU FpBUpwrABDNpCILmclqoOk46xKa9HdKbVANGKWxoUF0gx8GjsLwuji9h2NtcbTgfBnTd7Xn8rL6R sarfcuO4bI2F0/gTUowxYyIbgEAL9TI+KiJ9DgsUCMMLzVTEUow4GCN1wh1XYOHnKWB5dMHXOkNH cn+tiB0+u0OPmpzADORK+88in6IPX7R736PXKi7Tu5KyYX8ThLnVM1zEnC75/KJ/GP37JbHUHb0b GhLZUZcTWYwM5+4NeK5ycqmkGMcb5M6kLmpLqyhjJdVBVMmk2wbOu8a9kD9veE/23K9DcsOljrXP kuOhnbXPFyDfNsh+ydJU4gLDRsAmUvbkmJdtoz8DD8pctrKwyNLpdqd292VJsgyPKNuf4JQn/3Us 1rCsYciRZQKDKWY7CA+XQ//+JnFuhMyVgVSqh/KEFSPQb7KPLAOxLwI+FjRHS4+TMT7OsAKXofEQ U94QT0Y3dZikes/9D4BKt/CTxnIXYne7w8Nbw/ruLi3IxK3HfVqwQ2gUJHgSzPhxBbjIIHrCWgm+ l93R6a3Q0YVU0giQTYYr6JEzHipjpIXaWNs+m71hOQsNXkYdD1hjXJ5txgEl/rEqF+TcDAA/C+KY o+KswajRQ8bB6I5R5O8+8V7rSjwgkkEdVSUx5YcXWlCPzKV+3p58MUy/FDYM9q2NcrWAV3Gin0gN w+ehD2lJaBmvlNOtwU55CcxlQrZLZBmk3UzQjyFVBFesyH4WjmZ7VnSXZmhYugkilHP5czvtjstg e8AxfR3FfYuRU93zog20+8sPySZwAxJseu8jDpmxIWrLIc84bFH21cAGQF3Y2ih+1CTwuOJ9tMQZ FddmyDroWaiU+4TDvFROzkhtyilnJLQaRDgr2vUWNvbZO79jWQVy7wS0f2eonFDtwVh+avQm4cUp 1Es0I4GAoz+S0t2vqc1QyNqgJIJK3OyWrO1lP+w/F0afg/T2bL1c0yxnk3DIB2mvh8Ks1VKUb5WO t8uXxedrS3xaBuk0bifZKUiftyhq/lK7PYs7I932J5huq+ZNbsK0enxAUU9Km07yq2iY6Cw7wUO5 DyriUbNDjR2ZegrRs246ozMod4851A+7c88BAUGpZ7ZCa6gPYNhF42WWGqRTK7heLq2NmJHCBnhe 0252LNVEV2YZ/PN56NdAZPBmwMpEpnYG2bxJ1JlDDxNQHmWKHBwazR9NewTMCKGRBlrfQ52lkFIN /jf3Vnkge+xkaIeC88H7Ps1vnhYZgG4Og2UjychWUgU5nmiBfKTIv7FInQChAy+opMWKxCr5WPN+ 7q3SXRUYC0uVYXGDstBkCEqA8d7xjbvRLThBrCfYnKeJMlYxFDp4yKGHWhQlol1gYHcht0dVLAkF 22a7nN1GD009WZDfl7PLcUiw3ydLEaD8x6EFQAVQhlzOV2mkbt07nz6pBqpoBnEAemsBjs2+NYOr nxu+cqcUIUni5HIdExZVqWi6/BX9rOgdyFHPB7jRhFyu/+rfI5pxVcZf5mfSPdwsT+0VieNBKhgt iKqIKtiNqfvsHqo65IsWoG0NrXdkfftGlx81vSmo/nc7O2F6VtajmMgo4PH4W2XjIRM2Tlol3V8R 6AMt1iDXPUGJ81KWuztbTqWEXjoQBBScOzOACbUBU9mhuHOOmkKUZXJg1pD5jJRFldrs1ymHAhte apBv8wmOVaPx9if0kqxgAUR7eRFYFOUOu9zjQedbBrHGT+3AERZJmqi3FGozEsAcRfWQ3gI49ceH 9k8x4upEU9eWKUi3wQEbsPi96Egm57IKYhREw0g7nKiYXPsGf3cNfa8tTKbhczp5/Xei13ZW21ir 3vCNZJ8vU7CEHpJ/GB7LW7TPI+pB/Q0+U7moDkT2DQa3dkiPYcOQVcBt/SHeU6WlhHS96yOAA+tN KB0GW4RZII5CtFUWAHKJsZ2J+GNLSFVJs112smGP9unpTIt5t7rxFobC6dsuutuCWhFy1/djGRtR oUpO9bTKTYaHCcRTC7Wwjss754p3nRWudBrBImbCJv/hJeihP6RMAE1G6Ou7hqBoPfQtSoqwDVw3 ggmEjGfWm6lal0xCEJTTobj9cr80hh3bOBunGS4xUPn4DVtQ3V9ocezehYfzO4PM5hoO/JfDrIbj F8lmrQluov2w8PKkNM62w5CtmPq8OFd/yGErgTVAQZSiFMg/oGS6VEMLtzARvRBcyZ/nV9dVD6dK 0NPmoV4SiXp6Gd7aA66ev/pcwo7d4CCdpv1WnfpfF+7vCNhtoXLyescZkzU0cyGfwi5d0X6k2qVu esdrevnnpoZR3hdI0YrTzem5Oz6XX0cYR+v4crBe2cUKs7ollEBE3BlFn9xwNjw00LoRpwt+ErZU JOYxAWeETbNhBQRizxcjMYA9b21Asj2ENy0W8KOPaPLJMX5GnaTK9Jl/ygLXG+vxi574BfLfjOdg 5bgonpE2ZCABLd6D/jlW+519GMnmTX95V75pILQBOcTjlmQsJ/wV70AJ2Y56ZKs3BozqcCx+RLRN 1wq9TPEbL/4+NRa+h/h3XswZhqIKkyAWn8mTvjYameapsbFBF9KpBSH92i+D8gsOhIZL1vfD1Raf hU5Jic+aD06380g67i6D98Ej/iArALARq5Oea7Jd7q+guzFhKFQr+crHMnaEQjSWHSIguXpbnm+l 4xnICSzCgTzDnCIvtS8gLHe+Ficbmh1HMJ25fZLA6mBbmzRbHDP6h8PHMpsAUfNcRnsK5+1XJv53 no/jBvPi5PafX6rUzkxvHlVkaOpMYpqTVg//zxdlUSYHOzcEY4srXrL+bKV4/uEy3xS+IQgzbNvU 7toU/AcpAwwozYy6LsiYZ0smNsyrPAnCV5WmWgDGNdCdo4WJmR4BYDt5RdkGuybjlnwevoRyAghD R4bQd6NQf+wV0xtzqgUFfXmLUmd02KjP7BPjQ3u7b4ocdVnRMm7tYflWEw5ma5ZKerJrc99xlExw 376DV/3fW6gb/T79KmnJDT4FAUB1TVzbnoADRgKaYAsQQWrh2ffz+AjJ76mEXzsXlKRUpxbFJYFX EUxly68jWdvsgVp44IVQAA5tkyb1ZGY+kKJqCL6In3fHsivZ+cAr+9CIFM84hYBg/+FTyNnrPzAW OjdZ5W3cgVhb0UyvMUrSZLvGNAlkcRuMNPt2DYLaeKDdb8lquhW4qzEehTa/KBjWLqCnxGqe/OSB AwT9Bnd0gsxQzez/v6oiCDwF8DIpJf9FOV+3etm1mZlOBtkxZXmdqSYM0lBZTabKe5yemKNgRohx 1YREA5D6ovXOps02SdmhdjXpIgidlbzTjgXFAeNPCBMDVA0RJhMaAUftAqH0ies5ppxB7AlH6mc3 kS7tAmejMOn3s/hoc2BJ0v5qTA/X0phdJV0itWCJp34NuBdYBI813hrz1hkfk9SxoNZkr+ikRubZ KM12a92Nkutw3z47PyLX7wVuk5MphcS9CxnZLYAfjbohJ6Iy1WFFWMSHspJMEJU0j2W/diUyX1hL f0o763IwOXCPcfuU3HfI95zC2meDyQyjBZljtZTCAQrjS6OtRhTPHQgTC+tqrhmt2xd39hEVRTzY N8Lr+aO9sj6T/a0XkL+cKdRErf8S45GMszDs5JoADJEnMa+4kkhEKYB9iujA0y5o+qO/PM8AfsRi qK7NFhXGXOU5efw2AsWmr+7U2UKjl/VFOPDK8/PNg+C6a9SZs4foLafxE6cZsPzigrAOJl4ZxKlm eQebgwApJum1uNUcLQmbton9V0QRDZKmbCII0R0s7LUboO/NQTweZU6OdGasuIGkYXuREBB15fRA 7B5mrJXkXowRIYAyHIsnlAEgbHlPvTZGW1kbdSG/XPIeV5oFNK2bFXQDhFyDRb2bF+qenU7fmUeQ 7UCFJCBp6kYgTlXuVKrtkkPKZGOqToKABRXzlk3dKlz9vuWhYQPBBoQiKBzusV6pzpX6jSGkPMAJ 6t8+XORF6diLkis+rJWo0fT0k4cdCdZgeNnNiVZRCI+z5R/Hr4oCY16m9ekeXa6CKaN0sxRbY7SK TarQDkbOZxze0T3Wyy8MStKZfVVjCJyCv6PVuCjLkZ1DMVNzadZsMQRjrND8hS0mBcGgBceRxLqu HyRUcSfVYXIU5y4qpL49bGP8gf/QutqbIpAZNHyMZMWkuA6p0M+Ut8STufXF6sF4YpvTegiP/yK5 lgcbvXOnwtFAnKzNiD5brEkVrABrtepoFLy08FCDXFjIqEmHaV8tO714dBg+7Z56ie1Zmoa4oUn/ xyuNSQ/RNvZIBeCOQWqkaMZgBOqTwqa6HdGEog4lUdVHxG6KVurj4z+l3EeiqeoQVOSQypdjjTuT YvmWQRJu311DVTtI5uwzhSA1jdzgRUsm/sNmezY59GaqiyIbRCOVG8yNoftXsmneL/EPZ2MHfu48 vQClh6krNF2i+9ZJT1umPXAp4lXIKosHRVBuuxw2juskp0392r63o0vAOO0vmRd+PBSVmVahNZ8o dJHJp5AhZfhreIyWCfhuBHgdJhRc8dDpH4c2mkluiAIl1u3TfRoz23Oug87RwqL3Pach1dIC10B3 NaI2JB2sJGzGp3647Cx0/1HG2B0EqwABzXYRxaC/cUxhvIGRHe4wo5Vci5LuGxgSWAvrdx5XayLE 4YyG+ZObKMIddHJeULlGemoUqUnkwL2ixniVxU1oDoMINo37lTv8OGIc47HZZYMIi1XhP51GBX6h 4WG1eqKjF0o6PsAtfV2kg4/nbcGfzt6UhcLEzST6EBox94P7D6OxXsVhObzBQ9eqkTLhvMLeaz8/ c3fitzSVf4G5FV6seI4bcWqVNgwLJxss3/l8mPny/WxG+amJtM+PIl0vOuL5zY85vp+XY7E+/g7z rBc/EU5mQo+NaoqaDACIvfK7isi74K1CWUvE0/WjBnaU/eZrd0UoCBEnZRQv2qUFHYwFjG/oD63J NGzwNSr6H6yzQdqw02ZCB0fT4mspogD45lPiiPbcSFUA+t9SdYok8LgO8oFCbceewKQvq2+ML9xX bdbjVaBnpkTS3vE26aMWLodqzDwgC3se1o6gGf/Rg2a+UX1PzUJ9/aRI8OS95bobVUq3hDM8CW6Y nxZqqYwApJIGwRB4HeK8aKgOrVSvYwJeca6seg0RDz0c1P1DGqn7N9sala0P5m2faitwEpTH1kZ7 WUt2GObYH5YJMo9kYyMZsaZhNdgV4IdfBppd3qjjjQbyydSkRixqcfzpsEeI4RP4dkLPbNFGspSe 3ibc4a5ROUjx/V8cXGcWW4SyQ054AVg5hf80s1ARFpt87sEOuEfiOBVDVj/zAiWU3vL3WkPdbhYL nE7uOa4ksxYP7jpRGl1Pafd2puFLwUPpq5CoTJEO8znK8Cqacp2tKi5CtQqD7G8f57+D91UZNf/w O/miO3NawyUd833NOzz6HmOFxLQ25Adh/+tquwE3ChON4FkUdsXoUt9wd2ibs/wrUPkXHDoXClLb JfljNIiZ2Eb47oLF3l9qao2D4aKl2Xe4LfsWhQY/LCPLRLq//k9FaD3jcarZ0uC00fjcm4cbq6On J/rWnbq6rKLRgAYgZtYQUisZ/K1xyGl3j86y0k/tMrDurdhqjZMwVRCvKP+99adKCwb4rJUx9kBi tNc6avC0UUzY1LnrZYDPA11ldCyXO4q1G0c4EvenL7gamVQFaTNSqixKaNaUtUhEI1i1kOuYP2A9 MLXivOiuEvFRP49UfBsxL/yYleXUD0dkv7WlPV7nY2eidh8m1QnKdn+Jge5G1VMxn1K8m1Q8lgLT xIGVtbxPnNsbSbQQwNt3/rnWk1muidLPrzfJXpbovfQNJSi1EbC9QbSmyN7Ah0bkZlk58k3fu10p Pegyl74zThKlEkxw7sByF+UtURmMsdQHzYrYQJteO2guuXOT2InzediGH/mOKjFQEdhVgHZq4AuC caFVJK4RaHjfBdeEmv5HAAmHQ9b4pzQRfR/QRRwTQghDnFrTcj7XJOyn+vGXn2YhKCDvbjWgfMFp x8ph0epeFk6oi7T0GM4yQoDb6TQ92ePycYJFWg8pBceHaIdFXnu/1qo3hxImtYpFgsmT2dBOJDYo PjDs4gdGwjsQ1Sbemn5bjtCd2H3+uXLis6dQA/dPzcmfyaQMWs85Z1Q0gzolmchmve8udWQmQYPK XJgTE3E8eypuFMdF1TIn4N19e2iVZQazJpsHSFOyc8OgO1r96cDQZkE15XohCS76e7QzQlMYTz1Z +tnKVERRlYl4itGTE0qoXvuuIhTXCSy94SUjDGu7Ql1RW+NM75p4Am91hKqtR7cK7CyB7jKW8IaG s1Th3cv8R0ELOU4QRonZWJUORw5pRtN9uJEoUBnizFycGoWLpBQBkI1p7IpTFVvjfJi1B/D/vMbd x5lt1ADfUNaUXbjPcb5cwzNpJoWg96MswfvP5wrkdWRbcuxMFqTGYEImddbQiaWlGn5scDayO3lb 5+p7/xW+LMxNBq9bKYOdIWawd1Lhpv7PXRy6inEp5F1oLhs8jW9XcwlO/IRvTkNiWbDRZQFAFLSn s80xWF87TULvYs/CQB+m64AHdMP+3PzUxGGJLnK+wRLNHXWSqIgr2AbUPN/uqOya5tBLw2Im2FNy ItqryAwoAQmk/ocY0dzEc57IBQaJH9dot3OU2WlScBhjlNfk7x/IMqTi3dBVFHJNfeJTgPwt4tTp t9jAWKJvgdkgGpRcBaL5h1vrhq3oT8fw6M0tKpWu6XNoa141Js38580ZWoNxn5PQN1Dh7qKl9gp1 oyUTjUNZ6UkKIKiD8mKZtx6KkEmZQUANbjvZXwOhft9b2c+rArISb+npyNCGmDp9KHN1C2zYkEgj ZI6CyE3Sh2k7PiZog7hA59yIfDlEkoImHTFrSPVnfXe7f/g1LWJLmzVjaTB8zkHizAD5spECOeYF shgljm0INIE68iYrxMnxro5UsoYtooyhlbYUAXmXMwTeqKQe2Jfp2JZXCWqL4OFrRyigkeVUPVyV 9YKJ8hscVs8INDGno/xuIwYR2e5vb2OrHAF/7D83cRXDGKYbgvucv0Vf0hgPaQaxgMac0FxnS1xN Wcr/epTsONfrvwcmbPJVVzCJLd7aArlEUdmr5hgphT+sIozBhFwwJjH+mD2klj3QtfTLpIKddkBY MZPQPmxe8cIVJDjKXXBvEVaWIcsLJRSZSTPP54cdhTJP8A4OKgZAL+Cgvwc+d53HDxxxGPQAl+C3 6xvdA6nNUhDRAkVlNGFNJM75aV3JPC4Yd8ZZXTLmKj5MEo68GdRCxv0Cu63kz9Jvhjj3Rr+h/W04 IkG9rGT1wezUDhiCLnV8MjW1x4iwN9BSmIu0oS6BS9vE3NDuHrQtDlU05QXgHY7kKfEgs7Rhnxqw MC++ZYOM0S2esykvUDt1neDtq8rrgYggfK8c7o+83FiwFjLYXc4FG7F4yqHwSF4AZsKBbS7dzjFw WU3GSOrUuElBcmMsE3RuqX0xvnctLBRarUj5QL49RkaIJXEz3MHIwj5VdP4ie2XhX8MW6djurjk0 0B+8ElGtC+xCm19DZPiTjKZmzr0dV6RzPXDmAKxYZUttC0NqRsrXzs3EBmC/tH9aRmrjWQ1rjX+z 8gCZkDR7/JuToZGStRs+S4/pwTVbPgax0yZrfSBXySxNrBj2OIvEQ39MXvv0MGXnD8qHQQa9FEp6 gS9Fs7qofl/1CT83c7bdngsw2qNrZTZVLHbDYPUREq2czBqs05X8UWK5YN93Qt6YsuZ64nq60lr4 /qH8jyZAySxoOKaX1NaUmDCnSN5ug9fLqyF6X12OMePNZgUq5iWDtp6eQAcf/3z3u1aiA9EL1UqX Ub6n1iFKgBeMDnhWo8ad/PjMUBF9+pDGaxsAOhNdYc9oe7Z0YulHoo25/ExcvlV7YHFp2dvtMYmn 7fb1aFVIX8qwwHmZqem5zPYHZARewM0+czoakI/iaFNj4yrKThkpqw+rxFobxVfHiQbXtz3Pwj7j KUWCZMku/uKutpx852rN35qz91a35zvQDLKdmKzfLCw6xsZzusX5EUj6Um6wKoa5jpMzffhxvfTv mW686MWYrQr9Hh4Q6iluUz5AVGyS7TGQ4f1RxpimzsVtpI1at1yzrAnInkHqH9uad/S0eb4UVb1Z mgqqXJfyKlS3dRfOkiYc/mGi8nRFjHJObWVub58abBkWJcLJiBS1qZNtwb9BFvJfXF7G6LX8GDOn xEU2IbFuoyaQzYDrsa/okR/Soh8ZC1xn4M4aMwJz6TryC4MghG4ogZ7Tk1R010qOKJoyMxPZZVCL i0JcF6QMYzU6DH/f5cv/3YEcCWcWSoCFA9+etoM1Bg5Qo+Ky3i0igofF+J3D9ZHg2CwH+kSFU/Uf QCDikdKbb47nQzXsuI7sJayKNw0xZfdfTrUIRwK5CRDr82S1WH6Hk1+h2w/TWQg7i3BIC5llBKXg 0OZjnTYoOSjM9aT7TfNDRNqqsqYLUVWnBV14crca8dy7T+VfWEsa8JlG80iI7MkHFgM3OPVqpiTn LV64TonqZVxSxvax2OmDWwfqFIG5zh4UFS7z/xvJpKRKjfdmdV5OCDn0bjtxL5OfLFFFKu0QJqb9 /ZynW6MxnG92A7fW1eudvEK90tICbw6U2JRTbceYkPEovVnIUJTtD1wfWMlu3YwVPV3JoYjMOkn+ 809vjShkjQHe2k/OPVO6ww1j+wZ391NiX1XzgJf3ZZM/YNWEoTrUQNefxnZnMTGGbV7Y1Zp52py9 Fiqc0L+/tnOUAfBHNnqOfcR1buE0lK55/6FjdrvDxkk1Dhzagu/mRzWlzBV3GVY/eMSLlnng51+3 pRm05+AmSueq0iqa2LJ4RBGUjKjE8MK+vInlZEs6TQCbPsIfO/lV61CagWwXftOOaWvx7sM2Y/Mn ic1D3fy6+z9VseElaLS9I0xi/hCcLwKd68zGidtwqwg5ikPywnyZQfkXqJRLgP4RewSv3BWI0s24 Lc6DR0sok+5mHF7aL1sw7j/W/I/mnl9pL4wPv/0DA3cUg+cHgWOxUVRoffvtTlfL2q1F3897gBrk aDrZyyDXJMzXs0aa1jLX02pZmPZrlm7Jm54DUxT2IKdhQg4M5lywUEqIkoW4Opgt23wWa9tGxkun MVqHK1/3go+RtVtn5hZoXMkh+wumfvj5Xj3QO5E/ZYdL6lc0hN7PKAFiIIBGKiNy/xG+vriXJkWv 7brofAkEw04ARawo9+PYJr2/a3fFHfIJOp4r91ZToNmWq/67yqhzYSQ/8vy26pMTnxuWCChsZdQn dExlbjKAzJa9vHkaidT9x8urNsVNmrY57IRtBmL9lxS+IyACY8WUjWszLQ7w6JpQjTFW1BcsbPpv XXsVg3Ch5XICxiKW/XFVHw3wYR9x8nq+EJSYbRdspJsFoNA7lsfPZgWe7U2FRxzY81Pb5tFIaghY vgRDwLVP7VP7PbNFhzCtCeLh3g+/IFVbHznbbBfsB8fZLznWmzoYniq7Q83KfqRwgrevi75eEBoC NbYCxyqQEOK+UIDLpUn2bt10uKTQBsaw/cfuh2tG8zRuhIIAJE8KF7IM7oDrN8aV+dfsY3xiHxWV pGuBBCjOsLW53GjfiDe22bZINIpXf78UJG/Awsrl600yASO26ishXdFLa0ktRs55Aldb8+VkxQzV ilX4VKSVKUr5fhEnt7PD2zvlV88Sy2iiqnLzt/TJBUR3pCkv2S5ItlUsIkfmCZNy3I58N1zdmMw0 Tt0a4L/VSyz1Qyr42pWeYCZCD4mpW2u1Gioxj7nxcoQ0DzoGgfGJc4BUIXDobTpKGx8vaUFhJ6e9 srNEYmJpZoDK9uTT+cHkvYKK7yvsostIbb7TeCK8oexej4kuKJzjKrOcriIqTw0UITgNZLnKMhZx MDcfS6C/fBuSSMoZjfy+VxvTnfNjJRraTnIxTDqcA+fX0n2pUegbBkXG/o2dRfG1Yqc3ez7TKmtD rkFS6d8wauVkLsYlWryv2TiRh18wnQVRiQMN3ggt6UXTxeYLBFOtiIyPC7/erhtB/wTz26rOpUcH OzLF0LqrZfCNTVR9dtbh8ZeOMswBhZrrGp1y6Yarb1aTkEoVve27GSOJbXbdjigghjMMrNFVZnjK fthRkjnx65sZmdObk+YIH3JOLq4PfbSK/OlW01XNpimJPB5GHhV6PzeAFB6G038KrgmmDcssz9Sl xJ+0bgcV3X2RCCfThERY5YiFoxPejUs28YhinY0vbJeALY8FEXG4lGb1wiusHsfpKQYQlozDDjMG E7O/tcaXBYNyrfE0Wz3mlkM8iLuPQX1Pv2aJHCS1epDr8KQH6LCdoHfAmoxoruQAuvRdqhuOAMt3 Av0c2zwlfSlqx8vKVGe0lVcG60swHxkqos1aLRV5XwFhJICeAiHtYDQafCy96qwdidP6xhozsayB t5cAaR3BSnIIn5GMtEyJr0C83vvNgDeMoqPEue9w3sFXjxbZIyKLtEmG9VNqwrSIo1LoFLsaG4YN yKOBQiKQcihD4k/Ha3oJ/WpoWKH9wmQ9uC1OjLWh6eqzFC9GRLcYKt/QofoFTBBep2YA6m4Rh+/v PQI2fDp8iQfhVnrXCivMHSmx7sx/YKoNVcU8SfdX5Q6zxJ8Bxuo4woRgdYJ5jdmhHRbF0fTlDdo7 BgUwoYqO3iynKbAuAN81r+HBb340I7glv+3XqwFRX7ctqRZmAVVIDKOjZpeP9vAQVwjHRPlpnZOV RYdKAsPevrh/3PLwDlcD1frfwQLk35MV8a0rSujChXW52YoeUloQo0F1VbOkzTp3TCb4eV0srYlU MYDSYOWch5rmRDokFi+yfD7heGcY5R04q5q9PnTk0mIMS7+G6o1cAAgHPHWF+SV/LgjGmgpLxeVr 4g60E1HvA3EPLJQ142I/87UvrnNwSNHeAnMQi/fukFvliDFoYc+w1wM5KuCG+begu5IOt8Z+F7N8 fIFcIaUajQPLLAo6piDOu1HdTvRAbViGANwj4uU9cF1ngfsPllCAkOQ3078/MVJ5w+GWbvS743gb kFtk3zdaOK57kGVWRMoIZbtRIvyR5SnuBwhgpV5mstFQoNxd1PlcqnWD6qsKpiXpkvNz4CysdlQx pRdM2yQ8CNo0ZtINdCsmJVIjQZjJe3+Wha0ed+j+/1dhIc7G/1xnVvRro4/SVm9Iek51T+neAYDw KEQngy9ERqblwWsT5Rj0KGOqX/+PjHm/6xj9IEUc393kM8nK1cjrGqYRyRkaxKc/DbyDxukFxwNv LlY93NaKYdKlLdsYvLj5Dy+ONWPXFTSMb3VY3WnaH9qKmaP51YSij3cTyxO6ARqyipJSHYhT7coG IMt7pwVMqlOI6H7rTAxvRrU3rDevcbaz3zL7kOy4HGElCPBb0gavNoD7GAuejsWNzqFPUl98UZx3 5B3Mh/Q8QWylYjVodL/U69O0ZKe+nGBIM9wySKE9nGYo4ho05EwJMXNIC7emPXKDHpP8fnoeqAOr BgId9yGM0XzRlKFbKx2gdHSP6dsu24XnFNVedr/suhw0kaemE0+g0+GxZrDUaxcXXnLhRGE5RplW 2awwCzAgIWECQR8W+5C/Ph8ze7h1GwnXMeEESVINEIRi92K2FXJFzohoapTnxerbXNy5Mzdrfyeb 35j9hy0gntcTRugBKAECQe+zD3nI/HGSALtwB+4eI1pc4yea7/gO4ge2+8jvF3Vka7Q1jjjLDuQQ 5lQk20DIwGDU6K7JHA+qkIj3PY1iFhWTwqhIsr13PyxIogHXfhN+dvGRj2VozNcluxp145inOGCk Gc8RvotJGTWl0/ivTIq3Mk8IldMw8WUG9FXobjv8jX5fJSErtnv1liHRi34oi110SggBPdcytUpm z98f0VnXbhy4zQrrwCMvr7O9wTPMTm23TZuly7BxghZHwGQzfu7nIMV+MBrdcSi0zH8AkO0ToDu4 yaqG+rFS5FSaErv0BLxHAxh2z8IU15Vj5ZOLjejd+PH1GXG5+6uKPTN4eoNPTYD75uPBs965M8w/ Jgl5CxDlcMxqGJtbrc4TN0X+h7L8GNyi9Tj1+AJlZyiOPdA9a13+V1zD8Tm2W3tZQt4jCKkh2ZXb 5+H/Fs9ifZwaUrNpNXx5LgnhyOpAWpdGCDJ26vJ4MWHO7lXBi4vF3JB2bXbXFg7E3px2qkZ1NCPq 5pN9/3X1C1rWxTPCasNJIy3eKRTomChAkXVd2JKcyaL34m0QQBQ/CN697q8XtRvs0h8xuBMH5zsL kJdl2QMYae7JFORtZVA5guGPi0EXt0+JoZsWdKwaGCKnKxHbO67m3sVpveoN5wu4VwjhSYxuk5MQ FFWdnWeGp11Oujl310jhCYDKDwBpxbNsyoWj8CsRBY5YU5zUyFCviCHbOk3/qOqmIV/myLHjfmjn 7d5mCwSIkenJkWH+ML+ThoNXN9/nTAAaR8loZDcrof+E/FRdCGjdubv9He3Q7PVWSTyL69eMcTbC m+AWtyHhkHbbINos1v1Oo69A2/lEQDMMw8DZFvh16A3ylhwyrzY8FbcfOlLQXe/dzk4phwYMwY3I X+xEyksdFTFEp5FD1K/IY+5B3FUJvNgUyjxI4r4XnvOPmhZAk0Fp3Gs89C/Jd/kkI76cFy7IUILO clc4T4nKDc/9aBNh1gj6/KLRxGyFqlkV0O/X+6zmE9Kiv58f1FvDwb/rTZwSXUFimCMHJfCkbPWH lAU6a6lKqiys5lJJrxdgWyQ5hXtgpwWve31ZnIxOce1hBW29ycUPNjfAzdk/52vFsuBFFrMuZwa9 30PaD1F/xkMmWfooJm80OgdJu8BRGJvkqbhFG9StoQzUowxI6h1AYC2KcpSoApdAVNhf6aBhQBf3 apLlBCerQrhO2HlQu/EU8YOVAAA1frNdX+vwDFEJWolw/s6hATDAxJEzT6jlOMLr4NZCpwDSnoUS 65SmMYQ0s6nqb5y+54eQCwOeRrUFxFUuoBEMc6L5xxUfle9b19QLMhCR15PYl+3BS+g2m+bFaeU/ HI2cDca/VFF8gqIDK6h0aPJ5bH6Jmi1Z9q5qDoNJdBQxXti6hUYWdSq6mLq9LBb/b+XoVUaOOyzw kqh487mh8DMT8EFtTu1Je0nMufI9uB5wQBP3E82cWNliikHwFGGFIJzIaJEdKSCtL03SWU/VHFTw C0AtcsowWL1DHkWE4WexDBLBA5CXi2uTl4X6Crcpn6KvGrTSo//FoPElSAMVDmDgWvi83NTX6psG 7cNajaBBCO0eojC3fwRPKn+ErjaN8H6XEK6vgHSgtIiehWg0aTLyo1EOxi0QzkKSsEC5VKXsQcby TxWi4K3TINZgep2EbUmVoHOmsRXi/Otufrxrldg4lxAEsgNDgQwTv3d9srZZIOFaIQMQXNcs/lxg QMbZX3ak3P5rdpFPYnGKMWIaUfL8aCHEc99ciRIdsnSAbmS4j6m/MMUSaF3kGMT41D4Lq0GbVFjf jXBvyRwl4O2zo+EuoF1fJL24aBw5Opy1rqswFMfVCZjMmb9JBQe0pnRm14fgJyjLMX/u95NevQMY lfh6K2h8FHfMyUGnyik60M9QEMTlq5UksVrXF+/uWZ5VQRRle/TYbTCHMZ4I4PdtxvLaxE94RaIz 5ey0kbEQayTGbNiKoyaHaw/Fwr3rm4bCpt3HW1aZOy7nPLHCq/q0GoD2LpofOl718i3XZ7jhrUeZ BsOLsJHTFP3JyAoY0MWiz+103d3QXZ5IScLmS2+PN6sd/BXA3DHwHOhaBTjpQEl/0BY5eONSDjWm n5knXq1otj0O6khCI2KEu/O4azwKgVNpbcSl8pn3Rr3JpzmEBOJl2YWL1jMsz+I/gXzKu55LmljE MUHUh3mhQmvkTCt3QrnFVR2RMeFAkZFwVLcg/vhEi6qhlQhl1onLrGyeVV0wsJ983N+MJOwWFyQK OysQazXznsOQ94psFX8JERxdXLp7ziBAl17VlmxnCx3pFjrr9J/rJ8PKZy+5L0UW8uTpA0AfE8kb dn2isxETWBo4BdI21I2YenJLx0gOApsPcFzgjcZyJOm7FlsImvk0HBorqNbBw193RHGvktB+fsb7 CYrvYOD4jpR8w4sOaYfNVjpLWffil3cZ9SdP67fj3mxcztiEyH7jgGm+ce1BAG6bn4E/2wspIlzd RqODAs/3vEqFxXlk0Ef1FVa5lGoXap5VgkmrP8dKcf5dszII19iRQN7bobTCWCOpkTYzspo8X7mG sfvTbitN9o3ihwwjjRqVHO/stgucDEICgnidZCryHau4fF0twQBL4uD/f6txMIBSwe3O7Xl8iFR1 nJCsBc4ZHwDrOmmJMqrEiWKe/UT8sB8L4QyiqDkeVj+5TZI2R0IrQMuXtB+U+7x0dF3oeSleiNwe lL9CM0AFHEysFnyH/aBfJCA+ecnmRaeDBcujRo1+YADf3hAqZ6VFjItMmWmUzbSdVbH0eWEIlHhF jbEomngTRprkDbmPg0isBahtgFqcmR93q+aXo9ypsfANyARHDPc+1YJFFS/PHpcjRwM23mE8XlVo y+OsEWBnf+mqaWKDeIpK5YQIDXjvXrpcL3WdDaB3HeFlfJ8v05dtjFRNd25XyI+dA7qcfmkDgCx8 XgOfPEiHNCw2FZmic1vb7lSHIr7Ok0O1fRVjLZBInn7kEVmSZvesL2ycgS/bdmiOAhxaRaWadLTR vfsd/YsoTW9i0qsHmzbz6vn5TaBtEJOPsd0VmHLd4qp29RCls21O1RJNtr9hnFaL2zwHk5cEI0Pi kWhajCSo3B2/a4qRFXZVlh3o9YKEpgTbT3zoSUxRPFZXEbNCWYF83VdWr0znjeUb8fyseHDyhvPY JqxjgtbSDuJPt9V5yQkMBycJ4IH5BoFXo9XLSuctUlkOb3wCFvoccVFHqOoUythvUGCC9HwrQQSa kMGn05wia9BRVC5l5zcEBcjo/HVMpsgfEPL1JhZNTZxSTSY5v6u2OweOfAnUxm8cqRYEs/3lyTSU PQtxmrZqP558sXGafutXKPSTtyewGlwcV1Sb6SctPu9tpJhEnNvh5+LH6EygH4ebWaELAkKMdJpa mynRX06cZb9n7cO+S27JEyXRw80XuC+Agas/r+0VM+yghm7sTarunbE4ZwZ8Fc686QAiI2u0gPdT U62HHD12v/ieRin3OL3553a+9VmpysKRfeUe2hgNYZibUbVQH99D1Znl0dRTONWL+OBwYss5Auaw VJZsLLgpwoQg/rzWsgEyaJ7WvmnTw5MX853KuRu8cO+l0GykzpkitWdLF4/HBowDwjuu8YjiXc8R nbhcjSfEnLAhOV/RFMluVwSeMAfWuKr2NzQrrq6I+s4orgDkuV8ALMxRAjcI2NPCn2Skom27gRnd 16Ok3I9XnlPsIr1u6ErZFGVRlzEN/CEeKYwrPNn4E7JtwcO/MzafVpBZRRNJgZu1YlcF48RTzYi/ SSYhovsps0WiLOL0EUnEShL3oPnGVlvH7lS8blD2j1REs1AHQIGiQtBxLMtDtt1gw6eNzCRjTkOQ mZLuPQ6zBU/dSzEN6bWihTQQ79AxEHpmpc1+44zF7mCHpEsdwiZ3XAiBkUmyca/KsT/mjlb+/1PI 4aWAOEZKl19kTi2m1VvgY5iRHAFNVDzPCxlMX9/KXG+6rxlCQM+Ge7YzDkUcydz0RUWpfFV8ww7G VRMyFFLCc2YfPOWcN+ThMq54VcPJDm3F/viHhBD7195UsD3b+ob61PUBNFBzQLklNZgOJ6Z7bwfU 4cAsDIrm5Zynsg4Na8OndzFf9GC8OH95QFVH5uN3juh4tcKPyMCfCjzj9c3CdBfKhWOQ8KLIlrg4 D+W91SWwYg+4fvUgSa47hIRGfbAsyTNVkO6gAHW6rMPmvtri2RVCRxHePoQ8Xcuy/f35gznftA+Q JxR6lBjt2MUd+kQDAdDvDXLVTf3rN1yv+7g5V3/Hr4VXI0Ocj87ZJl/KKENmJizfNu2zprt2/zvE qZrUzAmeH4k9p+2eU74L4fP47IS/5AeDywD3KOARcI/39MQP5m59956OkIP4Bu9jz0Aa6PHdaZhn cPByGpLhhW81Jx21icbb/iIqAB6f65sckEe0iv01fLmFO+9S6cUxf2eGlTZvGDWR/hCUPVjLQFBC QPHn6tIEu4w5FTdb+Z1EFFPPxcQAP/N/oOJBEcXJHEm0k5HsCagEAYE5Un50ZlHvId2ugYFJ5bpR 1cl5GWN00RPSshzcXBKx6rnzpQxA6/lxPMhOZXT7mFOuNTxyDVUjR22bpqrt0cfgBIBP1iyMvmHQ HfPeZC18GGQUtKYeGixgap6b0M5xWblKeClp+ZDDtKtqPbQgPqGP+Zlr3Vk3E5VBbrcSLHixNP9I Oaq5li+l2Gqp+JbYvlzwBeGAS85UlryaiMX+hWZqE/MTSgcW66yRWKawz9DfFh3laCPsfKBOtav9 fgjgpXoWSpZwW5a+ZeI4sQUgaOzLLKWJaCWAHc1Ivaf+Vn+L1GXHhGGMfpHkC2DYz9LcGb+qtiCu wWV6BrIVZ8jd6qm2wszO0F0PaOE1i4CiVtn5wfDKfeNYd1FWKvqTeZxPXeLbZGXmDzxw8id1RcU2 ZR3d/SnTt/oCnA5cEM+MOws3Y2GmBGV34k+bZJcptz7UNOlPR5gV5fmvg5PMQytnhmq8Wh9IrFwV Rc9HZxE7Xg+9PJp+vsdxKArGF31oXnTufwGjECpGu5wMIptEJo4/KByvzuxfXgkx4cLMZgSh0t/P kcYqMRzvscLZW++YFxYGSpULpHXAD2eZQEzT0mLsnP3RPnChIezyygvuf+beRwz77SGONDFR7tIz 5GdF1cuFGsezlNHC9jJsfRwTvZvFpecLqnjJDgMbJTfd7SDbCh95VXojH2bB03EkElCc9IPJjV4O uytAgSgvaaBFoYcR72MhdDMt61uRWE9ap2S9jqpqa5R/C3EHDm4rQgDVB/Y95c4o6zX6r9/mB637 RCU8bpL+NbywkGYfRjg5hHuwH2MJMUWhJr04piapckAKuh7cyOu0ip9O7Yhuun4CegoAcWZ6HZ0a EmyS1VPxRj/fduVagkUMR/XlSBgyoD21btSGwYu464J6ZeNmCP4p/S2XQgJN/33fP0qEA3LsFP8D 3rJpV/r9DIZ1C3jv+42dBgk7yNwlm3mSM32YvPuNQyQbPqq499PaC7hSzqBB97TLDgAWhzUQPFJS lpaXL1GzrzN4AcQ68DfiB4a2OaWBTdsAWfVmyBcfe2IyuYMQYZohWH77FU2SvUQmmp32YodjX/Dz 2ClFO7yNMGUnPwZ8vp/kvu0LU85//v+fGw2rLMi1G7UD3oNMco1cLCseU8uUR+qeNckKdHeA4eNg x54TlEbmA+YjfcpKGV2iJJHXVOELpNfLWbesx57bHGOtNbLTxajGC3IHXl9LMXTCeHdwRW0wc5tU nrZ1Iade282A2NkFsc8tWYpGzdW8Pm280OFoMNDoxpzhFFA7IgiVirlD/5Y9xW0QkXLAXhJl+uJB OuYx1KVc4o3uT6vvuJwUTLyFRvi3TzZcf4rHAoXLQPblqZw7bbBAko4UsBylR2mhYXuKYA+lbUqA Dz4Ws9jjUmzYtaCHnNYrQt0Tq4Vj6SXOzwdc7dz84cdX//GqJwUTaVwAYaKzmw8/1flmBOZdpu0U XyFrwNW348ojTM0D4ABNw78bdoXYAk0hAXgKvBNdfJBMHLlyTK9Ls0LMZQwfnj7SOXUp/xkhit8u 7W4kPY5dDdlGNsKBvIhQDnEPNEpnY0dEcEk8KSQ9HZ1jAT8NBn0D2vasB1+MLQB9NBLQn4a2OOyU UWD1U8zPLr2Zo2I3XVoqTXRAPdcV6ExrmQG0nfBSLB+2072Nd5wmcI/M6mUm/pdPZ99NmT5HL2H4 3HdCRw7YlMLhizIqTbaxbPCNaOqcceuA9ZE6n+4ZcqYQ9MIVKxx6hDaOI1HCptj08JxmrEfh7Rqn D1KsS9hMki9JMQm5Ni1qtUmqqa2nRm7Rhc6GkaZvJjnqZv3iz8PmLUIiuz1MZFyvIGrZ52xOKbY3 qwBQpbQwnIz/t2RspHtU73/eWP7GXttPQu0V5zHhYnkcxijrYBNM3xMZNugdPm49NBJJGSj8+vDP HybaHzuL99QFI0dMxoDPFQ8b0PnQc3OySsyVWEXGiLV1Q/6127vog1OnVVCJLMtp1VDKKaI9CSE3 tCLUCrKcjd1K0/Tk2K0kiK0UxbwCtM5BII0JCmfrcFZeJjvur4bSH7vkzumhYl0V/hn2hl7Iaopx FOCEeQt/lAoI+m0vOWr4W8OT01MqyapwDvy6H+P8/+99vIk2CRrLW60J8vFSpxy7M18Ii69XRUY0 EL2XCP3TfmTfucMyBOkXALY3ANJAVr0d4oVi0BLxD26ng+2IHgmyLpT9M8fDYDxE6G7gq1JXvce1 gkf1hMsemHp+uovSv+Dq1aI9iofJpyWMJ71bSCeZqdwGHqb2PYZsajYRlaIVZArVCfA/wxFg+kU5 Y33nBuFkWASjf8tDUuBLCA63i2+thyGPw1QnHeE2ZkSTtZzX0GotmJUGlkzAGXvlP2Ue1QvVdOw1 yXNa/tu//ZCS4J9EV5bWZJjY/YEFWdGWPVrIutBFVC/5vf3fhatgbEMMEip95eUCXqkUz4EfyiSe ude++cB5WUNWntocrvAkZsQs/IUb5AGGANS/YexJYZWFheeaSzue+FTXNrBxzqU2D/LnwdbtRCHb R8tfiEI8D3fuT/D5Tm5QG3xxx8Q32gywnLqO66FqRNHnVvOYf/+nZ5zPSTJ2EIbBEcatKEQfn79K EH+fO6XIez2Fm9lujwhGIJGG8+1RLbT1b1Br2b3LXRUYa6gjk1GOw3jsAOmnqYQQOI3SCHZAk407 itVmdFs9ABMfXRm4f0lSQwA/MZ5qbGVY4abWcVtazWjJtnb/IXiUSOVkVNR4r9xmyBshsNax8msY p77BuXPardPsgfOXYpQuQEao5Jtr4rGneg3Zax3poZPMzDsWaeDnylmqBdrLsMBTb4xgV01StoU9 6PI2hsqHTY67V4bO54gf7gq5Eromxi11mglFmEDHPwCIxCnCjN1BmAq2ZyZV0Xoip401Bb46KRvF 3bsKcY2dj230oUmbbt9Wy1WsMyttFQd6PzunDGiWGcYwbgomTMSZlasau2sNQvm2NVJiuFQOVG9T caYg+b2UzsaaldnXmPXh5wKK3UqDOxOEujR0BgT/7ECzdca7daP+zsAbvqBCeanLMHvCqBbnmUa3 stNFuB+POkyP3GB+2k7aNwII84l4dqf78nmVXKZ+3vePWidduneo6aqlRa1TljSyw84/YOGvT3FE 0+M2GtEVkkH57fdOVI2NVQwKhpkOxksuTs+kaIErmWBk+R6J/Gn26J5q0UnvE4jyGXxqPQuQX1MU CtNf1qEwhD7zhOrIFiItUo1ndGoXUpMsTus6BDgkVpag/vsMheaNzxz4ryPvZdyOEgJJZCVFS8j4 I7TjDioLOTk1i+l0gg6nFb0T/n7rojZbecu8MnI2Yv3/EGmAAfdy2YL4x9JHYxwEntwNGF47Js7j A0l1Q0ah/A39Wr15ih/IriTcy1Et/EoKQd8nq2ZzMsLsDy4Q397ME4skYKf6UQ6S/JVK7kCat3sQ WxXKs7miP3IF4zPDiOiEY54aRkGXfrQMMWZZStLwaYc7244QtYfpWstQZIUEXYOmU0SmjlY9QXIZ KPzyW9dW6rL0piM4f0wI3j0I1EugipWuIRl04RWIwpkJjKcojvuDPomWYqjIAVKr+/RRYcbawbhi /tR+Tzs2VI38NtpwJ/F/u/dubvIEHQj0g4wkx3SF3JBDdMW8/JfWiMYTOkOpRa4ZumRWXA1ndtEQ EUK0yz+T2lWLk4+8ABg1aPwMfm3CTdB7lRiGJO0bwqZmeWtC22oezOWtl31C56gzeHxXtRsKeATS Wd50Eb2mqXKrr6o6oBxS7Td1qaC+N6UKFS6n3on3cABn2ktBCv5mSLJAD4ADYiE0/OqgbJS0OfNb tnSES4y2kRKbfi2wWSg73MBrhFPnGNCRO905VMylsljVa+tI3HoKGtmkE8GK8eVK8uhhF49slwoY 596cR9bLEOIRyPqyZScKoXdSZ4AyxrhWja1CFiRrsgzcbJOqAujz1cz97fa5lp5fdpq58rciBZH9 cZtTo9S86HmQ4jNuh/tnHGpZjt/6GA30gI7fG+/0kbrXA0R/7T4RcOOAbZyMypR54svGnqMaR5KO M2yhygalqO5oiNsmZx7V31er5GujX4X4d5ABdEDsHrN1LZfx1ZDcxVx7oLsx8wQ9+irybA2j1szs VcdgKySShnLyDk9BvNMXmKz8gFVchogmeDqjuHWPUWNGQgZCEk0Z2mEt/K7xkyVvSZJOwLwa5CON PyP+rsWUoGkad77qeAulqLYGtfOjDmlFFmoshERYRPlNVE41f0zBJ+ullaWxrydl7GXhKbsnMtuo rENNVZlPEntGPmg/Atv6vxXlpdkqxdKmQGT2hj+fZEGeQv4kKCMh8VQ1Zy0obrFIz6hTbZ3ySe1/ w9uRFpm18aFXJvBgC8cAz4K2eFKV2J8VFM5J4qQF6PDZHym9UNX/HjAKW8hngqnZe6d8CAuU+BKh HZRNQFO+d9rjWf2v8dwOCPZhf4vvLc3nhmEV8RY0RewqJnd5Kd4OCFPIUZdHm5/JcHZB4ElGmx2e 2VR3tsDrMB7T7M0PVZIr+fi44KXIeK+BR/9JnRKHl2nJCjz6ab7C6aVh4YKeye5w8K8zO9KJLlBi eIoQDXzOy1csBxndHtz6J11W6NzwG6e1tdXARFArhnCjcwD7O4CJ8DS/gbLYMySwkF+rSixc5Gnb RkZfD/K8Cw+ldrwa64qEfXX4Fp5Z8/IV6BozLd7pEBHJbeXMTGaGzjT2N6HANfogM1e+AdSDd16k ioacl9g8H2Da+qiUVJpHpX2hD3OMA4eL6z8+q9BUc/NCj1cjz9Tss9L0bmNXj2CzJnSQn06/PeWQ S1qPipWtm1EqKXth2+EQE6Mu1FWxwJNr9J59TzIUC4878WjnbOOXE3+cIfp4fQo0M+RCovJBnqms and+u6ag1hnzccqu9CclmCTK5OQNN8gU2IxNvq3ZPtE/5de81gTP2ZueTUnpOlMaj+Je030HIbyW 0rXu9YuqdlmIN6jhX9C5yDksRaFEw1ulGVd1VD69Wl7xKKaeN+foeUH6YY1ZLriOnYra0zyhZKpj j6yWwVbrd8mSpioEslsU09UMwx+uRUGqegusWPJnzvOQdkXvMbn18/1OEDHoeMhc0u5jBMiCtd0s msGkZU2Objh9Q0Li38xufAaRpZk/Vg+ILqbGnn2I0mht19SWgAhZcBVnUu/qUl94Dk9oV8t+XziY bc8cCGljtbmkLvWQH+Euzt7BYpW7qmpeltqW92OSjdbDuq+3/lnQUnZX7yp+TL4TnBNYnzIZpRt8 9OFXmBnqVnETqSAy5ZTzTw54UiwsgyKRyGPhUooBNXvk9AzUq5J/HLw50/3mUj3scJWj0rm7cIzC a8N9ZleRn8nJ1qZr/Bd3zpIrpwFlHbgMbLfuK7Nq7ubK5sCIsvm1j638Y7Ag6xTx8LIc1YJc92o6 XBpbxZP2u5HvK4RGTtVpR0RZvE3XFbFG7kmE3qIw51/GPugKgGOke4G0VXXAUU9/RKzoTevd5kAO uL9zJL3Rqao6HGoAEms3tOR10rYB0ErXMsf4KveaGE1U1yTSyp4A7pKYUNmNNVjyrjjuwSSDIWj0 F1+SrA0MkdHSaaSWXr1roEgYtbZLu59KvI+w8kDZlnwEuEcyKBVzezF8AkzGGLa+2FkSwfpkiNyw IBIjt5UJxEta5DH2/O9h+VNOY22QZPBPvMHVr7SIW1FtiyGMBcH9hslwM8TOGUnaOGf5rYANGdu0 I/d4j78mIPtbQ/t03mheLw+AzxyeR/c8gSHYL8uI/rLiQam8lzB1nxNjwmb5A3LS7o8aH91wsUyw sNT3dhOo8pIARxx8utPZQVRZr3CcVqH03wlMvkN3ss9oUDTiPUQyomcdLHjcBIBDykDKvYMn4uZ5 UHgBHOGMlz2l0En5AjCyLtQzXGnr1Br/ZjOmkY/MI0XJQiiR4rTTKMdqrxpREPoguw6SKTD5nk4P IKfEWQUuzXe8BDGnOxWq7183Kajn6pav+DB2Dq62RiTvBTZQONO7iDa408ok4TSHBI/kwSqlYzQy YfLuwNLCoDUM+mOVn76N/gGlTIVo77U/M/poNizt28xWm9WZtHyZiDB9JDCbT/y6vPzcHCBTykOS YtL23foXhj22Rsi0yzU//yyWDiIUY+irVzqDfwksHVK/J+Tdz3KYr4WYEvj/PFj8aw/glEjh3xEw cl+vn1Ejes6GbTnwZ/B8boLn/xK1e1oA+JSYh39cNCr0+5NAOPdi/Kow1aXcD5NmvhgRxCulJQGc pCfgqq4xmoWjpzTdOMq64z036lS+ej8J9Vek4IuEzWMEkA+HJKQ1C02nTCAykvtp70KJO/iI0uW2 XcCFidcNcEf0Z8F5BdmtdkBnMOFL4TYHDui6pmTHykUlJD/cZ2c/vw1EbyhgsKDM46LAf4Fi6Bam qg+itsX9WHBcGFFPBLbUiVwsIkEVCoegefiuHOEiF/u9Nxr8qUpWtvIfM5/Y/OXh//BzcP3vPDpJ 75ITH0Jnv6fUJesWUftpYH4IRhbIBEDYMIprknwQhBEg5QembMB93yQQZ8dzZvFH95sPPhJE1EYr LrrXYvEayjFfquSf2aXgOBjNLb6fjzI3tKjkt05gYYgLO2Qsfo1MI2llcbwz/BtkT3QBjpjMI5Ng l9LuB9fD/9Uk6yCqGdA2YHBNTBIGvm+GhcQEPUQqJEgtY30s3FHBXAqAMjGnq2H4ojvFcAvVJYYI t4kHvRi2AnTnlnHs1U04UEdaeLDK53o1Fdhj3CnUCfLD54rUst35Ha6b5KqPugWyuTdpTVm/5thD 87QIjPhJ2DxznDDpMEiXqQopia3By4baJscBGabEQ/wKMOhQy78cUGCNT/6PIgGCZV3goKxQuwbb c631NkXC6IbQvyBKN2BacWBJfSRyfWywb2UHtH4S/U4ZqWcZC+5CjP1QhWoAlg6cONIFIY12EN49 ni6P9pLTW+sR/PFvpnjafLUO6/4oSCM8OZvOQNxiC+fU5GvGRYlOAsaun8Z8ApjgnCoNfwP42GEi r+0jKQiHG+Qyr8Fln13v7q94PlZ3J/7CVl6EbpoxWtyZRr8kZ+J9TIjZSKq44vEXlbD3dp+C+ERo hGO/ikR95kom9ue1KyIMlNEuoc6Mtx4kd0/fD8yWELnnaeqY+mugVdA3VsNAeX0Nl5He4I60T5/f 5oyPo8BJrLpX4nPD8Z2coaI9ewkXEoxWJTU7mZV9WQNi1JE+VbiC+3plG4OhCUwyShqosmz7N1Sv VjdHr2MwMe4N2lDB7Fvi3ANglSfQQNCkeJqtZzTD+09AGivxrj3P6PS+oLll4DZBa0VX8V5hW1bk 5qWihgNaC4j3HQDd04Cb9KjV22r/0cU0pRN5/Uy7CJMIMP6QnfmegVGsHJ4+9jNYGA5SH3P1RWXi vl+pBeYAqzAc0khyOHnYxLXL8/isXqr0r5izvQ4OeH/L+7c2tCSPBUsNOJRP6+eIIncFGZSVt/0B 7iaYq1JxbkEznph/sOZF3Up0rlXXb7bIYkmv2fWupaBravyDnuSxcJesyXDWehkRYNy4JoG0OlIx XjD8Q/0QDDKMPq92i8NJYAQV4NL4l/1uuwFM5cje+GDcL6JVu/jL0LYQTIJMQq6hw+mxNSdxqeu/ UyHjVCTFlwt8vFh0UYHL+bm/vY45lmSqnNbI5NLqqJs0dmKuV7rmq414D6EjTVG1X1UyVr4v0mtd O01HPNxxz/OAKIlrK0RvPk8+yfszCAd2wwwPGYseKKkCb5S/v2FQvCX1im45eBbe3AvJmmcwzcja ElUqkj8jAJYdP8/MHFt5qz12a8qqW4VicpBbVVb6cdTfpx49v1KKS6a98zROhuS7HpwvX00l3us/ 5js7GFu4KVKvVdJve1rFqQb6WMg+ra/4sgh/IxPIbhQVTkOzYR9aYxYkIO0z2aymorkcBLe+1GkW 9OqwEsBY8ci1LGI4ktNdsXIteQXZaXr4cXg+ZdYEBWFD7L99Ht/CXPq3YjdIplELibTr4cS1pfSq fbVXlbuERmqNhUluh7rJprsGmLhPGUKtJIXlkBbble5m/eNW09nVC2J6LRssfmEFO4Q0aIb+CMUr v6wyv+npEJayvuybTguTKL0lPc1DMeMNn9+FDAnIK2hukVOVpn4XlL/TzXVYkVKR9bbnPbZ4SePE IkcsZ9mzEjKWfeKg6GdZTlumg7CP4OSQmFFJm2x8YDAS++C+Fhf/5SC3aWBT/bB53AaPkrL26GPd NtWvljHEfC7UVfX9sTwqKwldhE+yKmNU7FQ/RfnvW3b997FBCJSAY9u//yN8G0Kf1eLaKIocZ0ih 0S6yByzdec1q1WSuM9WgtAfLduEVpVuaaS0yK5o8UlKKYY8YmlWGTYaGIb0e2tYh0sWX5kK/AKGl 8nFn0Il0toaJWQ0BmwG5V1wQ8t4XuhHLybx6UgoYipZzKHLZrZ7sIRbkam5k4WlImJgWLX3BbJQc CtBeDzx2sNNz+1rCPqOD10a07oMmSTtLKWjX+xiFREirj7x4lyPfUl/tPn4XFyacH3uc5wFXNdeB GMbrOMd4cz+MMqVYD1tveMykKFTXi4cH6sWstuWxZpkPi2ILsrgd4nB2ClKY1sx/aP4GRuma7MyB ZxQEWcupvMYaVUP4BUkvZAn848JgVm8axzVFRX9MEWh7toXTPXrB7B2Rob8JcydVvS68Ia/Dq+gv kBtBq5e+FCppxOCDj6NNpltZEI0QuWzEZPSndIRCmDIYYs0hhxXMB+Pen9EoQi5ZE0xvkLHjgIKr 0LBnfixEfB4WtthqwS9cDODkWYtgwA94aawHjtX9nfhpmwEG6KKDVM7Yo5EmK9MWvW62DN537fn4 rr+hw/UT4dSjOWRPIzC+719AaEHUSj3Zx0MvmTbc512sgfcfBqaiH69SCSkw5/y1K3FCPeksa1ZY WoABxirg/Q1vID+uZ3eeJVdeMDfDv4EBavmzclB/mj/O05qGB0Hc4sZAoUx/71+t5pxnqvcyfSNP DFnQFJ09kBZyxbbuGxACNfns34vh62Wwt7FTAOW0oLflCYCqiuqbYsDI79c79wv5hodgMaxhhJj0 uEvA7k8+Rnnd/usApKoXZ0IBh2TotAZcSQG3/YwQUvaeagHiV+baZGHUBknjjjA99qwUNTEMGfG3 rdDBeccWzBXqkf9hw+9S+D+PBDZajyyLv5I6oTark5WIqYWRZ0GMcVd+KYxWqgLGJiG5IIRaAuIJ pf+bnIiQ5B2Gm9g0kZdOkskRq8/Fguvozfhm2TofdWhkRsxUe+uuaIzdveLCC6TIBqySjXrewuvu A1xpOYJ+FZK70f3xPl3thAxEICeT2kANTbJGE7tZop2VYA2cqyQELISIdfgSdzyPz7bUrdcf6gvX iF/eUkAGTE3n7u0JbeR/YuMQ+dchCF0VhsxZp2J1vpHTD4n55eJJEls6zNtwo54LRQRLZOA2ixwg Qg2Yib3dEzOAeEXy31oagBbe7mNiZYSFE/6tf848FSKus6N1QMEoEXyldjL37A1ZHRJ4WngKZ2AT lZuQ9HhvkY+vx+B+Lydgr7PtEQn8+4L43uNznnJ64xI6lSsB0O7VsbpelJzPnhFjYjBCEzWG4pLz J9sY1DciRE8QzFDu9HjbzgCyk1mqKlPRqdtjqluszZ+5EMTWEpq32DghDZcYfTK1jXJHN8znLE58 YZkbReOLXswo9uQg1lQOIhhJ+qyj3+OAEdo7VkvKxVuFUsFG9ymYx/x9t3SkfxNiinJASwxxVIEv Mzd3gLu4Q4nmynIbbswb/Vc/bW2AFNMeQXBuKzTlMh4xguue5HINxskP6Zv7egMrom9+OKtgC9SZ dvRVSX5Gw4Fk58/4BVcFXxubc0PmwzeyrcESjqr+lrj8YSFRlBCTWMz45GpbhW+hJYaC7o0qcI+6 lu3EJSrib3O09ngF01NtaSgdFHUr+4ICFWdk5kA28vZV8VdfE9Qr8pFz/s6ZcvGZB+Ydr9wKYkO1 Bg4cvJslMJlgzIFd/TifJRCvO7vMcxDn6sFFyzGwKgLqtJTEfBcyrTfy13OW80xIl1nnUtbPBG3Q eu9FRZArRwHjQSBPMu1OSS3taLKD9PqkEfEtfXtX04zNxTDPKyk7xOhNkumohUXSNjxOXYfN5Yjp DDxFlCD+JlexSW0Hhw9ZbzkJFqRCCpc/H+Sp2nW91lPMGERALDjHLSY8QGYIJ7gU3ZQbjZbk8855 VaGvHzTPZEGIrAw1S0kjcToH73xkSwnXon5f3lcv+hULCzuPsF5HeVZ49el9zjyTqN9LB5juUpo9 hqbmQagRScefZK5u8y1E9+keCXH6G6o01yM+fxplDI1BCnLuMZae75CErH0piJPJWLXrks7emwPw 77QUeVNmlJ3IKU34XeFlXjyNYchevQrpFyANXcU3yFCd3NLDMfxI9y3V6lc0iBuloU1bjsF2Lu9X v+baDtm4+bgmUhcU0BBjNy0g2c1RVLWuuVl/TDX7VRPJjxWdbATJKFjsLD+e1ZE68e1iFSCfNev4 oqIHjlOWa3OSpPSyCDVH4F7+N9kQOEs0albTubkQHxUEYtrvSs0NXTrFxgvQvuAeD9LcRGflAIfE xOjS0mgGrL60bn18hiZp1BWPC6oTRDWuPxGCoaeuQ3IN1LXMRlFbUIsu9Arc2ZE5ZGntD0gsjH2C S5gCbiK7GeslchBN7y/2fecS6ElIrtdJvEdHzv1gLfcuSf4njKthJF7W/X8akb8u2m2VhhuFV3TA fWBOLEmTCjvOrG9NsTghtKXBJhbFg0zA34culXD5oC7GEV+Nn/pMtjFwE69OYK56mSgr/UZbOAwk gAE6Z91DN0T+GazL4id51rFT2LXNJH1Fm1yoWUaKpoEjnY7OBdO7Tiii+0AZEZDDR0HrUybo4a8I yGEp5u0hfoU62fmCLMqTYTQyJBSwdY6AXc3E1OkgExedINfF5oQOPQ7MYU+9CZNJwFOMGHV83ZOY ibib4Y0UNXNBuEjaQbyBTBo9LS4hkgedYIXuEK8qBLxX+PVIXht0Dff9EQjXbyu7r6ZybgdXYXgf 5L8U8hrhj2ogDUZ42zDEYM2gwvNJBjErR75uzH4Y4r2VdU4TX8B4br0WyKcQ14GzEIYLPNswIEP3 P8OOaXqNcTJHsabQCS79t/XEDsrxuAeFZSoOiD/3Etxh+UWxyVAntUvkaiAVW9ETSVsXNFGKxtFz MFISHGB6YqMdTzrNlDv4BURYb1hSSzRu49P+MSD68fG/sio/BteFCA1WaPM+lMerJ/YvgbINuaJ+ 3hzRZw6gRI9An+dL36OG4D08Y8QbnPyjXjxlWW+iSQTGsx5UBtLemVGoupGncGoxSnLp5ahJB1wS o/z1yMXW0O6yplVqX3vAzgPj6OxonPHwLFvonGqhKUI0k97OP/7JcjjwIlm3Ym7zTpg26pIxjsmj mkuzrrP0lDN/NGSHiWx4uyspzp2z0ZOpvHAuZec/tTvuHpaAarklisZDZbx+7odEG0yJfkaIXlYQ r0XBKXo/22ITtJoOt1pzzwnEuhmEpzJP7px5JAw1Z72k4PkJ9uAWPa9NUhI3TqRNLfrLbV0oopvZ XCIVG40tZ01K2m/L7xm1UFvRjqTwmzgzCo+cBIRPbKj5m6whcZyt7rzhYolnl+S7r73X2mNvNl4h mgKgdmGg2DT9DNlrSPjB4HRS3C0IDnPANnkbMFFQbGRPSb3aJls27/jKp7G3juhNj7avlVVkLO2Q ifFLDc5dCxHUCbBgK09lg2lMoIA1y5Q8XsQDnerV8tUO9PeiHdmZgdUeF+h7WJx53r8fPPvv/StO UVZhlPhWlCH5f97ZpcX4ED16uJOvny1EbiKDecR14V2Wg9T8UxCLxgRj5j00CWnIKdJ8sRER2RSD haRM51iZ/JYchpPk1NRJE3D+3kvg5+q9n790m0LZ4t9DBsJJ100Ku6RJ2yP5kkuDg/eFN7eXPiYz MaydVygdSmEGY0FFvTONYZDh8yCOFtaTeeQaKc9GKiFTFNAXCkZjd4B7yMEAs7pIo0jnG9lMiCyy Wib77/ChCgFZjhuCP1/2f7hg4x/qJe0KGzA+zBE01WY1qlGoIWdyH6qY+tlqk0HbgGz1Nsu4BScc HpxQ7PtAqxuDqDaOr/xDjhLQUtwMLhjUOO+PbUwFgaV8WAcm2QvGNsFc/JDv5W+yrOPrjU/tj+v7 MonCLFIGEHp4/0/VLj/ZAgKRPXmPSrJTwKkkTGJ2JEh2ArqFaXBztItXo8am1QDvTd3P0Le7WS88 LX3Jpz/mzFhqgGgdHSitmADcr5nqOcCsmwEX4p8clCpZjQFSirPoWRY7xcG3SZBqJKGhYSfDF5aJ be36+P0+G+gDv/V0Xq6qvwonwO/7Dj1HtOibKLEOfj2Hp3k3QkXKNx3iXLsb57/FtMWUxhdzKwvX t7VsT5xYC9tRxb3keoeBh/8FaUFSyEUAfYnr4xi4IE+5Ax+ERikPWSyFHg1sDBCj1XVHCLRT5Nc8 CF5XjUrqitzfCi2UsqA6rXtxSl3jL5RnZhPsmUkL0jlcGZW7tJwEBozZie8bvUB/q5LjZkRzrNPp VNG0Ls9OnTBFySpZddMNG01pNLGtmxyKa6f52L1wt0BQpIfByAMlLPO/S5G7W3SAod8YJIztZ29M EnqxSr4xNZP/tVyyob5bn+4aIFVpIm3a9CVf8qbPY3Ak2PlD9w4Zl0e7+wnuECA4ncPkTFayZj9N ZcARVwc0xdd7YBNAZ9UuzUZAJGJ98oKMJE4VKVKvtlEy7O1Hd+HVqn+w5WndwIA0LNFVVdt/4BlU 7ZtW1MpHhV7WN/ko6ezltU0U7pYJ0dHvBg1a5az1I+lZ721qhPhMPHYsfUE7+PmUWizK2F50YA1l rWCMZssoZjy4kSRQs1aLIHSORz2/aVDoXlipWpnFVZZlezDYxcC7gFQwCNcEmmGd7vPp+Tz+Wbye m47mqvPluXV+XeeggZnIwukYvjvDIqde/c58w1pRuSyVX6thQJburT3pUmkEOVsy0Wh7CljQnTGC aB8w8ZPUjzccf1D+tY7mtZHKuIs4IARtNbuw1Xjw14vCSq7qWoaOPMU8+eECm/RxkY0UyvZIt6WH LSP4QBXoOYCSl1/h5/GHkG1ExiZ/Xvganefu3uQa7WO24dUxalmItScgPoEk5Syl5gTj95v6hcvr +/qDHj58tKmEiVVPpGa1ZGJepb+iYiNAzoCBT529sGuyZEv93Qyue4VZLcR9dunilAzKktQyCjx5 vNAdGlHQt+JVzAR+5pKGtHf/+unFPt4r1xpvnSUEQV/X1m1Bm6JsztLLL1vCyKbqvqH1TWp2xFzq xg1QCzvXbmaAFuh5fEd41cJ/CGSg63cp6zw1jpmG3F9bVMm20qGSCcMMQZFFV55UyI6qFhWKYqkS 7F1nKrsyTM0RvKPuphl1DswjLM8C8PNrOt+U1IPSzBkvR8wcjfzhx5g8/IvLjUI8nNDU2usMIKfC OV25WY1ZRYgc9pm3m9Im8zjLDqeYL7j949h0Zxxkya3/6ePvNVnLOXaEExhHry1mcPGcf/cKG88Y UY8geEPji6QJiZKin6FhE9ZvuADHb7K6qOGl6MU55jmzEBUD/0isGH3qUo97UPMiprRh3lXu/sgr qwjIkPv0kTieLkW23dQ8JTXAqWiVc5//mXMT78rZmO6a3yiz2n1tfmgd/7HvDGgymM2nB8lgzsbH t5m0p5/+YBOnw1i6kxZ/NThmYSkpTWkTDSetyRqHneBxBbE6zfj1fyl/cMNtHCcUYJD9NZH9dujB 4GYhYlWsWVEAO1BCdojDOOWHZMhkzSNUrHfJNw+bwMY3lNZEUX0qwrEtKO8eCKuVEl3GHgS4quba ecHTni6vOo3T9IGCiQZO+gX8SbPj9MYx76AgIwLZC28jsZPnCg7YP1MTJtOvKlo/2uBhrEaXfXXi 3SgL3F3MfB9qyJ0DLdaYBtla/6fnVo1raz+HBEX5GnPiHsusnSo3eZScux8eka0T7AbnuyJsABx2 tEeLF4KU+eZers0CMx6AFISVnIX2FY9/gC4Pf3t76pCyGroC5Gci3AM0ccH+YvQy+ZxvrgAKsg9k L+J281jcZ+j0lMeZ7FFuXqsGqG9rRQscc3R8DuNLwShsp0cUU02XqM8pxDli4dYcyGxpcEypPgwN G/ua0N1d+z+02DFJGP0ZCdynKt2MKQUPCol2F5ROckeN7vm1ZBTG5xtR5z3UVaeIfjoRdq2xkABv BabcEqyPQAb5l5VaqmZ+DKo92QsHujZMHM1R4NiCsqYugnMkurDITSGLipWhg5MyyMPb6jXDssw/ O5tzrcLAmSGam3Fz4FidtAbqRK2g5dCS1MZaAdAZG3C8xC8l9D6M389aKlOo37NP/57v5Bhl7ToJ jufVaphR+um1EfbxcjjMdDV3fWqQb/WN1xQBh63NxmYwLGdEZEDz0O13oOqMCl3MlmRlnczBZVCg 19LQBcKxKGF1fglP3DKtJKmm1ytBPNu5aGkxVtSYICfge1mkZPOU/S3lw+pLDsLSadJlFdR4dUDx BAr0BGLwewqQoQRg/jkFS0SqMfEkVHOG8NT/to7k6XPcWxbZlTKmOFdDP8wPMeaLM7QuMsneXnCZ H9QcSWX5bezuJr9LT34ev17Wk791O43sLnpyaFaA3/EKFBztkVjfQ6/SS1/MhhR1LY8D+uLPN0rJ z5QRuxPoRRYtco8exZveiyWTr77Vt68dWk7qt0Or0qQ4CUvFNrbLqtlI2QqErN1vG2/5viDLZEsS ma2DjJnHhzU0jHOhxLPgVTFBPYn2bXgTym1O4EqsFWSd4FrY3Qu19F1a2iGmUQfR3h4u9UdRKrUS 4wrZkEIR2RnINeEYT3C39tjtxTvBBlpjIf9QvNhil+SgJO1s9CAxdHQU6d5wVq9xJVJARAFIahb0 42ygMsgn4fIB1VaL7YWBC5kbKTE8GwknbHTTpPUa3gHiy0toJ8pZUi7H2Ao8A9o7VeJIfqlPyPbm jsALsegpzHT6XniQQ5LMChxF+8jQx6t0HHjwMi3iji1w4in2PhnKxCuOu1lt/0O5Iixi3lQsWtKl iIyKTQ5SgOnRX4Ps6m1Qk8zpQGP+6b7eD243vMP36TtCRIekrq04Tunn4+j7YPgZQuCcpwhdhp39 v1WgW+EIBOsnhAsqLPDj01a4gMd5xaFixpZvNshZ+QRTVRxPB0K8vEzzDb/qpRmPSlGSY1TO+sEY ppADIJRFS/Noc5rJsDJ+CGvU4bOq+OuYPG8MCSwEHmWNsPnGVBS6DA/T8cs62W5NKGUP6tUBv5+I BESrTCIjcbbpaaSs02GOzWaDKpxUwBdSe+Zt/KUtCYpFj5UEcDqb6oAPRZacQddFHBB4DFej1b16 F0487tzNLNCKBS+FtIz9zJEt8mEn8dztj5LDnX8Nk6vqi8g5gvdHdoXrkKsWI7oa5IfdrRbYGTa8 rcE9j+0YDdv6e+Ycit5Gony8T3VosU+qxuGvRj6zU9bsLstdf3e7qa0gMYWZk6+LC1RMWTx7INvS lgAJAIxq3EOouH+80hcAYiJSF8oW/6dbjcdeIBS5PPqeSpwBrcaqfWROmGt1Ii4FoOo2bTros+k3 3EhpHxUu4wLMNaY/5F5olikB4kOSFAIVgjUdpf/arUzIFUjxMlE0UlA1YSQfopuXGLn7r9qg9Hn7 3MJf9BC2lI6bwo9AK/qMXfS46SdmQIugxsHv9dvsjIb6fZ75Fpy66SChqaJ+zrQ3q2UCyXSHdAjI d8SKBShXa8Gu6y7TbT67PSsPplClBv/WvsyehDVHJPqcFuZJE30Nq28qLuDK4zXUn3ZVAoZt4PDz QE+jJUJDN7MDZBRUs8Aulf7CmS/rpaQStegMLbozImye6FU+mdO5K6xJP3El0RDGCh2qbg9zo2IY H8w6K5/8+3fXL2jSvLsE0uF5emJckcLaPFyMuQvf4jXdoMJ7dZ7kZSPV1j5b1jk4WdcXFx2Y5+wD AhURELujfFqsCuk32Unz1EcaxQy4heLIQ38RkbFqDWC4ZgXpSPjOh1krfGAtJCZ2IDPX8bKEuwoq 6HI25i2kAbcwGh4rBHey0qTmGIGSKwpYHBrUVUYWW0SCUvYHLnM/3Ps6FsdRkE74N94/42AAuDol 8zpLiTqMO7DwDI59sN20M0PS0HQbPvIoCvqP3kYGG6aL/5k4dopnhVRiDX/M9KPgrBDu6hlRsp/a /T38uBrdSk4w5xTPzYKkRT4o5Wserxyt+LQE8yk8zI1/JZUYjtDaa5nZsX4Joi26VbQuVEn416W0 mWqhXrjM0xJ5zgqZFZEjVGZkRtXSdozNj0knx1fWJJnz2PeMlRKSRzYXLD1HMXoS7bEgg2hedICy 3aMMDL29iBxs6WgzphcXSnaWWcp8Me9a9sF+snvlp/ybzoASwzJGT64jfCy/1Is67edBh5h7vcZ5 hW2n80Wnj9bYNtNgq4uSLvWcVS3HQnGc+qvYXheqo6Ft/SuH4DOCn7GiYOyrCGqygJk6H1Kr2Fls T+HTnXf4YMD5iQj9wtNJiykUEJc+9oHhnGAccKnvbWbk98WljoW3EjW3ANPuMHWj+Y0ftTyfh3qe gsMutEJ2TOX92doa0mD0Ra5Nvl9GVNhxTvbkiTCsTmTakPVxaoY+E8ztdS5wE/vKRoMctpt3zpVR 6jg8Dzmuy9eL0qo60pGQ6TPHDcXJe7G6PcMoV+ddRWk9ELqsnptSNqDWQ1rTEBTpUPDoqPsL3Cox bD6f2AGqnCeksrQEI3/Hg/jta1PA55yArJZT/i20LCSt3VtQX3dD8CCN8ojEAiy9NG0oAs4g6cWi J4kBNOvOAUIq5rFhCkG/cEDIHW5zdxrE8EtXNi4yV6EmGIOrz1bm/9VwmlT3FzDE0ojaLBx+j79i 2JVVLXSnqOd+NBK0BKOuhhM0n2AyXOygMWsk5BQy9OmWJCOE+/dyLh33QmgdeMlDLqWfhZMncplV +6Ym5gDD7KPoTm5adfaBUGl2zgY0PHnVybFKo60DPhxIg3SwkVf9GxrOdpSal5jl0jW/rYYrfDy6 U8NzQstJggu91xpnTQDWhlUV2ugEQCp30AJ0fPXbqz8s2+MOdnmYLssq8CRHYlIbewBawm/qe92S k26etJVKZH2SWEz9XBAPpnge5TlC7e8pC1RDSQX58SLtjBNdIoANDPupzH+bxifF97flKCy0LO9L Nh+yGJYysBDn41K/LogmqV3+xz9n+lMfe0tKtzzVRDcoZ9d7YQYrq35BsmdfxxNqolsRiecvEy06 dK3qfgpKsgUHaCJ9tU7GogRJlgjxdcMiYfEHGF6DBti+U6JB9pYlJ+IpKQCwV8pUdaEwz8mFsqE3 TvBBrBeEDBa+i573GRh5n7QUtgAONEzevusXycQIQpljMVtNUV8+EogDIekgHMUYctgGYZPBUXUC 47n4Aek/DZA24OOcIaGdVyLu5VkMtgdhe+yGwBbNpu9mDci8BF0AFQIVF22flBaPgzM+ynwKYu0T DKJrMLpp5WCV9oL/qPXRqbQ+tpE9yD/Pguv6JfQLRboG6b0VVmbH1EVTk+Ay/eBig4jhufS3CNv+ F7JnXNdSzEO9/GXVU6rU/jEhmuYfRyplBBCWKkDpdK2bLzcoHrSuY5WBUWoUU/HtVULsBx+gIvvI YHv73AGpPIRoHkqTfd1bPULYC7A1qJOWndeQn4CJGUOgEd5+B6YY1dspiHg1+yl5MsyL1SVK4+iz x85/w85Uu0wLmqzZIwxfG750szb00vjnYU91xLB0uupexQC01MuNQjwHxZ+nWcAtGfXE30ffalkE Vqo8LVdP0bLAYZEFo83eZHwR/+ciHoYQ+v5Gn6+5VbZzxkOWaF+Ry5S0KOSBg2Ou9oQv4nPtJyaE KSKqohxzXzt5LR3EjVeNyaYh6nLnzQxhc/NFUUbI8WMZrgXxijbaWu0Rk0MC82ZWFvv7uJRMwvw9 i8XLrGNHPEEr7HRvU0FJMaN9S2zfd5xCi4O6QA2WBGAJ6+SO4BdPO5vkvxwI7yukohUeZ3f5vd8B LgiGqAHGn7SP7Lp9lBKBSX0Y7mhFVnE8M7EKEBB+oNqVAywEvBobof5W4Jimca+QhPMDwLWjQeyl QmGEKgOCbhAzq/iFXoNzb/MsgXV51nLbDaBJ8Ica0QLc0unsjO2S4QI4ZBqDRY0u8CuG278Lnjpi ziaSjXOVb+RRCbRfkWw9PoecHrwy/xa7Nn5D43172bcb6RX+Fu8/NbHpwr4M3ns7ePaWNflunwUq Z4ZR+3+Fj2z8EL5IbP64oZ32umQnHHZ4EGk5AH/Q760ObOcI1RhFLKY+tXRQtUetCuGVZvk9Y0U3 fjopqGquUApDmnea2jmAiqBBt6BusbCLnx1tNCC9r/m4KV3pf1l5Dmwh3y7cf9vHMP9nXYYizRSg f4S9c+10FNJrZuQeefkP4JP8jmlAyYJA5twFzqHreCiTyz7VwZOrr2dOtFXHB3nzqXP4FiPePuC6 4D+5Jsn/vrr7XuO6B0pUaSvGorNRkAaPiVQFvCwnTEG7o4bI3mQnDhDErDv155i4VZumjY4bK2N1 Yf57jipeoCr/nq0/hKkl9Y10Fy9QMWcdvfveTTWhbk6t5wMANaJPWhI9xcCi6KGrSabStrdpSXoW 7B/0cYDmBy1+8B5swvxpLz1Xeuhxbo/v02r39x6WomLeWETkNXYiDayySNJlpIL4kw44CXT+kk/f t0hzmufGx0h3bhMw9/22MIGi92UHo6AtHgh0FqPKw/88mkqYiwqJCvENRBlArjsX0oukmzBob7Jr g7w0wdJEu0H8Ybm11piFOkUGcgmymrhHlk6MSfISBvSM2L5xKgkYpdWxzlCuMtIQTPIaquLUvg+I TFlCzg3RuLMWkiIvr4Cd70NReZLxmqQazC6bN/rCKAYyBbz3sx7ZZe7VM/cSN7xjv95EdHlaG652 Rwc9FxyREl38Gy/x8q+AnuGm2XAThFqXxMadrrxD+irGV9Y4JIXZzJcnZjSq/NlUAB+BAJf79Y/R 3AEh1C+FODIEimwsxI+oUjhVg5iOpm2T13/hg9KWU8scakfVcvdbA/Juaqk0T0VhMWphNR2ukh/z N6rVy0pIzZ7koZPoDPAW9La3X7lodPaCoKzk8TAjn/Aqt1xCS34fznBrbgNkwUm9sfLP5BHJm1hK 44/w6j1p2IUVn5p8skPOK749fwoJ6EWIvIh87don17gXBqxj1YK63VqFwcbs/9nWg0rltOQ9VNwr 3poDUVonAHEX6HG5mSys1tvTyyX1CKr5Qst5zLxIHcrzoOz12kcETwaqxofvF+xtDR4IAKm9blwB 7AMxUuTFAv7k05PJDbYafusOp2ZbgAeYU1wefpJKm0Xdc2Rbsb4cQPvTZJxx6MOSiCrYdxa45YPd vFx0KS6KluB4wavTRxjvbLmqgDWrefWLBB46qBptljyhR55mjfGUumnMJ5tNuI97LN/9LfErki8q PtWfyXWiw35C9x8blblF/sReXCQlVFVrLBxty4IHTYllmWJAtAYFPGHpnm65QaWOr1wRqIEuPcKM SeS8Y0TvxW30IBvfOvOxTlGzpIliXeu+6kYoa16vX/pHjDTf0MwjSipY0i222auvkBF0+2QL6yky 99D9czxiRTtXMERfbcTjXAy2dhNuQR0btlXHbv4ABPfPy5PCpvLovMlpBu83WlYvWxe/G02sTbiH +ZYjTDi+Ykv7qs91fRP8GeOZJoLisbs2WenGU3MCOouSOZ36TXnTT9lKJ2ZQibk82YZbs/u6+YxZ yK6ft6e9Qfc6tPJn8chLWA/u823SoglArGvGtjkI48Pc+rIYeMHhaBV8uaIo8T7QxwsIXlSFMU1o hUQ+3guxSO54CBV8Bqmz0i5IXVxTAbvVGPDicTn/WpCO0X11zf/r9pYIJKO8WppDIiy3QWyxSq6D fxDvF74K7ayt5y35RhqcWhMffX2qiWmOpsArMpE5TYxZY84SgS6Oe3itmx4ibj8RSLNCVF714VFE N2p1BjObTLJQHca0eh6NVJKNR45QIVYtnkiU8nvWsSs1ARQjknlL1/xUiS1mQ9syDOfIKlsB4cHq /UkEKdb/0HAD7NTXWAPv5+jDeJR7gHi3Bjml/JJe3RCuWy7iwOn9YT8AS6DMSi86V1D8TNjXhVT1 gajkgUxoZy8fBWbfj8M+mMnXHX4bF2MhdvhbNvLOelLA04kpRzYk4ehIHWL8bNqWHW3ZKKZd+T2q NEl/sHgExRToD7+VEsbrjFvMYGvbI1Bw+ObXDUbdxUNC58UPpZV4Dt8lW7XwtGkjfcuU+Yghci5X qL3iHdYnY5UgLkracIotqmkH68lE7TWtR92GteSCHrgsYg6E6REFDUEeErUbTTFkHuN9OT6djS7O 5vuTK4qnS2UVaI1hz68YLpt7iwRaDmtsT/AgK/AmbQ9g3HHGh3qIEKl2SpQsctl7SrJeN7OI4KQj wgDmz7X15/stcnRC08AqUJ4EglBNOFMNFuBzvpGwRpqaou2Q4+l/u++DCrHdMSJIZgsTbBugyy4m 2PXB0cUsxweslcLoZ06kREFTKWpvL7bzxTYLDGRIWMBJxYeQURTS2WeGx6bP80lBcypbHrX5tSgF OcLgMXMh+O4+2e0rX2vPsB7BDRyeGX/bYpI1RucwwCuBElVPU+c4tJ9lmBZ3cWrMLFPjjNECPFrp oqk5XIoNtFWUhwbAGjrZf5rMskXxrXfBnS9UfP48vns5jJmbSCcdf0Ri7IKgj7ySldNIuPVER/qB RBMA/SY4hyV69toSjPs2pVIapqvs3OC7I/XryNDLdCPD+liPHolCjD0IrQ/BdhZIer4mT881oqWc pYSnLmx63iI3bf4ulbmSuTQ0tiEesiJD1CplLBF4368pOSysSnK+3BuGuXZDgFxkfaCKFC4W2S/L N0Va/8ycK9Mnsyd0TQPoMtnJakW3SUABFuvFFGzaokXYMxIn/tNYkrqNAQrqUuM5GdZ1XhJY0ukr wGk5945StohxChDVpwIcfbdeclSWaDcR5dpG4PUemJaHmzdoxy5MnU1OSecgsX/BV6lbYNmYZOU3 /XYI2IiEPNvUUH6+fglgL9KHNpxruuzVP5XARucv88VzXhbczA66Ms2vRYeYbcSFwA/OIuyRljT4 bMOrecrOvXzzaZyPMEzw3jFGRjfDVgRV+QPYmv4z4OZ+4hmaRiosSUoj9Av8v4C8nmzamXCVNFsd YE7zsZ77MeR+6FoVbfueIPOB2jvO9w9+5GapdaV2GYa3GUSj1DnmjdQiii/kLr/cAkqTfIzoqnNi 2t0V+XOrilMkF715/Y6a8khMICVhq5S1uPsV2SMw5FXB9esN7BHbkbthmcxvw9LiX12GIZJ1Ncow efcYqw7nhDYN9C1cgDAAshA3aiMLmeSzunG/c45fEY3TOPD9jwTePCSzUtWKyxyAWN5Oy4l0kAue HOBZVgIcahPjir+PhOHG3Zn3dYMIiOevDdQTmWGTElVZaRerKdKL0/5cXglLecdTcxK8XCsd/4gH gYkg7xZtZay5Yx7b+BnIJGayBENMhMgNO6i9DCkT0TdPO85AqG5iAaOJzL0xpm2uNNUGsA7Z/MKq CBjf0mD93kOxNPZcxmyjB8LOaE8xe5LUAjfUROUMcX1yDLF48dlfZXfj9f5g4RXD/bmGZ9QbqAet y+bTXh7obO6EyZHyIHzFOs/qVbVx/b3E399E2uorE7LjUq1hKzPRAp6qISyCsO3tgBDWgjihzOuw 6GJGNeIS4rRId1zYB6PqZIY9a60cAurTmjcJccVvJyk73MXGjvWTDOYpP1doyhh4LSAc2gDMMLAy 7aVm6orDl6GyGWOA/RUWpV+96KcFztuUvv56fqfSF1MjZwn+rXY0B10DQ89iab6bdkqzerBwuuUP 4k95rQfOBpwaKej2ERVdn4pF50/DanAYiTWwUTjGiwH82aLG5homWAzIdt3vDgYlIzvDhozXvIY4 YnP8UJC4gmlaSFFA9qekqafQ+Av/x45wn7rwiAzaYD5Vwnn4XeyqGnlUORv2bf2W4jCP0+AfPfIw Q1gjXFUBZYdeQdZSoyHocsAAUj9InaYsEVhKL53M3nTrA0KHbBVg/Pfs5N5nQIdIqpGugHvwf7G4 peh+lOHFCLov+d23mAg/hnVbbr6HFKiGrLDX0vTIeaO7iMbNp2svDLqHjVAhIIUMOKDq43VV2DIW Y9/ivHD+VTNiiSXNTkLKIxV4S0RltjVb+cRj90hkVNi9yLN81kQDDHqo69lRXGnufv+mkgtATHVn 3GfXzDxs50gp/1+wsBl01o+W0konMAQcwCyINcxSUbkwWtN2x1/Jg2Z5SBGoM3DecoOKDA+tl9fQ MOz0YSZpDWCpAXarXx3H+hPeOmm9kqYDCNvguHNzeJZI9CAE9MC+qkSufjNJWicRKIVnANi87JIQ h93r4G6l1gZ1ivQ4xr2H5GfI4e7rBTTpp1KWqHesbARGbxteR1NTrIDuF836+f6b7ypJrbL81rDR KLCXVTuAE37U7/GJZg7U+UxTIYMrCyTZ129swYdRbLqsOGTUyHr4VjAQse4OExeDPvdsc22cBykN 6FbFwucoKT+aZL92/ZvPrs4Sg7DJsXrwCeBclcd1ZXMn7ROsshEtj55P1dvxrUzsAq7gmTi+CT/D nrjhigiI9sp197w3gUuaIF1RKOVoonjIJ3TlpuGJ6lJ940K+WAAdTCjO3pXIMKbERooxXx3Una5h uZpdFVLAAo3j89AD3jNoHPDDShGKgSoOvrqflJHpYmPFy5t46sF+w8EcJo2ibPm9yAW12WCocHvz sBuUZpPqZxdQgttppVQd6QKO6RmOHDgeaw/0E4IFFzjzbMawT/kdrCIWJ1mlJ+33yB4rHH1PRu8A SvzDANFUXi5auU0WGasXgxKVw07i2PhZTtRqF66avCthr2ut1laP256+96LW1YynPqp8cZLYyhX8 Q3mNAR8A8XFOTKSQESKTd/RS5jAQMlZY0nOh8cWVmw6Qk6sgeDm1VckpGw78dSDbolInRckHUbTh P9gebvRMvogGyz09BQHcOqqmkzZGynvENO6HkViS/w00QYBaQirHM311fFqKdOqZQ+rAgYdE7Y4X EvQbvESzuXElydmROLBGMU4QSY3wG252JWRbTiqlLPBz4yD5rD6xr5SjR1jkjWxPsyfztqsSMPVG 6cFGL2MBlz5gLVCDn8S3wHBTozAyit2/TC8VR+Okyy7fItrFpOvuTRuaZ/pbXhufzrn4GTQbdmTv zG3/QuFCiRMOyoSi2yFFju1YsEuvio6x+/qLB3sNUOAvt86T0IgoU0dEEFdc3bFfc3lt9LmY2P5w QAOah/2Oz888o1wtlbivWR4EET2ZvIU7AmxbKSLZWiSPo84RPXTmx9XpgHHvshfs2jiDAXJXAix+ IEpnlRNNDDIqhien8J8V2M3IdBki5QZ+DL6Le1hy2jbmOP5oBO4VuwCe0L0U+piOS7q7EEQGglLP qnlnv3EeXBfglOr5T4kWIIEkvu/dS0eYaWGEqVudUjintlCkqpw1eC3KYy0wfMItsxjS+U0+D8V/ r81+cgNXkJzIAlTG8HxrEbpeBoVYudw8YQfUzodSkxzsSDHXQoNoiqqKv+j8Ngn+9WcfKrCJFOIS m/FR6hxCJsR8LUZ6InHcVUv3bebunoCGbE1LThCs4G5BxA1SOVuc0RmjpFgvf74802YLZhBU4ld8 3D+D6B7X3RyUKA8hxBJTUl8r5ANy75kkhEvPXf4UdLYnBkbGu9TN+jLxbagMZhlVUEeJWC0euRbR GV2zgMm78RdGqVtoSo9MVigb56EjePwfEZwtJqfVuWoCMqySUksoEi3ro7v94osulmCLLvHFHY9G A7M4qUrLFV03b3pqvF6Di0Jo1ZGy9LLNGidb+LUiuYf/agADaKgHYxwCBK1UinqGf0GiuUexVBLX okJQK8XLuK5O/Y8/dJm3MefwrbkrK/zpgN4UBYKKKsvenkx518TaCn9xgGBLHiNEpO9P9fU6edtQ zAl1i95eZjy1Lc7FMI3T/18j/PoximJqXQnlkfkwSIVMl5j324ZzlC35gdaxc8K37chv+nRGLr+N SXViJ1nVjtFct4xwwrc9b4Flx2oP2bm5oY4mZN/lb326j6RJ4zjQv2HwEAT8+QfaGikJS2K6LHCC ff4BZgp3eciQULt2L5drEz3I7i7rq2FI0eOrOQwaCunaav/VYKV8aXO9hD0OjSEqnLQ//iH4308z hIsbD1nvFsutc+9jlNiBCFFg4Tmgzr1FblMEDywHI6pVlyw+h6KhV16eawupcvJvScWNYruG1Jf4 Ra3928j7x1KUW1LAwpHRwPsR0T7Z99KKuU1VkebNrNEuFRvTK7QtPRlWtJdAwHajqYgHkZmihMIh z/DvKKtOMuip80yyfQtHCx+JDxvUcgVEb80rmJJU2Zj9MydOhXHRZ+VAtQTZ2krpDepbIUOvCdVi 345wZK3obRMBYz94wBBqU5RVgel2fgplvF08/yhmN6Q29cZQ/4BsN02ktpHuYLyEWXTtX3xMPN4V sE6sjOZM7iRvJ5OhwScdkvO1NkPGBZCGwNeF4TIVaPSX7epuiSSC4WgDF5sIsic7U4XS2YZKRDmD GAlivO+V63hQtX8BdPjL8XtKYIeI/MRdXcIqhKy1GnXj6q7RxSgpx+d/rsNpbK5N2ItQ1NsaEgPg SrBpw5IozEPfL+p4i+kzgCtIPeugt3zQy2T8/DvCTIcwiM/ZpSYtGCEydM4mT+/1A3SLCKkeUxuu TfhJ5HTiByU98DDCEOowIFbRmKd7/PTI2SXZi9+uCFVLKVTyDgkflTiyGtunoudxmuDGOlNxrroa RTe3y+MoTxEcth1CFQvcEjb/MhJi+J5RrH0szsJMR7Lj43mBq1bsAD14kjPxrwhYuLRBUBMSoFAR VXJnmELIHvxzyfI9DIOmkNJKxNNjA272q+cRXmhMn/0Ek3Z5Y4yHxKNxEJJkzBoZih1r7ZirTor7 uYC6+gUhsSOuQm86Mt/wQNR4KPnsqs/lD6ZvC09syD67qIqNeiLPgiaPFAW0y12MMNJ2clOn06+m eXqIeLwSZV6L3IBJiRhZzMJp//paJ0pkF7lsb2u8i993B30nfpwoIOjSu4hUbz5ua3kh/EZrs8IL q9Dp0nv8F6gqlh0ty5GZeCnXhKmgihepOC1rSxmtj+MApkkJraSm7rm4zzpZqRHVOBx+p8m2CdVN FbORjMklqy2nzGD4xg7hqwqLYqYrhGBMajG4/Zowa2Lo5QU1/7ySbo+8GtsIgJZijHfDyQjoEtwG 4ewqKFRjOd1pcRohvJbx7bL+0vXjG8OgbaATWR9CFH7b4h0JwyAPahaWeBHIBrN5sOo2YxyP/EpP AOEe2hCSNYAjdOH4FwSKjHYJoLSFWJmrkbdMJve7OTlrrussuxLaRCbkPrd8reC7HYk8uGh5ve7m Rot4voaYQA+06E56WeHUY9chZgR+VfRxKJOOYSsvzLwdq8Z6rGBIWJ0JC/YMcqpy0hkKqHmOBWRq 5Y9fLFQ7qo/WEBccUiIvOx/ufGp/3Z6mfHCXoShCdEp7moqFRgB4zKSgPFai0B+UzGiGONlOU0is C6aVsR7pnMrBlWt1bY0NaekJSfWStvjpPtxHkHFSaHFxEIXI1vcA37cmFQY3W+xhiNEXk7N/G/hl F0gTNu0cJc7HFEgFqn/m0XbiCTfX7ziw4R1uz3TuMGuazVMr8kPPdLsc0OLrrkBMRxVpC6bKQRGi Ht6gYoIpJMqED0sBhzs9ljwfJ2f51S+ltyhVtLaF9Tds3gqDcV5SsvtTdrgQokcVL843tLu3PZQx sT9VNdE+u7VNwP4LGQr2zKcBggwiknYblNDmwozjCCiQyRwKSt0cvT+f/MMKa6A3HlEsXJK92jW/ ydx0lFnIrE32bSf0+zHzmgLMPUlR/F2NrfA8Eh49lLaaKeYzkg6035PXtJBXlYv4NnE7fT+4cRkY Kopwe1KS3p3yqlDaLalvtWHdPNtDlysZeXwaOD53IJo/PMaJbSSo2o+LnWwL+AMTYlPsnDiu9aor RdIXG8Gc+Ae+SjMb+RIzGKxQz5Y0Kn8pfWxSMonsTUa/SV6CI5tTuWK22c+XshsIN4kQYTCfTPRZ N3TNAzRFpcenFSZv4kI09NAYr1gDIA4j8MG1AAbie/rPjrMVF2+9YjOuisGOk7QfvF+a7PJQoynA 0aNSwrkvPebqI2cWo1RA/U9/g25BPFaDySrtCLDDj4wR/+Oe3oaLn0Oyifp5i5GTDOudV3rYPm37 jivKWXHp8RgvC6fVBL82Oc3pYSHaijp47qjtli/HIdGNx/7HMo/QJgMwUuZNDGHVI2Tmk6oChf70 3WPURyI75uFNmrSFPb3JHr3QhOAukmUVoDAvhrXYjSz3UcnjmM3USRd95/NaEHkTpSplq+fn03mu QVydwAB2z927M57bxzmKwparRBDJ+wFERriaYxDQadM/khqiFV+l5Dp/xFxMsSIDvyyiYB1tK9k0 X9Ut8pOGQkwqJ4EsFhSMgbHPBSCzVnvUXdmQPl2EdO/Wva4TylLbBPQ610UkxKn0zGDHPcqc+YNs vUD7fYX1Tq6SQm9sPLs3f8tKI2uEn0N5Z1YZgFwrC1oHjaUjNOhse1BwaCz0OigxOJFZJ8T2dSTE Yt+cOirS446yoUYJyKsLO0QTXdHnt5oOGrVep4Rpi3YJywBl1p/8/QikPNb4tMl+vl3lj40yzJuc 4lxNzUihRFcoccOM7HstPfBiyYi7rxpL+P+FmRG6PSGFSRel+baKmRCdRlBRJ1/Y84Ng5VP1R123 2gFgVhwzJ9EF/sr/YVr5W2pl7uI+qVJBFlNw/4iO0gwTJfELNt1tqFZqgTCzPJKrXWhI8XZOfTV8 E6qBNrKduVT4vHx25gr9DrOUJh/ZLptaN14Mx277ouwIMZqN5UclO3gk1eYC9+U7iWE1WKPnIN/Q RpP38Uuw2RgFq3vE1MnxRFFwN2S+dt8KkOdbZcBl7oODZlev18wAfTn8gjcwSBIxiP5q15AhcRLU +xDjyt3xBVhbG0LTz/rxai+aDFVn/q3kjiEM9R1aXLJs0S2v6p2uckyHktHIspPElvNUoWcw44Ya bEWSJOfPxHXDdwyl/goFDirowdJijka0ptqup7qNM+m05mfqAapJ2kWVqpG6e6X3rEIwErp8tJeT vtdaodst8qBU+0zl/jPdfuvm+NXRYSidjH0IvU98LBnGfD7ff3lrZfMSUQUhC9nhPfRIVugZyqrN b+W4txzE8AUVsEC/ECicrbZryjarNaqDu0wGRwqO2v86bgtdYf0YG6LzHpT99vB8ybmp6Sb7EuRd hG8JRWJfZuG9mVRFru1XSdjDj1ciLQpSLOnSpzv0Xd6xrZZ0gsfmj7kTkeX/VSQvbThRCyEf4tVF QGM7StZ7kJ4ibYMKBPwcvlHNGGzGdHo6wxaSSbJ0ehgTaaBFuGcHlF7sxUSsYOR4cmJGiTj/ZkWq a1btTpSoGApaAJfBdRb+1+bj6v8XikaZsvU7B5S0OObUBhs76WJxNozrzQo+dtdflPmUlusPTxkT 3Wq9EDfPatMT98C8h0RAUdBMy8318LDy8Zx2aj+AJOVWjHUvw7JUm1d/KhNpuhsujb7vhV00fu/N Dma095TXYFse0scsm+BPstt53/PpBE2xGnK1X7QwkTFj8uw4sb/8QNRc/owpTvegFgczx0+yT0SW FyEsa/vO98/a5BUPhqgWvt9R50KQmif3Vozb+76resXnbAM/4/iZhiq9Dmy97V+0nrCkhGGnvj25 TYVTtWZUW6yCzt60pQJf91J3igYGrRCNPyY70G9kI4mAUpvirJfjW7MptSMjU0N0zmLCZk9q6WMC U0K8oGPwj5Nn1o+cg2DQKFofhA6yEi0PFTlIpVbrm+Zo6eTB+obb5X3hi39OJW8BKFtXWlcjEUh/ qCAbT9aCf0iAumSO1ZjoUmf4sJpeYqbXS7apHLPartxCMOEevUHQ9y7LzJsEd6RNTwqqc8ZQ8Bgr jvtAcRHDjLvmbalFMfaxMc88h/ZqGjzdvXJhh9wkVLq1bAduRhGZLzz25oPOKOc5q6IR2Lq1LjhF g/fez6Sb9QMj3VvcWULpu2y5mCV06fpJgvv9O/5UH/HYCHoSRe+LGzXY1Z+2zN0UCBQLclo59pMJ 0T7BjKLoJsAxu1x18fYwAoMDyPxjmGm5kaGpcMNpexqaoawJe6ul1YdAbd8m0gjIjG+pLYdsHRj/ gChw4sXCg6p/fWF2hlhtLe/UeYUNT4ec0R0l51V834SkZe9wDAg4lLMswL8893k/OIkFlpm0Eh7W 6aTHG9H5/QP3UiH5eUh3fyR9K2rKtpcb+ctD+sfV25NPbRya77ZBTlkYT+h3ig73ZBqaqx4DACO8 Bp9ZOfFQe077OxPz8g9k+dZnUVK5O1+13JGGXuCxhjwDLtY4sF0U1wxB5fdud2JwffskJkgIKYp8 5UrrJNUu433xKsLx2YxBLaZ2y9SFAcRqfOXAzvKPYZlxcyCktQ71uvL4Bbe5zXoXsuwDhtTW7Fao 6cr9LM5m969ytypsB8pK++op7oRLNL5RDWhjmXLHG5FRaCTONGnR6Cx5mcQPZcQo4YTgAHSUmeO/ 2ieToVyMv7Na3IHqitcMKhXF/l1oaRZd/+H9pQd2iDb4KubT2RLgIihtUnAA+/PnK+LG9yOlUKdP C4LHKeExqIBy2KOLz90QWrsmDv3ic5aGsErWKCONmT7PQH6iaDwT0m7C7/HHmjNOFivr9TxYmyAV gWsxFdyT3I6zkOWdPYNA+tzpRDMjnNJem9ZL54nKsjNYAU01cqm4ZrquRbxrGDxNKQV4pODMj2dy rE47Pr1E2cYiQKJBpX93EtDys7sUshBfpZ4WuA9KO5K5ROauOSKzItNTlnoaUuooH0nJCbvRZgPI y9DkpcnIljgorS+ZKSGeZ/rxkaD6rtCNjv83LE7EXi1Jl9QCjOZIXApzUw5IQxD/OFOvJZcmFOEa yoDouzoxqmFHrmxRP03ZMXaKQpt4XLtBs74uDTDZiG7wMc5GzSkLZshIJNgkcdnYrrriJgRlsBde /TV/tjupBoe1MM+vX54QGCM6tgnQiXw06c94GzsoVUoZnmFEoJKZG9cmsr4xIOgwuKWXL9E4Do2k rem+3798w1Wqq1bzWAnZ6l6JtjPYyzmGtO8jvoVbwG0bcJcjqXnVMxg78/TvTd8B24AC/lVQeatb Sg5n7AeI08lcpZpdXZuhMrVzHSKZYg+T0STt0DhsHU+viZG0OEkZajLq9jOpnigvq88LlyG9CYsi 8u4STPhg8sfdyzBICKaDLbNiFcevpgJz2tkYNsXgxyhNrgrwEnGRhH3wFjLidk7qAf99wHO+yCZk sLcrtat4Dwv9UYy+gNrExwMGEOj85T3svnBsotrMhuH+0MTOAxDU2E+pL0gqHEDKPUTX5NBtsyqw nI2+30d2BhyNGvD8XQ1FncdFq2ZtNoZEWaO5UsTh9p3jOF0cVT+Uc7LatCL2Ndkh+ix19VOtXvBZ 5Psf5boHMLWwBuuUGC6qGPDCvokHeM+5dx0+ALCVEAl6F249fAOyJpsiA6PjyoWqCwBkVNJXNKz8 Zm7OTX73HMrbR8TTgDTnh0jYv+a2XmZHREz5DmLZKVQivZ4DM3F78UgGWXrQ4zo3PHiMqGV5uy28 7/+72Lyn8wel3sUSRK05cYACb9ZCzmV2Inv7w9zw77auz2O9CD35N4xK17GyyU5shMsALzfVcIAe ME3LI0T5bnLwZyLOJqlpiIUnxKvuVSfPBI82hWQscTwZwPzZMTmajcYaD2fqmNPRQSecChiKb47/ gpqkUGCqif2oOxZzJVxrvJX3RmN5v78Z64E5WlAHZDNK3+lrTc66iZjkBCbysbLnLNmTCicsPhNe rL2Xqc/+7OJ1qjQ+59FQ/QI7Ds6/h7maxtTNtepkwHhdJ53qx9jMjubh3Q32Ced+yWbuGd7z7huw 7pYMr8bTxtf6sAbXEdPtKUHqYndUm9+sJeYPJh3lUmaJpjh328vCZLAUVWzqoUJkxPGC5K2yu7UJ 5f8myo5bDQpHITxwuZNwi4L8jA4hRKMPW/c8N+TRmdzaiIpwuiYtYBusM1JMMIrdj8d2yq2nNdbR QmsTXMTpKbNgVYbRWfZNLgl9ybgHnrShCR+a2aGvBfureRCKmKdL7Ffs9YOP9qAyczHHjNl6KH0t 9uNpaojlaq3uQEMsv50NV3edC73wZtdSl+SgnUqsxdqdNFMvK5c2m8gCiAg6pocjSE+tZJGg/bVb /cGJBQks4bXFL9n8sBNeWFJePBZT7q2ZppyQPrJjMCvPeFDg8HAEmwzSgPZSCEcQFAlM0jlWeXEc W5UrUtP9ER3S4xCjiBTotD4MVP9rYo1LY7fDU2eBMTrZfgpOIiTIrcMpGi1Ms6eRYk/Qt8XpHGIJ PM/JYTQX9gQ/MclOGnpCCV+rS7//zSB9ccaV+A0oIxgmn1iK46Oc+bEAxvQddI1ItkIZRZ0Punih pmfj1ESA5pk0xmocgbN5vl15eHAxK//edm9uq7PBpO9Mu/3XtUxL8pA/FJeaVDYbmQ7xaLwKA9nW 2SOvf2kIGnf7rwyU80wKlZP2dWpm5Ajn62krgNGFQQWrBkClZ0RinIpT/CsqneHn908znkXT0OJW eq7X3xI8tNyNXsIOuMNuwM/58+X8Mg6BNnHsR82N7xEhKUd1ATJ/uXtcjmRD6hiCQjGxau4NHUtY d0hVlb/P9x6KhlZ3xUyTz7080BP+LrhbADE/MvTzHt8wI7dJ5KY4rQdSdN4Mf/0JKDZKj4MirZYT RTOWB6CPymqoVtdpQdqDIkfGS8BXeppXbLpDnME3Ux0oLiVYzV/C3FKIwE3F9FD/t+1St27qd8Y7 UM/NLOXwBvGf9l8LIIauthIdZwaVXJYVsY5T7m8FC7rUyCEluqseWoinf6oTWETPh9ivcthG9jUu iHG7F5RkFHWhy/y3LfA2pMQWHlLsmU2nOSwHtrLTa8qXdvA7is/a0fMrYYmurMrWyIxeDUo3Fxer M+lCLlbLWsW1oYvwRd/I3E5j3esrMyFvI39rb7pCvHsTueqVRWmcok2M2xvUaKpuCidf7VHPRF/2 6QQg/t4p7WaR1SnWb1pRBoLNLP2/NfsW0uT9dGLzLvMURgrkGH/sBuSLoPQjU2wyudTsMsOEm+Av lU9nukl4YeRxqDqszfb0cFvti82kSMsoLMB0+WBkxQnVVDHsfn1ClRJhP3GJJ6jxRIJwkfF5J5/S FvbNBzSxtVmcuB4h/yAR18mncOjihzA48KBKP+vabe/whz9YVknMyS41wKHdRqO2jf6SSu3V3L3l kOBwpkFZ/QLQzwBcOCVPiKpvX9KA1b2lmjOnQzpluylg+svOMo8uDwv+xdn67z8a17TSuZemWmxY mMujIjHGfjBwU2ORtu7UU7J/Lowy7oNWEclFAzatWko2BJANC/RTxxMTo69SB79Fs0V7T+xYz9Mj Cm0D+lhOGRaKsoL/lwGGOg4z7sWA805BHqTluTCPQWMWpqmmINaPkeUfoYcPtg5qX3sID3nFZCgy BsgkgcB6jrzk6CwKwpce7P6kZKLJuqtM+zrKYKCq7MEbNXQKrLH38LrPCjyHXPR148QzeyRWyzI1 Vn1OY9TJsrjqHweTd3Nv+t6XNhPWqDYw/fLYyYqfUr8DCKQ+hiuGO6OAETP04R8Tm1AoTAn1yLkH NhEegPNDEejQ+3c55+PtLSnL75NjU+ZabWRFFn0f0ruBvW3bR5tT2Ocg2J0QA7JsZN8A0QFRE3Mn B3anARWmznBzywiCuU0I2NynaPXAFjQRga/LmSo39kTKmwEPmaA9mbeWnkAbrGRV5ftXkX+xB2Xj IIAm5w3cLB2nv3j5KxealMAo1LRWmaSsjAQSCwSh+FFpBiPZ2oldWuRGGcUI7QLpb+vKIet72y0o zbXwpkaxlrW+lZDoPghTt/gyRUzc7VOTEd7YCAU5cfQHGlfpg8levQX2+eybb5gYRyntcUvF8JRv 38cVLInoSNtqrVDk+K2U9YOLpKhKjzh2Nug8IWmsWNSUCH/AmGQjBZcPZFw5WF9MXl//WEz6KbfJ Bd6CsaQbkP14zHdbtmApA0eRAxinGVuM4FCwkNzppPLtEUuh1cLDIXnSg2i2F6COobtxHro/CzT0 Go6qn96yxBbU3IJBlVJ3hlRnt4ZyT/UEcEoorC0tFhuvH6PJCEiLMvSJ2nMZGAem08ngf0G/EBlb ApK9xMhdo6NDgCRuPyoE0cYU6y2mVFfNwLXjquuEMkRO0dijVFR3m4d+eWDsaNtqdaPRIV00FNfW nOPhaQ/zk5/OPeQnkJlwlvd6mTcM+4/sRQp/E3EI+Yh/qLTogZLbdjB2O+3xcb7i0SOyKDH7trdA Rp5RirbXTfxXgdkkQgP4PVI9KdXwfvbTnvJx45K58vJFYJ37CGUGzWbTZEuIWytlphH+jE9Fp1Z7 wMrwr2qG+HFQ4/4i845Ats99fbF88nsXWo2nk8hOFKQtUf3a4C2I29QooWOxymskZBAihf/t8EsA mVV6FuxMCIhjwZqlUQzt37xIEt2TMTqGBc9x7q95TFGBPtBo3QDqBXuEGx3lbz2/ZKDcioUGIJGq 0cJIb8sicMSxkWCfcNJlYBjkS6nTsJ+Fp8pQ4ONrH8QzO3Jk2DvMaxj8I1KT8FZqmDhrU2uvGUZn QjDH8YHR056vJnEjWqSYKiTN/1apyDpWS++kRHtZlvMx4kOJCLZFJoURNA/IxdDz3MeK/KI9EMxf 5oS+z4KRtQZn4TXENvuKKE3V6uwvtEGefon6s0jEp7HMB644pd+vKewMt9c/yI9pZ4PIQ0pzqD5n TT4N+/Lx2SPr8mHaW3Ee90UewRRiBRu91DZA72mMZgWJB0c2L+zV5nuaX5gBwkJRUErxJkXOMnaU Ngs5VEqRl1Nf+m03cPDwVqM52+ccrMbmW85RS3LNdOWJMojonqMvIoU626gxDg2Ux2HtbHy+uZan fUEruIO0ouF0TlGnCB8GGjHNrott+c3n5z7zQuPjh6+KH6tfaW3NL1iirsqGEoN0uI9jphQghv3o sw2msc663J1K8Dn5+5/Y1lP1enBR4VcW+17sL8MOMILao+PfJ0LhgHbVSUjGCHBK/n4PELNgLHJE tYUQ4fTyp4epqtbPho5SgWpJt0YAVs3R5g62uzTgnUDE/wl0z798f8o2FO3nqo1RLSIZ11lArAIk e/3xl6b23Ic65MtjODOTsglNdkRoi8THjzUbEZ9CL3O9G8+rbuEeCfD5ITaMDan1aVtKnFtJpnJo gTarneYq5eeGhjJHc4+OTc8TcTMkMm4r2R18Cswar5ZPvjEw4lgJxDqya4Lbawh+mnvseWL5F8cZ P4Heb+9XsE4efCl2xfRcjqI7FoIViPUI2l3STGjNQIavCTIMALZErbJa0U9YuVdtZ5uG3Mhu/iCb gMXyiTZdhU/DjYgbKtVcu5RforEi7jQtuVNV+I2pl43I9R7LrgVPofIJxl3kE+B/bltDIcXr6tyz uDTR6RL6cPCG0SGvmxDc07a0eVqqgS74fL/XklKIhmASJ5dx/NiDz+beJ/HmhDp8ub2nueLxq+9+ f7wNk0eqf6/Ts11wKitPQ82LoclpGxgtGTRXn2okt0ijtc6EfTtv9C3c2VPpR/AjoR7da1CMXZ2K TpfQKq96Wfp7LLf1xqvedn3GfdSGjAeh5VHq2/8xXG4Swzq4MDmjQL6du1C6ooWhVHZBljh/PYKA ufCSV79KoSAnKxJRccDrxjxsn5hjBZfwJHutUFR0JgUdZnFcT/oAOh414v6sVTnyHnWQNE6qMVEv JcOoZZzLhTEpZcmLoJJiz9BTiTWGKFoejpu6Gou2HaqizTHEM67MIm/KW4FIkJqt1bHaanDKZgx0 8FLmxTmUcLyOYa5ViYtUiIwxHMjDeYNSQgQx5vzHKOjoLnBBEQsJmLebHZ/Nxpv9yXR8CR41EnJG 8Fmi7iYoWNa86pCoshwCYjRtBQx/HTqNS9fzU35RifvTPjK+bilvL/xU0FNLXYNpbbpO9E2Aql2u ca3DmvANnXaMsbWA8IT+kVdaB/lkEA8buaeqjsvyydJimsueOwwd0eX1yPtC4Gjtv9B3QtLduK00 9w/8FZA7+a2+TH4LvfZPdGIHgYY8SMj8byT0PIbuoe6dEOy3ncI4u6/E30A0bbxtuUD8vustXCF2 fUAsMHNa1pJ7Icd85DN4suoputTAMmCraSG9f3na/4VK9Tq80Dt4dN+Yky1ABg9+TscbF6Yo5Qj7 71t+Zym7pMTnYGhOxoresIHRmw7ZIQp2oBYR3GZAvUar0KeofG1IErT8RIaerTDtTLey3ek4UkF6 VZi1KHV2yUpMtRGdXYoGKt9Z9mgePElo/1FOcJP00uHymL3stx7rPwf1MwWaPhEfkooe2QdOvxBF Qo5MaabfL/dD62jFNXj5XfSWE9MqlToV6fyYrAjEivk8vqUnkM8CJ+AU1H8fy4wkfbxZ4IvHrPIN 609FkhUizWPSy7SrXF51YukOff08ImkrYF3noTM/EBwg7pSDSDq3ReYcdohZi41V9mGicur0LsyC hyGy/lol94MhkHbCR0C0N2192bTFQ9RFSSeg5OzQc0ujxosVGkqHGmbFdXVEAPHHdvGc9fEdNq+2 rmgBMP5Z85inVIui/Q+IX16cTuQchtxSCqW5XWJzxWPJSygczDj1FkS62wcgglV/7w5SaWl850O1 7kAARJNdXWcFsHYafyPIWIdLjhsuLgK/2svmUieqHgrJYt0hGaor5+qFp3KezDyGC3+saMy7rD4c gQ9iesuM5xSrOFbFZmrXccIqaCK4NMZGFCGwrnlKLWfWuffaM4SKKdGA+oiQ5T5NJ1uDR0Lg/k8e s/78l0bTBDOl6NjparCH6r1g8V3J5jhGdkfbDLSsuJBH71ZfF+HCTNpgU97zkG6s2tC3xQOB9zpE XNV80AZOorAkjcKIzS91Lu8JwBJsaAfK5hQ3F3mRsvy2GUp22bNeW0fi/+cTHuQVmmkDZfYRJTsn uSkXJ3LLbZghHbcrtALNloJ7X3u2NgceDM5jS6n4I0DErTyrTLexIEM85MWKgY8Z/0BDQd74OFjh qrgrU5+kxDF3MLZcASUb7h+BXwIEAzxhPviFhkQC2N6A7XY+M/L9KMyNNd0KFSl7KFni8ZVyhzw6 AEsD/zLBbcN69CbX8ip3G2oSvUbN6lt5IzY4DZ7MY+RwkRdc57Gxc5KlIJaZinf89TUFn/8fmAh6 ve/YDXxiHtRdF7U2PZeM7YPN9GBOferk7l1jWp8b459l8ldjzy7MFCdOmO/9pOrUBLyuv+NKLybv Nan6DcDT6mhj3s8pcZNaiIZFedevru1uqRWaR6mRWxyg1PEo/1yw3bOhQL4mEwUlaVI2BTPRG0tf suvzdLf3EsONT7kmCxNvl+RjH3WbdhnantCHfDV+RaeduSSYjoy+XDjmyzPaADyVjIBzLZ7sIbXB PrFoop+P7CaOkVRy9GJnNbQ12F1z49u+RCCCxNp7O9UdBde0KNdhhr0jWZ4zJ2NrmI0GcmIqpNgE rCQkdrW69jRu/syGNkPg9YT2UyirZbItbuTSWtjhdt8IfnoZO3cYtQNMDUXjfx2AZX9jef1C1CA9 YQjq1/gmz7bVxScOKAXKPddlmJiKgkh3jnGPW7L9LidZb7Wn1iGCGbF0Rsa2KzJE1ulnwTizPSqH exllSNY/i41pBlZlTusNAOl4HxwRQa3S3Mr9gzVihQcM3ZyaVgHq+vMjbMqlPrT7KfA6yvKEbypy sCPqu4aTAqbCdhC0EGoGk6crSp/G3FgDFaCpbhyG7orrhBNc1qGy2gKuw10KaXTz2wn+8KMUpWML qlQVQRtwK4xk41dxuX5ugNAdHrAsMQvNW25ZO2+uQgACrLVY1Rb5IBVr+gIqs3Wwfprqhhx3SiZV pL4ps4JHRVf/mAnOlTS9Vue7TtjC/01sYqjNxQOZWa8pueGKmsJSSZVU99bo/7D6vG39PvAtKXrv il6b+xWi1YwBe/znNbT7QixJGf8WFwbGIbspnzTpVdLb5C+Q84mppzjH2/e/t8Z+zucN74pgI0kj B+QqRkoRX2VpcP4G7TA+u8/FATYrL4wdLZD3JyCCANwV+ATRf6B2U4oRQW4U9o57zW91tHjys23i rXOB8+xeS7SyqMpBY9Be3Q7wk2yjLm3clhuZR3PlpPFfnxtqsn9e75AtS/S8yOz9MHlyoMxcM4XX KWJXDhGhntv5kKknUblwFKN5kJbQNd9WlkVO6Npc26UM/L6whuCpHR1DS/r+/Vy1KoQCtGyNVdwj p+uZQovNMTHUOzgd8ffxzEPHuoLY3k0DZI4/kVV+GDLN3YQdL5hx9dxUpYBhaf5ZJGgRTScCNewR kae/w8YKZzcsCBQeVNFxARElYO+wioIpId8BrrQJOOhedyFq3Jx2wbvFE1sKt4xfSHGk4dJm2NfN 3mmsmQFWbNtk48nUsxFn6UHHR8rczcXWSIpfOq0ksIQaJAUUVsmyFvCpEnLcugZcem2CDM02VlcH efylDUNIHWEU1Mzl60V0S4bFb4+orbCOurbcdRT3CgKDd0sidvYDYNfH3UciZlXNsZp8v1GI2qXp 0l1emwDg2zL7473+ttmDn0ffQEmum1Unq75QewrrK+XpP4/daQt5dTBnfV5U7UcdQlrNnyNqhM7m 2UDZa2hf3Ga3Xbk8Jn2a1FQXpyJvn7GJYhAfMI+9nWu9ten6yIjncjjVcJEGerkZq3hUw4sD6MlA 9eih+pgGmMSpQ1BHdRb6wncphfbLPZFb01dyibn3UAJMkLK/YP7nE8tgmP4GqHdDpYn5+UVX5Cym 7qR1UebmjlqMRbT7vezIdqRtX+DO8pTd7owgBcwuy4ReEQpI9vr+0w7rUiMk7cMyg8QnH+rBOGdR mpDRkx8OetUjXIyUWQy2Wg1hGuqel/WPtqsbxumION3JB+2jt5mGpE6/ooqpQG2PQXNpIwlTwifo CdckHVx+FagAS5n17aNFdeErdTNLqwuD+2Qi22/8LgIF33dnUUfFQCU2ygAKv2MmAV1X9YOp4r+Q W2C6kRlf0zOW5QsL78HZWEZGdnvjk66YWIrxXfRffXxwyVqvP3KFJiVZ2gtqXyxq5dkczN8YTq/x LnY0dns/A80oRgg+5BXMcjL0rrDwDJsWmSlZcRLY1HzL26lrwsOV+CspaN5x2wLjbT9RtbU8Mhb6 wn4ZUh5QLtXDLaOwBgkNENr5KQhrR2FgkbHdLpKRdXEjHnniifOl3GfnM8Ug8cJMcWfTOGzYfoBS FUOfEWT+MTDc0NaspSjAbbyMcTBiWUH4++3guoMK52v6xiHonsZ77BiE3lZ8oUJ6o0jAcAZ0gU/Z sOryAmq7KRr3fNqe/mITms96jEDSUJu8CYWfqKe2JQkkX2hP4bTZh0ODlQvMnPShwuM/zSOTctFZ SjEwj40rhI1gZRdnAwPg3BIzy+T0gTXCfxkMsYynRcOMe925aPpjuSvz0RXg+B4JV0/6srJu4f+f 54was+KiMqz1tulJLECAPtecpxXb3VaANpt6f/N8Jte9qXPfiuRs71GKJdkld+vjEUt8jf7ElnCh MuTcx9TmfrHG64qr7D6iKy1uIiT/NXWsuFWblKwlBIa/J8dHDqfEO659eNLDZSlKuqIFYJhgx8xZ SQ3K/1A7KQ+xZ2p3gay3u+7m9S3JuBlnVrvEA3xahJtfzIupg9SCcnNBeRfOAgX3wJpdMLpINq3i yqFWzKL74FEGgeUPKrIS4E/mPEsN/uUg034UKUuBNNU+CeQSqatE1qj3HNHTdsbI844L3vs5qPSa rD07HhD58kkjitzKYss7orpViUPKf8TFJl7DfjHAbvH7KhjTUkC6d92ZZq7J29cXbwk43C+uJ6ey QXpgGl1A9azW4BU6nAQ8DbcM25IqY5/EBlijZY2OvVk8oIKKXO9kZcWCuSZWDbC/vdU6c4QHxCjW EInT7XDYUYSnac2U6VDYIa6UDTmuizCp/X+UPBRTQ083RIe+quaUkm8S92kwIRJVgONZ5kFJX1Ip nHJRhYyirjqh4NllIb8KPfu5THDL1dJ0/yZ2fPjLjSwzEVGf5vRXFMCj0/Up4qyh6nurukNT/UdU uM+NlrlwWGyhgszN9C/l//xuncLP8HEc0Z2/QakCct4dXUGWQY/jnH5rorWMrroaPqfkiGEGcowR D3UOEmJDMubRE+USSSD/DjD23QbyRnT2K3HDzul28oCVmzw4LAGYzZMvNfJoW53kUMQ0WLX/ENAo 37rml7qdoPmQqgCpBGBoWcVpMXyXslxRL7DPMib4PEfxG5lixmIpDfV65ztT4dx/j0cvXpDtO0Cp tDH6uMNAZ7nOdTHSHIYEBv7au/gYE6HcI1Yy+EFygysGntwFltjZpCzX26/l2FEGPJ6xEYAEt+Ax bdWhOKsJupvSf+c/2PUCxYNpNtC5x5aZTU2ERHPIGazWVBMsL8b+zEwAGekmOQ4rQfSMsQTqC9UH N40MVkA0nFfU6foLUVswukPy6bkNJ0cXd3qi7dAuEGs+rgs9nAV8vsE0CWddyd7jjW/zm71oa7RW hoMJA3aIYEF7N7okuv0YDXWZWpMrMa+zU0vwjcPKnJF4+8U4RzISY8ZEtmDH1/ow80x/FquB7hez N+19tg6ucX/aBo6Sj1VNtAVazgXsAl3QYsAZNOsGXcWILauq0RaKQH4RRFZdKnXWENrLsfCDYxr5 2Pzr7GKBgLiBtOUxB6RxFF1OPjxjIbs6zBjfQppgEcKXN6K5ff9WIN3biM4cSUrWfhsg/QvtGYAZ Z1k4PYwlAaWhjUA0t2z8mleWOhT605k0UzIy2wYCfoKa1T1Xp+ZBI28ZH9soclcdSCPUXbeB3SX+ RRWyUbPMxKR8pVfYRRORXtMKmRY4sUwLClvxR1HhTZR20kwMkgkLEk9tbcSW5T1YgcOk/C8DAK5S a7qNpBkYb5liKb6VZPPIgGR4KAvZonlDhju8uGC3QqiH3qgd3+PKFg/P5jq6xMRQZfc/owAkzJs6 /0Mw9zTBWfihECMg0P+qFqLPau1cnjbf6ouFQkf3xgcSMuyDpw4XjvZpwMlfhXDmjrD+FdFv3hDz PUYbQ5pgJFi6M561EpdOOf8HJneDa8Pw2uW8zSWMFqMG6NHnk8R9Y2qXslF/LMmbFbwSvLRlYwRP k7z8cMH53j87wJMHU6NuxNSuPx5dIhO+EBJUPltNYq0EYejZBesOoefV4Afuxkmtg23Yvqtwynwa LrOrv49B3hBoO7wQ+uukdS4h793Fh7cLo4NTJE4utwZXEX2S2kqsgrR0Pw2zYAAo/i/X8xCNhyEt e8G9pKWs8tkKaTHI+V3MIRvzCfPLDBF+jHPG0GKIVxJm4jD5z5BgxMLKFSe0pw3RxFsdv/YbAomk Zdd3B4aJ/CtvMO6BXTAaUavkaRUUiqW1I1yhEAwo6yapBOPngftY0w8cAuZ8HF411ZfgrLKBQC3Y Xzpu2E7tkjvwCqx9oTgHbq8rEY6ipw4L63JbPsfR0Rt+ChwslRZyBZ7HhB4UHY774QUxPXq2AwyR 9b7jENqv6UU7Ztm/CNqYdjBOoA7eVYDwP920DcBOatmivDwLUMd7k9rZmot7Z2YjEHQ6zuac85sy O7Vn57kzQkxXIfZLhp3/khnpZIEzDhydlL2Zn4dSIKyHdcfIacyIsq0hw/k+9KeIonhghMFbPYr6 JGHZl+W4d+t127QCUCz3GAT9eUdzvuS4SCtIfviq16YpaFDcrWmiTU4qpavizhjWilEcI1GUDQ8R qBKxZ67kzEa14ZDQD6Z5HILLFKqGNJbpps4axxw3udTbXv9gdJmjXjWrleVta8wnaEmF1BwSVloG Btm8Gvyv8CkiC/lJKILnqwlF/hyylXK3Dt+5zL4YJCZUbA/RIdmDAAEMvqjGf4z7s8r0ICMaIfZm oNCRGj9C+0HxveKfJQ8/5s5gOR7ESZDLVeyQ4DZhvGuSCtl6egXfKid7nJIIdNhz9+9WowhRJbEe JCR7RJUkl46d0K3Ib7xA0nrFu4V97VcaSQT454Rsm14TXPqSksj+uXZmkQSezIEKWcAN5+DqmVAN jMggF7dFnMyYZQIQMVMJPJV1ngsXFJvkfZRNVsC2B7IiJ3sOO5EntJkeyMgEK/iVRe0z3RM13YJh RH+lzU6jFylV2rvYK986jyfGNTmPAOXfbBI5Gq/KqbeTlRRrqhs49inj0/5Xs4XXKi/Lvg5BgNiP 339gbYLbuSL1U8yGIQ0yErRPL0/5BbMOHcZdq91hzdN0AkeHNO7aDi1x6BvBfoN6iPwdBaWnHe3g as35pojveK6EXt143gnUaZkRp3lblpDG/i28JszBkot/x7TcQPi7pgntqB/188xaThAoiQgC1gHo v6xzG6bLhhubSrSbzpjed/UxrGORsqsJFh17fZOOk+vfukZn5hNalWyOXafp5jt7mWykr2rkXc8U 1E05iYprlYE0GlNJsQVY/fQpuPMRkJ/2rN6iI6D5Jfy+78mw5B+HPJx0Gn0mnISBJTB/LVtqaFYQ +TXUPlDye/2xhrEU7YaiwqKt50nlbJWiXpu8E/kLlRAWxR2PB72mG/rRm5/ACE2d0lWQ4VUhZajo UndojjbkvjKT0vrDW3AdG5EvgRRLF7pLFWKK5ZFCqoOodc41qbRKI1VoUOYF9gSq1xPJ5pU1BlZr ARSwd29VmNfSVe0DeM+kkT0gf52xSlIfEKHbvKzeyxf14nXg43o68HbEZHaD3kwYAD+Bf6W1ntJv A2USIkdC0aK+AHYI1G6nuw4GoYmp/DrLloMqWDRFHwGqIvn3ChAgknZUFeBfDVfaCQdSXim3qRUL 9ztuRdx9C2p7NF/uXRoPPfQYvGtSz7RJPHt6yFZaS32V538/M3VoT6eE9QblmisxxQBZnkr9Z0IB hWZ9JHICjZ9QtIoMaTibuxemYu4gGycpuObpiR8cYz0o3hUvbOqgQcS3fBN/xI9e+vWsdQfDkY88 HbaERIAe27tTPzEqK1vNOO84YsB+k6Po5aXv0dwyx3O3XduX/dDY3WbXi0QZubpt2Nd0dIAjcmuY rCVWFLmzjlsfgDlohM5eb6EPFjlcg+koQ4NpU9YEXYyiV+yuDaIlgGmj1+pXkZg75G/8EOw8/9dd N2OLkQJLCyj0o4S91f7ZpRsq2nDB/lI0yLiqDrlQZJdvJT0zWWVIP1Dv30FmHvTMljBTFPPn7imY ToJR3ay6oaxFdq6FaBCNa9KO3OSF7bCBBJhwLuJ8AY/LpZmc6dMlJlJRg0NXO3SKKxyVUsLYStyI BPIxHjLZ4hF4A1SoHfNb6QYezZPUrGebVMXJv5PRimi+bdpXIQ3UynRe0JRQ4E6U+5cMUXhlEjWl IApVyaaR4d0o6aeLMHq23qreiCfjbqLLJMx0SG7HRGyjEKheQua9XtpMY8WUheJEMibKM6VJrgjr JUdGhIUdpw60odXNRgzFlXFwE7Qe+kQLc4HdRhj6ivYaYS7NuztzN/myzuRvMVaHr2KdAqbX6VyP KrUtWElfb7L6qbir8ClMIUs9cZ4L8pLwLzoSPMzTPgnZ/er/YYwOUAGVGEuxrgP+qo/tZNxidT72 xbOBGtGg4U0QvoKoK8c+Aqsbhao9AhFTG5V2LD7uk++gvlb/5fzsCRtyXuFbeFnYoree9jAemvuK 6dCJQqdS+Z5mF0fH8eqFFt4VOuVR9um7ihoC1nTe3iV6cF12Y/AAAOJCVAxZTOHSHRLJjiJBY0Sh JhvcTnKZ8iMH4BEZ2KZLPKlz1LMBMpYzIXGCC1uFYYKUJW9Q2DScpb7DmC97uewwU0o8rGmiJ0bG pcN+PFhxH6CSCi5VE0Sb3veE7CmF95IQBCQ+xbQo/xg7KnsDZli7Q7cR8x+UJaQJU1x9oxaYJ3fd tO42m7qa5DepFpH8VOHlmQ7xP80WXKoU21EU3ROi6CspxDh28VemWCysWeOvLXi1wJpFUdjfuI2d cQcp1dVa3DoVNI51em/LB0RF/flkllXgIzVOkGV2RbjHGvBK/cADGaVA5NtSANw4uwt8PqBjRPm8 HqM4TLWUvVVGrwKYG5ghXV3/YDnIJSQhN7Hohg6JngUH3ocKz9TaTrBNQDkpZyk4iwGwI+lGgNA5 DIfUAJGTws3IwQZZP/BK+lxYf2dupJLFqtGj6NqUGZ81uuF0aUrS2JWCQrQs75owv3UgErD0bVbH L9zu1QYxaFNKgwtGuRIFi3S613aLGijz/IcnqjbCAhII5c1FpZWYTeJQmH5cu1H2Qc17arsdO4SM NbFW1J6CpfhRu/uGIiHqQOFRGHojnyPVG8xvj5XEz/rwE65Qf4+36MwRkfldA+v/5TsVPowl9HQy 4cyzzVWyRfVC2sAGdGDwI3TbsiwE6Eqmjngdod4qVLIh9sobDEHDcZVM1SbRyNO6ysnnOzGiGt7I NiiuFIhj9QCNQ+Z9cMv72vyt18nSNHt/ZiVOy80ubDnNdyfu1T6YKWHHbbkw4+qF3cO7qioV/hWg sRWbFfnzw6G6H6WfwFx8lFVyGLbuR8erZ2w4EB0lOLf4LDFid9s6nilIxj62u4GZNtlq6S1vG1hQ khvzZmrLcBLyHpiyqoMgiHE8XHrEr8jal03AqpNPHRPJF4GrCHTRAV56aVM0+3PmrZbrQ6F/2YAY nV1QjezJ1dySpvS2FXtRACj1gLXsqlEFIfh9xvoexnpDfaO0zkw5Cl4QCIm9deD7CdyYOXCVloCk Rzf03+fMfYfQDZtaxbMln2wwyUYZ7tc489VvHzqhPKmXBL9CvswAB52UAEQRSCv+oL/tCqnzWEYt CvcNiAzEh+gIp/K/uFa+OYBHWP0VnsXtVWzXP0Ju3fjKnKnBroqs09FWFNoZgPrJJiPxRaqr2jV3 I0gemWz2WrAR55u65ZB65HXCCGyYZ2MjAVWXx0nB4Fjwbu0tSbg3d+SNaQZxGOG4tL8VSanYZbal irjt/b0Ms7hT4+yiaZlsiODTYiqjWltUgT195DQ8uPIG223jQUBO5Bbp6ckGuCln3/QRjdo0tECq 4ni6QiNL41IXeJYZsZS+CmlV8pzn44o7gQ8zV3szVcSFAmLhhdqLQScns3qlAbYF+5503KRxDkJX Mmz1C4htGvaHoAm/1WzC1O0ZP99Py/pOwfFQS4Aw5qE2KrmitGcBxDg5FTibeLHOQySQhGQAl/fF zpkPunEjBKbooGadOE2RiKz+ZpmoXKE1idppBBEy+CyMYnc7C77nPV9hKpNzCO5Ugur+Dhrt6MEt eGXamojTn3SM2XE79aiGWLxNsPYmXDewz6kEt2mFG5sLpPnElBjAX1FLz8IDUdlLotCirooWp+Ri SaOg5+mJFxrkrJ/rII/OPCMWsb2jLPKHmbV3cUn9N3SGElHzvhDhrhAipFtdGUr3+1XBpMaVCENg DYeeBKyN8sjkahM0UeNzq8YpLC05wQVSpmy+/LOqM6634DbTNnPoQTIrWhqGrblnJv8J1RHEVpLz 3oHBA0ue44LDDJmHcf4w6x3AHh5EvUGCxH3JyowtFI10GpWDfDYGAfB26Fc8rD8JTq459coEfFtz 22KrGS+UygXR2Mqjy3xS2N19EQR39cTLM8kjv9t1I9mXnpWw3+OlKFj2unlW8VNcvRcdXKf7eilz l60mxf84XKBWheuWIBtrXL8lZHe48SdoIAbxpF6sfGzMd5/nOQQ4KvfQZ+TaLzzjmWAjhxDGx8Hm szJwqs7qDCmx+K3aITY1d4lUYQbzm5AmnLQf2ec/AFKzWlZEY3H4rS8LAykSPoTAlCXxLkc8ybuk l8aZh/uIaMhzvXXqI442vvzlL0Cay24Xd7+0ScXK6tue3mXkjRqVUPWFEb3BRMYWb0YKJIpzLbFe YLQqWYsHYL9o3jlyJqDcqoZUqxnJhhgZ0xt+0332RmGXxxQDmRDyN/Dt++Xof96iGeHPrqxfOUvr 6WTyHvm56YUmnvOeFz4gsCgFJXDPup64MKtGdVlRAji4Fam6aChtohHPrz3xxIttAVcwnf6crBUv WnzX7uFO6XPHDZhIOnw2CamjXxaXFJkVMePoEY79Lw8OuPUb6gHlD7UIAELBQ1IihEb208HMMTOE ZvBD/mZ9Oxfov9pzM/lv+VDfCd1Oq00S5Z/7Is2jz/4LA6psbUoAdSS7JPefArov3sst3fQp4ubA gCSXlhMW62Qk+qVqfwmDCk2ZD5PDIgiph8+Zimr209tCMewqb3cb75B59TZCfVDVVDi1sxoEO/mZ CEzHgbExPE+sP65y4yGZ41FRrNPvxvbJLBhqWi+FDHJE+w3GBV8GBDvDvXiW2LuoaNUc1NePTzxE 1O4rg5SvHvic7sOSQm8tRa49YwzLFYzFUMLr5wdKqsEi6JcBBnkw4nJbxgFS3IulNqdJbLVa7KQB ZYirQqcqSCelj3ci5p+GsOBgI2US4nsJuDfgf2B6yEFJ/LYgf8/zHGMFnCUU2RZB9HdukCcVV8Kc xUw4KvjBDedqqOeMubzirlpe01paOw2Wd8wKg1+0gt9w0bT1u2+BY81jjc8T1O8VJk6KcelHieh3 Yfaa3oQvlknsa75CjcofGVaeixfse98LbVp3mPTjuTNddM/Ii+QGqTuoQBruD5fJ326r/F6CwgPg SPYUerW9uqCaBE4WjIBVsjtyCrCvdQeXgreJjEHZnapAL27Up/BolJQ/Ct8SrIGtGTGn5GQ3gT4T D3E63/vt7Yc25prWCgh8yv6PMOE1iFdTjlXT9wGjxTfzvGGG2yB5rgSY3IXkVb/63PERKESMGQB+ i575NwoNMo3kKag7ZPFXaYtLG2jHLbOyFuz6QSCirBfOWDxjpYk4i7tMyKMOdFNRV/qZ2OI8GuU6 T0axul4ZJqbiUIShDN3j6QxCxYg+awr6gXz5TsA0EpQbMEaWf5+4rX/viY6dhfk9WYN4AnZa2ljR aEOPU0MmA3Ez1T/LX1b3KZRT0hj9jLOxeod4z49QgyZ7jAUWGKBX+vrV0/42nyYpkmVywd890/Zi 1oBr4rbd3eseda3h5s+TICoP58J6fUUTF1oj095IL6aSd+/jGRecIr2HgKkmidqLnNzB2sMA1c+n nvXE2rHrv7cbNfwV5AANA+/A4dYFLVgm7YLKNaRcQCZzUZ9SmeABRaDUPU1coeontSPqdIBvprhz vHrzAPXuly0Qh5yf+h6QUTjSGb2Kf5QJ2N7ejNATYkiYLsCWICCtKTFGgWZePQFD4Ynec9gQ/Q9r ANzaoG7G6Sq8+aiZ6H31AYMDnAbj/mo7GqEt0JDQny5ziqnn96Bgt6fIcL5e2YAmhhpp+O0P/2Qq ckP+2XUQSch3loC175Yo38+DFLENMUT7EJU1Nd7+2bKmxpqHm/rw/djOCj8Nd4EjlUN6eNL+fTF3 kZmFk9ZBoh2eChg8leau8UqV+FqW+7eB5Mz0F4/otHyFoEglj6kVpKtFdW6+gLC6B1Lkj1u1d94O cZIaLTFpc2N3ebBMZiK7HNw/I9IpYvahLOD40pH+FfruhVuqrF9qm9UB8eFLDmFp/h7/HTJei8gq NQeHasOkqkNGvK6WtCo4B1k9pfWXr7BG5QUTMuFLCTHjjuuNwnKlatNDYZJg8Q4Exk4X4z8rgZLe XIzQ6IE1Wai31IW21Cpj1hoMUEie7VJOezPhgzZj+Lbmn+PdtEfUq0tdC+n5STMqX4iKecNhva12 lJyhxlctQ4MRGl+AWe+PaXBIRN0eqoFSLuZ4yOTa8d2UAUACRZZrieDkVv86Ygl82bG+6cmWE2m9 6PeVAEVOTYhpl7wKm3KVtKqTTmkz6lkipE0XOiaakJAtD49BU4UM129vyQdMl3cboIFFaegIyKZg 9yv1Jlnmb/4AiV2LtJUGesy7nLcWjyHQiTVKZS6CCpLZJj9WAsbX3l37Vx7OuvdV9+LGrBdhKI6v qmiRA3khi/wo0cUAkzYKQMGRtOhI5HdrZKsUEVIrzgQknCZEpX/eQLNTqwbSjg8SBvkJUMkUTDw0 jI4nAnmD7UwS6hqiyxjG+upaDuoufjFczgGf1lAj9cGhk+lx1IkbEqejdkl9MAt/VRKzYcx0QoOf jyod1D1QbQTRThDln7L4gBnkxpPTV+CXlzVRjj/w7gNEZu0erVVir0tTcXo0xuUQOZh79Kw6i7bt PFpgwVZv1SOKJ8I4Jz2akCXTK92XpOZDyD7cum8Ib3KPWbNW9LQq+dSr170LtQH2+NzfV4/vNRoI JcgtXTCypVPW8MijSm2SNSS5ph7hCVF/tiFx9hKMkhEA0+/vBGqlBt+jvdHn1Y3RRwBODBPOf+k+ fUPyTPTv5ltqemzw6UyXMhH/bU3vXuv3H4S/qlLeb1VWEvNW2OZXBv8SFXNUlmE/WNLF5hdT8Oqi TdyvQuBEceF+i5rdyIX/mRRl2K0lRveVWrjjFBNP6UmBRQExEowMR5lW6eaKYPwS0wekll4iIy7x 53fQ6nvyGB1CK+9WDbHxyEVyvdyhf4ICKBd4PbJ4tymBwfANCDK9UIgSPb6Jtda7uYwGHlrpnFoB pNfjMEnmFWN18JvgV53FbyQiiC3NlYpaSrbKnRbgTEhLqzBQ0cg4/nyYm52MUUiFQFQkXPbRnGf2 0lhPCOWHP3STZ7HwKkQBmwYzox1kQNB/9ZtANJPlF22j12t6LbPQdm45H2GI1PQE7LU2tYKrQuGC ayD5Wp4lpIzZdoxXIheugRx9ANIPp4IYpYqBaAU+a1dcscFKVg+r5r95a8t/qHVH0vShBvq9ZHuz uRuAXcKEG8pt/QMp7AKRNwOPTeV8c6kAncWycZWjXgjojTFKv6tw7egcEhe3v57VuLFELclM9/Oy PtDtoDhIYPAi2QJ6js0+ZyM4zbGCnOpsuR8ghrHDjmwxo8unpl4+5UsVhoZYOsHAkGqaKzTOA3Lu Sn4r9lLrJgGRrDyvtsqjM6nM+o+y4NG2JRzS5OB2PSZcTz79Mymxzs1OARe5Y7ZV8A4VsIc2m/nv bW7qwk0Z4/9QDDFmNf164PnNDanse8LIUBrlxDwf4kOtQddkvKozRSrKOdI3f3c+IOYm7ll6Ap5i dEeSkYWMaIpoyvcuaZkwY5K56bIBPxYDo3yVksWat67rCnH9hQRUlltS/M/RmiwcTl2jhmNGFZlw fDjaRnCGopP4lt/SMFvnb3FvTGxaRUTC87hoAvBXwZoP9TK3LFqf+qtZY12xfUA74LyEGqhxY7/p UayVuuuhAdgpmgSKHBb3xirmmKz+nlH4yPvXWKuG0FiNhXvSYqKAv5sYT80uxuQOIJUetpc9tLKf bHCp7EnyypauSl4mfLZhWUHy16lRK8cA5XzkRoel+cb4wHYZYuoXnb1/Dnb+ilOjFMXd2zZXdSp0 fwe580YT+aOLbLA1UxutaxOAcAk8nZffDufucs+5UfuNw4izVwQ6QZf7C2+EAD+iUxrA7sSc6+sH 225CzKnfgxUnSpkVA56b39+23kSM20fP5DpJqD53rdgAKW5k4D6T0ijtM8jGbn60TYTIfQ42WGLx jCiUUpz3Ya9lv6Pa6E5R01zw+nG0GIxXzCIR6RelHSrimtUsuuHP4AlUMrF58b3EbK+KdgVlBiU9 HAyzfys7T8eKRL6uJpuaKULIkPI4tZttAo2rYtmYP1M9e8XOkQa+OuWzvFnWhM6n7MtuVoTZyx3h U61N42lV38PuKrylKbmR429xBYLQJU5aG+hX8zC5Kq3wpY8HsqWFq8M/w7FnS+bzpmftfJke+aZ3 Bi5PFQmIdVCIo9ROZiLNCpShKdpXalvvF7xp/fPRVdyJY0PtSpdONriLjRZprbOsslG0TFnTNkIa mlSAYt/5Hp8W39zmoLK+8tMYzmnbQKfjeSls48iEbwUEYn6EJg41blG84DjJ1dLl5v9dDeD6f2az P+EELZPzwPUhN/NKsWzIg3iwVIlYUZbAvYKz8EbyfbXbJy9yB7MKDEAy1InOzUbPjOHS3YmwhhN0 mqmDkCkDHDBz7j6pubygFsKibiR6WxdfSIfyaDV7DcdfbfctekjX1ImPvIWEOKOvORsNr7+lTWbd B2HPGlv4yHeW9NpValqz9ynrxF9GGf9IaU2Hp8fos6We12+FmnYmxOpZetajxWh7V4rs1wJEAhAi IrSEp4ADv/5xAPVqIn06i02NUFHIK35ATatv8g9IUWNpARhtXpG16L/7LAC1rJkvSI2wBCXl150J PtxJJOeTjrDLha0WDeAnmct/X7wRGTspkn1xrtfDyiIPwk6Di751T9MsSU2VC9EM3sZaalKkOqTc bx/adYHgiiVGRN16S8AAQtYGzZ8drcJwN2Qhh7CwDE2GU0lrWBBxhC2foZy+ORxhwjzhdMRMIfyR iBzp0iTxy6RZe66tnlnQ0UK67bWwhNz6pOQ67UQTe6MNClekecbOU4O9kAES+il4r8tqz0WWUyep fkx0OUDwcVd0OLhllllPaay2hP3bwTS8w7d41ea/s9gg4aY4/97uN7AQ42/VB5USQZqxfQmofLLM dcr06iis3OZy6xYBE6FSC8g4lHZ6mMVNLt75OwS7p2hQjJRhpHW+ooG1ftycYHbthcQ8wfxEQEMy Vqff7Y1c4IuQAE5Y7a2FtQeOXvJGMwVMgj3bRnPi2BGymkNCf/yGI7ja5NvS/dcXnkIxgmdA7Rdd /t+5+Ar3XQvoybBrhDHrOX2VCQfnIJ+JL57UXp03lcg+io6ez3hWqpYbvnBC1f9DntvZ+svUbsus yOEFB3GRsDurPxDpjAptrAtd3B9op0CjTF5FbpMw+pFh3KzDzI+ytQotov0Riwb2QAw3IbKgevrV GSdaECpMQ6La9ZLFEZKWE48ZsYlVI0wIdleDvQP6REXoZPBx+vflpetqXVx2dOFh/jWopSmg+iDW 0jdOkQn8VbHi8Jo1OIo/rA+usceFA3XC2LQ/EsMoqd88JyaMVzvOkzrrr1bnMxY//SdTC6Sg7rzC Yhffp6WS6exhuQXva35aS8A9X89aSUsSCXL/Uh2e3Wws4LS8VNB+VSHcb43KWLjM2ZDMsjG4A3Yf pgcikwqgZ9rc06PJXIzmOpTT+1fqP5rqaKXTexF8zNa6Ely3vtANN8sTIR3gCjSXB7o4LP0+BmK7 Udn3OoXbbDMhw2BK8wITKKcoAopvjlM5nc5OWWGiUAnbgfDiZB3kPnmzRMTeoruA42NLHuC6mi26 SC1Rj0gQHg4xXUtTR5gOy+yAY5OHHlyd0FmpoX2nJfyLNRwu3V7blnBHEfxccKoJkoGa2FJ2J7bM pRQsiZmxeTZ8HR7xXsjAHuPgFILvd2QdsSs+npU9iG1nC+KM0+oGzayHTLZgpvnUfoYwCv5e9p6t 7xPVAeK3SrUAHq/aikVH9fYMOZcofhZbgssm79pfSWC/MHQBREj2OrjvVj20r+4RZNDPGOFz9RIO 96YwezGxd1vwWkdS7EZRJEUk0I2lfxHVWfo0I4ViUFA8ZqNyEeC1fpqOVcdhnFxyvspTaHkyOJS7 bkHguc/cgsoHBuJLDo840dCckYCh1muUXuQ7TWoA6uOqu4zZaxSoetsxkz1WRLuHedM5zJqAyxgN Hs1+7cL0wskA2m2wBrr4zzjQk+EaEuyIaOu1ko0eN4qHDPURlA6Q7uSE5VrewWxOHxsI5G4VuE3Y X7GZhtj//AASsKN6uOzZ5R/xtN/ySAnpQs4JMHJ/m3aYETbBjAfRZwJKo7Vp2yYZv87aAIVqguFb y4dSfW6BGFrp/ZhJbcrF8i33+Y9nf/gHGtySSjBxr+GVplXtIn9XSSzaOS57+PNKiCIOFLB8vz6v IB8reH4xXeDFaFU+mDnV9qOfGDCYoWd9IZPyQi4feosDQ3vgvwEZ63htRO73RCNRYK3UfHiHVlvv gDz6m9+jLPtOJWjoJi32oJDXUMy9RlsdKJUbcFBjdnRHMLIUuDAOznRLGhrHtd6xi7u6TEEbjsCn /upy0xYJd6SVBrY0DyUcryNPmr1Q7Qtpne+/PMzCWECLGFq6v5pFaXvxn0jqn1AkUzSE3VgyGa2L OtPPFbAokvg4hyrq5QMzMK9U1VsVXNESmA41mEGgGKjZVS+eeN4UtiJUErlWl5R7JfzXWeeYG7f4 3wIJaFoLR7EL61qrZj4dUPWJmrEWN8d/wExYtCe/VtQ83G8H8kr9Ev5yd3DmbntCpEKfNERbo/TM oUUXiicR6JTZ0GH9OzHXs1LLeUmDFf+xWDftSngjqEykx4k2zraRBRKiJm0Tn5t0SM16i3Ad9zYo s2usRlG4sF3CJVnD1gmlHHsPk7EaKBpONt8+VRU+WbHou3g3F0z9nMow9zOWGRjx+bbZo1OhZA6l kt6Xqw4eoXC5L6Ffcai/5jUP+DmdZJh/LWxH4PizXdsW2AZiy29uYFWbD0K65Ow/7aqlYa5C4QbT 2MBe7jf3PqRSHkAR5uilyKFB2KocB3+V8rhFeVvcFfMVP3Y8JnUY8x1U9Xzi+OrgZjGKPyNYt06H QbDOC0N0ctvQlvkYaa/0A+7w9gM3i4w9mzE3qPQPlxSIGvJVUO7mJYgQqWNZrqGyXY/UTJ03eN0g +V+w73B775T/EDrQwLuykwaR/ctkr8ihAFf4ACbXilq7lboLj+1CYxShAMo6BOwjWiPxffWFjuPR LRHpkrrjnT7043TBngBG3xu31Fgr6qUFkZEwMtyH070Rin7CXjiD4cbE7KBi/v3Kd0EGkyf/UwMB 8Vsu3itsG52yPP3egDmNlO3LvOirAaOBXtLIHGB9iQ/FckJQ0eYHUATbnOr4He+Qu99E9EOAqAL4 kRHtLnc+W9qxJEdhrxqWcQpRMP+9xCEYzLtzPZmIkUUMpPMU0Aq4WUrYZIdgjNl35PlBdZsxDv+e 8fC7mosXariglXvo/KSz/n5QiFu8jpTUK3i4y+Xdyi9MzKrK1movZ4DpJfVSIRcYJZs2jKTE/CRr ha2nGfG9VtqB8BMF/yBYfESQDQhGyRIhm3aPyRAsheu8Ty9TThccY3dmLtRkyhPZRsvSgjmrWKR9 vNcTek2FmrLcq2D9nMoMzQ5EKTlPZuIrN26u7c6EEi8Vpso+Y1VChBtipoFH8dM/OR2bkLGJiE4y tEo+Q86jPJwWElsAeFYRlkKILC0nKGSOSTtTOGpc+ECmNt5yERrihsyBYdlvx9T3TtyWp+4589ns YVJWNqxkcHUs7OnCzlU28V829KuTBAR8ID8s2D4AYFY+qtBHTNzCZRjzwlciXqvDtZ/B/udmeh36 FO9/1uwadPFhqX+o4MuPv4DJYDglrPfUneRdouskw3imsnnt5mFnU5heLkGk3fIY0PLDAyPb0bkj QUdyBVLwnr8Cmcjb3Z3Blvw0ONKRaBlvKiTsLjxIUxS2hTzl95gNgLjnjdo/9uY2MdIifF41Loya J7/b08iFAv/xpXqKtojdCEtgE/+zYz4oJ/d7VVbXjLfzxgbeKXSU0XtdDAAzP7UIvUjzNRwYHeOe mHWhWMFsETZT+BDdWrptcRqCFcUTHZQDWm/ctMzw2o7SNziF7CGtEd0xbb9/DYXr5Z5ICDncN+IQ 1GwpgqJHYeIR8MU7aVM6tbpfUpb28K1dVLF/9E2vLDBT1tTumY6mjoqArp8ezG/Dd484p4q2uVf+ gzom91FfguVyzp1uVKPfneAoWepya19HEfjm8M4waLa9eKITbsxKDivahNFobaocTESTEsbfSYX6 CuiaHzjiRPdbSkgvTwz1Pu+uhPhogOrSoZ+/1Yfzmh14mYIFIo19ymHklExO1ao1RVJIw8cawIof hdLvEyS+rOwVZNO+XoYem7LrE4CIIihUgRWNaI+JGh09t1EkVYufMuo3AxrSyLHThhsmTujTm6fX 4qyB5JyAL3Xe6Ul+77p69zWmdXgy1BS1Q4bWdSaxDJq+8NOxmCreNJpCvkt5c524EvAf5gCaUuLu PRErWM5F5+fFSVt7G3LKG4uqzpoWixwvphN6twVUEMKbr+K2UJSsCbUwhDUwnv/JT1M9R+Isp24N NZtiRNeHtWMInvnlGG9/GJC3LAEh+07Pb4WWIZrpNEee1VG/iNGisK4QgN6Dpezf9MoSQGd20Nsc JlLBFEhDelC7H7xTAnUt7LUBxYr0jduPxzAJer/9k6bliPwJj2h70NSxib6HhZbbIzceycx+iYTX jdnxvrIZsIAMQMClpFp0GHSrvc4u0nNn3J95MeB5NRssdhY1NacFiQ0NPD2PMHFjXAlAgBofJwIK n/loVPyAAF4MVM97/9A0o+OQUw01NAaaSIz45EpMAfBqWCRYvSR/kmh5kxAex96G2Q7+wQtGY9RY 23RiXpjdtAICrMiIN9MnswhHL0XBm0oBU9ePjTmg6JoxvlWTOrdXdCvGEZTYGcSa4O/xumaU6mT5 GN7qy2zAmYZXBXb5jrVGr0u7zituUCZTXsp6zbYRPLZMyI99s+CURquAhe2HhslvGw0VHiFMm54V yMHiXPFdaHnBLMjSqBJTBGmSMaECsI4ABy9JtENazxIzW9WEqXFXc+3GNAjtJmWXue85fHVqqOAf PKKWyE5o8RYFhPtdIafWq3IJg+uTK+xahBTYzZNHkNI/2ZdLE9YTdfKIz3zNVeVE+BTwMaIzoub9 B/4fOJ98xPa2JCraUY+JVGIvSNxWbyWU8s4wn1jGBkPWHpSd5m1BaqgfuIidkUzL+sFmsqH4j7jG tD5+P92kXEPj30eUD8z1+XcD+llFIguP4qX11d8uqscv51ldSLYJkIuWb10CLwFYfIc9yj0bcEf5 ABMU/jr7v7TPT/3zOxxEA5STILvvKqBM4Z8qTWqdExVsJxjrGD1T2Hl3a0vLquhwMEt4N5Tclsv1 VnuymB50gQ4gVime8Q9w8iC65flJlC3YSzG8I7TGBGKm0dY3V/NXWCbcZyxst7PPgXVTLj+Am1c2 Dz7g9rq9FDwnaa2uqm3OqM1VdmzF15RG0TaTtxXQdfpwDqWNPtuLVqmFKJBfr02hT1X8YkMhTnnP PLegTDFlLBTXYLRhCaaDZK5szrYRuWMnSRVUKdxN+R1J7FjaCP/GyUD+fB1TitDa7Rsghw7gJf6O sy/+w6Ykki6/5bP1u/0jDzHbrT/M+Um+fb7wB2tiw1ZhkCKrx/U3H+d0cweb/6c4wMJVXfHCxdp4 xY+G17d5cK3/i+JTYmxEbNdXtQK0t8FpcpODeORRmMW8g14/xShk7sHpYOechO/9pMAqbot+pIgd OQs3IKg+XlyffQ+vRc8lwbgW1PurH+W1VCqvjPqgUwL52UlNbWM7B8dZyLFQ6Mm4zcbRgV1crFTf aYncPn5DAbXKxX7wZlW0CYCxLlNX7VPYCKue8hqHXiqNg7o0j+5AXn4a57HDAx5V6s17EEr9InaR DBQS2HsxOvsHz9iUSXg60hnI2Jhsbpj7KbAd1tzSBrgx0zLvF2UMJVaY2CnH4oRxDDFO5M51JWS5 B5RuU11+c2237zQ/H68xk+RbUuZ5SuzgIACTFrL+ciopPMSGJUnh2uCvYxrCe7s/XF8NjuixLSMS RHOqnjELmllfp9fsy/kxbioepoZ8nEgUI/gDHZL0CxNKqc/wblFFM6P+/IfMiAz+qo9ST4fsdDN4 kyzJ0yWWP9We8Ym43YqrJOTgsu5LlOE5Bqf4BD4Bg93zWsus66dpCAnwyT6lnFxWNLli3o9FQv8U MMCoAwmGJkIJqbagjLlukoJ7RDWNuMcxyH/Zqo9oV4yMSkx0vQrfGp9nRqyRHNYWFjiphl3b4tXr +3Tf+qFRzGUFbj3ufy96tMtXyYvSRoY3ydHCDDjuNUXswJVGk8srsSPwOfHBJbjTkMS2qCD7d3V3 Xt/jb1VzFLHljwiA68OjoqrIgDBexVC2nyx7yA9mod08CPBMyUIWDT/eSm/aGI6kREc+C0R1tPVn bsKtqCyXdUWnu3KIgl8/T1zXqVPVXJ4dMPib5CK0bdUAJcaKolew1/inbsz3e8N9KCg5+Ct9FOij j2JWKubRbfLmqp98WnQXE7cS15QgviZqktFkWVI4yBtDo0pfzbzzcbkq03h38Um05HqWx34ezlNO YeuNl3Rqgr7Q4zkMVRomCHWBDZ03g3Fi8pyUkvZyxEkahvGhaPP8V0NeAa8j9t1rXjTSC2STSIfO ttS9Nzbs9ugg5qcYM2jKQRmF7A1pcsqNjFvrnUcPhlpRfzB/Sg1el44WVpkaS5tcV5DzG4pMyQnj +0ZE3YaobDvYE+wssbZiY/D3HUXf+YOdJzbhSR5eZChnCUtnkM1gz4Fblc5HQxwmnpsbN6oG6xh7 W4KcmiRCHfNyay4rUgK7hbui2XHBhTebT6sklWoBNIxYt7gMF1E/kcxDGitsmctl0nyDze4q5sPT 1tAi8bg5Sg6L8157sEA1Og2wKbtl47L8KszHDSafEUi2SCOCY7za1GZpaAWV9ugW/TVb6Jb3EikJ FgwyFDzbpUxVCkpL5FKzsQpey6v5xcqd5WqxcVsaf0I+BEbo6CpaqXgHdS/Z220ZYU6EuoRjxBaF X8g58CwFIuDbnMcVsnMZK6uHEZ2jLJb3M7Thfk/4qByeqhYLdZzEm9QEl/sz1CghQ+lvF528AlDG qODWlwAYSa9+gf5Nc5Q5qFQJGdm5H87I2daSdpeMfXkETWQTGa86jX0G5fz/kLd9190ojf0GHSjq c7dbGr4kvG6E7pxWtDHcX+qBXYWR47jivhNzzrOi6uIU1UQ+LguJgcmbra4wcDxOnE6fvYnsCsRC w8Ko7pzQyB+tgytuRymSNDGR+VKzkJNV6o8dQXk8MLPLda1w5+hjQHFEoDFWomm16Jw1w/mpxweH YwmsE0STqchlHFWYIeJyFJSyZo1iHnfr7VxYOMkYMmhMOpWwN3PQM/xaiiH4/lRNYYCgjbnQTHx7 UZOJ5LwBNR7680LqoHXEPSAcj4928Wm6f0QbQt/VUIyLSG5M2Sct3/QFkNzS3dl1TMgNhIcWLcos 0HjDY42/I1TEOjc1T+TBpGVzXRcwOgl30dH0+yaKeFwF+Hs9ZawPnKAmT3W4ZhEhxPfaK9EdpI6X /4lutsya9s7ucRG5pi5se+7vadnjsnMfi0A6q3TjnlPXKfIkNsCUU+ocH9wDclJYdXkfPN46vWkM Xvsg5pi1eEEzUpBvwKGLLp1euk1WPCTYv7R2xZ0HdUgYW+cl8YSo3okyOPN4vd4h4sBqDqPJcfke I2Wi+GPJO/QbS7jr3jUbnCKH+MRxm/4qe2kTrkKKFQIAn59VuAVtnU6OYX0ihxV5m3RPt0FrM3I0 tuOcHqTHHu1gqSJ+2HtfWfr6QBZNG8/oaY3wzyYdokTit2DsSlqrbjKG0HHw6Bpa/4yJnmb8EgHB nKQGCI80p8QIRIotAFV7QbN4IMp5nkhs9iLq0SlgrM7BG3T00Cz8bhqIIcXuRYs3SsOw4tUk0p8E Vaexq/2laLJhdt246dPe3zcV4L2cp9hnp4a+De4R8eDcEx/AkNTOZGzvXTRJQCVPBKjK9cDNt36N HJ2thW7eIwlBvGmyThIPmsTCmcelo6rbpi7Mf46xDtD9y27jRPmlz7TL7QM5sc7cEd07x/2sI7R3 dKViW3Iji1xImXV5FVQzts/c2ccUbOt5GtJfWxbA1vUMVWZdDLdK3KgT3u/m/jNLIULf/f5/MXSg SduU/ZJpgFNdCA9m6vRAQFKotkICpnXMNGfxNKkrbHFzOongExGZuh/zXWT5n4z530z1NxupDLJt OZzSKE9iQGb/oFScls7bEbuWAR6oYQowUf7z/yR3LAuFhPy0MzdDeD4bv8UTpBDD7DYstawRYHJp xZsG7h5u4D/ChzgaACd6nRooFeU0MAvX92BlJ6U4qqGWgm84epTR252S7Vp00rwYbIbcxSeNGV8h aJysv8vByJ4OSRz5IL5iIaGwPqcvWI9NbHjUdBO4Us2ge7owlso9jmArP73AesWvRfJUBxqRhiNs StpSVcxr0rTsIFA7OKkMstKMQZVzsM090Wluu7ZRgtxDcMJioVwbD8V8Uc+h85Jl6lbktpuh5uTT olOclzdfd2qHfeuU6TaKcKSfZ1uTdjU6W7oT8aFQzHsA+Tsb0WKtMr34anpUJ6NDHxfA6RkO1cl1 4W6SVxXabiT/JIuCHd51NExMS3BOA1Al7pW8JPzqmrUWYMGkQdS/QYNCvHSVQZITTTc3OEhOFYW6 rCgDEiyMxU23nTCL+VbDvOZ+JIZ6SfacOxwMLS/WJ7xpLZwLJcA1rgfhg4BGVyu/BtT+ZFxWZz0g qyX9cAEKYsJnGfYP6QxSv8hAh3F7K983pyrX0siHHlBlLOV3oMotOfTThYkKSj9CxfEson0sAlWl I3bUZ3djSlctybxuzwrILKoMl6bI5qyfB3SWk5gqNKz/kNuPR76EePYCoRkTl6Auf4S/m8NA+BnN WnKmwcMX4nJmjpxXWUQGaPNHiVZfon3JQO7kKPUaU5LceKADulYmObhKmzYwwS+xRh2DZY48tpLH QTpbsyDhVHZaEW8Og4jnDOQgDexfeM7/dfguziVz2woEyg6pChAX6Xj4D7DmmFcuVs5wdwI9a2OK 2IJTj8n4r2CHdxQ7KTpd9AbtomuM/utnSlme06UrKIYo4zrIYdqbvWH20IcoVGLtwAiWTKOQzIV6 edEBXuFCc/fobbb/bQkR+AXrP5DzPou7LEuZPcO1bJZkOidRvXuguml8ZGzUUTKwtCoiwJyt8Ebm dXMggO6v66XaMJF9a4jfeo0zBJaT0Dc/dWqfbeKu6OKIVL0Uq1/uLIUBsa8icx6uML/aypr5ugzm A4w/Pz6I8psq3Il55EctMzFDY9DvAc4JNDgsPlws19bQDETHvCx708u9bAgL2SeL30NHef8xA739 AfuHEisJUTFFWLF93w8OXEYCKOKUSkkcNZA6NHpFIUUCj+hDZ+q0iCm+gEpqBOyocayDQLR4C92Z QcfWf5YGvjpqEKqPucb490c2d5aWz5lHpkc4b8rl2F1VG+rcq91z0Q6fvYAS9g1tZTrHx4wLtuQQ fCX0rOFE12+0ldxhFmKzCjj1lV/kV9Bk+aWsxjAIjcclEKTjWI/5ptIOp1q1ZRcm7pH8TY6gar2b pKO6GVBi3X6UKDhXFIrFXfM/MIuQ6BQ5R9SlBOEPYY2lu7UuvNEpQLIQJP8NuFolMLhUTR5J/ku0 coPl7sp7ci6R2/EcUrtvLKc9dxV3DJYbIuVd9OKm/4i7okBg53Y1FFQVJgu9viBqDt0xH/nkxVSv vqlEuG3cCAEpfZ6tK2Fwgg61tSOOK1HU4f41XvRKpgi+xdgcffqUDJgVXoXYICWr485LdEGdbl2/ /aNoYbQ/JBhB/dpcFk74c0GNU9o7py4gaukjYJoAmpDqex+S+ogwBXQ2IBtvJ9nlYJ+9a1mpN9Zq RYWkyDq5XzQRSRZtABxbBDCVJROva9bJEfi26CPx0o9taFN/Fh04ZPvR8u2eQxkFewKMBuVzvdzA hzsKnWzEIy3TGLHnUVWc7VNo1hNWKF4C2LQDxlnMai3duktB2Gfho890xcC2TyswGkwceGNwoq5E ut6gvdK+/oFbhhURJ5vPsrc0d+P16/IhnewqXo+UzGVqOw8suzRprePxrxgWdgPz9s576/2oCatA v43mueMPVwe0L3ZQbRxPn6SsMIjdas+b7fm76jE1uSp29luJ5MnmTLRpFwINKBC1Tcu3hrdg6S4O 9Gqim4mBV5xfkpCyaqgKIvQUvqj0SacAfIlPHelqSgHutRlWG/GkYPo+7HEdIwa4n4ARp5l+GZM+ XRloQNSiLplBEBGfoF0NZYCfyBRcEanhrAFCGXK0nuZypjGKzp7BrXBF3RtSBAvgocAFuE8dUuZG 8BWx7icJ5BF71Mvdqm33K7G2khEO96mPgKIChRz2GL6NmlN33aOhjwD80re/tBUWApzVJwVJlfIZ QOemvLyYPIJaUuX8hxpvyK6HkIMHciMK9A7Wx0VHNP4aV3FRn5Td41d9Zf2u1SkpUayHykFEgiVy 44qF4yLVATzFekoSRiHA4hcxRiDEyhQ4GCPP72bp1tGB/osHv1GP0ty1QA2CP/D5fgC6wKw+z324 kGAPp9Jfo/LfEH1f2Mxnj2UUpohRa80KrnUPwa/xhFkeAxG4bb+CvSQXkfETxsWqprZYG63n+nMF og5+NTcgAOc41N+EIBRXSE+gQhJdCemKzg+1J/Rdd1zNUAUoAnk0s1ztU4WLqg8o1kpFzqmUK8Ys TAhI6glPTZslC15cbOeLqePesUm0PxbrsyS4mXIhHZYpcVSdRU7J9E8oD5diU2kAOGyYLVTJ2OTq PwE/IVwMQ3DfS7p8vx49T8FNs++rlRkLUCvU3oF/Iuzq34HSYRWzEbBUIde7tPJ2H3PvNc0oQCux 7qZhZrVoVQusUFH7H4lAZKqvAkLz/aIJq9m8Bu6BIDVgh2QIR0cnB3fGF4uORunywoq+UISTP04t PPG5bYI7DJdmbkirjGmiU0GvaR551c7x/kXHBnDnAMtfSBpCwOa4c60dp6PPgKuuxV8CJ5Npf5jt itc1vpUB51/RndL20EChNHJgBAh2hJ4AMITdarXrAofGcMpG2D7vAWPgj/KRo8SVOQC9YvUoUmP2 NtZs1tILs8mdsxyX2QqpxqB8M8AkWQXLva8qLql9DCy3fMIfnsymfuxqUgUv452gnnXlu/ZxsiO/ lEZomykFZ+pJ4EOna3n8A4E/O8jCshzQAR0R5oh1KeAjgIBac7/MQYGprMsSfAEXxH9eh8+yIvKo XCmg7DK3EgVcx7Iey2XFNSsOGZVIul7IwvDPhYrTxGgGbK1sKDXlvRn16D9XkN3Cht2GqU/L4DXh g7DHXJ2mryI4IKyOeEXalWbnCC3VYBYSRMOd/4b2hGYsBmbmHgvtjl1blzHCi+wST54NtoKJ4YPJ +SNmc9ZiObCd8TnpdEpcDyyiGZ4AWgQYPFeVqTI5xYJozJlNoSPic1jImUP6DeKqAU4j7ggm+N9z vTTacu72D6SVtve+1jpqyWeQ34vZfrRIbqSAJLeb0SXWELI/gee/suwJUnID6zjThEL4Ux8a+gi0 qAVZiCjNTAfJ3F5ZJjX/+7FxGURFeqbFh4J6ipdo4aEKrQnro3p74577ONaFRxlAh1PVHkeQBUEI wwtVy3eOAnAq5D1JJ7HQNPpdoAWbWW6rD5pbTQVNbx11Xy9wIJO4y8UuqpD6aMepulzo1utkgVA7 KYYi1TgkU8E8UPdtWIrr/kMr8GJfRR11u7KRf09iZ/jv4JeIJJxsTXqFnyONIlkoJeabkB8hSeTk 9/nUwjGTGVXqSIMwKtqqaMyb0NB1t2xNVyLRUYGsRhePeHCUB0GJ9pCIIKzdLIyVwW/kA3c93t7W zIhEsR/RUUVgKvcKIYDzuRaE5ySU8FXG1bEIEJN80UTaVnJk17DDrdgX8p+wtKLrv1yQf0tuOhjR JFxBV4aRK4oKoi9A32dFFNjz+sIoNoRauIPzD2jMTSPIXA6fpEMj4dWdwNpDnat56WcELflj/+V3 AYX7RRzAPUvryfqLqevYMIC4fEMysUSppad18eleU5qFeY6YisptTM2l/IqX+4/Kf63L25+Gwlx+ K/fNTDUYusm9iQENXG/hiWzPC3FgdXuC/Nej/xzDaa49Wkbf5cbAcadLFNRffIcHpvOcMpxNmWB4 69ok2Zru68AsJi0jfNzj6TZby9aWtZGhJKF0ZAhTBfo868UJ47mxYxT/LKgFBEFSZUrH1tHdyp5c 29jdEB83TtLKF+GCL2OL0HODfog1TVyQNZ33EM0YdcZ6w80ygCMh7TkVm7tmM+VQkN9QOXhknh2t +7sNm80ag2OtHGZDSryXoY4ckbCuRXhE1ayNqTvpxJUKxN+1XMpQLI9tnf7QubYoqnhCYpWpZ6jO rBD9cCgLhrg+OkGwcSIvHtELa/M7zbwOrHGG84lZBndOwC9QCJCecFbkQXEks7rw/UkvY0ZoTqXl uB/vmuSdhXkbhCq3hSCrjJ5OBkdTaoIXUNWE8oOaloOuiK1yw3i6J74c9HPMuF6imdXeRB4qIC9N 8paUYWc5bUb1asb1Lahrdth4ppCWXQERfNeTxEsYVmXsc+ZKss1f9h4r6zq7v8ReqqInO5t4Fp69 jsiUZs7xX9eQmC0QzpfvZMh99GZTnZ5IYeDiAv0aGNHeG+vDyOiCaF+PC20Qt+u9wZg2DqYAdpYY VMwz0o426EZFczTzmOtlxPv5PAVIQFbtgfs9Fbbql1/gnITBjGtcEhJRFC5FkyC6j8/0jSGTLziU iSYc338HdAZ+Gx7QDSqxfpoSwqi4N5TTbtJJhCB7M7Dja0b0DoYnyXGuhXzumkdkrqBl1ELWMby9 eIYmKYspnm50E/4rNzpVqm0UIRY3ciASE27ECgvGjvQ0VE5KzX95kDajyz5axip9gUXV/fmxvyJB ejHxrRkBN6TP8cK/NoYDMIrM/3aVR7ivENTg3DSOJS74wcq19zLjaOOWs6/EpfiuyIUWI/BdOqv8 MpJ8hzHX5QeqTPqZYlXYp3Kevm3eZBuzkGeeXJvOh2Qix3DkwjwbU6+JawcPvCruY84YZvYI07r/ Z6PsOhpk8e37Azu8NgIoGlJ9T9Q2pgfA8uUjVCju+qTCfQe1jTsTKEWT/Wv7wAqchAwu9vrMVLg1 sgmUNVgvQZ7wvHQkf+26n2Lwv/5Qh6ouKNt0b0ZJmz3t8QFBWZgoITtY12IlJwXzYptCnAT3AdZj 26Z1VGDRBZBbcaahSbMee0VzLnub+adwXo94KyT74AdKjFWYW/om/772yKJjx4iAx1mElq/IXKof ihRO9kPGZs62k4SYGzh8K8n0ctz2/DR9siirH+LU7FM9ufHP4rg2F4WRp+nXDCXMfR+9zUX4fY/m p37FBFsqSQ3qjhkMHB1xtRFBB585Ph6kGWwkB7d+p0kNA9KVEU0wpexqzJVXpkF0x+n4P4m6D1mW 7FGdfIWKRFv4YQ7MfM6Hw9MacLs6Vn7LvO7tLQyUgCTUyCq1RlhOHTX65GWD+0DxHwmSd27zqp7i A5NCz+4kYTXiEmKHUccT3bzxeT774X4WkTQLPR+ABfnruGS/Xvse+NPWOl8YvX7RbMz8mIZvTXMK bnb8SoEawWlrrR/47liNh80xdf+hRxt5RBSwexXiE/eLOnFbGxA7dkQk3K9DlMeieQcXX5eCYgDU lzIXU191CRkpQ/LYPXaZXM3ZNQaC93FJbEysxzJWd4ibSeheCTScdBQjvx4j1eiFCOw8JXGcdKI4 StPj0ywIapTpmCbq2gstmEzDwFtpThw4CXyboUDWpoVaobqNr6ky84XnnND/WB6lb80gFkc74swP OoDWl+yKk5UoMcxy8zeKVkfcG+WqVIyiwH/xfXD1Mq2jqQXinOT2OAFS/70UoklbzLxsk7kNKtVn bsEMUjCOuyOdC+lmt5sf5600VPozMxGWzy3ir+je/PgDwO+Lmv0oXviLaWPl7xoLa5znZDCJ9l9d 7oOkBu+vfEAIC7JPkYJ258CAFF4iZi21g7LPBvXVt8A6OPHthgyyfHiEAz61sDe+X6dnkdaTQF/g 5qxgJw2KXQX05FeQDKNffY70VmyjEuoROaxOXYl4IBnecMD1Dicqso6qVyWsoBtQDgdgO0kS6gNv J6r2oKlPPiYxgy82u77aM28V7ryhJSDPePc/M4In4bzRwiPRGMnr8kus1PlPwbrcyslbN87wI6AC rgrQ1Sm5WkcXm89lx7HsTfN0OWmU361JLYwX4LiufWZMGmgtJ0f35UkEaimBNb5VFTLbxHQQN1kG vOOYkkbKCRybgld9Hb489mvGxU62uss14Uhfa5XB8Pi2BYOnvVXc75CyqAnPq8bIJCHwAQxRja4M ieBi4N8CKSjC0qKkyX+67L1JvAli0F2IYJ9eV2Gw317IdWiTG9IYczgrvtHnz8aKzBFh5IB2itxm qY5XYAxJQ4Ls7n2P3twCnEuG3GiHn2TTQVhyZ7stvHhG0JtpOPxZtXdt/fol2IHWgUEOkmPFONaN /3NSYk+IjrwhS++hRjVghJc7ah3jzZtUmazwtlbkoI4hVo/EGCxTcReySKNDRwypPXpxp6L+6Sz/ pwhLsl+J3o8xkw5I7Gf2bAEkb6tPI4cqy1ldhVO3lNKcNGcTbuL72RlpEbTm8d5u1WE5SWWORLXO e3CnhqYbPkq1aOqKbJPWgNg5ijDV7vk5ZLGgMoqUMRjBeyJZKaKfYBbUboWTRpcmNBiWFSYqJ7Oq KOrfW7zY/HXBDAUruhjcvS/zeaF9WfkB3JyNdKl/uMWi9rqcPO2aY3yo9v7wdqKS/XyJzGvXV2SX Yqse02tTULAvdVnjG+LZdEkr43aXq2itOn9WClft1yTg9fQeYSQ0rgiNJUWXlbHrn7gpf+FrD0Ic 34fEvgtbzPGmWOMEgLF27/8EgRRTXuku1EHdjW2d2rqYrWe34w1lSpVi+w7h1IwALZ7PUORWD55+ 0weCuazR1//mwBZmUE2DDX3IFyaUffV14GhvJwmmiaj6/LhTtm+ghyCPlELQUQLh6emrIHIHwSq5 kx85z4o8o8qZz5PwpYgJkTRjFm89gT0femGqU0NyP4Uvb6FcClz42cRQ00KblSYhBd5ttwH0gRXn Yhj+Wnc4yCYVTJHkv47mWDqkogrw0TIFQ4WgKeK6um5MgsDmouLhP05tWu3KwCg/EcdP1agamuoc L++PTC3vi0i9B5G4RKlj1jYjYZZikFU5FW5LHca1RbCQcCZJk832vIgM8Tp2KdcXoImZup4QchuD H9GOmRyJ7dK3O0Dh+o3wiMpI24vQUZ5W0GEjom4VwddomMY+T90XFPhwaTllZK5hhnVkhYNh7d4D xzAqo1YHkRZoCBcyuOmMZD2Iz6et6l4FFk07Hgr875xGpdPMAtUhK7D+ZlC74qYS1eIZGmHnfy+c qYPFlTvVFovwY1XttyYgCo++s1qfcvvrNPhPyuh1ePb+hX+lLN+Uxorzqa8NelJUqMHPpVzi4EP/ rHAuldSZsRtOLJfAbf3WaX0KOeOKCUl/5GccwxtvDSHaN1IwDLWL8CaQIiyJwD+2m7g+pOf6QFKo M0YZHK9ayt9pN63lO8RQ60fdoTYaLK9XjyRpMCX71tKYFCIKEUctSYexqUzBsvXjeKM3AZtgeYDL jaYdWclUMISN6wlxLm9FyCuu/pbJ6b+X9Fa00SPHZ2d7yk4/0TNyRg9NgyIf8b2i4XQV3kJ5GJm9 yvCGi65fSevZU3BRbXjkuURdXG2gNZS4xbq1zw3ozYukGZ2nksi0F9+ZK+4QUrV84x+RN1lqAr0U P+vUBkugmukkb220gteoHjGQMLqEWq2jN9clrXNVEpLPElJNQe4hyRPM8/dWtJH8DMOwXI/fdd4z sddRzdmKo5V/ofr68jemhchUvdLYeQxAxs1kSdzav8SzEKOInbrqdmYu5Jmsp5f39XFOxZpPgnYf UUnxDrQf6y/NWX/ErkRVdZJKZlgNha5Ji7a2KA4M+3Wq/F+pQuz40ObeVz4PnkInSKn3ceH4U35Z LlAasIOr9ozRs49+/fNcwCvpvltXuaky7za/B8mLflNzjfebc/VXLjW/rsoRWfOgEnu3Ab2NZreZ 5+Rq9vGJSrA52I6jpZRrA5U0g695wpeAvvDY035qfC5K2o6i5IKX4/jgXlZJKca8EWqqV8Zs65kL xRarAmPWGLMhtN8is4ewYPXCx+EwLfE1Fax/wlPJdr4C+iA2A/PdkOR96gb2ThcabjxrAuV7eBGQ Oq6Kz4ErkrKZU5Qm3RYYQd8qUyhdJvnY+E4haCyZtd5TQ+63K6gjkKdVxc1R3daGK5Tz//2tRwZO HMJCiU3+rh6pZefHPHKfkZ6PGGeZ6TW1i8UIdnppDG6XM/sgN7KomfLUVkBjPkqnAqR3i17vv2s3 428JCATLOt8l578tZpuy1obE3+kMQ//3mX22JEvALhjdVb/JFPCsUfgS8n39uwrW0MUobJO07IyM GiE2ldnQkSBbtg+DqXSqQfvSCXNGiR5JN3FL+zo1Zs95CYtD9bogThSwFpUlb/fz02FlUuG02GiQ 6GtCIgnOUvH8u/0WAwOoPV4CH/k8dnoaqpnrDip+gKHac0CHOLbU6HHbBHdbiPgn7XcLcwh/yHMY cYP5R2y/ljXLCzGLozzxdj+ofSFpCK+LGwWQSoBWd7JW5Wn29qO2BnEMTXP5Ze4Ln5QNf1aLjq+k 5/FF0YTlPqFEHzJY2GhRaBlIHwXvSEI5o/wbJnI2jcILUFTwShxFaudxBO5eKsW6IGqnPw2Vz2Q/ N9b6+bhYahqb090sKYaF1Gq3QUfcHSnGfYilM57hCmoPwfnWupklkLwSaFu/WuGHZ0ZU6EHoBBvD 9m+2v4i8r8ON70aW9Th+qMCNqtQxg8vwpZHUT5WLaIJCSr4teogYv7HBVqNHUgrjFgcIYA78kC5L riv5THuUPfFvpTm5RRHuIf1RKgx60jNKD3igfvyi6uASDyJHEGw2j8yNzCxNlavvrNGnrEhmwUqO DGsobpzIUWd3NiauPORxldAL14NPOvOqEbUA3qD/RkmLOOkk9PMuUyjHmskWwe1rP+BsppW3Or9K wxKvbHdh+2Mr5Nch4+VcAsdKxMnu1bFZvhs0Is+QtbiB8nW34mo/WzadnD57cvXK0g4bubeBYXrl ueDW4rkf88hT1fvJnRt+KzRT1PmDFz2iRRK4mwfky9L1T8xpFYURxEx+8kUcWCzSZNwX9wt17pIg 1z3+H532bVow42jUum6a5d82P9zCGnFp+WVQm7Md5X/ReTZtc0oBEDW+q+xhtC2L/Q5epeob4iHU 8juP3nhgHLZxWC7i6ZJ5ZrmNw7KI9aBrG6RnD9rbOgVi2oZ7nGRIwBYnClI98Sym27s8pLXamhxi APUaAGWLiuBnO1j5i3wPyyKl3s5V0yO4OKh3UVm6fvLrAwBiDKmSNPvQGdImA+zZVdayLG81MHgD DlvdgjQl5l2EgpZ8wc8DKekPNIidYgvSM0oupXw2JmoZuBPSWUFqvOHpHrKvpWukviie+jnq3CIh YXT1JfkahuKCV+6h5zxjDM2i5sn2ebkFJ4j17UjhdjswFOwX1halAvy8M9vCVFNwaVFjTnN+a2U5 HQgVfXf9JTIBUHn37F+Qm6RVeABKgVzBkcPyFNm1KaHCVPZ5ttenwH1aSMDd040/465h8ygQxMZS Ac9FdnpGiBh0PIU83Od4Cz/fv0uPg6FOmdhWx4xuiQ0tlHhranW99BEqE9GPOsxdmEFRf4qJG94S njCbt4JZfETODGNQji5ee89wjiBJQJstZCsYVRSitI+BB6O6cDYGYgnNke9w7u3llgmYqC3dRlhU La3Ki2T0EXWC9dNrtVIPfRj/HNbtqKTpXNV7Gz1E43DJy3r4I84EYsO/lZakEgFAR4g5KesTeepi hbQ05NhGDW+lWHBPteaW7LN6kyyiJnmRbV82s6BIZQ5KujK5eYsI7p+i/uNgsNMaGV/LgwKQYCuf 4i29QBhc6xREJThDiHd+G0c9MLQkWbv8hSmHbJ8+IT+kIvtWUWq95Bvb8zxy8x98EiW+tOpZxDPu rrFRkU5sNO2CLRen/aurgCK0DYSqhTixJq00/hQTwmEcpyGwGgtUFe4XO4kFDyyrJpNR2hOCok7p wUcGY+eGqgfNUSVL9ec7RDmi9coNQOIWvgD/rgeVS/k7MLTH58ukxeas6cXE3ogX+VRoU1Bh9PbS liu5xsvvV7+4lFx0olfVNBKzxHV85YGClEPcL0TW/zDBSCDDMxm8PZcJC1HOgeWRI3o+J5J+e8Ar YMwg8wE8lMk1VnJVPYfezm7B9RtbbFcjJMwPlGXKcY+AhhepECqSWulDM+zZ6X8IcFRaY58Lhqc8 m3+qtzS6T/11dRHq7WnAqgK3ZsWdMt0CeYr5+C9TLSVsiOBxk0eKYp9dALDD7gEQD069AdBm3jc6 hvjjBFImIz7rEMJ0qX7iVtxsRON161lTKbfhjBB/QVKe0IqssL1W4PEb+lc8MEyTjz896EpnzyEo /DF6x8Wc3LWq4B3kcHTzHBNYASZ4pWjYvTGepnlIDXkPlclYu5eDrXKkTEp0WJDsBBuyMaRq/s/p OHbZE/DdJSJWM0wIHmXd22lvp/gqPaRnxLGHSru1bSPGjCMc+k2XEDGcnMyNRu19u7bG93xSjX5a TOE8XHjOk5AA2rsvVD9cI17LeL5AQy8UNFgTMFmg5iiM1a7qXT7IOH4fdJtUb666fXTg81iNVhoD b6Is6Yz/eWAGJvs7fynZQR0VQGxOPfEjaUXZhRFv4b7eSbttmCobxVD3Ul7easIgs0P0NNGmzlEJ m6kas2MuE0CtjTNvbTDqxGMAoK0Y1uWP9i0vIld51V77g62QkI7QlMVeotgEMDK9E5MExO9vu2Y7 BHmtU0UQWiOblFF1S1kQfYQVXbzFLKxvRS8dOFjwqQN+c0RifqdC88uSe5I/WTIlh4bOMariZ5yE CdXarj9TnI9tdC8cF1VZfr511dZZ7uTfddBPSO/TrDW+vG25bSXSBkZr47JNoPXK434y1/uFzma+ VmxKOZvmBwvd0xFUvzh+i76gRUS6lX9cowF+vLCBsYaj0VxIj6HjMY3V/Aw0MRuG832gCOJj+otc 1O+XjABALibqRm1HPO6SXKhd9AkL8ZaH7bnioECWb3IHmQsuqMzSl57D8LPwjSk5+JNDBuqxeSgm B++dojRCSq+fBPS+Lybhe4eO/jEDjBYnodgGeBPwufadIGq57PLYg1fpOOGMXN2zazcfb/sNMX6a iK8REg+EAgVjz2Jvwal7W81tebYWtu2kxgvCA+1LapdeqBY8q9FCR7GwlyXOk6Z6gOF7W4mwshvX qFO7ciEYh6COmBkUgDn63ekZUdk1yykQMxWgEn0uDhsUAeQHJvwhvGRm1LieUEXDwTz68kB5eTOg KCx6oQzz6iVsJoIL0FEiIEgFDvqQaPcV7Ou4PfopVAIlsSVj3XnmXs2SZ1XvP8O70m6YQzolXx2v R9D57ouLEwYE6QEqeubnAeqGshheZzUwgfSY7Fuz0VxkHSLAVsJ2etIzNg51lvHpBg+9hNCX0mDj gk5JR9l/FvYriVZU7xWkOK/4vbzH9QL3+IvGkPPWY3a9lA8xDWl5UWhH7UzqWsHpk4f9XSFQe+IY IBKWcaeeO37CLs/k87Swd6nersSabgp3hGE9mLrKCGe3MY8/Kpl3mG2Re5fxuf9sYjC/tCzgsjDa wPcp9cTUIUq6hYtbvu6JksTFIXI0HKFyNbvFlPsf3da+oYGrC58/ps446ttc1xL8qdFW+2/VdrIW xjYtwlPYav4j1P2pL1AN4TjRpK1vNurUBKaX1vrB83b1rQibu5qoWYxefkxB14UOSjLq7izgrqBa RlG4rQMjOXOo2g11xw5gisVpU+TsFjrUp5o1E2JuNokBSuWNpNJI4LRS1FOAwq6sgmzaVM4xY7Zk 6ouSOkEre/3RyTttDz35Hawca6vNqPbXObNaMximnO3tnNjyY4OB06X0urZBCZiwYdRrSoZwndx5 WXyAHpQ5cdMbsYvx1W3oJXjrYwlEGcx1c8jcW9l8rROI0y6F1cjgnvc2m8WNIYWdr1CUJAT8Pcp6 nibyEvlz9m1lNJBfdMvYl6KRXbG7nXFLCBdps6RzoounylbNit0zJkUGJ6R+y/EaFyIl2a0akuR2 GCUkDxPX0/FNSfmfayaJskObxZVFCfkcua1WT0GTUqF80DmjzeRMetQsBFKGJzeXWka2vMzsVzNd bhxpVAd1b+W7z39jMbyXVBGuGhgY4nMExnC+5Ofz29KwFhOqvW1f1M6ha0YpOgjTNs03t8t2nd+S VK21mAXcrUqJTKl6NWw/AAt8YTkzAak1vW2zldA3G2Cv2lVHG2H1MmQ2CRrFcRIfhMWwyXQ8YttE NVdDh0XURABK9JxHwkidCjf5QHobSpn32K5jD/b8UcmcQSxS187ryUL4ecMgqjqaiBKhadtwbBWq Haza1JSuhQVIUZKuK1oR66WZDCwrBp35j2jQE/6mrs+bGLFZ0EZNPKvGPF3Q94WBi3XDjbnQ8adt qpapXeLQ+y58h3R6Zq7N7sp57w3GdVQ3SgNZy3mHaWJHvzW38yUOowF4ez6p5H3e+BsXaJKfsvNw oBh/6xpbBX7S11x66lCNVIum77GRnhsZ4QC8bN5bEZl07dXbo0ran1hgWO/2G+Lr6TjxwNartEUw dCOKNK9zPvpKhQEewtxyZY9NtPW7fbyRysq8HPsOtCdUhdosiegQ0TjetwdZDQGVksQaYVhvIVkU CDgHk7hK0HmKz2toM+oAhVqKS+RdLa9i+9MWns46LLisj982OIwpMRoSSSWGLbWO9rfMCUpNas5u yugEUGNNqG439i44RODLbSUOMR1Ye6XecXCUYFd9g26eBHdQOON9CeP2mFpXCb9wHsAKEC2L1gmo NE1Yim89hFDbnM/mRqRpwx73+Bd7ei3RKND4XKNbkID4lSsgDgJc51pwdOFqNiTud03OLGQCYbVt gJA91C7h7bkN6FO5esNIfU8/5J2S2KSnE3RSiITBcnwIH9go4IWHxJUQoIcrmSY33jb/xDO/NLja u0GD/xbVt1a84033Jdv9/so7ejt8O7PhxlOBFG41aL/tgP0mE5XcxsiJROyN/j5qCJ1kBA9zvMh+ iXECUCe7wWyANtPh9wMQnv70jFvzB1mjWazZvxQEkB1+Y/DuM7Uokrd04ixyYJ09TmvTUCGBMcxb W07Yig107EMnFa/cB73aoj/FZZLBIK7zcYZhAlYA5+F/E9lJWNmlTfY0mLAHkQJvW8DnsSnpU4rd XX8fMRVlGwgfxageerBqI0cJm4vI/JF8W6vengY5T9UfTf9KcqKLPs0wgpYhKVBDiLpA9Z+F+8Hr ZPlYm34tD3j2oHhk7ZY1/BTGoHrCcbn5RPZcjzAZ0vCuK67lQ3Rq8GjZOo/cPiMKd/jRaTLzrC8I ef5/aIc53/XnDHB1iii1IJoPk72EkM0wx/I7EIpXec+K5A1UsMKVr9jtl9UJ/2SGjAPz6xe9zyZH T5lqtrCvR7migDSdB1XUBWbb/Xsn+WXfcO7NMF4tLRoYeXNpr0YdT2jEvZLwe1EqXqilP70nsE0w 8Leh40SmzFDHTmJj5nGGG1OQDlARiZi2x27hv4ZHhnInp8xenVKKaCg6NE5/dILuGP5P6Aa7Kten ZHLW5Nrf7z/CFfgx2oPryvZo1wA9slRQA0XTbnhfSEYeGDN4fFCX1l0izVUDaeJGnq3gLq7tJrqE bStLsC1TChuRqV7bs7U5dZhqfQBcX1geWZkTLSiRR8htXEwGm2GulisyaOBHvyIo46a98BkrC+A2 sCQydhyHAwvXfHZLqBU6bxOk7XDjdkY9TdFk+GfuqN6jvT/0N9ExuedV+8YghFDZ4aFBI3HK3M94 d5ytIFi33TlZw2JfQviS9auw9/wIkn5wWAJA8iGL31dqj9Ns3atRC2hYDL66GRoNNEvBJXdF3pAt o+UC5W0pnDE9DJGUCBcugdmCc2fF6H3BrmcF/ag7TkY8mtyL5cMeipr5PnWBnKdOXB2zb2CHwuce BkbCP7iQm1t3L6MihogHxJT472NzpJi2Sz/Raaxjhvf+If1z1jsWLIYZMMSBQ18mEAfcmPur2Ai6 l1zcu0aeN+PZmDc8jT2/OVdu8pH+TpWpedCUyE5nP+nbh7wab7Iw39yFb9/QMGA6/GVEbJsRF3d2 pP6z7YnPxRZxdujr9HY3ZNybNQ8UtnVPp2uWOwsFHW2t8QF/hW889D9S11bssSx3o5kopy9eJZx2 iISpEeExIX8mHKps6JrzH6I/MzCFnDyez4XhcNMs0kwJpEFy+t5uMnL5kebFSwNJl1mlrCxWnAw1 Rv5k5zHWN8+bnnnAmwskap8VUrpc83lWw0sXORq6j+hyogARAD2l6wndRkgXeZDtfX8i+PrqQEAS iNJDpe3SxZzP+Air1o8l6GlXy8Yr+HL3+1tOR+GbJM8pBhSbe4TtXGsakvNEPC/E6zv0mCpjK/81 Jh9gR1GHKeI8lnTCrQ1UOWliTvo4NXpuorajGChskojfcIqRx7cxHZ5T996fV81wWP53muq5VmEN YW3jqFfvli1UFSAiCoAPVyUpXdJBTOdrI6H3SpQB8mSWi6O3zimP8/3J/YOMqQZ5wlKATJS6lp+/ fBjeLgPCRW0mpe2U7pt8nQV5/dL25FVJpPw400fsoXtfA76lbMCUVWVco7aoQ53+qdkEtg/ezziC cVBnxvLFAlT2FaTx67BWw2UKqJdaS6IodsSJB443iWbu4YNWGZ/qR/zsBJ862anJfK7GqmwBhMIN gTErTCKvjzBoTnSHqenUy9YT85HXKiMJR/Q5w8JEs+9LDFlYc4XizZ6LoJ1bG0pvbG8ozEY01RAF loh5Pe64uhXKvSEcihVhnjzJ/zww0TfmuTmpBS4mJOaGqYJiWqWjhsxaMAs6Z2hUKZhdeK79hd4W zppZufq9GNVKrqi/MeFun2ktdEa6I2IUzt8wDPS3MRAJdMcvQSYvLogyi8HL26KpHNnxhbtzHxCw Qr/ybLXmlknvommRmRtiXEFfFx8aW2rwkR+SmrpK1M4AOjV88Vh4+hR9uXTDb/BlOyoWv5bKFRRC P5ThyOyVAvDZHuaTPhlq7Y8mAe1aLikJI+GHqeyKEPd4w3wI9cQ2br3nVO6pPdIgzm2wzcB1SqHA dVO2N2bhan+wPyAe/pqPpMSCEgBSniec5XAHobl3ltXP9JC5RpGm5HZdfVzJljsw0u5A2bssnbu2 moFJFQ9a9nmCvEwbt7ihJ1nuGZiZnK/S8S5j/9FwrNMgXKeX+fFLx14j9uKsZSubvnYq5ltkmdQP glSjoyF079qKVMFMZMFFSwRBIX1VZ0Bm062svffjbLTjG60QpOGrGGvO93Ap9y8n6ekQNWPg/yNF tpNqvoIgdBdZL/9c4ElVDE5MarvAp7SX6alixVbgSs/C/kTLi7R5ZpL37sAJHm5+pbUVmK0/ksec nfuakaYtlZ84ZDPj9ARZHbPtEKJeB5sBmt7fNUu8TxffNoFu63hgociB6vQ5wwmQ+hnRbmurY5of /mv1QZn2hZM1HaWWXqw09VTHEfL4hlv96QnNqLUiNs+x3KHJLDQWaizX597gJJNxWe7qXgTqhlAT 5DUGdiUovq0afyECQW1jY9qP6vBCgXso7YXBiegTO5hsDaXVF6xLDK14VbtVzjqC3UzYK32RymEu QtvIAb3muDxBZQ9S9WEuzeI8kuNAeOP0V8Gx3TQIFJSAtfzzJZES+9EKw8xjAlv6C/LXfsD4Ai9J F0IBGj7YEnBGiU025a6bZ5KwmOwlMXNb/aNeOA5YlFNwk35XRIhGV5lAc0kzVO3HV5i6hFRrlCoG 98YvR+uIfPFnUP8USR8tgZNo2I6TEUWC8s8IiNg8A2bazEoQs3QUyhMIjEcwtIPDVaL5u/ZUYrJP Qj0/WQ4AtG/i/xeGqiPLbe7GTnAlY2f/PWtcftgG4j/25woE4ipIP7Y/tBjMJNEdBPCUavDBKNKA hrgsPX2dktzb2jZzEjoxpdRq2f4Dx9DTqXsYJWh8hTbFhp9xdeP9BAFdFmsLMXxBqUmQz2AgbKIt bys6K1aLCg+0yyCzqjUDuH83wUdAuPpXk1s3poEhZwrNtBj3W9L+59oapBDktnr8nfV1t6B0dpgD 4BhUHGyttyQwhwJrXjxf0UeJSJpig/GJZ2p4cVJlcsZGSwcYDyYuiNGEY1q2NwSd2t8rLcCVeJ1W MUyQLfSVTB3/RNoeYn65iegQDOAN35FWAjXmxzRzlngEnmCNsSfAjHkJ461kHHkS0X1b2wMiTt0m qvaOg3FpNlfNtFcm/vx79KTEtFLdHnn5ADfJuMwRsG4Xhq33NNxFV2M88aNyhr3XXpDlra5/WYjL lcUCMgQqHsMUPFtq01gyFhGfj2qmhPmRZhVi4URxFcc6DJd5Bh87/0Sm12nhrXJ5QKEFb1iFEpfm 20zH0zNy6vPDGxH93R4xCntUyGXXIZ2NA3JU8dVP2LURts8D/v57vR836fiw2C8+nLq2M2+R7ilp p5erLkjqQAcrV7iMjxOsn4M/0A2AVMTY1WeA0Wpj3+Q2EbZ5WHUJJvbFzczWOxHiJ5kOX0GiCUKV Rc2nAdE2qxzcynYKjhJoYp4kF3tS01iWJhQfyq367IwzkH3lsVy5d7vT0BCmQxGQajz1pCEjuuIq kTzWcKWn4Ch4Ea+Q62rrWlwpEVyk3odDi2UJO0/mAUomHhkc4aa4wcNnInJIOT5X7EFwN4xUfyZh G9fFxTdGLlSRR7sjWK0q4U84sDectFY0mbdtWuJ47HNALpHeTxSYqaDX2RZC2SinNbOVP4SUzgB7 mydMx6OGy9T+lRjFNwHG+YnMQWSiPmbpCxWnok+cKCtUW1dQOuCVTONJhPuPKFRzVmGYtcTcaHWt eiLH5nbXfPJdQGagXOLMoFeeh180NDkIwgQroBloEcrHVc9t0SwzGDaXGUZ4+TvaRDZzXXtHeJ1a 2xydo0mshkvJ5n4kINyGhrUQibSX2E25WCjIdCX3PiaaEBDje1NFXKUJ7mfDbxqoz3LVGFD6bSwM 1RJBENN7vIa6PCxckSn0CYh5BtlL0dl2Yrvb9sO3XSeVgq+1Bwy43t9ZocOXnCdfSHXXJhM6+45V knyrf+uRNJAKNCV4aIqS+XRQ61xXeLazjqhGgjnoQJ5qIcXcFx1VzQww6mlfINW4nriXSsa9uSwg g2oXXs/N6LtKk0LeMCCgX7rJ/gYjggW8wh4o/tQeqb5ee0ETHkJLm30Vu9JO1GvENpeKvAyjdF0B 8J87nFQDGH2Gc+3U9ZjTUQkcrcKk5awhe3+GJmLGpy5tz1lXX6KCGknxg20m95PpazkSTZo/m2ZE h6dbuDb5gHiSPq/h5iBQdwyVAlXmXZLERFQ/iPdNB0oST6RBbBVYmwXHlpRZ5mZMAZ7iRM9OICri zHRn/1s8sQjre7CJ1anU1YrjMo1tunZ0Sv1mek3dVUtEPyRwLQ9+BySMGb59BxLG0HoykcnnighP Vf8zbtb0qRnOV+GG7KDhmmFM+H8eU4lj4lFFjPTtgp3hsCfl+dJputyEjuprwgImt94LRTnF4dUe F6HOFh6KttwepXaARWkM8DUGcq3ohXJePSKeR2/gNoihiplgVhtSSowRbaBfPa0TmmPuId3Xi2At DUdff43mnffWaw00fH+zh63ZZ9gh9tlelIsRmybyDbDKvLOKqvfeCXDAD7ux/v130YeDbnDa7Pqv UCzRCbC7nffFamRAySbdEek2ag9eGuUqWwBr3BNFWF14/3sUnpVEtJO+F7E9vPWsE4mrppAMz81d HdCTySaEMunV6JbzcdpzZEZFk4chhd/VY1C5NhRPXXLB5m7vcXtaYoZOLJeqDReamHx+4XPWQ/UH lP3yg2y8HXHzmTRkptccHLpTHI7YGXKSBME/HUuhVDzdm4x98rY3EkhlQElWlGzXsCm35wllo5qk KZCLAB/if1bo6LvMkgiiXmc6dl3/J3ySPv1Qw7NNZ9XLumvEUG+UjLW2AIa3Zko+/NCdJwyHXV17 vc+nH2nLDqc+XRbW4WddOmX3N4OVlIpcN5v95ZLeglRo4wYWRYaoFCaZfz5wBvLMVEDKl+FvGZoR lbh5Wdf9r72OmMF1yo6gJzfXjfUrfPDqdGWa2X10MzuiqigD8EUa1iLrCYnmq9IH5dLyAQbrw1NT EIWYFMm6ZesfzTySnbBmgSHfSIc4SNS7a3rxzEBl8xAYL4psEiv2CJCUXElAoiXEtaw3sGgtMC8j xtrPtyV68FsWi1pl/pAzdrkP6JkvJbP9w2HCYGtRWAbXnWtYUcZk7LlH1FgMM4Kshr5QOC4YaiBW jsQQ0vE1tm+UcQLyXjquupfuyG29eyybxKt+xv74czIHmqtJwUpXEnqRm/QqNn8QgO0bfpLC9ykK 1yufyUjiL5iNkD+LkKE+osU59p1NN4XjLJR4ztUnoYuLtuYiBBihAuCAR8uVlfcijIU8raEX66xH 9M42m5AvHPXyLUcsu025BS9c/9FSNSfKWEvrNEVs46jVeJovwWYwg3LZxTGvcavRtONkAyrhdHmu CRhSCT8ueIQQGYvgAkKYEA63y1v9/vLYS+gFm+fwcBFVwHyiRA3mYw0a209PK76Ljszr6F+GTiLc eTzBEnd2cI688trpHqhs/qKJWvROtD60eSsQNcSePq0iiW7ewHTEaANREeKS4Qpx3Dt37uqNaeCo +qQ1dn2fTk+uxjREAVk+4JbamRenRCLKWzRwh7afJn0Ux7KAHca3KS5Poxj47iabfASWjm2ezkqn mk0RJfDt8JfeWurlMt+jvjy2xcY1ehV+ZKrIh60EtRqxBQE5m+pDx2S32ce6WrobMBxCD0gAmDdX es7Lxeylm6lSQE5Jb8t8dZrwQY70oX9OXDR4OtK3ZWRy3YfGUUaPlXz0S/6r8+6qLqbbbSRYwxsW jWCr6laRQQhQUm9aLJ3oXGMcO/wXsOvU33O+/4oyoqcSkuqv443xV+OSW7lIKx1SPoky3dIrKLV5 qjZ8r4D929Jbme7AroCxQTi7nHH6oxOzvPR2aJoQZx+IqedbNxKqfAm4sU90SJg9dA0SPtBaoJ0w s5PO8ttAVCdhDdMhRMR/o8ygCnyYbRkvKoOdN/Yalp54b2AVApFVXoZQ29WdDvOTiD0Pmsl6pgg3 66To8pJToAy2kl8V6JzZfDI7fhEuKxFZfN4Zab7klFiRbobHa199FN2CWPM7cdFdFSSuiHnzqQxQ TqrhHpRDI3tIaEWVxiqSxb4tChKMwP+xSxqmX+XWx1dXjhhtHB8NfkD4fUAaewlfktDqNSS3DbjC KIbaXf87W4seGFKUVmBa9NItXwBGtY8L3BeglgbThfw341Kgui04VxHuGJa/6fOVa35qCuO1b9jl v0lgnoL5AFnGCEmrV4nJB7awkW+cHFEllWo03LSgViBzVBXfbi3b90YrC8CQSxy/WGx4bdYCVrQD Pnxg3UlncARiGeTNZ07PSMx12ux18+FuKsV5e0e7SsF5wL+RTtszfL7U5ydNAOD/5YTA2u+jHSGG H+tDtbbDohY9fiUdXuzjJb/bLhsl9NBz2CXAyJ4hBappxemA541UKjqpi7l98PysIlIpQRvBoN+N outd4lvSNXusv5ayFOAHmOwjwFy0KjEOhVErGRp5HC+JR+jEjQ6N7ebhxdCm1g3G58gmvwAfkli6 oy4aDQmLps4yY8N+2YGjXy5xcJ4zB9YP2myTLxRUwrwSAhMvW/fZa8iryKF42u39E9kk65ztwE+F noDc2reIZXVRDCKS55+hOy2SfxAmLige77pljUrzZPEQJ1iwQ7L+SEN6SaCmJTaf+h3SUCF04c47 KagkQKXu84FjdF11YOenULB9my1YAUJ/WvaJN92Nqn2LkWHONlMBEh8rWE6G8DCIf/NdN7Yqwcnj oWau6CO+IHioDFLxzDNXe6hsP6WOi3K1V5d8Q+/r6Lf1w7O1vSOJUiDmZeJj9oTVrhSPqZdzwbNw bWfI5eV/ak7jERlUnBNtwq6CCoPb2V1M7xX1sWuXOAfrdGi8Tqhs194/MClGEUZNA/zHk+BfXDRb NlRc0VQQPtAH6MGJvAi3miU/GfAV/DTb166/6vx0Xvw/ynpDaHka/xic4yLeI22UyoFF5y5LOs32 Xbjy38DluDo/yrh4LuC/998vCiQf+fM78aoa9sD08eGZ6pm4RQdc7M8qDAtF98PKsoFIPlp6To2E Uul1eUQdKp5YlQ5ZS0ATDAdjXASZ0b3gWaIcmzA2DZ17TaCKmkH1T87NYJ7EmYvSIfBqdkrfNDj1 5VxXrqpiwphMbtJ5lyE85uICHx7mYSq283DQot9lXZwzzDvS8FAd6KyIAlBE2XlqNilCLup51IlP woVYh9BlZ78rYFwTXwCHWsHkuue3IVPu09Q6feEIpwzqfZVowszB7jBOsLP9cgEuHthMrnzxUd4s jIDXsmlh9NYQQ1kkYwXE2910fBkaHnr0YGXtY+C9PKdDUuRHdx0lLpHaIkZg3IdHe/kUXEpfYHys o5yHF35elon+inQ9vi0oioflJubzud8q4e1ayT7liH1RFqvd3KPHJVzPUKqR4+9SvQkT4jvewFZP zwADe22AJyZAu4gsxY6/TbfEFGzmvXNZw5vJ6cCwHTnXrcuZWltswt58zKA4zCjMe9hLuLSemRHS yhcsVxcmWmR58VXTcv3NO6FWSwCUfr75P53PBUZRI+ir3FB005u/LLSiTVzygmd5HcrHgL+LLIlq Fvdg+nGM/xhFAUJjAFXmmXrV/W4vqDWXiKGTHi4z/opFxsrh6dtQrRfmOutTEb4+x43d5TFRil8S +szFopmJ2lokz+4T97DRCvG78PZ0UCBzZc83fNl0gW/nEb7tyFn8Y66zyt8P9iAW4FQJst8kuJbT CfxXia2blKqjfO2DW812wr0pg1e78fY/gtdlbeZplIFFC+koCSJHNlswJWXcQ9PmCiK2tlbzpJFJ k/syWL/qVRAwhv5N0RYXzhrM/LkNTEjBJYiFjePXZ2rRtsYiBlrSA+4kdfGs3NypxbcyDjonAuK/ +NBLC4dxn0nC/CkU8h0bfl8Pu1iTyC+tScYC2wA9axmPGLCjGmTilnrIzP4gMNJiWyJwz6THoJjF +eZtcA64/2JcTmt/GnirJVsrTWhZY7uFsKb3LW4prRaWjEPwVE4cnsDAt6LYhKB+4zOD7qv5pOyV j7OxKajQqp8BqHdv1pSSRSR5VZtexnTSSqZekdtxYzgzWBbNWPh22+hSotYy8bFtIEs1uLJybg6A tuV4cH6brFveAUtZE6ccVYiD5BVcvKnGm86lKKxDxedBSGV+KT98xFJvabZ2xF/yMguQa7FV9ecm VIRHTtZafQ9WDvYM40VomeKvuFmuUQAfT615ysJZdklxhZgJk42c6Oi6UwwzyEjxmDx28ZY0ziIJ +Dzqtavrk03BF/fshQZphb1cZvyVOyFXKuU1vU2pXtLMunjmXedK5ydXWzhJRAg7ZT/sbQXF7B9r c1y14250O7dCoyjpCsSmwiJ/87kPSimUTodEJO5TgktLP06+ZMbTSG9AguaRI5N6Ex8ZM0M9xmgY VKPKb6pJfnQg7txK0xBsbhS52RRvv3xd075hYvdHKX7LSSB8/PAnCg8b+l8hpK0jjJbR0QyEEEYI JsK7D0EUGEC0iqEz3ZgP3axP6kLpSGoR6oCz8r6qyW3tLLCePJUtX5clwZu12+OAKkTXnu9yLBQH QYpHm8EEJ7Y0m8l+0Vs+fVwTokqaArzbezro/goPP9TnUK3KbdfGYpD4OQwY10hmiSKPaPDE9xXZ 0oQfrfqGetWhBHeF1LCcmWoJVkcnqfhp7YGVeyFFV83LpXvwswmcL+rz7n9NS7pznrrXcDvHtIXq KuHjoPhbnt6y0IsIrUtNG6OqFuc4yxDm+Uf00ZD6MMmDd8TGfTtUYQytbzxhL1fjVhukO1cXlKVK 50Ty0w2g4MHXx8R5c/S/RzK5JT039AOS1+clZJiSjBHwa0PYccIzybu7mHHZG2mxE1Zl1T1OlNx0 zcSEdhAn0rUrTRAEVahLL+pyHUUTXjXRgac4wYsTdxwIu0X1iahKPcHSl6f8LAL3EuFqRuWjpw04 /rCoQT7gEi8xM9V9JCy/5PbB6uo80JFECkRFMebUvDevVeCa4dpAegBDVPm/g2Kw9OjLn2lIAAah 91GVI2OmmL7n41KLzdiIawDwU+39ADW+Gy+yGo9lwUMOBgSlmv1zRUhQ++1qqoqwo1LZZbydVu4M MJ7nQNFuXcoIiRL6mTfTDIhUtmEE6kg+uTeu/axcFIu7x4m4bCmT12TC8dCL15CWtNkB+eAj7VLL in56FWKmIfuIDncWc0k1vZub75tCeO05Jx/pIzzHAzYtS2QJejdnuU0qMQkK1wdNUoUxjVWjXIid s0lYT6wcmKMXVpOpUF7EGSO2YD+e5jX5cs2v4zqOQn3fIoZsSvYpGo8yKqQkaLM5app61SVqm9bD 6JnUHX5Hz4tGIn8x+6DLmkZXzkUn9gmh8VXNjPf4/sk/Sod7JBjB3jRvvFmr3B3+V+uBoD0m0rlR a7Gq5gg14vIxku4fC3WWWS+0G76M3Cl9H07r+LHwAEDbAu9FVLlBGIwVeDvdjF4uIRJOAu7hgOgj CfPB1OHYrj/bV0wMYL4qBmFTMAKtHWqjD1vir7/rH3CVEXH7YpGoILbG+K6NXfyU251JO8C/S9In VVJZ92lI2kqw1RZyF113nnYDlnPsaKxAoNYSMpQU8cOGWAM8+txVAizacOwSm/5BZdZZOljItNu8 R6XcVc6kR8ZsLTa6CFVEx32X2Dwr0LCRDY72NPud04Ln6k2bj5HJ8vTRGjQPSJyz3dj2wWksrkbm 2c3jo8B1Lq4GDLSlT4h0ju98lXbjLadSmtkLjeeCK5RpUpDkfa+X5vtnONuG148Zr393u7ZsqnsI OEAqi35rAZHJkRR53QjAogR9RygMad0D0KDz7TToA+TppHrdvS804YeEetk3IfGruC9YXLUTGhZv SEh4/RBxfXLzKYXPzYXCrR0KhKypt27MEPlIp8SBQQKj1bjjWiPZHUHVshfHw7OXurV5VaFX8+/Z 6rqUDZ8uJe7ZOhewVBumt3KvH8069yZPkYrAItSnOxWF27FQkN9uGrKNxexzviQ2mfJZXjmcuRjL GJRcZ774OpOqE/4gMK2tigIUSyxmhktEUnjIRw0s/nCduYslzw+73cfBdLxbMxGmiQiwq4TxFmd/ O0eOoj8E4RXRR5FWmrYAwQRMM5mL8iL+jgQ10tRpqcq0hfO5hcjxHFu0vrnE68ty5DjV+9aGRo4K Mx37ev1AEsZMafrU+L1bFfCFY67gk0KFE2VWJLQjNoVBrH2wCG8DReRc8KoKkWq9AsA7fxpc0wug yogwyrggWFtaBTo/JeuCBY7aV61p0oWiK7wUU0vlM1bVPS9wJpM8r8KAaR7UoHLxWZjTMKlfFETk auiB1V/csreet9L8yNKZYra3cPRIVgVeX0st5PfKvz+Q3rt60bD4MZM69AffL0M2K9puBBZKO5xM 44lTXzBzCKnZhQv/Q1acUzgXQe9bN0NvEsqJ/Ht3Yd4x9sRP6tcUhUfusTTHUAo7kw6y+QfXLAy+ p1KaSlywlwQNi9TuT/j9zQmrXFJ8PEh6+/68YFaPoHN6yH2WpduJpO8264I4PZ7frxvSu8iCcu1T leqia8lNH1iWXl9FlWNzU4klM21ToN0jsFXHC2UB0jwI+8WIDNA6tDqmXON69/219HUR1X3dNLNc 8ptO5UF7dQyVme0pO5iwXz92ieol7bx+AS8ylFGUVwMpjSxbYxDjtrulJgA3/S8SgNsnefrobh1g TjDHv+fsG7p+Ks7ousqMtXdgqI/RDQTazqPFlnt0AabhPzoXd5U3SVlAzcx5dJoOzJJdHIlkdE+g iCLNrKIY4ljUohDIYMs7MhilIn3tgMsXGmiYgNXHioNx+O9uNTeF+RJU0NL+f/IUXjenDTRfoV59 Oikc7jMOTM3/QByUPMpFgo01LKZPMRX//PEb4aWLSEPpvYLxxCuK4VhgfY+iDt2+XayLtpPruBns bldxu6yqqQWli0uAhWrTG7mAAjk/4q3yXcIazv2KKGUpMyNFiz6zz2sjY0iXEcRbPbK6DybKwHtT olYr+siogcPPAAyOm1BEjTavGpboDPoqqFjHh+RVQOd/Q4qe8OTJlO29TAxODPultlkFJZn++oCr 0bCXW7XxYTNnv9F53LFdxCyhvcQ++wMys8p4PfvSJPfMKW6YC+2aySxKkMUiR6f6noRqZ9mofZDZ p8rY/bg9BwNaRGOhXLMViWU7cxxVkaXtf+xDScT1ASe5HjUtOEr66R0eOqnOLq88TvN1NeEokkxd RI6uwzGVcnzk6NkY76hUar3ICQUdYXVhv+cg1QFer7r/JCegBoVuVUJO4uScA1q8dLpBxwgpP00z RQQlPmgWYQOh6Qvw+DHMtM0cSrBy1SAclij/Gv9HXh3XCOFtC699BSKJ5RqkotcRkeDJ+o5qZELa hypwT1v+tVaEqvFpoXoITfrbmNR/CJrL4pzULNfEVMTy9E1323+4BDR8i/aEoAwTyNFTNM4mALXh 1Ocrr4/aMH1/rjfxwD8UJ/uWv8oSdTIwuDVV49Mz9+T3Ekj4bKWLNhUBhgiq06gkG8rb9SfUwJcz eKATLLN6j5odDTU39DdXaAns8lauL7qmlXfjB6Pa0wV/x+QCepCcyyXjrgiMuezoFX+XQebAAlFV Cs1SXJ6QV2JUr4FO0F9cDRgfF3J8kMdSP4qbzdYjb6FgOwJeVjjZVm64+3fVUWRcZH/81s5KGyrk dZMu2ucItGr9LxrDzkGXIdy6Ugyckx9oPCjUfry2yuRgzuUbl+fG7xS6ds1GTihcvUlTcnpyonqe LCM1kqeLm1vRh3Dt6KHCJhTHmqqg5JOQMQSnUydDiTK0n2q9qq7f1F5EP/Oauli5J1OUtA/OH+TO 9xbSS7o2Vf/zaC3CeQatnOHIQ85CrBscyCmB1Xs5bLNnMWtGrVBoiO4LhJC5fo/zpmsDExRf51wO 7WI7q7aEczSUzcoYOZsQy7P2oCDLQehVa9UwUNx6MXxL/UwiwZbwBWxevA2bIVMpvnr00loJGVdt qP/pIGSudWxvxiIhZBSJSTT1QVHP1aNqa7R97ll2tbrd0Ms1g3cTaLZuIlvL6oUedADvK15lkM3H 1wgAZ1acU1XpjoELCy7UN+KMyAa1oo5288cHA/9MIzHRYhYz2jTFtqSoqWhdR7GKCiNfM+m27qOH xM+cFGKuJP1T3yb03noGZxO1r6drWv0rHz6R89oHyuiC79OJd8XtLiaWT8oEboIKwc8PjhV5/60+ fmaLSk1z7jZiJ2V03+Y48n5RNqe5VpYuGdmCgZJcoR/KUS8rTymccbCrDGRu1n+Pf7B8x7+brT4R AKnI1KlPkBAIdoplZMoorzHe/Igr0J6Z5MDeZnOKJHr7quzKRjWUGDdhSsHZwy8qatduhaMrj34E kJVkXeyBl/iN8lQsAoVuAp+I7L/e0ko6xWwb4A1x/L8G20bgq4PZbqJnB1w5+DT5f44GP1HRph7u 5lntKm1papVutdQPGMR4S0jvnBazb9aal96lqFwnca7tUHRcChhJbKtsm10JtXmRlc2a1lRWUGQn UIVgaaqqov/LOS7NJNP5gwp1CEZu9+gs7B9k4BA+dZsvyfK4rvhNC6AorwfAL2DClTtpatd350MS BtS92MnU90UP9K+hpLLOh2+BujNTRAKapBtSMFoWq0hYxYBxd66v70inu990rNSOj8Ae+c9w3pdS a2hoKfHaJ/bGWoyipo0Nyyg+9/kf1ZP31o3m/vPdaAemuVvlz7ayTba3QdxxQR919r6LiI7TuJ9E YBF1xdLYi3pzk3HTDI18HTX0kM8S79EgiiA1F/yt36COmoVtuyetW9rHLwAZrLd7MOYY4EnWMZp2 RdCba6T406qb1WWoeg7GkKF512xuGlvUkDrorl66dr9bWFUI1PL+vPmhT81fzMOxxkK/GReAEU9a PkKpbDgRwnCVev4ipCayxAOfTRlVWt9DZ/PYFRZF3SSXBLWiZTyyU2QE+4MJiccl1QWIDpzUpewl 3Slq6PguBPSH06WY9NKdnZXt1f1iE8BheRI4bLYFYwVEJrrcuBmHr5mLgFiju0HK9rH2b5J8jFK5 teu65tHrLCa6I4KJzAUrHVBXsgr7UHNr1sK7mBHKLGbe/t2XNNgOpxoFwiwgPLLV6hgf0pax3i7q Xdl4sxEyyxQ52kBca4avOZa/SddXnmptKNRi5lpacr6qRslMALO7mMHdCPPrzu2r9hKcODCpK40D q8XsvsvELMwMZ7DvUxhzHy/7mB78rf5ZL1opcjjY5eQq+PQTgmsdJA/qKGk76xiEwNAb9DqFWCxW URGrMxEXbw05YkobOOtm9X9LDSky5MQhZFjCinI7nvQ/1AJuuZjqg85XnZ7U/bmYnurHN9Mq0UfY UPOdSzrT5eIpLOzS+warfJdixbO4JrIA6yrvmpZSzPEw6vr0lMJHJ/IH6IALMDVLOi6W3IWV1Vx/ mDFPEVSJWPbpzTZLQpq8Lvg3xsyAy3TZwzOJgRnM5HVGRRQPJvayC10W4M8ONTRWcziO3GG1Wnen /EH1NnWoGiAXWl/M+TiNW2oVXbuGs2KuXmOZ5kJ9eqPvNtWlBDjAlXXl29lTXlciC++CqfIZ3lK5 D27uM3VgmSC3Bbw6eoip8Z8ebQzdAGuPMvop9nCP511UYdvXG+r4YthaSlIqF5n8n4Jk7Ns7XXXX KZDzzJJMUu0yOlHghJLIe511kS4vOmV+10ZU4eskVqJVI1gfNSo6BOyT0EwQ59W4+73uSBKQTmN0 TI1RR53FwYrYm3EvOSbMdvPNljcpO7FHwhccfle5+aPFWhMPwUg+ssgPMCVwnaxnaT/iyC+rEMQA kpTARimk3nu/6QbrW6Z/vWSxpncmsKK0eOI7DqBCcvapnC+btjWS5G02Ei6VZ0gk2WprCUNRL45/ gF2YcKdwCUa02Ssnxm/X5swCug9iJTQnKdGaXcO5bP81+b8rkuDGjzzQSbztxeHaP7Gu8BK/ACp+ KgADbSzI+Ky7FASn99TcTm5UjDmpg22asNzyAebXyMeK5qTx0SMGFazUFOJgt4/j5dfYf9XwWi81 zWS0J5qWiO840ArGYrqWUOiaK8mVbrgvcYasBgDRNOMDOnxUL7TloPx/ToajR0HKclMK2UBRoTyu GegwrduIwPZngpu049jfuv45H8GtqHGje85TwY435lwujFXCjqLFjgf83OzijWl/hAzoML+qhmsV I1nwLqCl8GXI13Ba/7MvIOnOA0d0i2bwg4VxQJ0sH+R37516Wo9g1EENsEyfEC7wvKJyWQdKdYIV yXbwwm1gqv8PZ1bfUCRcY4QjwLC1fKPjiap38Gfu2kGTag3Yy3tiTnsnfRBUcNmaj+s5SDlz2LRM 3ph0kP83x4thWPG+RCt/Xx3jFvrtqRcpnDSax9ZHePGDCEI0r4CZ6QwcxAkOTMlSWbo5X0qeeqKN q/BWBoNwONtuCllNs/o5tA46rb6+OC7G8yDMMOfMVSQgmFD7eb9hwbCmcCU09P+s3yito4WjDGom YSJp+OFAIomoGJrun5abblY5noxXRTH8vG2YdpC9zyWV2CyO1KyVWrWEHouK3oua88vnjLsVM/6X oQ8zKBwoTAem2vxiv+XvUfy/jGALtpb0rfSR6Yq/+UBLgBR3Ml+zwRwzU43Uu4uqQdULC9/8PglM EDKqhuAlIkkh1NMkT8dgqlB4rWqWMUEL0xB3FzvmV65iPgu5I6+E0v4ryCCT4QIhblx0AID4VM7g K51g8vg5SOAJ9mtT8ynuDeZXNN4mIhR6aHVyCSikSntLeMlJ+4k6YWCHA0/JdqMu3MTeHTOZ+uWy iSB+01c2W01ptjJQtDJgFO0ViTcmUCOLqjtMQNoqCkR/X2GrU+M9I/CGQAOmwFcGhP9EHeQzigTw EntIZJvlQ0VhN5TTDJpuQ11z0DtyZLrzVW3JW5+dKG/4Av4fkPFBgnftFeNdDqR5fR6tUQUJhIsI pHRzJIGnbEBOMNEPLaHX2YmwPTPu/LzLAI8VF6c3QRtXjn6Vwf5jV9foQLxYGls1QbEntebjSeZe QB2AdrTkx9Be6pNuDYSdASxvSETcfwv63yOidTOqii4FqgllAsr+E/pTbqH38hxSdXzTwVIab0/W PwLMzFELAzdR7KpVP3z+awG7SQpZnaq1qVIKM/9vQnbyhi68/HCQElqu61LgP9IAEEBF0uqMrtHg m9jp8NsWLep54TUUI8Oar81jy/3chjkwLsPptKLgjX0o8YAKzEMrildrhPNSJxAFizYijGjutaFj j0NXYc712l5tAYuDOGkgzKlyfjEHZoxvXWvy5oEtyagy/FT4rkMyCnDFnrRFmG1t8OeAr2tvOoI0 +Y7HYZm/ST3AarsgcSWDfSl5ofmDoqdCYj9o9095W2koszgKI6DrT1GXtJgNPC/nkMMhyCevEiFq szyfce2hIZUpqwU7zPbyDS39JXcWlfRBBNkQk+AJz55zJoplXWhYtBM05GXT4n2yDhqgPddp34HJ nv6UUD5XkuFIDU3pvONVukO+GuDizBF4db/wnmQ0L3BIBw541uuiOMG5UrDomQlLRHb5tbRk9/xH UmUXV4o1gBsgjW40iiu5tdTvQ21/+iNbtUj3c1FhC+BPVyozD3ExrEfWv26CrpRfeoqUP6oddtHZ kTKiquarwgvm9QL0ABt0W1m4I5jnd1XRKFueKWP5gyX3lGvayjl5XBKIlhkVB7KVbSqXhp2LrsPv ItErawFWijPPAyInJJCrqWMaX7bt1KN1xRFB+vO8PQ3QaSfvI49yWPLu4l2k/GRaIPwWp66RBnJk xpTLv5KvJb5W0EWHiV9t5f0OKKw7vgjHqiUF6HY2DrqJRF6+aRDwErdHDGeUKFfsRfaKHxJ64Rs9 +avj5Z65XbPJKdzrwpXjUZIUKNa9fMZz9qgSmT8niHDK/dHTe4vbjevoQDPCw7+mRcrBzPFqj/ow dQPB8FcjK8YPV0z8O5MX3n4HtYGCIWOWCy+f07fvjlgPQUKfz1p74p0nUxF1QqpDfFxnY8S1xNXX qt5FhX76YhJcDg+QShGfboxNKfFFNAOnQ5XWUa1gcmMlpW9oCoF3E8uomiC2pMIE4DGq7u1l+gx9 VSQMA+5xoZpbvtB3hcwVFRZtPbjQfHFNCcRtA/7rHETQCnzsCldYTGm1JjYfIOWTuu9ZbLGZR6Au wVZsP0as0Vxzd+7IQ4e+I8j4MTFfiMp268kQbU0HvYxpQj7I7Hj8Qe+X8LL5X/3wGJYiP7gm5xgb z8JjBzs4OEIkyczHB+rwGut8v6ld3vSW2XvKyrRAs6XycUj6W0GFnx1IdWczWUSFrT3PdbZ20KeW 7Bhji8xxyElLUUzY/GChQ8Ms7y3S33O4wUMUiIllja6qRO5uO/it/yacHu9dJ7HOgpgTx7dHKw/t 6atj5dzv5Yw52PfrLOX/O4gT/C5GYv1AsZrJLoiPsGswdqsA4muKy0WjzHR6SZMsKoYDlTAcAJRG bj8kNGbNn05WlUEUHafiIDwJBPWASx1zqYs+lN2MXXsC5dgjRQTWBBGqBy1inmjn9UQ72jYkJXj1 iX6y2q4Neio/ztoNieHF3xQj9e1wacEEiGiUNVbg7g5UGl7VT/WAPrkjnlKRiIFx+f/8/Rbg9R8Y 8fgWYOfeSgtGapA012MR8I8hKZa+0iAi+Ao1YpltiP6xo1uTYe/+m0xFTdM7z9QIlbY6cGvd+7gd SQMMnkVOCV70vxwhHVBn8hGhT3YO6K/hhKw82BcSBFes3mV2l1ZEOVDLfOdj3KJLqRsCh6CP2eV0 BLVumSq1v1VD5M4bvVsw//kLHIjFPrxXONa8tkhUHgxm3mKY0o9YeO3upC7rQNE6kTMJ+82z0s+B bInBxVYLg/NcldmHzjmXufmLMga6/fB+QuhNO3aHRwL+cxVZfWO2dCY/1g+G0wCjc6s9uaa2ANlM tCedJbMwUUDkMbXi6axQ+4uCVnbsLagzExOqsAucGP1BjRAYx9DXWMgyAkid6ezH2AtJ3nBcr0ja Ah3TfQN94T9Z8lo4dt5qhBm+Qp+mcfZp+wTR6mOLr8XnT1aUMf12OA4UYFtWuSmJUgOrlMNq3Jos mbdAUjTpa5lPEuTxQj0tAO13DI6n7xfFkk4zlb3kCJFa+LVCwr4QtHHStzWafgkK/NFSVFfZ4WRE Rzh/kEbfZ2MjSZfRN799LauQbKcKs6NZmAAtFXdKQPLFQxL/GbL5/6Oq700AlR7BYgeIRWa2GK3p lCqnmJMvw/t62MZaQJnJYbtyrysNj1v5ej1nzCcQ4+k6qMD1q/07ZC1w+TXAesM6yWpW/JSULd6o vLSoYJiqeBvClwql1JHSSQWxigpQ2btfyXlianKoxUe5X5joTxXGH4fHzBpgVlaajNMmnaoLWsLI MJU/ESbBOTm8+9HshXl40v6tLeLoM4EYPV1i8wqjAQcbRaEWPBduIUD69VQVpXMn2Xue2Xs1Lo9H AhD5b20IMQANi1pRK/iM/783iaJpJ10uC8c/YxFXKZGIbwyEzQldisG4ZI57pxFz9q1sR1K8xBwA j5ecMssEJjpE+bpX00p7+w8qew5knrzXfjuper9WkmvaHGoeX2qyp2cOMpGf8eseWMxNdnW6S5du Swkc9o5pLwrPFKjPw9vG3csyp1XEw8+R5D1lyQY29Q8+Y2/V92zK3jpBvCh5PMfHwQofQp40jl2R p/Q5dbuP3SLyD2ZObX+hfvtImQkPci5aM4EedelLWufXrbjt+yfja8n3aSTi4r8+PIhvRgqEsKYb 6TfuyChnjm81zWdM9TB37PYXUBFwAHDsYRPycuG8L2jNT/Qnq4g212vLzZNl0oOqyqOPOHKE80YE A+63C5pqzs0daIjXRG+dkH5jGiG2/Kr9L+hEvtwDlwY1S0uXlxbrYbipHIp+4DeR/8Gw/cNNo5LB OQJu1vidw2BckLKoPo0LMBEUlX/ueqSXk8Fon+h16n+imlKQbzPAEhHNyaB/8Lryjh7TgB5yffmv aHTbS+e8LiOYJvPy4/KUPOpFp4aQZGw9lGyijrl5nozrRotJcr4ZYLuanHY0+ZqnBGqf9lNqgOR8 QXTMucwSe05MlTcmWaILDPcHNCP5uzMRitqHwy2MdS0eL7Ewm5gKv05uwwqr3o3DEuJ9iTutaD+f GNHxp6e8wryjNqr4crcNz5ZcOb4w7Is7m0k0gqoDRR+KA8FGIVgSRGU1zQEBlHdm7zjripEZIRQ1 xPLgNgEC4kSS66BUTpsoa0l2t4EZ9etwJEO35P6omWypRUcRtqHIrhQqyNRE+sXcsLB2JNodXJbG kN7oiYY8iDw/ysUID3VC+LlbjvinbB2lyarzudZD0puN4wvoUvjlg6BxNoF0mpDu/LwfmHVxgUbB HRV3ONybn34l7KsZhjG/R+d9UAWRXx8p2732CJHQ+UdBdinGBBHoIbBR5pPREixvJWQNWNLhPu6W T0IJffQZ9E/aQL/+kgdGwgRv6mxMvW5cNx3ATxS7M0vxTF8D+/FEEg/lx81ABCxGPz/3BF5XRhhT 6RWKdAQFbCvihTR6C4d4uiy2wJvDhu4vxix8hXTOhSRVR3yoIz553x/y02tmsieJGhXDn4o+I1vk kslHIiOe9Ng/vFjnsG7PpVrPKjvmpxKWUdQz30tNZ7T4xEhGk/1KN5MZbFXV9oBeZ1xXLG4P52P8 Lbv0CfEBL5Kgi1KycOEF4BhilDGrTW1H6gv2V8qY2pLxlC4PIW8dw19fuj9o6GYYlj1ajocYzRWI oZLIqO+y31eko3MpbNkwLD0b0IcYMYltWGLeuTSuVjBAfkcLh5PISlHUclUkap1RiCQ8ASr18JVq 2OGI6AuqycC4jeSjz6eriKovp1eCzw5RD2HPOTgYrvNfJlOTkKGfrTJ0dTuU202GTTPqCnaCg1v8 5Q8Z65f3YVwtExiwpD9m2ymSbLFxOTPxbQujBCHDA9KXeUXdmgUZGAsVyLBO7kRsS7cZ6qH3GX/b VeyFCghpa/FDGi+jLtbi26lc00Z4EK2FQqgOrYAyv3FIR3aX13gpQxz1f2WC/1R2pdUIKl/5J+IG H+IG+vx+SJrG/17Do8VxaeAYkcvv5lg5/MwVYpy3GixIzr5SYDezKxpEwOqUvxe5QteFRnlSdLuW ZAS96R/7CHD+jeMKes68qaQzn0wp4+3K6yy2Ya5TFem99NET4it40dJrnvxORxutw9U8zrAW17r7 Hf8Z8MRldomhFdbj+orJgtj6HaAk/bfIAZ7fMU4BCFqr2YotywHmRJsYfbNxQoPSCMq2MnMZ+c9R KM20PXX9IKrr5NKsksz3iXjKAzlUEW1+9MwvayiAg4QYF5JXe248K7xOKs+P26eqhpDUUqerz0ez lb6hQ1NcAh7hjjD1c94D789AARSFarVERNKbDcRT+q7I4PEyYSPOUdYkrIj1R6+/3aLotUfWo4sY L67ZPuk/BM6lbSYnI8Wy1kKYN7njmJWtVZqfk4Emm9g/EFlcvtn1otiTqisQidAUHjPa+gqzNLaG AQ3bWZx7bnawe+3WB/pdUofGJIbzWuWwzN2POja0pGSy2p4ZU214laGKv58+bPxrr5rArzLqrwKQ fTLLLyYWlc8AhGWRraM2fWHkM7vTNzQx2DBwiohpYUTEHNA6imMFNG2AZLhwJ7p9DHmIa3j6exh0 RBZbRjffQC6oa19E8w7j9BKI+f14E43yX8tpeg9sZu7MRDoL9oJBM9rvMa0+YSWX/EBPU7gG+R1R Dla7qrjfsqV+1LR1BaS/MOVXvaU6+WaAgRuH4vs7aORzuofrRHW7hapR+yvLv6dhDpCvPSbVHltW aA51/rIVJ2vLD/A72xGwh5mwFDaB+sesTy1WOgftLpo34JKr5VbvrVpmGx4jK3O59z8oH+p/WG0x EeSxR9SorHa6zuNsLltCNJC9KG+dIrT9Rnhd7LIu+YVOW8051LKkiefreXa7T83cA8RK/RH95p1q 9+CEysyiKqe+NbD+QFJ54fXHblCIs/6loR2nkFlmOOY2+kXEkbKmvzPEv6gw/Yu5gI3JAMZZ6SGr tYsDZHpYjf+vBcCe8iHX6JuHgWuVpJ/hrv5PPUF25ELQ4UDs4X0IzF84EMhpsOaoIPVKvgFONhio wQE7o+oIygzGWPeO0RuncvM6Q6JT38QaEF/LKSaqNnlwvDnrDCscnyjQ+5n4LLccBAEXQzeSRNLK J3CQv8HyvXJVNnceETlW3U3RZPp2D+CpeYO2+xA1COgRlZr2FPwzsvm/G84YDmOx2aUzgITg6cAv 6fyyRR/JrLpLoDqEJZiQPDNUOsCd+RcdhhOwdYpSUS2YcFjsPCbPi7yUllD2Mo8KGzqN2GKeoO1y EAAwpaU70rxYejJjEx0TpY3tmwV21ETDYA1EHXlXa75eWybIJ9MpN9t9dfzvBSdDWD9SN7H6DOsz W7pGAongoUOl8V+3n3VHf3PKL9SE33tmqF7ZiY5eHmgCh+Jde2huVncXC2vLfhSfy/aBdw95IHUI hGXRSuNKk2tSdPHn+nVRUGgecddQZRi2koH2/4rWWbcLmwB/OaN4ibBzYEB1q+cGxFMX7iKshkvq UTRwGKHD4Jjg9OOz7QbrRpRzAvCrFdfyRxHsOX58AAOsPSPPX2wPZ2G90ZC4/auiS/bf0APmimzh UExaZMcUv9vX/+NqyXJnPorMZ9LAtecRLKFXtDspfwT1vXS9WO60TJYpooVwhh0ArSrssEI30mHH fDU/uxDAPY9Kkbi8/kpmSdpcTtwWkx9347H/J5tPLZCKEw+kUXHbW1ifZphbHhBEa8dqcj3OXvTN 1n5WatZBGPCqna5SuVCBfM37KyUpuKS7eVUZO88aXRtalAfAcJmDPBcPDCdPUDmOs+Joth6Ol33d UU3XbbzfSJ2nY4/tC97CdNRU0QBqU43OpuiBrkhy3MPbjcpoKhIh5/JV/Z6LvBafzpVae0QX9tAF DlzCRbmCFlWMVBIUP/+R70lmqRgGE1je9P8l98p32Ku68RGEd433cgooldiLW99Fgi1rJmmq4yDd bxIBZ5eomNXQZ2asXylw3+AIRuG2GWwJY088m4rFfSfwkOb7nFEwC9lYV8G7SvufncZVl9py6C87 XBLVUmFjw3+J9UToYJX9uC20ESlbaFyCxazG7up1LcDv0z84AlxptEqfb+laJzU1adq/i6HkVI9X LAPP0jCw76QWD+X9hXgZf3JQzRBOcFVv7ua14MnHH4+K4r0Gf3bjVnJtDqrj/WdCbHiBpchsQ+Rt jL4ViruVkTuu9QjoKqp+4Q40ecapto8js0/GrPhnqKTShZQ9cVeC2DgVV494iMZsq9GuuWJvEP5N GjPOwZ3CgSJQYMjfeAuuPa2oLaXo8l33Fb9DGA/t1JxjDPCF8hc71Ns9uivLZklBE5SG+AP3eiGB 4rpo+vwb6DtUXnReRmAY+63M8b/7OzxWL7nbzcP6f7sYdB0rJ6QjyQhwRLtq9nIHc2Mqgtw74raR 4EgodoybxVjsm45Kl4D4XuBlEUY+S+tFX+gVk96tnM3iYpHlKmI6tMRmE5nDZYXBqNP3+wpGZrLl 8y6IzxKcaeQD2To77gz6M91XBa8GqvU40LbG2OwgOm1ebbtsbRwx655hmzfu9PEVTxgWzd4Um3NO l0AUWFr4WWElDOs+onwqB19bixIKEa0ybLg67nh0On3allIQU7tzErR4cG2OWHdJ9zPUfpko4YCO Kaphy88JDVqrVyIL90h1sIUaNGqhTYJRkmSZ6JtSw7oIkt8mqznVfxVJf127pR9DVFgdyG04mbtw lNBGx2btMZ6fQ3L7yeFZBsU22yjDJpGsbQ52joLNU8+6prCSPGjCgmp9r2KfBn8f7ZI92AJqk7+T 1w6j+xueEdWlgDHK58Hu8t2CqWBu7mjgnQI/JPkS5ahCCwLegiXh+i9MTTtKpem3RwlCXGbyAL3b 2M4XxvjSDxQ3OOYNLRmNldlNVT3Ns6pXLNLnZgBasJ/PhaHgYWa2KeeNMpVuitcvK/psEHxyxp4f 2i+S5DzMClfYairh4s6KWtMkgMWh0zg1W8lmaUelHe0sMu8K2SCyKUMx40fzfW/5vqtvDxs20DPo bZhjgl6QMTG2jCs+Mw0+EsODEoRjWQiLKloFuq4Dajem9cAxAjlw7ebhPpLSzNTk1IaAOare4ZCI zv/1X26qFtjcRrbDI3iGhjhs8EBL+KodZNsSrp3bBJYR95Jgo7X+IZA81v8Kvl+jJF7RaN/L9l5f n1d9oswozkhNVld897VY94OhLFX3lpo7cOhjBzepvXpVA0wOj7JXZgvCCqNlRFW/NHrEjEHsXV5a FA4TU19bBiO4FJTXE80OI8l0sUTyqj+VodYZJXHeLcn+wMsIEt12fJl3ZOdWiQ8wSXyeKzAsDN9l OPTSyzDWxM5Y87NAtF4IVn8jQXwtUxFKiV7MaJeBJtNW44ZKIj67CgthWxiBUCDpNDmsbfmSEIHN iEbLyhbgFfrb9klohL22hFvCN9enjt0aPQNWXxaeVQA35Px1q2vrIrk1etvfrfh49SVo0EM4a2j3 y9bz6nQRzxtelUKmX4/AOhiDWuYqUtI8nnkUoYWMgfavA2fhtqYNFRb3rEIf1MaMOs8qW4LNNrqV k2p6SaTsvmmHqU2/6pSI1kEowKSGYLBOiMu3E2dW1DLa7SCY1grie5N9fDytxkQtEDN8eB16E2HL g8/sQSy3CeA+vLGmMJKAcwLKALP7GDWOcomsUXsm8nL5YzkuFO90XNHneVLLNJzurtkdTTMdFdQ+ 5uABJ23DPKyRJL6T5YANrRPaxRplSlxwkZd0EHmSU/fpfXlkVOwQymT/uJPxJEn7zxpl0PveJLPF YKWYU59VN1jT3Qa504hSRf0IZDJ42ItgZyW28HesCFFTJBFBZByQMpMWL8OQgxhUX73FaVj/pBXL GEPBew4dFgCDc7vuXRV9YicL5bSFAiwasM6Xd8Km9dNTU6ZY+vNBajiAXQOoHQOvbzCLnZruOEEs 3GDtMJb8ujaxHeELvMBT5zPS6PwIfXE6oOe6qR+u+T6Y+mQQuKrGlTjXZPEHQ8t/8/cG2brXWTxE s3xNtoTCsCELIGUCxb4X+ofyA26bilQzUSgCxlQNUoZmsM80KjZqKuD6S92C09xkM1LdCX9HqJOv 7b5p2xfKBXDJBBDId6DJ1C+lNya1Zj08Dy5cdVlAWlmmo2MsLR/oq7Lf939KGZL9qqeasg4dr9Z2 vbWdMYgXCYwVy4MpSce4ju80NgObqPBt8q+IsP7FlxXVj0niM3JwUu7JM5pLcPnh9UUkrokwVMvw ITOZvWj/jAGVIRvSzbulrSpB+aProRmANdPstpeI5Tm/N764WPMjZ+iRYojBwm246jO5+uF033tc 5mF8OaQdwldnkwOK94dE1lfZvcL+xmgjoWu3t195w9BKS5tyzComoLar1X1OeeTi+AeRhD/lTGFk Zy475dYTm+oKjZcpmMujIEydP6RwZZqr9snWF1rPnRm1V8XtSc7XAkgxXzJx+EPtswY1o2rHtlO2 yTLLzPh1GocVwEC4YUX2HciKi4NFwbeUflf7Mi196kZFw3IJ3I+wyiGf24ttn8IdFAxMqv0ewNOD 3izpD5G6Vf8R/05bDVjK/GJIRyixvedNPhDm2fvfuSGJvLuSi/JlMr2ArvAdKdoo+nYltHYNVQPI wlVvUvn6yaFnWlMIAxMvbywgB1Yvr+iijoDVuOuIL/D3pFrrAbZdlT9VHbiiBizCTv8kUqKYTkUF Ni+KoBjtCpfCM2GCfMcpjD1Y/eWT0ft1rxC0bu2VfwPqgJA1rhbGz84cUhsvXnUkf+tndaaVZa1m vnYa1ROjoqQsKoW/rLEfrNCBHWYwl5qbXIERcZXkP8PBToqdgBykQomZwL5m7LXZS7Ps/g8Et0oO PavP4i7bmJfpJJXmOUvxO0M5wl5SKvw4BCSaiKzaiQ+Lf681tmqgohhAQWdMmdcYGts0UZjuhuoO voUKcGJlh/Kf4b+IUwSa8nfSjhTCtsAL2Qfxfy54p6fgxKlyi9x2CCDJEKf6X9lJ47i0ofDlhrZT uw4Rk619TYSF80IjVXTMxRTVuDa+ixe/iNf43BrcaJ77yn4qHP65hikarVdIPRP9yoGRNp4stf8v y3JlvzvbzBRGSON76GXC/ReDz5xMpIvXiN1kK/vNlBJxYpW6TzxY3Q85GliYQu3cKnV9X+27XnL3 OQpgYqPqFQOdcRnUlnVpqCTRY/6e1rM9BrjL/fW7lNiFH0s13Sz1dHsHabJVLE7w7q2lv4Qn7fSL tit9TB6kXlxrZ3CFKLmy+hxugv2Wy1lHIYISc87U/gxZvRBQLzxfAAZD1p8jA9wAKXSJCFlg6FFq oqs8+S3DN5Ub3XtqZYVzDTTUBWJjn9ntEJ3UE8JGBjLa6s9QpvHJxQ8ks3fznBMv7Wuj27zI8P1P CT30YiBq15H30zOUC7ECQGVxSXybH8Hs/N7f8fj2XI83ncprAXdzHt2rLlxq2iWfcrECeS3nJTMT cexsdimxtUM33o/d+TUe4AoBQyEyG1fdiNZErNAQGrEJi/R8u8og8eCMh246RLngiuwTOeLkQajp pqkikim2y2xWldofkjHeJG+zLEd/Ph0//lswFmid9KBJnjq4puCt4+wDG0anT0FaEUlQelgeBtCe 52+Emx2fxlOe2qoiMVp+Hd7yOAJQyaH0GoSn/zPBZY1f9e9unDh0AqvR8Wveq407KbCK2MWndHTe c1Z4y2IkPvP/z++KLSOJ8NqkRr7scURy13XGet0HWS7/2KYwAmfwgIjZQlHum/louvTsaFObUiMS Mc+ArzeR+VJjk6vq4lSeacREcfV8+TR/Mykmth6b3J+EyIav8iXFl/F6EyKIxMi3fHVg5tS4B15S NCdN6VKaQ281FybHo8YKmGhg/JFmK9ScH2frotxgz1U01JsS+aDsj73LR9GWNeWEgLTI2emThoEG Lw0A/5XWQPK1k5YptBOS/TlYVqHf+afOEjjh/T7vpNkYUtEwChuKYAa827Ag3CVGPjolffTN/j9V N2Ql1Ro9er5pGu7gmMMVYKI8vNHFM5D8a8mw2OR8LCc1GpIoWCjL7VD1IvpQYvtzdtIrgu26B6Wh KoznRtP6B+BgtL1s3txZgX5geKEMNU4+YAd08JaNA/FRHTyHH2TG72ba5L5t0rAiyqSeJK9PIE47 cGkbuWV1D+1UlhRfHizA3EcaMOPTtODxUWV/T9id10Vf+dw/48MfqA0DI0iu/f1xfLrgY5qBklna WqJVkdFW++cn13aH/8vecs7WvWTjcoDbaL2/UaJmrEBoG9ijTipNjZZUth3GNP4h33UgocUAH6JR jX5348uVPidyRGatV0NgX2K+PezEvBbd6dBbg9REz9Zm0HMX9EDgOAA2MZehrE/f0zY6gdfjl0n8 dKJm0CFKa2lo3q0XoWludortX5SuRdWmSwLT8se6/NFFCsSE3bCroe6DGVkPWQzkZ+uPXAXIjYE6 3I8G9JsMTgqN/OB9SgBBQhCEe3oDviKrvPcMnsCaF1Heop6YRRkSAc74k80XN8Tf1jK/B5asA+U6 Othcr6qVCX2J2bqJUUVwelxZOVRMSYBvG4i6SDaiM/QMQIoZyn3kREYUwKmgKVTpHV3Pb3fGZXo0 dLq3gdGAJdZvdvcdrWAl5Khc3MFp4Ikdj4pUWTsAQGkZ304AklNhVT3+47cNOS9hvhIwFmh67rWF m79C+/tF2WyDSY5FgRayz+OAlM3bIU0ML+ckylh2KsVwiU6ooi1WBKHkid7TXZR2T+S9vaYsTZXK FhYMAMSQH/ZsWMv0xJfGLo5U1S6kGMkcaTbiNuwx0VfyiH21gaIoTfoGusM6PlY5ZDIIUMjPtQyo PzvNiXn7Kawh6Uagb1oLy/JxViebeDjd3IQemoxEwPEIDq9JsIpb9/gOX9N4Qp7NxSWhiDWJuoVc YTB3i/MJRmH4pBNA9OqO3bHYJZwJIn+uI5Ko+A5/OOxgsbKpJEbHtZT8C/cPGeBKSr9R306ACIOH ONcwB1qtM3aXiOp+EXt+E2W2Ifva3cbibT8rCHEDJny78Nq3W6F2WZ9tdijNMF8JBiGTmKmwSSgk 8QR763FVAGgUiqsJa/L7byqbwyMcUKqpeSJGWZDALMZzuPmV1R621XgjldqKz0sF2aCdGTRV53p9 jWwKDAzTafoPI4Z9TABmVlMjlWPBjoHHrIj+eq1QQeghfKpFXpK4tgNvKzmDQUt7aLG2j7PKDGc/ Js9LQCgi62WnX3jQ+YqhLyf8fwCFMeGxoM39lfNw1vPhJ+WSmM2+9kMiuZf1RyW6t/qRl/6QlKoo GqWvevdlFro292YQp+JqTE6Eh6Pw2QAdswWBP8x/RfHsffIzjf1Xb2TIDwJxpbtqenHBnw8bOHVu HVSdhdofEHKSfaJw7YR2dWNrmv5O/x9IWEi1MqBJYvBMOkbWgmn00+wFO2Af0RwYSQ9st6TY3Gil FZKllKxVVCCCBvBPYBu1rkvUegc4WXvGVC5qvzKu121mndOAgHS45ZMi2UP1vUr5uGjq4mO9o+bK RnpKXGNe4+u6rwZ2TGrsiZNDvbmrKto7BhPPiI3O3lhhhFRWEKCZlYIAeEythH1D1dY/5yv4qdhc yESlPjzeZ0CFo5fZl+gW7XVrO+rmKEYfzcc+hrrfNCBDd0szUc7XCaf//RgTd0ccwk/unRLSA5IP rpsiGvtvyzPNs1Je0Z3Dr1XgUqTH996uJ/i99xtk0goNshPKmX32QFpBDMfm3UZ4HdzguzKWUvT4 8rS/Xs1B46GzAUMks7B5vAhfgyXmDd/d72USyh7A3wORxRGhziNWCMYGgM+plFzFqMmixEJe9r4x S0NaffraEaLJLNKBHrSGFX9COuoyGmL/TblVLF8BKNC5ma4RA22f9BkwinQyWLefoWWDTqQHmaYx CNoyT4A2bpKHuXWAn2AiqvZDX4SVqZ/IQXIcjcP4KUa9WCHrW2MY5emYJPgIs/RAJa4kjSnQHjCf Yeo5K/b8Xf5G5IO2aWWwCfeLg5DN/BoT8S1nLKz6kFevcDnUXZkjmIGrW/J+cPpV/AtUvl9xwaGC h7NLxV3vUqBAHVvFWn/4QToTk7tc3PMFjvRWKjQTHsfxDP6EZv7kCEv3XLwYpRdj/WbJSd54cu16 LD2ZA7yUPcd8ceIUHXCHDKOSgjDwVrwRPO0vTSwXynrYHPqNCUQQ9kgtRPg6KeNvcVpDJHjYPtKo y1P5y/U3o+SvLVi771Rd8PXmefzezDoMSfRvAFAFNWK6d3ZLqZjvaqjX4tyb8SPYdsgyCcJPVgoa p2Yc6k3zCX5Kc56WbHB6WiHUO5sE/WpbUV+d8Q4rTef8XQSUl/6DZkbF1GlbO+X/TTL075qmO23O LrcOGkmo/ZiM6Op1ySdDUvkrRXuaAZxUlXd4aEsGVNKnuyHgHys8BUknMdxJm+sUk6xYH5QgAOxt td2Pj/5cSRjVbT7CEz8ilCRkfd3AWNHi17aKFQgBojYRcYKQ/NG6nrAADogiKqJYJCEMllKV2hl/ X5Sgo75Ix4wEwYngn96v+CbFfcDa0gL+lRGDECJY0ToqbvMtBnO9RSAXHIRAeIkbuoFxXGu46D6r 4rrLgXX8mEtykFt1Z1YnqDDW1ADnPXzbk0Ay3Tk5UoHuoV7cqekeYbRwfc6PRtNOmmnoeFu1tATO pVq9lkBY9TRa1bQJjXJK6FYxvezlUK9QjG3xWU5Q2Uo5cIgkHUkVEeiA+EObzRBYsOKPu/KePtxG RKKFIwklVQrzCnvsRmEwaC3SywlZL5X83xFu0WMqDhFhMHeud9T0NwfyFyceUC0Btg9VV+yKSutf 9wj3xiJzexYxxZqAifHRaqp2Uf0FbHngb8vcOPBOaRnj8OltrMVb6wCeCD8qMyKjXQecIT++8QU9 RHbSrLd4VIqLHXfaV88amGKCimCDGXv+i8RmJxYVDvFJ6U2nbf6pVK3RakDyrXWYbSaO9Nlk+DZv pjo+VQBKP6jKhqFmplPCLphOsNKFQNcKmB1r5v3kn66gO01rGFX7u+8aGQb3ZksO713VWWUJAS4P VbxU/i3zM/Pp5H4HhnF526ULD7hqVXXMYlgHh9Mk/n2u8iBV8jpgSi12RSD8oGOFFNfLfvWGrQHP eITzCm0RxQLLfDeEc1mtNJervKaLWAMXcMzfmzboJV53s4kod+HfP+/vDBXQ8SaietFvc4EODKUI zCAIUSaVqWPdEFsyj/8JGjvEm3ZvSVxophYEO9frh3Mgdcb9OxzlIjuOXUpp9/KMFLrUCm+yQSrl DAzRXwBdEDRZqnfSATovyzfcoVuCNDVz8cx68bLerjqcYbj+OtCj3xAtVGlHSYj5n5RbtghL0knZ n5DkTPD5dwGJatZ7YmsxQsOL4jXd+ZqgeECY5PwfmT4ZjQ3d1ukXucWxUVCV2cmoAbhhHqYOXqXN Dr//HaQBYqJsGyVYkWtdf5nc2DCgSxaOS+tBxiMHipY627pZqOgt10xPFRn/9PkRqBejSP9tV9T3 lfrF8T+Ienyy9nyBAgGaKWfqW6MQ17vKB3J7/gyfBjd+YYmbVKLi6IZFyyBy9UDB4HqxB9SAv0BL 9Fy7bKHT+Ab1PV1MF3NHSieIVPQEqBgpr0xiNse4QdQ36NSe27QfiKH2mEXMMCWtcmqF38XegnOY WmficPszwJZ2AL59tLhJ5DsXZvU3UAoIrQnG7xaSLLHdIw07u6g56r/sTzvd+VrsHFVTi0ocn81W uh0T95qUoTLxAxA7UntrYTITVhMjym/JKdpv6CpwjXNJtDKVkhoNN5OYbaIHnjEgCkZazKI5T0TK zVn6Wpu0KAH00YC5TU7rsvsNFogXMSxPEuKT1lTE7Atl4dUbkJJyAF5Q5AtohGy9mAJjboFYHLWT zsDasvGZJiQuHL8HQj6RSUX1MKoaJoRyGMcLOZ0Vzbn+Wq/ituI0VPjs7BUC2bVx3jCtZTNPBIy7 AA3RG6lhVML6biEGJnG1GYOAMvs605Ii7jymcZ5riGUUUz1TA0o+0dImV86OEdiVNPldOT8IGRfm yEFsi+hl2DefIrVy3CERwS/bI0YkS/bKRjxbe2bqkwJ3+LjLVSInapCGmPaFl/J+cM8PkuDpJnI+ VBK6eIkKnhiB/MIWPKlJUgwxs8bV/rSW+gTXsgLol/vWr4LsyWmLSJnFqIHFNFDAoZKBPhiz7k8W c3DpcIkQWiPR8MWtfYOWSDJI7TpL6jS/Mbw/wFjkpTu8Ojj0UaivcRqsO0+CEUzXMp/2XLl0bY+V hxUly9j9z4k4k71TJXVgE0sX/2xL3EWrlJS5wNdsiJeFfYaNX/T2ESfeRwnf1zudOGrve3dtOLs4 S0S3fu+60Si7VXFydraROVOx7ZSd1LH6HjliAFyP2YMyZy097t6eyI0DY43ctUyQMdIM7HCLgMmx SyKyZ4cmO5Il+YMWN4kirWi1Q+QZpwoh6ya4iS35Wlr2M6ItaNnoHaskLEQSzdT2KYMmgSYZCsrX IbpKSQGmzApFmXiSJtIoJG/+OES/aKgK5mrCEITAtC8+nzgfKvMVHfpB0+naTEc411Kc8g5Zn+hP 7mO5D5mkUvkcahSRa7Y6FrdFyc6hbdmvGSSt1OVabi9f872c2aBjYFoAXqqtKmskxw6SZvxBHasZ Uo/+7JmOMihAS249TESAd+ed+YrB4VX7iCsMYWYKn5g3/YzXBiioEgItjDyuqMnrCcFm8hReO9lb 4IBL0JUqN0hMqnmj0z1P9OZT0B5isM9ynYgywwX+67fu+HjgvOfM7MU4Wzr8sJnH/o2z/z4uROfG i9OGPcWEsL9pUgSX/YZwJi2PlgrtRYrV0DdTLVZZUJrAz0mTIHeByDggm/5vhkhuELnLUd91zNMP 3wmGAVxDcYuGTDCsH71ugIk3qpBExGwf/ryoPenHV6VVzBr+z7zUZLAtjkyQ0Y7h02wXiimOmMyN V49JCG0RNqHP89CPoT+lW6U6zS/Jr36q41caQqfLgiUURqeFuZ6RD3wtizaPE5zX2CQW64NPI159 qXIoYvuYe9e5n71VZXpflukGRL6YxVlj3r0LA1cLAda1XMs3JnHw7QPYRs4iZeVSiJ0aJ9f6IlWV KzYGfls8m/iX2lpfC85KGqWAbhJ9YDi6dQZyCCZ60kq4/cQGxwlLWhIv1J5UHPKlXzVuO3/voUaM LTkVYkYe513w+A+JFxHH7P4hOAuWz/XHj8nSNW1IWB3xEES+mpBZHLglYl7IexzbmtBRkp26De5n WQuLDsKg3yH0vpDGlGx0KzRZtZD143pG47GBdBGKfcdtn78mie1xNeX5TmcoXovjMcu1zR85gu9m I1eoMNF3UmIG2ZHxNqdJS8Tjn0wRn/G2Xj22X/tYRXKLH/KBPnfSWGHFjFsQ5BFOzSRMlEw57Bbz e2BnXnsvUMQrJ1SUeDB4Vl2jaSwYgji6Q75lDBULonZGAEIIU2JQjDbN4irfJuyJM4Nm2BZXRPUv uKZjyt9nNDRBw5sFepPqKrVMuCLlUqmmkujPKYaLShmzzTvwvgnvdUn59+kWh52JokMgG/2+lbDx Ic6KmPVpu6JUHC3f1voCNgoeSuX7CuYeKGQggS3PbSsreJB2uu26je3CyTsjQtWPvPGD5nGYECv0 UYA6Z9qwd8ITnO9CG4SsRErhcy1jB4RFpvuVTpqaFidqGFPGcKMfTkR++Ooa5Q46M8/bQYPUPsog fyTA/I/Qp9Hc+LQqBeqyvn84PglqfMpthDgTLfel3DkzrV92ZswFSGx74NqqDU3wQwliPWoLfA5K 6Zi/LXQbInd+zjFDWUhTP2QucLr4MlJZeCjwhkQtlFLTE5rtWSVtm6XRfQ9DndwLu1fcrIV5hMhj 8YTVDquBln7Gurm9+7KWqhJ6WEFP1teyrIbJCu7oyE63wzfcuzD/pGYunWGMdjSEWTUTR4nebzWl 5nivCwKZopQTLiYEZ7K4PKk5MLP13/Py712Lpd3valqIDNamqlmRY9rb0N8Euh8+bGSdMC9FkHdP INWvfQykfpC/aWZJEoMayQTbjE6clKyA8hp5j9iMq2D7tf7LQQF0kvjDiZRVQhyPvs+dGGTJvgKp /ync+Cd9823hYkhG/DkoNixCLZoBYA+vPhinjsy0vzqNcYX3c4FQ+oSfVVPLyHxialzitaMp+f8f AbS5cwDLxQA9flJY4IFTTVjLjh01Bb/Tg6NHJIWEBd75b600XfcApkMUde3QqVlTI99/jGea/v2M O4K6O1h1B8D4PpucWqZuGujILlSghpVnNU8MmocRaD3zEk7DdC7rn1iDxFND5Ge4WUO0TL0yLo1k GoyxqZJN/1+NsxFZDfL2pE1JfbBslxNc2Ds35DQNAFTn+i75SUTKkfAyYYVlFyJEtYChgzTMituZ ofNCtc+HabKe7VmO8dgD7jxGe4JoogcGp2MjoK9BtKC831xZgXvwQr8srSMVCW2fI/apIpG6rH8m Ur9C+9tBuEXnyJci/ghsfabu1w0WldnPDLHKp/5bQ4dx1HuRkfBotYOSe/jrpF28wnYaZx5sLLql nRteqsYWifZ9F8r27mxncSQk1yvo6QtuO66IW0Epiy8RORy4XmC3x5QqtnDnu6o7hnk4RPiv03kH aMrtMqMrwGjTQFwirOSApHtY78KceyOQiG71S2BwgfA1ZoLboyEHul8sIe3/bRle6lB7Maqw4hAf UUDWLAngLatzscsazkrnmJ/dvns+1IPYDqUxozixtWJSzeUsgPSSmLPi+d8aqGyyon0R/HZO4cd5 NMuKBHxUEhmaB0Dv7AvG5G9681G7vxPdck6+vqx/juDiqZ6wP7IvD58Tq/cIbKnZFk7gqhga2qO4 l21NspE1TFbwgsOCZzNKdNtCR/i8V9NHxoAWM4IfJkCk6/LMKG2m43atfxetYR3TPd4llGzCm50E vHPSeCdugEdVAuNs3dahbR58P90seKCkOWQelRKLRAFpy8xiCVoLi2d2nYWeGli0LED0Qb4MKW+A aq2Us/2WGGPI+VqxQU5TmHHfPMuzF6dClTsuT861tJGT3TQ/4YrMMCZXeag5alSBhVKuhqokl0PG rHPzGZNsBgThE0wGFFkG4sNng8qGA7GHOn1WGkiIwwUvMhCjxjBt0P6bQ/cckFY4t0mUHj8TQNkL YVxcdSFQUww26TyIepvM8Bl/ROPMCNJDkriLQfnvpbhG6NPpTB6dt2aZBdznHD3ynmQoZAHdespa 4v4O0Ka/HEDbRnl5piMcq2imT5kGcUBOJJ21//ZolN9GSjSXVuSzS3WXxlykkfn0/AXFJOysApc+ ZXu6vBQCg7DajsS0ENzFnEhxraEN+RRxdvMlmV4CE+TYhXHAjvbZMrSEvrC6UdT1dp1iIl8Qx0/a adMCYbDa/vqHX93G85/+9kqmFX+h/jmi7reMAk00WMN/DXZIXzpyw2QTADxTwHGWU2p9tgrDQm4F 2Mp/bXG1mlL2XLeaRG4liw3QrX8/rKn2aM+vPWB7eup88qe7SxtRIJriI/wR08G3vVZnxzqcrUEo FS0OfJn/qdu8blr23BBCCqyyUSOHqx9WhZ5jbzNveCLnKjT4Sz8/Fi8/jqOTVXvJkGm+K1fDHSvy l/dvQs2P+Q3vdoiCSuh+L8XxjxaRXk9C+pQthoKQ/C6itlVWH2fNiyPjKxEqvztOKgfQ+u+s4zQx 9g55J7teBmEHdIB8Pnq3ZccUwbVWoKKJOhNJ9kWv0tCx5YzVdAb+mNfdFOZ26/vIBr8CSKoFVen6 jJs99fTJ6C9+euHw33fjVe6kQ8utEkyGSD6AOVtpXPG6D4MOgcjntwJk/dzwYFVoh7TvGvuXbAh6 irU6kIDZEoyuY9c0LOE7HsUrETBZ1LD51G1XOWrHnc7Y/t5kUmQut+dqK08eD8Eu51AVF8Xns5ru qPH3JbsMExmtys7ZZwg09WzllvIgdHWhDiO2dSCvoM2cEXho7aagtwZspWTaVHpBp0gjaNdcELtu PPcm/8mp6eVev/vG5t86IkMvnFixwVZ2TNMhOxx6jAAWZmLHJvj6R8wY2c0zR1XwtdbQGA5t3rm7 ModE/POFl1Z47V9TuJVapRPmIpFlJe9fujEyhSbMiN9IwkazoDob4FxXtDbOl4gL55fK6J2McMWg Xul8aNvGcZbtvD0+C1sYqZ1g88x4eyflnpzSMwmqIvb3fdSm5C0wBeZMJPqyCvRQcDPze7Mp0Bv7 YVyzEX/Yz9TcPz/IFYjc5s8J1nGeSPv6YQex6IyYpFKik6PNi+xGHKP5cF7hb5fFc/R5y4P2oxlS J1/016vRM+a68ieJ8Ci/nMZWTGGbaPVuyUsUZ8YSMK5awdfkx43d3ehN60CvPbBOESNPZPfRUZSi ljyRDwYMAH747nNKWauQ/ObExBm0URdieZCcX13CYYcrJMsexGx/T5iDCmR1QQX4DKk0Q0CP01TH 7sm62hbYmrJXzSPAHhBm3xlr//w/Mw2mJYABWDDLCQoIkIZD20sNoYxROZoxcUuSdlqXaqyqKij8 zLJ38Z3elHy3ZANAGYuPfSOzjy0XwSZYc3eATrxCrKwFL0VLynFglViD8ocIG3KIjRyyN7vgGCRk t65HJYV3eJTSEda9w0n4E8NYaNDJiZiDlQjzaWGmU18V+ao3Mb3nnCjVWxAy4+O0k2hC3QOv6Qrh kwAHr7l3klm7ugboYRxi2lzVBq+jv+dgNPaAjjLTtu54D7My6UUC0IwOkJCb4tzpAH6GOQN3QSe3 IuAmzNsnOHjiZGARaP6Q/ps4z2LJrht6nz5Z68iJgzUxcja8DTb/mgJSX7TA6J+dFJjidP8B+5Tg vDSgeal9RNV6cnPXghdhkSe8wTz2bFqgUcb2klhNV1Js1HcRxKbw0I+VTjY1igi6b7g4Bntpf7Et 4iMXCwI8dl3Ctw4K88wMj/7TMVMCRpe/Y+i9cdK7gIXBauikgGx0RgKMdYJ2rgO9FmLTcPVZPN3M K6HS6xIkMzy5Mvm4/W7pvFYK7nD8+cDWB+CtGGDCbytMkgWpSq76+JTP207ivDJn4pUj4/qXSmC4 7TqUhbJY/2hTJA+g+mZdrsy8VCWbkw16fokaK3TKrX+pbP6A/wX1SjtcUwOHu/2OaiywbakvRqgA 9UT59cpM/JMeCIGiQurPKoFubDVV8KMKEwJEnfYE3COA5mAxmUTxOEpI+SGgJw2ny6oGeCVW1ncu ot8w9EYaQnK5df4P7X3LNOJcvAekkQaaYk0XErgIslUwkdBjYqdcfhI2HtpAEYyQXdIyRE/3nG+a CXaKJ3jovVNE9Qm+vcg6fCFxIzKtQObD/TReC409YQePxe/a3akAnR3xE3MrB1WbpelTR++uDjOd zbZ6ABrQLtQBG4ylTc/b3H6lSp5FM2k1C3ukjQJazfJN34Mj1dIG3jarYk2WC39kY4w72yo7lmQJ ojS0bjUer6NhxxRmX3ZyhObONnzjhB8jfdJM4k/Ix/dSEQnaINgn95EFs+4uCnAKpbuMelMLFR3N xYY8TffVqpoHdsZcGiXfs1s1yARE8rf0yA7Rk9Kxt4vIQry2JHtQ/Fva1RADo9NdQLughDtRk3JU 8GrGz0jJC/xBHqbxUNoWZIdBbDT43UEGDHmUuT9Tq2ek3HRbspWBrRxswGB5EysRbS9t0iNQFgfr m9XStNYqbkd5DoF1n+GeX3DKmSp/E/JkV4AJZdbMwl480OywRqyN5ccr23l2bM9Z5zygPo1sU5XQ bPk3GvI+DNzFjx/qxkTLUk6ITzbOgYYlisKmGn4P+E5W9nymwhH65VMSIoLGeJI+y3knS6eLDJdp ulUrO+DnQJrZhaku1NK8J0Mkcv4bSCcmpp98scZk9gg70bsEd1lvQittFn2HtFRhfSrL1TTQitO0 Wdr+tZa3XBsVNwjiQomYzR1f42MKg110w153up23DbeLmzRThqywkSoXXQdD1FjoI5ylKkt821mf Y/ditGthc7+QTOh8yhnTj2FXC2Ggajg0fwChQw+nPA6oYwBjhASMWrgx6hc98NEYb62NsS7YMpU/ cixVKJQMm9e6/7B/HHSzQX01lRH15S5l9WQ/NopOJekNmWzhTXA3MXfUsIGiMLqhLa5O/UDCC3dF ba/pCU8qgRKrojJ5GPXzrxoN+wobfCd1QReQ/bZz0NS3As3NHl3KX30iMqClJfMVvOoMQ8Xs1IxN OuNwbHpC7cLWblpZgCmPrKanEpDBlLL/iBqg56Ki1btvGgAu5D00snYcQnJrseRh1k/i711qFlBX /XmvMclv7UioEDO6lRGAWh7eAFWSWo1kd8nBYJcqX/zfsD8eJ15oTtGHlPI7tDF2AClBOUIoe+pW 7Kg//r5PMRlyu/JBhjWKc12TLzG5lDl24Gyj1F3f+VPdDNmGgO1sBO8sRUV7Kdoo8by/on411xhB mfiUsYG4CsF11agU4QBgKU0qvhnVCHBBVs3Hsour4Ay/MnU+ROXL79QBtTK/UpOn1VMSKC5CB+cm r5Il7IvP5YhIyO3FS08MyzbbHTyHumRbRKM08rILTgEwUiY9JPqcuLtghlotoGqDJdTnP+JRdLwG QPY34wy8n/QLyVxKW8LcO0EBmOeK5sl50h9gfMzBoeQ1/lX9YiAYyF+5Qcw5+wdXbDqlPKJqbwTN YTeh9J+2IozWJoi+WeTX6aGDNrDsQRG2P5ItooIqu1k3l9aq0Px/4YVsV1OKq9bytFXs9O+mUx9k p0HBCa2W9uv9/QgK3LVeq1dh/YpgXbOv7AEL4dFgDtRHFpyS3LcvUZLU6aTcFkdvUOd1kHLZzLG0 Hae1C73yGhgHNBTr8Q/C6c240ZRJ7I6eIm3aw6tn0xTxef+jCzKSm8U+X5qdtZuJEcu/98WYg3M7 zfCjh0zHspFbLUBlLu8+U7qbGvavJNsEVGhgG+KajS7TMnjxWSX26zryMNSxL6JGMFoPJecIRIfm 7RBNfC2796MQXj+j71lTu9A9WCDhtqm02AbJZEsezQKWUhywAQcbwQzEq8g7qd+fup94xuuD7A3Q 1sggCaIH2UHffQzEznk5ahVOxWJqZeZHXRqf6cKSJoNJHe+Fum8VU+aoF2OxlieIvOBIUKDv2Dm0 ca3AzzTOob8CJSY1CjEz7fblLn1F5urNgoonuxaaMgJX3uQJLRh4OVfcs3+iAocLES+pDD35y6Bw RWrToeNFSzUWonPVyYQLEyky+EvvgLY8fO/OxF9AJZa8jDf7COQrgddUI36Q+GExbTOeLmvVKTeg Tkw5wxoMd4Da5Mn2x6lHhM5qOE7nOsogfZI5xu8d8OOwqivoBR6a7n/l1WI9Gsg+dX2ccIZWogSS YCT9YQkI0i5jMP+rc7ndUiyN7MyinJ/0nUI6i/28cWfsp2mpVsRZ2fwqOLoNK78tqZJKbZ6P2l/C FDpJoH2YgLMUxoA2Eb4k/li3SRo+y5D8e0VyIYD0NczjvYyUFS2leHK2rqo1PI6GrvpgKa9Ugzq4 OYolkuYdbVoK4+mHMWjuVjPEbSXYV5lQC/vGwRtRvUPrRYBKQOD/X5PPigEcBeGKTKw13bKM9oeR EfxX4ruhhcshisdGO4fYhZwtnxgZD9dkaDurKhseByBC8A2zhh8+oUsyOXKS4FYqXTxFj35xXYPU 3HF1D3/gRZ+km47c+gzGhBfmmbkFWSCbiaO35qFXG6uDgFMrSlwIZPzP6DLD9lUPsmfl4Lws5zdt YvEhWvfCHnDJ60kZxWe1R15NXY+zciQLf2nWzCl7P2WpgtIbn1ZD1sPO49BfB4Lmzo9ESjQIIKJa BrJSlnYkyi+ae1855usPO3edF3astqnDqzmPMAgphY14f1/LuP6jzUXWOsOZOZod7l8mgvXmBGcW fD3j1Jvvb+RGuI4DVWlXCkxWKwOD29anva+dwRQ2GN5vwOJgwhUshFePuje2Itkvl7JjDVbEYeRh FkDAhYLF36X9Rs2WMGWisZP/iW2IaVZVUT2u+dz1PyZcqiZJTfk4aBeF6adrq5LfM3LjxnQ6kfN8 BUq37k753flUVgtQWD3MKJf7Vgzp3RGpIyg7mxAvkxsq3NuqD4446LUhKvgE5kl9/Le5L+iFK8Jg kR3mDX1Ln6f6FS1/IWKXrwSR2zp4lHCaESdVYm/pTqtUs8IoFR6fKLr/UmbaQNp0dJMjkzryZqAU YpDBWSwiDBF1rGCpqDeTZOeUmVcATsdTGtuh2oFRKkoQBGLYLMOF5KT4ay6HyCV4MlBsxDV9S4Dx qAXWuGG3ZutU8mwVOw5jQ7LEk47ZdqseUV6sFBUDBo75lgoQIOA8fQUVRYBgynAsT0k7hqS1mOzx h6tPxKDu75w5IeQMK7xnF1WlIMoqSdfs00REteoBmirgmEsLD/FWdBc4mlYGO7lOZUXbTLMvqFBA LG6gWLIVssiqeuiuBtuzoQcWVLT57JT2t06yYm60P6/TJ+4yaAH/UzoJU3klLYeJ5stQcraRc6A4 f78NRZKMrvAxRoajy4aGWQovTa6V2h8bXeLp3bsGyVLe5280AzOKzpDOs9ydrICppLzqgviuSDVP dpHXuqjKDlkMHmaEZTKT1pvO6L72+glmnveCDcOt87YR369hGaKlL7lEg+jxU0rxmH6bbM38uiar zekaHTUl8XNZLRsiWoJzA6fMYQfs+JfmHipISFjYi6F9LKO9LTy4FPe+06OmtPstoec+WwGMDJoi Z6RxSJDAqU3MlDrexYQ1GPrVTzo6S2QmAr6NiUe2Z+ie5OaZWIE0j0T/qIS6+4dJjmAuSilKgXO1 wHTiZhpiQ3lHkOL4a5E6Wjb9QuKYgM3+IdWiKUJhXyISN6xsr6OGQQdKXXnwibCrT99PGOxdz+AE rL0zz3FdnjdJOpICqQObD2adrftgI2gQlmvPA565IV6071EMz3N7UL32iy/IYYjL2gG/FbyDYAZe oaFgKGRwNCMQgVGPjTSJf6TCQErJOFn6Rl8tJRULZqDWjJ7k7Da1OOAdqCw6YkmPBXmRE/Od5AyU jrcVzpD271s3qRugx2CmQdiPGYY7B1dQA4rYlp1FAdaISF9pzG4ndMGBCoE6k2W29ni193m6nLU/ 58WvVv7HcGZ1SdjpopdwqX6/SCcr775RNZVRlkAuPRxqiGLiPQSFKec9uIaA+q9RNcyjumejFdyi U5+nHa2FFpjwmpa24pHeFqCsPin4pb4wa2Il6yRHELiagW+t3tQYtCMw8/rTgLWBBOI2SrEMzWr5 xbX3cNENMsu2hFl3dmNqdH6V4OeIcUMUTV+R+ScKYRme5wVvGNxx2tntWoh8rAnl+50LjTtZ/egW O7S/64W755OiUw3waXkgkJg7JuOGMcHlX0SazJZO686yUy+BQFP4uUkaeIWy5OBvpQHtD+yqRvA6 gcjqXNn2kghdpyDvv8Tt0OXmLiT+3G/vYaRd26i1OJjpUvzRxJbW2RMDx33li7Qgki90PhHq+XBE yWh+e/Xklxa2cCfePf86TzE37KmNdKU6eLFkGkK93yH9jyXAfYI3BlQVBrkcFGDZyxGotgFpPhHZ +YWpcVuqA40gdk/rG7JrazxSmYrhPLom0893z5KzIizw5Vk/p96DTmke2lv2vUtLUW7JjyvCK64E U3IarhTFD3Bz99wUZCu9rt4WoPwuXMP2Dxwlv1Nncp/NIHxjm06eIId7oqif8Tf6RDv9RU02WUv1 5BLG/3ZJlU6vm206V7ICk6uhv4pdnlK06wGBcAORz0DItYZiGnQWNQ1coXCDRh+m6Ug0NcoCQOwc q0GMzTHV1+BUVCfqigvdv7+WTE3ztoUjqGDcL+O9dSs9zltthiabtdPx20WrsVHp4sbKPXmvm7WE cXKPsG0G3qp2HnIsUtCuK9xdD6Xytoi/n9Zia/aS0G28ZjyUA3bZ7iQiL4SduV39xGQViAJBF+UA 3WI1aXMEvRQyQH62GG3s6a/1ktcjhRkfF6fJg8/isEJJ7Q50y5eQdeRtapEGB7DtjQLaJBcDT2Mx G6HrejBMar24W0CM2mwUL2cu076PUmUhhY/qJAXKPPBlem5SEeokgspi2ELlwEmmdLJWUQrP3LO8 C4CdWaVy1ANO+sTpHq1PbTclv/TR9V7YLL6seEzejwXA76g4jf2VN6+QRuhQt7LMUvXT85nAp2OU tJQk9nioZOvahQjT+hnEtvZxXnluPKdNTC8rJMMhBHvW0rB61kv638AM+rbyOc2hIIDEJz28pqdq s2CZQJjn13ZBufwCh6WllVkhcIQhFoikTmmMhOcL4i7sf0U3+1CMfbMfV0LA6byhuENcL0UO8Nk5 HukWFefq1Wp6OfbELlfnisu17qYR1Xo3q4vuyRyzr0UrewVofmGYedHt8wMrhOUKchTVpDCkAyCG 2c4tsR09Uels/7ZLZyg/E3gkNe7hbndxmitWxoSij/VX39MbAZHY8iWx9fF8OvR2RukZdExjK8iH bkiEVBKGost0LTwTlBNoj5D1CH37A5oyn5ARhjNgTnRawfCf7WBYFJ8Hiup5uP+SJcIXz95MLrlG Lo/7zJga7Hord+PP/JOIHfidRjZYdOMuxHeCpqeBXwo9Uia4hU0yyejAqiLTdfcYsEOKzgTsxN/P Go8Nef32a0YdwEhsFDYsA9MV0rqvVkyuPcNSDEKapFlHHgoD7fPVUyNr/Sj89FUCpMpnz8gricbD f8yW8Ln9AdyBh2FezTz3REn6eWVam676Xag3dnKYpOv8YThb1aTR7VDu4ozqlDb090q2JwYNjQZY qF+cyKMGQeNh5iciN9Q8/RJS8iIbVYCv+e++TzbdU8Mq+ChG+s7ksPocKz7vgmY/rinaJJPOAci6 WztbDF+RRCgU9I1TdNVWSKyCNTHdY7evoG74wue1OmIc23pdkMr0RVe8yqg4ZyEY3u42wSsne4/l DTZJnB9MqgmSfK3Se32j35JUe4UzkK1rqh8TDS12j3N6skXdlzZnjz8vQ6ndkej209UO6u1sDjou zJgthK2ozaPJbozsmiD56YC+rhWZva+hZY0MGP776VJBiztJ8p4JtNlsvMFOFI70rVppq5JF7g8+ WYeF7tJay1lvr7+1jUxf+xRxgXSfiwLO/XO/iBoTQjk9wAPMjzgObdOTSl1Vrxta4nbkGcInywZC G21DIhLUT4tSg3nI7osEXRY4DRoWpoM/ged2ZUB6L/fXgCsOgNRDL7AcCfgbk/lAdnfQ5ECPSdMG PP1YBc3hxffZZCMoy/23ZSoLV3jJIFCEQcKz5XFBuAjtxspDAgZ5HSoytTmc77wQVfyt9/cGsXC3 Ob/hytYnv4v60todC12DRfTrjjy/Z9epI3CZTRFOKswB6OWcGGkmCdj3CDEKbinzG3z9ET0NgASe 75j7pqYEB49FC36bJLg9AGV1kox1XRQt3+prgBJqDRgZ1ygpxyMnjQ7MggtIlS/91C/N+DHVl24Q ZTGtBFazNRBgy3XY+hUrfQIjFffSkk9mAzkjUgEePorseVYpfB2fs+wubgWF6wGTwof5tOOESBDJ FQ0Q+RqxI4TtDkTHsDQc2CptptT4HHkXKEo0m4431uxnw7ML7R68PHH/oSdeIPVLFYU9c7qFoxtF CScVqX2mzgav+A0A1NMZQ+1KQJHugblY0GaiVNRRj60TTld1HJltYrVgJCjx/hcBIr+C0XKo/hsb Sd+3EI3zLRVMaVYCg1TN3DHpyBtTyoeYsImPbLwf64zwSdWEQpKLsnzdELdZJD/jvyqO/56JJNVv IGWPFfyi1Z3IKM4kBMOcBwSgzeVatwccXASGq0SCyLROKzFZ9plkXUdAbtDHSpTfaiO7WzaWHRlo GXDvxyjv32cnsQpvBBac0swRlc3klE2HVHm0twcZkBZj31h7Cizww3ZhzSyyRbh5Jc6i9xw0DOFV +CjfPt8ox6Tq9NDpppMaKCCd3w/5SM9pRtLAO7O9as9i8lncC9TLVS8rSodw4eVPE4oN5+NYOBBS K4MkTXWTr1PpKbS5oovG5/DvkGPi0IOg+BxZ++OBfcf6EUxHmFHVOoYFOSdStuFD4yOjWMdG2/64 rA3HrC6dvZWOqTXQbVesycUAtTVffqh3PN61yRrRmFhNJ5MfN1bKkDy2PTJUfkryjawTSiUg6JC8 Edp9ykC4h7Rb77vGA8BOl+1fLxyZ/0SvAtykbXjurNFgOto4vlyHSNeWbgM4QYyOtHsCXwVS2l3u iakI/QUP1xYocBcf4bjEMbKZogbYZlU/T1vFmBsuY2P02QMJgz2/B3lzIFonc+8n8HDBU754oloX gOEna5vAQBfOdOuu7j42G16yqrZutK6cEAi6pSRxrbvYh2fcC6j/tmfNYmw3zlarA3rImoFxprjo U4Zj0Y9w0w6KfNFVBkLwpDHjtmbM0k9bojSuwGDJPrOzOdYG0krGkoMX/VLy/Zu2Umbj3uYoQNZF 0ZLqMJnKJCYFwvNmbTOWCIhHurKJ1aT/dK/BkE8V8qfvV2xLFUOJnLS7qBirNxZsBcE1jhBL3vmt afhKdTLzFJGOPa/Wicf7d+Ewfmj3LhyyLCk9f0FZNKVrUUBNmhSVtx7iHv2o5rz3GuhwnVCkhUDL YjcF7FhY3YkNAvPGopJRyxofiE6EIWDZqU2pGiMK+KB8iqS5AUp7BB2obTKIgHuV6wh+2gA9smhN CR0jdRxVnVhYSlxn27QaECdv9qNm5fIo7ARHd83/VQTJmRBj2OymJplFlbCM8FK9T1xuKHV9Tuq2 mKwnR3Ffq14v62E3wBZKR8iD+A4B/hqGqkY2W6xS8ycJjC1sDJN5cfxJIp+GNYs0gN0YqspLpPLM vRr+O/VKhXEeGD0nc6VQGN9KHD1zuohnZM/HvQqUQ7ur025dtD0fCVIAqHyw7YkUOlXaOX4gus5U cP1mpKTG2Kw/BC5IvPvkKFntt3B+KSZzQ2yFqvY/EVPaU/Ip+Hovc70F59bxfvWvpKF3D08AyzEd qnsrX02bHOHNkTETNJULN4RlV1xJRdPIiAcPz7FhSMZOzzeyl1GovLH8s8jBCvWfsKqkY/gEwWVd 5ChDgnQx+ZYj3VAT3whxXwnESoDM0fdlH1XKbavOWPsYUB7isYTfw3qQt+MJKTjBKBdMBC4rNuwP 1maC1LQaEzyNfq7Wzr5voSKGSHKWppjTgEqkTHR0wpKchjWJAPg9xfZ7YxgsOOLuOHMDoOtEzo5M i9JZUGRQ89wvsClD2FECO+dG2Q4JW8I6PKPphrHiGsYnhswp5Ot0cTuE34guwKaTOf3fXeKe6YEo 8A/6nyLLbZUIC8VJETPfe7XSwz4O7Q4CMBGnvTUHUmophRioeECHzex27WK+ZcGgK5s9uBZT9mWB zXtLQ0pypu3+L5secmdPHi9MUsnkc7HDAd9Z3hhjHje3mKW1obFbYqFLKbAikWjgsnwNBgT7OBAt QmsUHfevYLrXlQxMT5Yy60hBEXTN1bUtK/wRl3zudN+vhxtD8caqwt4DNyqG4TiE2n7fTA4xXUc2 /8KcHoAyc4g63mtKGMsxEZtD7d8r+4XveUo9uWvpTG8RW1VVCmiRO8+B8vNiNjOmKdYwd+bzpH5f Nooy6lyxdgaMSdD/i3vxkt3mCbfAhwrYxKHoe0FNN2UiFr3pMbE1FUl8t3AXAwFAfBzdEfM+9Ihj Xgf3lh/RL+v+ffuEgFVwKyv/4snC08hH5QjvlM48lGnB5HOorZCRjW+QWd2nZyiuI9AFkcEZVllo 4zJPYPTAnHVFylaelBw8rFJy0b/CZshm+W+8ob21QKk4fj9c66gj4MWwclZNtHlOBy2ijcXlONCe nq3Wca+PKlcfbBe+c00yWdkfecag2dxOfXZxX68vX5VYJJAv+L12St0oPp85Ssnl7TKo6FPhQr9K 6zTF1jjm+UxgExvJk+RWM3kibipK4UdPWvTjK8dFz6RW16727HtTYnfKs73vcDFqvvRp4jsiCDWY 9FZZIG5JK/LCkb2VrrMitbeSuzvtmEbWamkaVGyt8szUzFzoUPYkDkrdr5fChPFQpMPGXz7XdSIJ 0kE33CiA6p05msGrI4lxbSwWtKxteoxUaUkvvu3nWICzJyiAxIGWtY/OQ/08j9rVgJsTXrADlQ2X vtl6ZLsCboRu84cp3PI8p7kcMtPA528gOTNBaww+0FiVLb+2Xh6vQzCT0GSCOoshqns1TGpfCq/N PBCOdhPm0GGra8z4W/B+clNja+vb1+Zr1DkWE9dRNbn+Mtfz8GHuI/eOAeVfwOqW72o4xv0K5PvU cVzp7pBwYFvmOQ5hQmESL/+yzLy6mExoa4R0fPv48v+3QKlBDl2MhWTYs7O50ocxcs3Lg/QMhy/L UA/DNwdBRCjEusm2dXY61kxSkMnMKEEvAUhehAqnxlj4FG7jsOhNj8HAMk0sQpxY+XD92XVbD38U 2Z2qd8yOZFnrUBNSawnaOSi9EE9V1F6yeJ0P6UhVnEQHoIUQxYUYbd2f0JPmhRqTCerFmzNbcyvV FSI4/ZY3uwREB1zt4HC64iFmLxTJbY1vzftA7MNjAhilxBU7V9uxk2BeOiszELNF8CqSALQo0v9F Pn5b7+p6EtCZL+ZoxncT8PMhjwHnNuWccnJo/jWQRG5GKzSMV4XlptCjpc+LGI4AVnZ0BewL64If 1PpWt5nNI5vEbeeSa5zqJkZmMQuerZ6jvGAToktSr1xPsH8/5SuMqkRvaEVO7F8TCSBpPja9LTQ8 5TcM/L9WKjk9KcqNZqn9qRuDGx4DHZ7MD6qOfhxGUcXAnORe/O76U++guBqqoUalS0slClOBGYur zy1qm4GN941vZC6bMmxE8QyNxu1NbLZ10Xl1yqhMZ7GLFCc29CcK0f/PUB1uN7iW6SP2kY9CleTa N+pNTvfKFQVv2Oa52bYwAZixLLYx502yPMSgETnAoXm4Qhq5WbKuagactYSbhTK1ikDDM8zqQ3+V mbW5z5qS/U8U3VT+ajJcwTTH7ydMnXm+zcrkuh0OsZb4+7U/DOJygWjXqzj+wqTbRF1Zq/gtOnhB gtirqzVtU6+kFUnhTZ5my5b75u6CUUIfos4oj7aDU+sWHMApuKtzMwwkxXvpkqB6Sg2IZUNBqVQA SRh/dhUg34IDUQkwfQL5LzeoOpRG2MtKIrdnI4gjgaW4pMoPGUPsqPRUcmHZ3Qwu7K+Qj5/L+pi1 Vrstt8Zb/mXd2gc8LeqaM6WsvuQCJw+IHZD6rr4Y/QO1jpxOn6FbQ2O5St/WviHJ3WqdPX0eT1Kk knN99YEUapbua3ZP32HfxbR2ZYDVis6H4Fo5phrHGFbojKZVYSdFncTiN91pvWUM3VZxkKcoqTpU t14qcT3++PQrpqXvG27KO/XrBWW1lVK+Xd2TAOPPqIzDhkHdGhDQIvN89AFPSYR1JWQ2IfCXBhx+ 2gaX3ReKC9L/Uh4MuBPuX4nKRUzs/yEGPXzcFj4eZ0PQPqPWTzpLG2kq3Xlldf/5n+M0w8WLLkKG i/GujkO69lZ60gj/RFgYgYsJ4IwHGleikywxzlDu+YxoyCv5hJGablztbcTvPFuoEIuuRnlYGX0e +xW+jT+az/QA8lNSx2p81qr+cBPK7s5i4V1CHp+pYXHci0e6IOy4GN9I7uekmxUpKKgC1YbCigqr Um+j+stHclJ+7a6XUDnO0owl0ycS58jHA+iLHbeDc/TehDPdtHLgK0sGaAOJSgqPHwvK1X09TYWe rPZhi1rWkFWDMbM2N3AySU+QebRic+IZWnRY7tSc07s19XpPbYl46+lX4qT6iE2jyLWFuQFxQ7wb GJeokJPDu19HBnMiaWjXxwH81CtMV8xl/AKfgS+ZcDSz/harBhSHW6RC0UB18paepmBD2D2Qnyqf H/ji0ijlpnHQLCB2XQywM6DGFpHsgK/T6fkMd48L8o7g6dDFkRXV2haIWi2mqxmLxJdjscPNb7R4 WxQ1BlJKU2uVrwTAIs8FR5HIC/BmsAsGfQBnUzNY6q6+b03kAQyo0Dr4E9d+CtEGPiNOcd6rqZxF 1lLo9pn9L6aR9DCJW/CKUYFghHfLeugNnVFHPnixlC74LTXanRA6WzKRAyzWMGS9BlVW9S1Zix8U 4tfoiAf/Nv3cRbyQoBz1vkzIREJyzlHNiZ79tRmBIQr3a3Gd4nPmCdCoIRlJZutGhhir5xuJQiXV aXOMVnRISd+TDr6SKJ/qS3Sg4gjQ+vK5xtjvA/YAMVj6SijydP1J6HKxgSW9ARhp+kW+0ZncW/La eXm0+c+1YsAEkKl7PuDcPjtOqovP7+83pZqMo4z2c7SdWRBCa1mKAPt5ox84kDFu7RDlkFSYqa9Z 4QPFt4JZ24DzDO0xRjL7LHTlwc24QMuxDNIU7XC6KMrsK9MW5dxOcMuehZyoR6G0WcNemGzkqKSD Ktnd/+YPvb5o/zP8AFWC1DmrioBBJ90b4mIEKbTzRMpgM8hWDnkqL85PsetCTTPrPyd8tf/D7SC9 /LYF0kp8lSAyt3qkPGmxwGBUuDfYlphqTSgPOb8YdLq+9nY8EzWo4R+CoaMCt7/bZBjjV70s7+q4 mhgoLqFLpV4SfNlUhbRtq9PbRHcUoS8HBzr0vA/n55K9J6c5raPwBrM93C5jQDqaQhIZFAnOr/xy +K0SRngM6SR64+nPyB9y0b9rbk2zP/4J9xAWCt6YDKzE6QL2XNewUGb4tP/nRVXFegHfHjISE/cY M8cMCR+9cRVsVP4I3vUW9nkJjKSxhVUuMEIxjgYCFnTgcDR13pZcoDXpcGLMGV5ZYGF/XRT/FcaP ycyKe74WOdN1VYLX5yKphyqCxfiKpFcrufaPRBYRHwBIAmSpYxSwDUGlOYX7u9/HYarfC7d0yWBg ZrMu2n5lY67ZMEBPUKsAIH2DmbaBdvYIXJtTmyiTXWLWBMfJdo7B76ImSd3GgxPillc7o/Mc9K7e ZHyDRRnrvhgW6oY44G4GIAtd/UrwkM37s6BpPhGw+uXjcsfgu4E5k4ZmnDrBx7pFwmO0ybsgVNhe AmVGvqcWQ21+QrnWJghdOj365cWJag4tYYVbjECaOtFKtiDA5p926u/f7Wi6LnsUpuMK3e0v1buG AYZLDsgqBN1AvJw8dYO98AA1iwKqHxpcwzsD1rVURsDguOME8GM1T2SORGJN64Tfg6Ysp1K04aW+ lO0VZTNVpSRhcty7OhhwhYwpNBalBAkov/2XTwFH8llgW966OL6oLtRwRQrhWDTnnnz2wd9CSy06 VFVRa/Xds665jJvA4hiCArJd0czp3ocYpyEH6Yj46HBtOJZLLsxlcYolPUypEVV4rwPfZI9oH+wq 3A19onD9Fhveq4j8fqayaMGOtOB1v9PlxLwRHi2Bl2nlT8tF/OgATNS1z+Co3z1FC0Xubb6R6GkF VRstJLAvY5uHtTHaX++NVwMvIjTQXVtn36s+QIj2lBQJ1Q46T8hpWywyYNyp2h89toyWaS6PJT/0 iyXVy/pXcntybjXrxA/35jh1eVTVYZiGvCXO9UTD6vE1BmvDkS/gaE77FVhGLoFQqwDMfEPNqXiQ cq9eOfupqdSStXb6dwmcigpGlipLQ1l+CbuG4Y4z1zlsljBVwtMS1CMxr36HcgD3UOgLecV+ns+F k0Gct+R7nU++eOTLZBODX/cVvEvkZWgwNTM0EKV170XNV8T+0YhVBlE0bupU3dqrWni+Xe49d5yK IDnHq3g129gTfnTGhBxEP8sY8UHYekF7jELJjI6ylAUeMkzLl2pdobEjSLNFQccvqDZZFqNg9mcB Hsr29T62kIVxiO+9AqLrcKRhBCxY4uUZSCWMmDgTaDYy1wyXIDeBcUZrdjLGf9326aiqjUpEZeHS l8FkIqDL3cv6Wvy6FSS6FszAcybAABT17rwCvbNumeIn1a8VGaHbNOElP8LHPbslcdWzQot+uPqP KvUx8fQWJE2+sVyJeJ+3k1aHfWQmb+rHuocgkxOQ5UVgrhOkHMFbSsYptH5HShFDvLhx1HCqEnb+ s86OmP3OpfQod/q1Yi3O0kY1z3cbHrrE8P6DALue10YVFDH5rTwSnVloYDsPB4BtAbBLJQK22nVL QOTj1CLPz/yAKa12HI5LX635k8Iv0WYgN7+iHs+zpFKRFeq3KeOg7QGaxkn0owiX6tjJmPqlDssX xd+i59A24ljGTW5dxu1KScZfWy+CesyNkT8J7t1C+MACBs5HYmxbcf5PU0JwGIUviIti74AbUNBY sZeYL19ImSxZJJOkCFIU5LbGgyWhxsbFEopONlUvG39V1bXuHCthnyXvrSRD1jvUObornpAJ3wJr zzuzXn6fJmP6d967I2StJN22vTvKrU9QdOjFKN3fPdM6iVQv67NIcrISWvrEC9r/g+HAxB217yIt RVZPY+0UGcfkIq0MQugOao4kTNzo3iNAlF9wnpZ4E/ZYGgzvV9oogBi6d4jE2SOPPttenBNeCdjJ SkdXy1ncNtGAJ+teMuqQ/jiTSzMvhMQG55HOriKSy5IGYsekSX3fKXQOJfQS3V6G7objEdQlPPJT 1Hq61EdpciYWalI01YRwX7YCewZqntAyAZF/+vzmR7EPMkfvdKXerAK5YlqWFRxIvIQePIiRzLEO UornOS//Nx/L2VDioBnJp98Fq7gMFF04ybd2VnyQ6qI4+Pqs9nF0W7y184ptzswrHgWUsuNbVFI6 uU/DU3QSr49JOonWoTkm19bTnrAqGLQN0/fHbviqozsyxHITnTUCQCt8HYRDgM5XmOjeuUgcC2s4 LLmOe0M8Cm28WXG8XFK9TnNDdPje2ReDmVv1oR1ZdFY6M9dduYF8upD8uAl/PKRMrmMzWLM2zrZM pRgy9WLqXWqBtEYaX82eMZ3iD6DbtBUt1kcZE1SsDP/kdEwmO5Z/RnItMOyyGxcyW7bHuslr51X8 aJ96PQoy/kQwFm6PPKMD2bun8bDGVp9bjMa+9SXP4rKbDbfFQ6xNxiT+KZ5NMW24yP9921L879KB sAohi4p3sJVUj038/GLa3NW205DM9UUL/NYyAwBhPg8Te988F4tuxtFKMZbxCeoaDV/mMq390dnk +SV1zY736kG/UxA3vjLLx4QSztal5BTLmxAedJzjVsrprv8Dycpi8FmAyO3oBKSdiG/0BKGJN0Xo VoK9LbobJHYcvDnBF0HanT0XmtVxV/mLzGgIKfkrxepwFYfXGqK9m07KtRCmAuc1VHxOZPuLlId7 vErASU9xr73qzekMlDcQo5Y73qX9DG3ANVJsxXLloZMX66bItIoxdAgVWE7qzpsFIXpA7bzYXxQY 0UpNj1WSDsf45RbZqPz+iMFDy/2UiKKnVCylKJWICHadaWhWQreLPj5fFB8O57lXw1fUhv8HtH0Y i//2I3ijRruI8gesdx+EF28yhK4xgZh34j8CP7DPNiTnZJtnti4TTUjAr4iNgo4oLqo/B20+boRa cQs/hHOX3ZALE8Km18z+6XeuN3kHizzMyQ77NQT9p1sknc5OVkgVFAm7DwAFAdMSClBzGxExmYrL blRqFaeVS3h8UJMWu3CEYoPtctyK89vm1QfyjTxq+Ja7pKN54KiSZSrtaasj8ANauU+pIL51G9N0 4S83f0K4Xc64WEbVxnepmCdy5+QozCfF2Szjpy7ZPY3Mm8gkNi1Z33Oz60GBSg794A0lUIdhml2k f/XOtJhpZcH+oQBgMNPcmmgTeEp1U0djiwGOqIYVHtyr/46LhUC6ClSlTFZu0FATifPPWXjjYI/d qZxsirdsfXzMAe91IQ/CAQwuhKFurSfstg5DpeS5kSQOvgy80NAu/i/HEJnp4DRaZvowOLKrJp17 p6h3DjydMLdqK6XukDaG+XS8JrDYMsYOxU1GGJs62rykeQt5PSfxlNxmcmDDJV3S3VqpVf/iuGOY 96cwn7cYlAd+NSBR89q1LAfu7jsfOanLfOADsFETEaAsI9ImDXp1xqOzHdmSN/nXUGAZJO0GeSmc cTFNLpqrhz37KZVhfkFML6yAtv41mP2T9EbNum02+NeqMfeXg6uf/vLCT6lIiLpmul+ikdkl5+bq U4sbf0kNn8rW3eVuAZWruLBRSMMVp0mcf+wSWUpIc6IVbbifCfWUCnCWbznCt5cyT70ojNsvcsch 9MHnbebpf9yhETSzGt8sk/l/hqxnNgaMbFnSFzfcYlmEswO+NvlVgbg1nERHzirP2z/XCN3WZrmp ILJxi5LyXwtmR8TazJkqDQemqGPa3KdKe27lNzQASP/UnRMQMJTfL8LCqKiiROAaGt1WR3Xipe4z 5xqoeI1zoV0UT8X4n/RM+kTtXB5pAM82eQD3szJzUTC9cnJFcrY7YRo5WiYoV79zlMT2mws9oGT+ Vc3Ij8cKGpkINQ69/bEAexNWID6oaQnFmbqL4nDWkQqExnaBBWV7E0qw0qYRD8gtABXpmoU7LO3R LGOMP3dYWjB5s80IwJraeq4F8tf9WSkEGC1QlPf/uvorugsR0UBh00KOVdsxSBkWtvkcyT+tm5FJ KbpU7vM2sEy7YzqiFskjvcTcoEb+GdFsnmNLhcz8PUpGgcI/Uq9AryogxHMWLCbyGqDTV3QG2QJQ oMyo6DOHxmc8CvyCYATAAJVWkaHwZsPJCjn2+l5cUZvZAzu1gyREEocXA2bvdyd8lDMr8VIFse6c 2R/gUPaiTj4BiRsppNZXhO2vvCfIwmYH/LnqxX5VGGUUK9wVpwuLCULudQzv3M3aDBt7sBjGqxUC ghlUDRI0OKcyRfaEbsS55BHEjk+WHGCYhxXOflVJXmFp5eYaXDYhkDC99/OE5zQiyVP7ZbkcR8x3 qpuNXyM/ItqM3DCA8XfS0m0uit+I6BZwSaXjIh2eQE4VWDT+fDMOs/+Nzj8lgAPRnsnP4w+hAGDn OsFNegLbCJkhzztspKkJhXcB6WeKP0oOfnnZSQSqnQH2IysU6qtu+fbOMj0/Zx2aHdmOLOKSwgJN 2+o1l0Urts9vAt+DKGow4EvBzvK3C7C2j56VVEbc2uSC8ZS65nS0KIIHfjOC5p7uXP5SsnCq7UqD 8YDTQ5LE/p9DxNBzlsfrLVO7jw+wjoe5Yqfh9axuVHzp7rHno9DHWqppPYDklfBaXkK18EQXhhO3 DRwZOMb1qRl3lnO6FPtsUizK8ie6hqKDKRwa8o72Gz+5BxhnwO/J6X0OVGcJ02YJZty+gGsEKFT0 /IUpdwtjpgWKmC/zzflL3L9u1aEqliLjUMD9Bbh7lrnAQzVNLy89szT6t8iePWia20CGC9gNobqT HVw1M2lF2opEuMbwBz8P/KAn4L3i420na3yDR4dAt1dXz1JGtXlWWvdqKkwdT1zQGKHLLwQfYQ/M BmSenHwLuPLLweETtZm0UBO9uAuOKZ52+Kow+WOkkTzb49pqcJ643q6d9qfviPSkjAe4y+7ou6Ns nHtwIwdSjDM1lY2GwCJCTai7EHo3piHecnU/siU82IJvDHgY+1+p63VeTmbnVJheZ8/3kyE8KV+M B7X3qH4O4394Kb9DXhcawnP7/i7XqBK7lZ2VZbvRa/JQX7E8/XmBqh3BpXH5uX0Tt6jJ92xuY1xD xWVCeUWvBgp9psdWo72xjf20pI8zrkoInV9m4sWedIPnAHcqUt4l2QcBaQNZ5nhTP1TUYYR7aBzL cTVAzVMcEFsUZ/FpbLoM1BABYiTQTz+h8dtVUo1Ecvl9sufJkMvrDi/fnPP7riLL/JxlXN/Pgcvq wMd62LIC99y+JoNEWLpVJGQbmP3Y+emXumbrYC3SX+UpCjGo916YynTa8Pptn6QD1h3niOCvr/a7 ykmpBJ1gUz+jt1sw7UXE+oceev4zUeLufaPlMbcbztGG3Ku3OYnFKnlybhxCUo+Rzr5P1DK/lfHR rk0PHqKvY2MXKSrCK92eNBOpFDuCi3jVz0Bp/Z84dt4Sr1mosgPQYUyKSSOzY/6egWsqbkrZjuLP ZOTJaqePGB2oQSUyl/xoT+HRNIOEZi19wD0XBNZMrnOO0KppJonbXcGn0xQQ5EkuVQK0iu3KV8PI TPRDiNQYG15X6uJywMyeir693KxADUP9E22QS70bIUkmfNtwxnS8DpTK6t/sEZvNnrs/bt8GmGBL JwwkEsEeotp1r6VN3RWyo3O0oS30JxUqeNWjx8nZ3Cuw9+EQeAfcKhjBdAQuIVUIGO7IH4M//rXn wqfGyafYz4fPtLJ3aAh5hZdZ9SA+qUgSVNoYdPhrFMJg3l5Z+eJt89nDxEoUEdVG3weJhSw9Dpla VJTgOYM7BSxhEo0crhU1cXqfrLKxk1bs0nxRN8gQU0UfutvV9NWibWIjYkj5PfktaNuDh9iygNFK w2EPRSdGNBIYs2vGMzjjcCunyhVbm8SpehwaI/FcfEz3u3InCiwWQxz2O55/Upqnbid3Z6usOc70 aKuYq/NRQv3RVLfEUL3DGdwKYLCiHoW+c0JmRal09KZ8ICtmKt2OaAOYU0JddLo6ddf+XWY4TMZ0 xwMaSgbniLn/+lIOIjb2tYb3kn6+K9aJpEBA6ydPNc73+A2pLUxQqSx6b31phUBxWd9QEgmPPhvO bhZMYuyE05UUigja3ygpmIgHN1Dx7syJf8u3exXaJ0xVQG+NgCnFcBMyb4+a1dnUcu1a0LNnv0Mi +iqW1qdM3Ulhyj2VIyWuuacb6DsuXqBXLMzpatrfmajOpTi+Msm7dXIX3hqFg3hzYkGcMRa77WHc /CvFat2GXaZyVXO5Bu0yk/xxPBl46fuBcFOdlkrvAYKK5JLZ7qLRxpLlB16E9KJmrboJANNZPR0V LpW4DC3reOKrRGPmwq0OZopaeGrUYteSP3bZlBdasdFCw3rilyi5gXJzTCcSYh/uzOTYmvqXYqWm aAAZUsjCMUslFRFBPe4bTNr1UVUCfowkeLr5+OhEt5mzauTimEE1MeErqKU6gH/q+gDFIXqFwPB6 UkqquD8RmzilnLupgEMXRYwq0HrbCUi3vBKDeLexCQxdxI6B0K9CzVdheSAO0UdO/6Vrfed8wZsu MGaLq8NKmk5PlWZ7IFQjI2wi6b3GeGc3fpM58tIG7P81hrlSJlMSZlUgmhLG7+QL9wXaJqrBChpK 0zmiYij3i/i3phhh7K+RLP0xdrUGa4MUNf7bvLYKMc6sp+SEVbNj3UHcXpC1Gb5KEzubSr14O/Ns a7x46fZJRxiNNSgJWrlkrfKCzov6zhSm3nE6Pi8XXQ6YVuT4OhFN7peDR1mq2DKGndcvUlLEPHx2 72OvR0SbZtoVqVamoWDGLVIubhgHWpGQyvS+mjvyLJ5H4DqnfKxXWYmOsWJ6J9tiQvOoMEKbyzfa MgfTzPhh/Z5dB9tm08++I1dpdjCWmDiFKvbuKbE7tkmsvy0r6+CVQS/DEJzzW6ZmR18MHfofhbJJ 7gSqz9DNR02YJLSaQ/cRbN+m5VhS4r5KT5zy773S8kTXjyrqoIeGoYwQMFHMMy4rv13YPGO1Gw01 oO0vl8KRLVZI3M2rBvIj4FK6hEqy5bbPJvcsfe/ainWr2TM+tEByciOQcBuqnTn3Yx9f6CcLZDie mSRDf3MwSUF/tiK6XOY6CZsTdNO+I3CCoKLICY1vId6m1uX7pt9cL4Zsq1qZEPZJeXO0XjPqRGO5 BHRWd7aPlaXJ8shYb/VMdDHKddvNr80Okj+3llL8pVRd5gX0VZ9FGYip/CFyUQheOKI2ZS/h24Zy dixsMHNrTaFu67HJ39IoNwY5g7TD9Roh4CTXq5mN38YsFA4LvEH1JdpxGAWtUO6e0+YBEvrNCGxn hFZI8Bo3rEzITov9Rqddy4SmnKCcFq1Wh6w9uQTQE2xqOEkRbq9znxoGTYEq4KoxdJ9HwB9b2Fc5 i1geauA4N2pngStu9pqE/vfBrUKAraraD2txW1KrV5XXsyroFig+Iviwabwm7P1fbRVEFJSn00U+ OhBmGyWaK8LdxI2tC3A8Si6czgUlQeGVHYoVGVN+Tlu0OKhIAFkZbxHLOGbOYW5a240YQIgJehyb /KbgZwfI7gQhLbxt4e/mZXg2W997AZtNjdkHECpcEER98uJaQDItt/y9cdWef2yaXZln3/4bpWPH 6DUQsUmhhiydqWTYlRXgL6kUHEkNKbySdr/83aTn6cUYBPXf1F7ivGhOw9KSXYUUPXhPqGZA+Qyk ZF5XqFitgYVflZMoGMOHKklw12sJhw18BjjXFCpaJIi3HTJULjYej44xlrgO3Fn1RSVjm1vtrv6y UyG9bzNsObscdpCRaCTm6l9Lbxh2FOMm9lFgoIjpj+s/0MU3T8iO7U+0zGBbn5gbQlOPAV2JAFCR gTyAeJ6zFygy33dwW31xgXUwCVMAp/f0tRfwq0Ea9hAC2O2VKiZj64r5g3I2q9kPjbyRySQxirOO woxuZNG18ZJhxuCDHaJ45mOPzxTD7TjqBGXQ51Dd5yqSjygegiNN0dw1QWxqChY8VttDGUT9wCQq BU3oN9Buk7ucDKQ+ltzVkoYMHzWySatOlPqW9FbljmlHClGGvXpx43VZbddnJMMyxKFAJRGSXO2w W1utyz2c5JOv2nUfm20bp/0q6SM5pIPw+Vy2VmrEiS7MOPrVPeEcCDde3zReuR0H5s69jxsTHBHo BfQVSdmaGp57DYJ2T92dW3sIOsFJidrl0IDPdLau2ymJMox9HK2e9tV7OZtbSOYFMsOeqcbofOj1 gLTdO7w9q9bUd4nm5Y/+XdFR03s0EofxI69fgfjNBJs/8KPOyreEofPVIrucQB57xaFSQf/QI3Yz jLxJN87JKh2tW7m0SBA81lcAP6jTj6InnqjOTWP/HOL2ITMln1w9Aq6S/n/TqglL3vSdCvEySnhH P4rm+riQCNYMYgCCeEjfnUmv6OJlKXhkcwBa38KM8oP/v8FHaxtMUcZlvlvmhN8guFHrKQhftwlW 91nOe071hAIJr1X0CV4bXYvmtge5ujMcMot2Ylbn7kMHjEgYODnnV11BkKHbru2zblN5CZc9ku4/ p5CVmfx7GuZq/9hr5WAkHjAbyHkjS3A9At5KBjXD40OfsLJ3BmlHcRs1DwE4iq8fQvFqEQPGxluT BENqIXStyvL077dg+ExdQfCX/LZrudXVbQwd/ExhqCwmjxPeNVNkHt9bV7L09N+37ROvREnk2v+g zMcnS9LFNoo0a0LoiAzLFXykzsWSq3eL3oqtLqHUEDzyblWx6m2UsDqFzgUjV3R47+zMucx3kVJR muJpP7sTsrf/xfsibi+AMiVxmR3lgfKB5FkxzoWLtrua81dj93TAB7CrVfMv326ic4uciGSKY4C6 bw/9aAMEEnLWDZhvWBYWraaowm49X8IihLpIjCIAVDL1lLb3a84xYJdf/ffC7CSif9iVawIjfrbZ 44+pDYICl/I5bz7x0wOOIA255P34rcQZcN0qNMpYNDpTKNii/Xa7OZdyYNyVOHapNTbMbqbqFZpB SwhjQz8tZobjMNk6WdFHBZRxjlqZpTvcez8VHTDBeg/w3wTWkBIDzPseUznOiOwez99eFK9IBIcO t9ufObpsDhsPXF3ioKkYQPPliuNhByFjF9L31/h61BC+OjFTgJIfbQje5D7P4E6TZzB9auEfmNQL znDu9yi79EtqfJ9mQWd6kskVBKoJYotRHIgmT4xd1qGhfq+IscVoGUf5djPPd83XU30TyVNPlGKR brF4Q0ZV2uPhfFrR5UjptiZUepc1GEbyYVsAjnjaz6Bw/VCRjupAG56LJ9/nMLQYwBtremth1g+t 2PYG3dF/8bm7dzAZGBdn5/lKBWbVSE4MHahpkDVbu2jwFT5UUng+XrdJpEb89o/hok1BSOAEhKof Y392yefK95K9CqSb3Rz0WXcsWgISWKGm4cWGfRiBwK55QJ/9uY1lvZejv1LGVFpojfINu2k0UxE2 T0C/OZc8NaZpXI94TXoWOJpsBDcsFmJ4KSQkTbBAp8DPnLr5YfVH9Q8OJreZZaPg8a3No/qNVWU/ +J0f66zea1wh1re6qWrNSrvnQyDp2iYh6yxQ5jtSEbCNOwvaAapdZqREL/4dJYaQowG4Opba1okL wfdBD3dtkmKRRSsDy/D2H1AwvP/bWBuNeBcLdEaUy6+U/xuBJA9Gu1HfpSVuSmIA9KIgJqur6O4g Pnxy9ystn/jjFu/CTIJk8p9DfGI/o7J7C8OgDO0SqP94UZ8siAZgg2w5UjTn+66adw0Rjag+m86G Mut4GWsWOODHG1pSGIiJpzSHaSUOvHvwzf5piaywjn1g3XCYmW7P2m44Nmchcy22M9q4gaTGm2T1 QfUmRwHB9PRnch8N7Iw6AfDaDepfLCRSjjSBMXmL9fKo/94VBZcyfW1M0WM8UUDeNfHrI9k7fnQ6 uR3Zmj7pxdHSB9iOpBN6HZvsglDtxe0tieW1+9713yN+VpD1ofCR95utxLrBgxRymfDjCbqqfKXS P+/b1BEZViEyFZgywPH0NhjSO1GAxxFHKNHFsB/nXRUhV8jrDRt0r9XK0fdz3Yczzik+LEyVIvsI wqHwFyk6DCrvtX0VKL/3taWd4GL8CBwNFyBTl766fgXx2BGzg0y1mDRCULxNkXn69a3CihEbA/eM 8/MbAfJna7xpbY8H1NQri6q14JJ5caxrpX7m//+yDZDtCMTzRSboRI+dN+WhCSPKkL/i8Vq/8xmk q7jNHF0/11/06fX9KBjxPDmLca2gURXq5EmUTHuWnl+xGNBYc0h1mL4Moug8OwDX4WYKK7qBgYfx 3NmqTfy6IQiAOTeOqarGPBliw+PrrUY5GJB4hXC+/15LiMrckIeyaZ5c2Z6AYhOaTM6kdLuBUHvM tJO/GX/bGyjEz5TDPXuh4ufRtT81Ilp3xqq7X+iP+emPk9ksOU5zJCQZ5UU+wSibk6DKQ8fuGWQe bfiL8cC7lOtiO4UWbR6aYggfpeofGJ4sFbSLUkrxVHfYsp1zaGfkZKIMbLmzd0WrBAeRGuBK+pSf e0muRa9NgqAxt6VHvgMHudTJawDS2Ck4xtL1BLcpAFIc4FQs2IR55+SHtqJ1in9rgKiT3LTTVMyQ epOiyOlD7RUfkqdlu6U8Dh9i+l1r6RZhUVQvTaBqzqQzwn7L4Mu/OzbKh4GZQ/Lyd8NgEQPw2qot GXAs2iPPiBkTjb4hhrwE8L1N4YW32QugUiUH2GeOBE8AFHkhvYNZkX+HrDrvFwwMOgr2VHfAmp6/ rN4J90c2owsthkXIz5UdkpdK8f7J2/M4AhgvpZ7T/EVPG3ExTU5noAzoHRQNXxjOI2fN2sZlvEUL qXVFsa9Nr2NkoSERMpggXeP8RWDx3o3xne6kdzELBoJ7qoF6vMBxsz8PIxzB0rpiQ+KNkErS0DF1 eCbRPdNgI6I0tVCNWqj88vn7Awj68P6SCBrjEWZLVX2OaLZ/7zcOeFNX9S1Xml9KRGMLeNU0F6Sj EsdgRwwnKl1UBDahEGqlfscK3pe6fLAgyOoRZJ03AduqtvuJbZhlaJUziKLYt3OC9CIwQNuV/VdX 5STD1+iLDL6FX/i5KBCxwcPf0s4Ymm0fZBIHPacpMw4FfS1QWI+WC5advEnI3IwDN0O8mcf5WP9d Sl/Fcca3EyUSdHu4oEmJ2g//37in7aM1i8C599ZbBaIP6Y8fZjsxw4lF1Jd68QzBjR5iXBwHlky4 C6hkhpDhuoNNBLALNihqaNeSmgMdXRKPKj20wTRPF3DmHxcA+eTF1Jk4mrBAclbRCstnBip7XhO/ hurpWsMv+UuelS4k7tT2oOt/Pedk+AHmAI49gemLM5Vxsxu7Oi8oWhUDW6N8bLVWMJL77hH7GGec SI5O4h2H7Lq2F53IP4VqUIKYo6fZZijRnwPROZb5yQE+QRMajQOiJONPU2cCanoSXzVq8A8LNoQv Nve8ITuxjmNg1/1rl60UcQoiGKKHPkSq2JpIMSygPWmzgb8dYTO70kgBGYK2TYz61ZO1oncffEhX yUfSvejYY2DkCDqn+l4Wyk3uElmz9l5DgPYkslyDDYZRYTrLu2FNHkTgfK8ejDOOLb2YP8U6DaKI 9WKKpIDU9v6r73i8GsZ4w/FuEM/ksEjCEHsXENdlWXsEmyv84YwMFo2SVopRPfEWFH4wxMr6klBx QThohh6sgSrtZ62N/JK7QVfL3tFZCu/6+nJGgIb6MxVqDa9T7XCczyuo3i68zSBLQjQ9VLVnoMHO BeUV/7z4DLf4IfY1+HnNdA8mCtFuVoxNEmngplskPp0QIQI3VdCOJML02wKoVgEx7xbW6AImQW/e dHzDJdq69YPawQJGBW2Xm4JZMbXpxI+/ovla3vLzHev3/8yHt9v2MrwWNVU0M5anmqb+Jb9YbzJ+ wgRHCtDq+naIGNiSZ7RmP/e+CXU6iMr40obMFhl56HBHQSDumJPa+SzLLODhA4gd9hnco7imRY5C aywXeBTltsdc+/kN0TObGSUeDJP5ZdB1b4GpmvX1qeiwbOc/b7I3bMa1oIzdP0kTmQoRIhTqntdH Jx5RX7eFV4WPEEL87Rniqh+DBoGdchc+qVd78n2Zbx6Rlh8xTjyvLZ4rL6K07BRJPQDHE65U9M4W cpIUL299s0Z5haSQsuV0/OG/vZ4L6/8QonBoNn58NYCrO+8wR38bMMJkEAbGTQZkE1/MTYi9ONeC rGC4nYI8xj5PDROPDLfJP95GT9H6+WReHb+DKbUEu3VZ25hhvJexNDqvc2oarOt314sDsycFNVUi Z0e/YUwdnNb86vWQQd0GMQFkSMyrdYcnBfO2v04tRSBLnfzn2Pn5tJD8oqdiLMdw4wt7bOAlqUl1 huPc65pZ8Iyst5PjCjkyJfLH2ODYERMgaLHBUtu+mNKtHN8qFojyfFjoxYp6FhVucjdwPMc3H38t scwjJMQzwJV6NpgNrkBLjGBUEqCruB2FS6U18M04Ckjkat8RCutS4lrOePVCPreJBOSUyZ3oJuvk UBpgFWVEGyZ/Wgty3NLVgbtO4E42yQ1k7DgDqEvC76U9bCilKS7StbX1HIYeK06PtJesOtmOtXxD AY5uibSjNyPXYECBzcLbF/XIL406lIyKrMVmFr9ibdZw8pKKDXkPaBixLWYDiPvnHhShTPJF6eJM OYOD/kuRgb0FjUsNOuntMWYiwwRZL1xyPV+c01d2+AiAO1Z4DJHdLrAZWNA3wFCu8diCUvxu9OG8 L6MGsQswTT4fi3rt8z09jyklvXXcgMCjuqYKw9sveZNm/e+vCgVYvAo3Ga4wNqWtjzoGtKYVmCnw UajyRvH74Oy/6K+8CVb4CuHLjDOTFoYnUvz46VaIG54mwCMo4k28lQfAZtag2G52Ep/vJa18PGHW y+IKzuGyMVyzmbLc+4+/vTMRm8AlfqCOJcvTUeVe6prPH7264qTBG+t8iHt19/gECh8087Ju2G4H /p88mLqj6Mj7wsj3bdXJ1Li0Y22BK55Lmv+HrR/2Jcjf7L7Fzq6GRRRf/OKnEbY3TDw7Qly4ZYaT s2n53v5UnNiKV9Tl1+U/zMb623/pvmuAjOOHemIB3Y9E85Wh5MM5o+9by+mUO1EVkzY+5ZUEjiSW 6h0ISj+XGgQnzENUDipVXF4/YCy1M6eox2smbq3Yka11Zz09WcidtrD+AZU5GvkkFRDmXd0mEo2N vtt5AdJ28z4AN7BI/1dcJp4RuGgfESRMOK0QTkh6UftxktXthLlY62i0KI6TtQR3qqs7fXMi2FYx s1KmhaYdM+B+EFgJXQ5mRlALmsdVx6nbWZWdxugDAaf0xn8UFrCsvKi9kXQ+Nv69bGf9vklUpgOG 9Gk7Pft4+NmZ1LY0uGe7izN2KN3KBYZf/IkGmEZTDEKAD3FbuPV0PskR6Xndt9jf1de35EWk+gO+ VIIK2NX1v8i0gzou34tud5Rg3Kaj0zVnAh1SYb+LoaIUhUr/dxzAO+ZxLpTxvlY1KdfvnEbV5H4L Xhh920zaYkZNIcLdQsEf/faHZudZkgZbppKaWAapwxeJj2gU9XiylQEa3iW32sw0n0q+B2xdN+a6 oAigyruWfe1z+Bu1yWId/HtENvl2mR+Scd1qFxSXt0SXzGgiZIU7zO4GbKA/Pel1Ib/7GLf2sNHp xS+u8f3Ii5oyoPkYFwSk6ATYZgoKWQvxScmoKG3MSRJUOl7nRy2Z2W6anBTQ5Rq1BOoF+m4GIk2s v1l44md/6/6sNWzoMtRcQeu0yTgfBYeuU4qQTTDUiBGSrPZp3ABYlm2Khjd6BsYL1Fc3AHBnGo5L fT/oSOPBFMkapFLf2i3vxYN7jB+Ptz2iKdQzo3u1gXCP+6dWTBhKuyuhmv4CrU07K7o1HiBu+mu8 cav7yJ6DylXPsEMLDS9vF5VhgArRDe4KSQam+s0zEv0L2WfDdz6h6qCSC7mx8fnBYrW4yun3iduZ Sa+2jxnjR4GNLR6LoxISC4OGkI7DOjcrUlYMuHIEmqd4KPmri6li1k51NAr3QaHYwnFUaSD3QHh1 EN8scXT+OPUY63xpdUnXSgPYTjAH9iSKv7V9BLkvzAa+I4/12++MoQcXx4BJUv6HFdUe4tLaYYZQ ytP5q5FpqcfsAI5KsSPhxriq2W477v9friwHvXB0RwYWVtUDtmYvYskv7dbYGMwhz/Rq8pB9gLj6 CRxFadYMllpDZ+jo22P/TKSsXoKA78YCo3Dk2LZ/lBKuNtbs7ED+uIRPkKQ/u/qd3rPxMwzfJKeD cZOAaBdMXglwed3wh8xa60tr3tQsOk5Qmqs94MMASyoSk4xbkpBYdtsFWlm6YPYEoANO0JLNYfag JLZ9SS5zczeGdi0+P2B4yo+2gxD2qG7nC3VxyKm8oKlm5ggRRKQ7nDSlJfvRw8ltYTVt7Tugvwke SrwPIEnmIxpBYyKRuQQLtO+D4FL3rkDmzxv2PMx6LiPG52krSoGDnRZmlz3Keu+cS+fWXxUXsB/K KqzZ08CwWK466lnR7K5U8+mhn77Tfwnf7/mLCiAPobvRyH31ZHRh79jwGlElZ7HPhQRRzN7a1QwQ 8st8NfWT+j14Ie3KEK/X2O6hh1i9Ivk7Pz3GbXNAECzxvxZmy48wPZ27DDyAxQlP8G6H3t+6DlNm Mpv+ykGfbymhUZ9jm+MVqfqBeHuohSynV2KhYIeJGIbmKcWOk6XzEs8qbBSUP/yJL5zyg4onOt5i T/xmUasxAX+brRQ09gjiTzlEuaUmi/ni40M+6aE3XJCsJZ8G5qg/OB83ukvps8X/2sWi+Sx+kNSE YKOS1dSJ0f1tiOJXrGQfd0mPOjrRY29rCXa/l/0w0IMvEcEJmVvN7kCFu25dLd6tu8iFCt9OaXOz LNZQXw5z9bDZ6N0kPHfjz4AgvjpM/dIlhGenxcuoNjW4lcY9jtRkOaws9oKnldQF2Pi3oOHqo7P4 s36mKFSC0CQLwvyCAmPW4++4BDCqvcQC87tg5cf5310bZVBSZGEui8871YJE7fh5EdyVTfE6M1Tb MxfiuxcZdJ9bTqd/h12f6Aof88fSRni6rjDhw7oksAowUfqN1SQ2nWHK7K4gKw7XxPlIaSRgebmO IiGLo8z6zqL1oE4fuXyJSuYUKov1ZryezFXRttJsAO/h1qSL1uORIFBRbIXPToEVaSQ7C4wDcRB7 zSb92YA41R/0MiLCffYy/fPfsrF9moret3vxFh/ZyFCqRWWKzoSKrcUaE/5ganduLam13SpHkTaS Dj0dHlutnM+ryc3U9EZydxo6WVdopRJJ7/e8bJ9445A2l6CCRkNsMNErnEViGi2XT4uTbCFH+i0G 4jArQttCdBkIBOFMuQ/GgsPcimAEaOm1m2quCWu+9f3OUPA0sOzUcTqHmLQyym4indLPhiR1Q7FC lhRLdcXlP3RQZLIIiJCrNPyca60oBJ58EP9FiEss+WoDaKcv/YRaXHl68w/v8YptyIh1etXLFqLF qMtceLAtngCX9EsnFV+AhVgE9FkR58TvS6w19IixBauhlyJY5Xb1mTHeQPUNaHbCp3+ZwIVUMdTF byqUKAF0SzM/R52pi0OCDahVxtFx6c77INNyhvSU8jEfw7+N/wYrVQ/hqu2JCejONfOzHGEkzvaX l8S5M7dPuas9QABvC3j5SCuk8OttP+5Jubf/F1e1bqvu7E8WsfpLo4lUCsIhilr5+kHtE35xnX6y qQA1kOLuVGGoKXhfGBdOWsQiuyhJuLtpelaG00Uat9S3qzJ8rdCd6pXkFTvC8psmY2ICfMK05F5j r9yUS+TwC5C34Zy459x3ScIVLuSs4GH2T+T5n3wP/esIOPrdaWuimdlLMEGcr/k8HVUDwMKCv9+L SqvA3S8ssL5LpM7weIL9UWYFrDZSnmvIIzU2B6KBSism+NLgS8VNTLO22BxloIdimSzMuNk1TxGr GuNAV+FZAx7NEUdD8nUz0tYMNGXxnN0/nZfqnwnaMW0C7IIM3xSJptAClwrTwyQta8aalijr+UBf Mo7dCfW6Foq46sY2K+0syvzkDc4KXm64L0yYnFqXw37FvhfMwLhe6+rVfwTpuDmAM4+EFjfcMtzm ZNLWsWOaJ+Ycl5wSk18Vw9KtKXV2Io4MafWxCr63v0vNbzulH9iUt403dftkD/bFDGGUNGPoahxu zDzC0P4m7wYS42Wh/TgFyIYFd23ANteupZcr+gP3hMlIGYr1+qYgbzebeFqZE2wR9eSH8aUBWKZW 3ufTqLR3pXz4y9w5iKexL+8TarSS4x+sjJ5ea4kLiPi3IQJoNU11otzcqL5aZfyGdQRBG1QDQEa3 2ZaRiGc5W0HVsjphNj9lrdAt7wq+8gcw55C97anESIQp5r1/40WAqnl3l2VwZv5PuBBYwN8mFRjN 1J22IwKYlmDKxDqtZ+tMZJ5oG0RPVhWnMUZxGWolFYF1YzfLqb0pkAODIlcGtPwEXoqf7y2YVF9/ 7mPzLmJkckYI4t7ELP+/bE6btdOtcadw247OB2X5gH04YlvLY7Z+9aIzP36BT9zaZrO9b0OIJber oaKqGqu8YpD8Xlf9Ucaefizy94rkSauZlyJEOj+jqho1Esg+hOKmt+zr8GXtZdMca4WxVafbzUj9 tRCk/8lUu0PH+xLAlZpS82v0wz9CHg0icPjjTExv8heMPDoQZNdj+NGwc90djOE1J01waW6KhOn7 sGnmh89m3+qPBRhK+57zzFM+gFUKbkG7jwNVfGxBzmuH7E+ncoCKUEna2AqcjHdZ4RuhKMvr+zzL s0Dn73E2vUZnDf1/zM1mGXXWlNuzCgL6vOFmSijFN4E4kOE4L3k/w+LlnBts0gibtsdxAK4NETpl iOsuB2fFd4WXY8svIiZat23Bu3zJjw0r8plTjPeptAarIVYIW8Pmyls/bgHCXg2JC5tYT7rOqWNo AYXip14jnDlxYevcix8bfatMOk+PQys+HY18dPkvkJnVOU3B5VR4eZAkAwz6o29NJqwa5WFAulQX hVgBSoINIbyiTbBdE25dSBwCu0HrMlMni9vk6RzRLWveYky3AtCsAKlROWl+bfELRSh7RHc+Fv4T BKXAx97Kc3v5rID4thojp0lEITDj+HhWi5MuAloxJdlV98sr5GiWAWORRN3izjWdaZvcEhygy5HV m8fA5oWiMHzYwp5LrVtk+D/IDLy8gcb0DQU/eKJiHjsfpsCf3PFphCK1Bz00HODZGx8pOlaBI+UY 8Su0GFhsMYnuMC/PVrCfvHIZ8cDhBcWwNi0xpHV6Yi8ffCEbMyEi4z3RCLNiUxjc2jyZlj4+1iFd CII9gwz/iSZGmvRYeKOrsaPoeJPdu/aROV7U9Xiseu1W8ds7ZG3iC0EmhxUXwW5OU/1u5UYd6nRK 4Joz761tXgjfaW13SG4LF1EVwg/dHU2JRtpF4fRSuDIwYuXT8DFVxJNBjYfI6/3RCG6pb9Vpi+TE 7xQubqdCsiW/oh8OojTgiwcv8C0w+90YQI8Co65szaHCXgQlVPxOtsMNAiz71JBOwF3fUZip/IYn a9vJVqsrfhm9miEIa5AmRJqpK0+a/Wu35/SDfMi24AlcGA48c4PRNn5F72Ir+R4t9m+fkeUDu0tb thF7DZqAUAkbU5Yq5WWukravTjazr8YjEtvXGMfvOinlsBhKPPYQ5W/EVoE0NDfRihcMYLcc/PCH KKd7ZD+3n00I6/qgFrCW4W8YuvPYda65QZCxWSbxONoLVc4DkCnyLabGFuSiFSigYLttVNFWqru9 OvhCGMILjbSUZQxnoBiJ6v33/cO0zlwQ2O9VB2z/gTzkAJqiHUfWjxHazDZhZ6JHwUGOzrcKpXCu QN5n5IWINei8ZLSBfsPSo9i+m/anrMQ57TJ72FsalZEFm1SmXmHfhWnHdp7olcM+XeauAY0bef2+ 0X/vU8kNicsf8xNKFZtr9cVVyOlE5ptvuVu7uBRf3LMiSkfm0Gm/HTOHNzmBput6ncU3yrYe2y3z uYDGohzZRC9jV8sGBoWEm1at36nVelyEtkkGfEdFJWErA3FqDerL7281+pWUeOk+BgKblEEvxa8R S+TYa2vJUAsEPHnv7PA3HpFRmzSbFUQHiSOZU1oJBAHa8i2rLBDNp3+r/VQjB7wvhl3QsOMMcTE0 HMmUdE5Ag94bgQyxSLp5zp9CxiL/xs114oDMZ2Wri/k1jqAnGdvn8AZ0cSHTN+uO6HvSauZe/JKs MfztzWgsMep+24oS2rWH6VxPi6xhPl9iV+26WdGYySKCLILuLD8iG5ReLMPujOFJrjkz7hl8pYIE zHMyCGvL7mye6+v/Ha9Tu05Rox+KZwJ1F7DWBS4GfPik7w9LafEqCjki68bQSFMM8tC63k0azV1L 2mYbepmDPBInphOyBXLu+cp/kTJ6290/5unU7F33ZFqpU7hg4bFiyGej75i8fnNrVfQspCQiNsFR 81pQBkeBWzB4u3cdYbX/uMHzXbUGDuXgbinjZf3EHIO8CKRqkiCNjwYyMaLhAbz82oV1yjZ2Oc2F 8SqER7Yfr3CgUMAmVOhtzELK+B5UtjYMdGP9rtRZ+Nb1AL6Y54cfbXCkUY3JKJmOqfvH+UAAEOl/ Z4Fdvhh739CytqKZZg9+Jy+ZhkzPvP0T6YrPQtyYESZG7n91s5yLE8Z705PoHVCQfh6N+/RdEZNc jL8PYYC8uGXV+Kp/CBebwLrd1x+1P5ZqTRSx4DdBUuGH+7H4AL/wgG9tjXoD05WnT3Kl5bfmJBb4 qOWoOs44sLA1f0/NwahnOXx7OezjdbDCCQiuvwS44FMxofKEu684i9s6CNe8Nq7zodurGW+oVgb+ 72f98xlY/LJEFo/t9A/VefiuPktjekb1c9zGLvw4f9iena8fXLVHwJY4ZkAwALtlnkumet4pE93U KvrevX6yZBPaz8gVLSuGa5SHHSs/X89dqpOnjATu2HYowO8V7p8oBZozDdV9+3TwHpq6kLlCUWp9 CvryP6XYQtkXQm40t9DGtx5pvwptdoSYxTv3T9DoyOIPobZvb4J47COPBPv/bH4AFMTdeirlEgL7 tUAZnb8cdhijAhanevNPfAcoKtBTdnEvXafbb/tt9jd1aB+PltK1PJ694IuB6k6/pISGksl7Ly/Z M1OHkybptbS1xiTq7+TuxOdn24nHj5jl2g2QiYQ7BKTnrHfWHCZDji92m8gftkRi7NGeraNbNr1t MJKb/ZFa/rNVVsROehS5dIlUMKNYz7dO76XE0gLpBEeuCCvFSUKfPgTlzNLiRR+KtnZcDYTeODAo 85UnQcykJW8L6WLiv5jnuyGqq/TdqXOA6+mQcItpl7zY/l2iSfnMPpEl3BpilynJ2BDLO3EqWOa1 PaPTmqAsUmEh+UKGJuffchBKQjQDLQd5l29Tv14Fo/bTGA31l8gL7N5SzL6uM6rX8x1Y0YvkskEI vm9W7FbdohV+ukwXd6UeyCNwCmpaJG30hQLfLs9DBI5nxyywfLRz2a9+GO0pQjsxu7AVpjoe74iY /mWTZ6Kr7wAkRQ9M7DN4ksx/aNZO432PdtTMLNg+qc/FPexn0wBWHHmtMEqSoZmLgyAybRjSrvFW NckdRSS3qXTOvLXcUBSUyXnumVR3q9TpP54YEbCq8mtFFHuI/1i3CXembzAMF9w3kL0iILxGL1z/ ngPQUFa8DmevT6x6xtHxfxIm4HBFuZhzwdYaw6QkNXSu+mQk1CRfWb2HzRzwNlLEves2wQiY8nZg BMvfQP3K5SVkYXnnVKRyCyGbUxF7aFckcXpQ3+8gBZGls/U9gWIK6lqF0jlWp6ukqMAcHDNMAemv ElImr/FReKCgHJerZOTxI+lK0uJoPVx+RSaIH+IConWHwylm0+977AUpnxL/PlNIcJjaiH+F9Q0t n1S+IGe9+cBRiV6pq0SA8cYlGYxpV4Wucdllliay1/baUeL4MqiOpbXeQ9SlaP2rGUZW+doi2fxX W1R1c3ulSQZK0UZ0Ns2DHKnnXzZkwgzsrgSqhSzZ9pFUNwQazyGfwNMUKGoERnVuUUtMGdlBEIPv Gg8lZabwO+rbGI1/57MY6OCnzavKuFMR8mE1gml8+NJ4oWlR3juPijGZKuUSuzVJenZ69CczcoXg tJb+E3Jsk4BTEj4s2XKD8ivzHrOW/1vSIsoHAFNP/qNGypoeBdR4yLM665jp98bc9R0agB2m7BuC reC4fnBydux6KKxN9sk8FQlaINTh/QhllOqazZ7mFbO2ugibwv2W+tkVkZoLgNyqAqWm7ZPx5p/G p6HOKpFJvEgUu5dWhVlpnRuPV1N+YxBvzesHe/exLjByZR6eZUGPYEGD+A5sbc+T1UmwlUHwSoP2 9l3xpFiidObfoJTZXLfmeao/P0Bh1t8QuotTYVd0NKbrpPfqP5dERoQiek/ql/4GbxAuwbDdZKHV 1VpCbmTdQDuS87MMqveY+Qldt1cLQwqUrMaCC9+Cbs7lPGtCTRCh+a2xLr3wkCgrDvXuZuGZKqgZ GGlOfTqd0eXTLxpw5RPlptfQjnpms1ST+CuIJlKageEoPg5BPK+ys7vLX2mwF8HhO9B9m/PYSaEn dymQGpZCU5zexcrqO88DIc/94RSCPRj8ahiazSiujhQ27zRLjdB42czXSxOyPgo12BwjtyBA7dLn 10e3LSyoN1ZzUxdaV1F0qvL7SOdkaAS4rUctauQGqE+8M3ZKFQ6Pdmf5gYOc+8fM+A4LJ2nPBb3M EVsDWSQW+gju9NvVNM9XJd2n2f3NO7BhiVTgn4J3NWIzkP1uFIWTrOfZf0J2PgNJXliCOr7SQDKI BQLbSSLNq+KBPQZLF7JISmO40us+6cONFxTFWLULqCxhng9oDsCciCzj2ElpG6J5tYBKSSlfseuK 2X0HB7Z+fY4KL7hMauAwSoRMTBFwq3B8A+qC0iUufYBBP9KWHLmO0loTtRay4mAw//muy/hb0Tq4 WBbxyOmF0DpcYFuCh5+ItPyfjzT3BzdryO18aOnaB/C7ZU2YXoLYHOscDmBEl6p5cT8Qh/mCoCvq y+i5eIoAnhfyW3hrKTw8IqXZLKmgPfKa2ZjhWQKC1J+62lb/FL9C/4VH1Rv0mpq/ofcQFKjO/vXe Ku7vUypy+nnp9fojKpZlq24556FnlEL6Q6ubNX833RU/8mAh3ejKWMcfbBG84ZXW/AgdpkQER5Hm BB8yInzznczETgBz/UhCw42Q8EEttDQbI4ao1QE5dBXn8YVHVHFQw5aWKu4uWcq89mID6X+bGSjM 3Ml9m2vjicPRgfp2zTB4HnCe8h+S/LZtkAJv5mAuBl6ocWGjZeS2ThZOzxzDh5DIixDUu1SG0YhY xByR5sgkpUe18XiBZBAzZv5VSEPMnumG402XdQr5EkFbQCnUZ5G0c1aTxWyb21FhP6M0mCNKw7rw OgOSKdNXdNzcPQqoBRYs+oAuwqhcRuqhb4XHPIAiFdxbkWMo9KbkWCziNFeROlU6rBkZJnW5u8qc r45hQs9J8kTMdyLq5+j3GPqmuqaRz+D7zGU1OR0LTnF1ir5yDSSo9spYFdZnbCvyk20py7gZmxLD Md+oEG6lujcvKGj8owlJEW5Eye0ZrzdOr+oP8fD4q9xEcQ7TUuNTcPj87ksSdkFrh00ZcNLajFvK 2x+RzEKkkzQ3ZkLvxNrAR0/HnK+zKvY1/Le7h1qq5Gdb/nc/lVlxoPzGqfMPS5eoa9W4YzXBYZlL gg02IAU8v8xapK8rrdcsdioNoW57k3bFd3CF7jyOtOhnAAg8NCZerBs2zdZhWR9OP+S9g38I9Bce S6xpuNsjrz3r0wAWsyWpFMl8bGSDnmCWdPZ7DFBvvUvrflJEfNLqXU69rj3J0AeKDCwBXTIURrO5 PZv+Ue4X5D0uZKO3nDL8RBYlXOIITNTseFGDc2sdvKNASwt0MNa2qFCGxtuMQWYWHdD48G8M4I2e g6OkljpP2wEMPsdqlsknCTtKc4R38GDAUTgrIySzW0YgVbYqKuH34G9eUW4f/ePNVApK6yJHYXxW cj0m6kshEkLn5kw/VwDL+l1sAfgiKlKneO3fUpJr3QKHWeiq99CsY/sQvQfkVzYNyX5sPV0RG4W/ dqMbLGUmUc7enN81CshqDfuyMBFowrKioXntVagtgj9Udx4V3jCfoT72YKZIe3K1J26OpKks38yR 8nZR03eCXeb2GW64IW0WMjYsoripM1FzJEAvVWIQ7amMsMt3rH6MB7fkgiF9W0bwdy7I7PUhUShQ sPPMHcXTLg5DAcwacBFAOLak5u/kAjT3jSu/BiDdjL8uAJqRl9bfkDZj8muxpkrrGUBcBlmjgKAv snzqNgPMBJoIxVAzQEqT7WgOrpPoWSAfr70XqcVECktJkagOFvk/r/O+du4Tx40QNVFCxgvlOOxI txzEjGGmMCp9lCJ60GTOZijDjYTU5CKWB2310e68WwCvABjw4pkj8KbVOVbWLUMDgwAjx4U88xe7 UGArOvYlt1O0HEzC45XPTNaiXnLaiZnHK84w/xv+XIcBLKRJIcdOK2xuf/7UeXQSzC+JzifEPzUg Aqp2aY0qdGybBuAAo6UeiC1XGGDruVqFnPYy+hZ07BG1yr4Imc2vFm2T0uDwNmPVmT03lBBZor7m mPpeCgaSKnfP+laJCsMUKP9vvd3nEdNXuFvDuRdWjRFjn2Z8fTjfKWf/rlbIM4Xz7iJqkna7SBw5 BvgpvIoCSXTpiugSI/NsjXT3r6M5ixnt6Fcw/OPG9DxeoCSa9dypbHaSmA9Wgp4keiIzqvttZjpZ 5dolx3oTGEm0tf+l8JvcTeJ5WJ8XiAgm1+B+3fLXtWQ1rfwrQe/8Un251ci4trWWlUyfkbxcTu82 IuPy1+dAAi2RspP8UzDx5/HPW72EvaH80sdrYOn9wBFFpwKFwWqVklOE+jpjoXC7GoW4p4iQnbLg h+FZJDL5WPByPTvkz0uMvcZ2vBHgxdOAkLIqjfF0u2Qnr+QLexQbcZUqNVxezEr/t65Yr2h68hvC 5Vwchukb2YyhVPlotHWLzKIoFWBPvn+jVSDSIXCCz72PhgWpHewPV3+ob9Q/VoplUYTynt/o3N4g Knbyu6MFqKC/D3UrErEjspuYClKp6ehDHpwl3g2ptmnMggTpa2nYSV+JXw+DfbJRgjExm86cEK77 HlVB5zCiCQ8hqMycxvjnyWIMYJWijhbZ0Rxkzk6rbQ0Dtb8aLCQowmXsBQXWU+E7Mm7gBnIVqF+C //gtbwFDiR7Xdn0qvrU1g79dUBqy+pVL7BLzc1LqreZhLQkQeW/cZiyhsrIljkX85yYvuKiN636K ARuFOyAC0Jfa/j1bUeCUAg65eQG7k4ToyBecQQ+Q7RC/sdkea+cCvwEEAKtsavYlvWHllSdTw7tl G/xPY1EK5cRgGEkz4W8UNljcJCOyqDEiaTXRUia9R549E5QCURapumqi4Qq4xqVYXmtOhMHUzM/s Et4abu5MKRzU8FvK18OJQ7jrq3dsx1uiInDR4bLKpXO8mWax46X5OKMmc5VE1uIT+d1nvBmWixzA 9VBzjAMdV4vhh6BjTA4k89A9zEDqGJRm8F3L1hpp5Ufc0m8cKoH9AzbQJeObQF6NjcMQVQjGn4I+ aTY4RuLnq6cePvt+dKCjK/TbfzgcqZRa88OjYIam6aIq7vYxN8xmjzehuNjP46rH0jxNDREsjJng P8t52Q/5re5mgzw2WIvpksAM6h4Suv9t/qRHnmAT0qCOYJhlOLHJnxU2Qr85SKcRhqQ8H4hBE3XY SgFeWso2/DOhhZ1oTlk6lHKpB/LVoX25Y0E01U8dFMf6tm6yRx6ji+cdWnkI4CPDUR0j/bQWjaRq sgfbv8CXPiWQpfUF0a/Ih8LY0PCSIRnZfIyeW/QbdhINoh44OGdMKKQldJsgHC81M2+DwQzawThb nRi0H0d1vvS1VHsvXvtWg5n6OeylEzjWdEvnUWjYV/lkkBl7SBir9hv2i2L8rrsCMcPsdEGS7lka TD7HYIsgubyFkJ3LdS5b1j0Oq92zYML9S80MXZgl8ILh2S6LXHeORczdPuE6D5BkFwKzEdAxfnXB RUIn+ycJg8BXuop4zcrBnJscvrQ1Lt/EUJZa9YCSFUbfmsogpW9+I9HzzN+GR2OzAr0TPYLc8MaA PA7O8CotlUTyfd6ooGONDZMcuvCSHNTu5DOegFdU7/EYOxlzfwKMKw1VkA4APBX/RPgqIbN6LUiV cV7Rv6NQL2j9lUZzy6z6igE7cACWe970h21IQBHE+pyZXqRA+UyPfbsNYWiZqvOCJD0jTuewZZ// ZGpqo3EN2W3sRs8JxT1/V2o77GU740DIyc4U591izEme5DkcJ2eWG8oJyGJLleSf2YoDYqQYjdP3 MDPQJoxzFaX8mYnlHySgEN4LwK7kxoPOLEWkyO5SYYWLH/UV2HJIWwh1+kki5tuxy9K8y1IoSj9G L0ImiD7J1mJ8BW9toaYXLlgikvbG0lcOAV1lAWl8DKmtPEjFWOnlpQDK8TgS6Hgr/76zRT1/i26U 4VlaoEGnrT7pS614B/9rU/ZdJlZPdxEO3bWR7vgegzCw9IBuVhBeTLI6+CZgmgn7bjbJGJwPlt42 p6Vfrt8F24xasHy8F82B543i4Q1DQsgs0zem0WvOeobpBI6JlgKrk+mBTnK6RDYdAgCKE/43NPqD DqO4dQEh6ypC+/JV4pPNYN4BQdMvlydw7ZPNV7vwJ9/BK6XFxEqBzx+1fizxnEJNRrlZQ2l6QTqQ /w0KEKWgyMv0eItZ8gMgRXP7JP+dqWbLxwDg0WKlXrfDMF6OcHLUHwvC0wKQ+d1e7Q6iVIG7b6Xs xz3uzbtkUZt2uJ/uyJGjSBxgEoK9BvOAWH1M+8tafsNDnujU1wyqfW3zxcQouLQvI8Crd4UZX7q6 HkvDQ5+R0mZ9BC/T6Wkzh6IbpzIbfpLQeGFJHaTPXpzoepK4RBpUJ/hR1ONzswasqq/JZxbHfvZx yf1jD0XOk1Vu8QaX3RYEYUVhJnDS6U4+4kR94oKRZ3mshcsBRMeXYBpNJ6i2Vkm6PHUNFewI497p xt985bXeDpzWQGE5nxngDMlxuxystDUznS9+UnSFB2YswgsuG6AaJ85EsLD/xRhyBlk8s77yx5FA My70seVnHBEpOZT+D4A3k9tNTIAZOs9bkJtYlTXEOYQkYoxl38ODSl7goca6E+3CWrkgJc0mwsmb Gj4G/dqtP1cFrlpoxu92fkU7ofmAwAL/jcuFUUl8vjZm38/uRIXUY4S9QNCFwmpjLdY0QfIaD8x1 /LYxHlzrH4s2n7wtvOc9mOiWxmuO/by1gMQDw0eUHxeg7cDXdYwVfy/7mL8YhNEsbbFwaWMOe+nD y+5VYbUytDKAgISLemSemH1+dxQyIYMXK8eJgLhLj42Lmtx/X9OLsO/8QWepoAVpYem2kMn72kqf ekrFQq39zP452udhnHLfT1weDj0TpzbHLUxL5Bq7r6HAqvHcXr3Q/QWx1HClohHA8kdo+F/D8Oiw SleHGJU/gOfr7iQma0FCmMEvrgMijmSgdVhligCoPTvgyY983mOjc5cbgp/HGg2t9J5gwpcVhhPT SponR3bpUXcruZFNP8EMPalLvdyicIfRvap0poCgcErEgIe25z5fz/cI3cKwkmTWE1Lpg59iaSyP oy7wq5tqcx8zZJ96ncZLLf8auIN66h+dfxl5JYbddiHS22zCrA9vsCRJN61dOsx7T/AIAEAHQCGO K3oWzRaRPdtQrcVrlAJqHCKh1RZoVm4GkqX3SBrwP0a4+cfM0hCEgaYGALu9JzdVIdVAVzPu07yU iIcSQyFrEKdKZgxUNEqPnMKFBINPJg9DkIHR8oJZk7FxhAETyPth9GJhFA/ya6KoGw0C2tIkvt6W dAJPX5CnQevaW5RxgdHjQee2KXdZztMiuKk/D8x9HL7Q27vkF+PVV6/CCDEBq0duVwhrt+Z6niQh NuJGruIemH1K3c4RNF5Cqu03h4aeqiWvhvZjpup7+o8rC4m/8JK7DfeSld4TJq/LMTrKiWbnSES1 rp/9+2nHdiDwDFF+poygT1sVUABFyro6MjaqAW1yKHEL3UbcCa7OHz8AeZoUfYxWUe/hXX+9CFYf 1W3ngefEX5afrW+wT3gl8ln0mbEbHFkIH3aOkEfNwZf3JM807rZO3Od2t3j+bRJdJ2rFeGiPhSot /1rypr8JM3Y/+vJx/7nRda67uQLQmr3Dol/A4kHPSqP7ntAGYB78zWX7GYK+1AkFx9xV7ErMAxGf KhmG0Jr1BGHcZ2T+DkRZD7Rs16wMaDP+N0Ml/dOxi5RwTmXzDTnZaBoHlejuf6oIcc5SL5mD079V 82p6dN+0xNewoS8E/j6M3w2j4TG3Nfz9IJ8pVdp9gEyGyKmcB/22En/MZHzSGr2SNgHEYYR2AYLs aDJ+UVgcDM+Ue+aQFSELW88Dm8u41GLcw0dePpFqBevNQhEF9nOFoTIk9OZjN8St2VSTqrlKeIeK qfwC4kzfT0WPwR9UqV0s3jsAMVApO4D3gBw2LaMuBhgzpHkPBWvf4aoVbkT5e4pnifcrb371qmt2 mKH7PQcOfAMKfvTc7kfcKCqSLnSXg99+VQ+Ei7HaoUmaBI3jfTq7tU43NrujnW0BJIyEKUFsctB3 0Uy4xaw57XmkXRJY65qLIBKPyIdFlKIREYrrrkEsZnynhaD8z8Ng/o44LR4ztsAsQ94Wr3b5UiK7 l87/5GsHIbuhQV8z2MBJLyRJACK3aH1acwECOUZey8XeC4pHgXNkRyDrHqKVExY2sKZLn9hNBwR4 WSA7LWXoo3VcgLQwK3KckqOto/o89hQmv0MCzZ/tl2ZGtWi9hGVrY7qcRCuBGiXhQbQ/8mRvuGIg YSSck/bUFx9yYI9FLsaqyjA9ALYKIWeKqcaOFmqLbGmiQ++q9+jtKwm3Ny+laP4j+pDT+UmTcych OKVi1/93s5DNN1yuPDecyzrysJdLCCAW/V0VfCxfFq4JWfV9t7H9zKXMjlmG9ZNa5RrbHsBlHqq1 l68+5YQp6lP9Qkippe81MW46KII770Img54zqQzcTsjuZwIZgyY2b5AOtSrNnOvVo5jFgFjnklDc 4oOQPBPuqcaM4BQlb4kBBsZ3H7LVYDrrJeRVCcvpbp3F5beysvGiadRcZb2My7hWBB/cWb6XetbX Ws74TbO++1Xz9EcqNkWJlLvRYb7jEg2tNtNxrcRwZvjiws2D85u1AkKK8JphBHyUEIAz2BMTHDY5 66JEKHAP0+Y1gNFDKKEESjC9fuuZS6udwHFehbjGOnW6tSppuCyZP2ZcNbGpD/BXDF772moXEuEJ n9I/5yjAMSJBPVBwbgDV02iwRqYubh38oSrB9MP/DXshnVJ4BiIwsh4mIG101+ayYqvtHNHhMOO4 FT3N8vGs6o4gdB0HYf2JVy47lbtb1T+JOIAtwzsf8MfWwXlNqhJ5hovCDZz9wxB4Ca7lqD8SF1l1 Lcr/KM202y4ox+bA3WF+Sz1nGDcHJqaKrz4hGN8WBgvORMwmgzG4ho6EqaJGF9eOtfMWUSGqNkln Y9xDSvpYA/K5h1RAIJS31kynZ9xUESAZ0sOYwKIsQl2k4osY4215lepejKvwMsrmyLcl+WhtxwRs pu/LfKKnR4n41MmyhevcDO9qgA/EtYZn9VXwhJUSK/vmWUJMEzhQL0Dd+KNAzzZdGT9GRAr9DExZ 1vQo88aP40Uco0zSYcTLKhiIt2iTCbU0uCOq35tbzjvGiTaCpCS//GsN4mJrey2JJAgIN1v6QgHi C8feGHB4L8yblJpghv5NLanJD+KaH8IinKoXr8q4PjuaxpHqwHDCPq9V1km91JYkfiMectT/GgVr ncOUMeN11c+V06yKcrPL4tTG4ffGBF7K7otO2mqZ5wOIFx/YuXs9C8mHSc902lA7EvM+S3XBX8xa 2IOOZV81VbjdsKX2jM1uh6NZyieOgJMuNq/PywGI+XEP0V5iXpy0+xQ/jmsHDDrVxOSg7L9SUhIM 3fnZMDSpZ+dg81fZIYekgz8azwxNRV1a+U3pfWxq1/EeGAAg/J/VXpmlnu0m81szOLPqkGL1Iu5G 0EcR9X6yjBvyLQMRXVQwG0bJ1fNVO4NVDZZC+lIbfiL7Pjln0aX/a2pGdpmrLHSWphK4SOPd4J0i g+85ICIKbk4yXmleTbUNX5COUXoKlAw+e/jFOC18KZEKHQS3ktZkKXVwx68jMJgJGeEAJGKQAqHy 5cFC3rUEMyF0fwnTA4SBg87seJf1/aj1nPgMZahsYFMKHh/3xxGHD5nzquscJi6sUuo/oNw1sQnt rEYyuAE4/sBLl7FtcXOghatS5YI3TjrtRmO4PlVxt4Ufpw8LrnwAjYH65ChQb5uewC69ug8fasY/ 1PYAKFepT+on4kj6VcsJAjjp9nFv66D46GzIBCxWYeGChRiskTirbyA8tCt9rY/X0JyhXZW9198R 3BUU11FqpLKkGYjJ3BNIuOjblR04WZ7kgwmwYqyeAzIRon4WlMPazsP0C4lBvmPYc4R3Y1XdpEaw wCQzGoZFYANPPa0C/g5lFskCzHoh8qX2sTvdBpe2zagnQZ8BB83gcOtrLuy+aEZUKjL90snu2SJe FANI4AP2wMlsNibQwbjI0lzcz2h+zemQoRBybz923Rj0fW717JHER/9PdbMgpy6wKJqHQeQRALdw dVvAZ1BMT9k7SImSXfRkxEiMGNCEILm+cgjPfgY6DO1WX50IFB9rnu6fVhCmFRaQ8JGdk+sipCHY LIav/U+lceMwpLm0d8om3C738fKSV5YJ19fgPBO0+XluL3zQzR8aHQRcHC/1pEgjiO/2++HLbUfd 1kpweyj6QDQdbQTt9eQkrknCm5IN5Uyy/X308NRjcbOmR+YOKyGxPFQ7jF7mghzw2r6YDRYfZGBR CbLstDBiveWjEWlUxTfSgXSaNKXw2GR5lfM57qEi4fv1POSyCXRa8Eo15uDVMN2dEWrNsJSEkxdY 7+iAWR9mYNK/afVfrCHXJ9Qua2W+Jkf5pix4NWjSUgrOfllJ1W/HqZ6C8NPbmp7N7E1nsqxufkQJ DcrjiSFh2KGbb6I1tvM83ZOR34XVvwEc/2+ssxugQCCwvwClF4vSdF3vb0uYhY5K7ozaYJjeBY7Q A7O7MYKnO3i1c32Y4mXlAiEwij65qqUliqw+w765w4NdN/yLG+JzWtFhYNCkDDFPNKF3JMxk+Q9F 96o/cle23V70cwx3oqOhCOoCitaKMAYEgP4KdWmMVEg1vgc/RemW2duPVNIBqKOtYsxzMP0TAtCR il1J9cuFwTlLot4gDrj7sW5Kz2t6MBDOGq2jXVdy66RQEZyXEimXbD0H6DzyO7hQh26aeTG1JeXz 0HAHTLQEMcvWAtEVWuOzOKD/6CN58yi/gerfNkMB67FyuSC8dsB81J6BAqaqPWj9VYY9M4DwOCJY OnsgL98UpD33n8fyFpPd3YVF8wHhSEhJlPKKEUmtft+L6LnnveA7jaoZIkiGQZuHctWsxvGhEwPz /q6TlXex+/jLQpraBQc7rln1aTbE+2yNzDii6O1dacWsTSUzn60msLK9hTzWA/XgysQEmrdDpvGc z64q+93pEQeBZ+PrCSKLmZPVdMdOOJ9hDnC/wT/EB+Qldb9hf3yKngSVY14X757CIk4rwMJHeUXD 2NXArVP7RdV38K1BqmS9JAT9nWCv12RZ2r2coKyM1qURvxVVYEwtUKsrTPsJUVFxcuT33vgZQnCR UGIsWFc652AUa28Wvdq9FxIzRxibngWIF1VIVnz1xCpREnmrvE67CVUrSEHgnuG8KEJmSIH5Gw+A 1lUPiDhxATDnqtdU5eqy3qTtICFfHzn3cMutM9yjY6yknFImM9WotdPeZp3NxfY1M21/bW/uEz9T Nis6TZaJDkB1XxqJihS+DKfGhT2F4GXkjxfVtr6bGI3LNmCH5SiWeKcPCffsowTqKWvuhcW8xLKB V9d8FN7FBcgQd3Y853QP4BBLqoIJeVAVdwNWQ2MJ7Jd9iXz4nYVq6WnFEnmI1a00qFniHfSeo2Pi r7r764m8FiVQFbGd19i5375PB627N/Sal25lvixkm1vpUz956U+GyzdsUJc2ihm6FJ1PcC+d0pgU z3qcolgeKNTKODKcx7mR3k8XZQJhH1uSSC3zY5JmOkigN8eZKK/XtfiCpgeafL3GpI1rVHR17cFn 3t4ZrQ1VkM7tCULx1lv6WoQR4ek5EgByTJYWE2/y+8rCVGM8emPZudyNkkAd7waXT62oPiJR0sKB ec1G7WSopJz9F4fGSS8Ab3c9Foc7GfQL0k8o3jtX1KuvN6U/VofpHKDD/h1+xF0UoVe34yGQDR8i z7BmS5OpLU0hGdNxIBls49/Q9xCmtbt3WjN6ukVjs3kJfj+vaQ5wJThYkuQKRTGm2bjRp346Uqsr ZbJf2x30XAsuggNA/syAnA/N340mA29ls+VZ2SvlBjx/b40Ul3gZbvrO6R/EMOwv0LsWfwpJTu+Z n0rkZlDa1RcMVajKbiPaoW/AQasPkYAJZxgjQ2MwOx75EDOfUNxd0vPF2hjOt8jN4Mre+/5jdDpV frxRHshU9608RZxfi29wL65fK05Co2Q2GZpjkgn3Hpbpx8McNTh2pHrpdhqW3J9T2X3w6e+pD3PV CNDMdIbpFfDOzdZKKskPNy39Z3ylil0Ahe/FB8ZRMF7K8PoEJropHhS1TN3cY+vV7T8cfn1YA3Jw lImBQwGdYHlnhYVjHmokTeCsoCinzaRtq4XkpVT0fr2hCC4XThUX/LcIE8DhnlRySeoAuC8U3860 GuJh3fHOMsuWA8zuKh4tLykkxEtIo8OyVlY99sgLnjWWTTPRz3J8es2xBcJ/zyICIoDHk8MkyAPn mLvwM9hW/XMcGLJPGRB7DBrgFt8PNny3/gKYDq22C+03svxUwDo79Y4uV/E8uSq4KZPEUUFVSZK7 6qjAxvnAaCfUcmtxYEeJMOU27UOpmGGejHDiZYLvJXUlSZWIDQ9xXaREiOx+fxk7SXeiBUi0+FEO Ln+ocgdQNNABe6Lo4OndXN+HsjgL94GYuc+Dcc5egBorS6AJUfwprT89hNiuKoOspgW7wD/cUE0N gXqvElAR4wsmR0tG2nNxdnvq6mzL7lAoQwhy3CnfPbNfuVEzuGAuH7A+d+xIWHozyhLuUZrE/ymq DHD8WtOrGn54qkn/oyQ8YrCyajGuy7MT9VV2x6BZArxAeeTpQgkwN+FiTTB1vAHJFuZr7b3uh43e KPSGz9zdaRgdDD7y45GkL5YHPh1i4wjLEac4J6TeXpyube5tf5AseDNpt+e0Q7LyyYGj6GvMWm5y hX03DzTVYmD48FG+1WxF9RjlSfBqoNRPLkMrgJugBXaDaqzTdEM0RmhRX+n35WCHlXe2wA0ixcGd XhpepZSFyDevgvruq+uQPaOx7lDbAvdC9/ztGw6647ke4ICcdhE5NrK+DswQ4CD07eKTLnb0YVEa sl1PA/qbN+Cs5frRpoKw9QeHmBTC04D+h/5WOPvywHSDaRElQYWOGiQtv9ZxEJfoLxNtiVM11G8T kS9VgEzxzMWgVUOuWNaDuprzoxavFHdVxt/ICp/LWWGt2fqEF0aNvsPmeRkMCVVH3qNizVx6knqn B5bdgHLOxTdCTOhninHtrPtxJ70HqFu2CtYoTu+fKdgIpnOkG5S3rSLP/qEyyNd9Uc2KTDQrK/Ux hfO3K0pfY/+/i3Y9cAp53N3FEuv6mTR9umHXvoetueq0eOqJbnShrw3oMxCgmHi53HAfrGxUQvs5 lWsuAiNV97DavpYN/UL+k20JjetMUI/mGJUtmNgROGbfAO3iig0bzxOEle0M6MsQXjQdmC1g0wm9 02wwZWShcM8MQJOu6oup0rv4UtFH9I/tnXSC4uq9AJ84/aJNYe6AG/NtJaftC+Qq8R/GZ69bjeUC IIVCqbNfqwtRB5WBve5EpNTHWlEie6syCfoV9KiyGRxRGqNrP3eHtXyprVWJoXJqWQ2cJEPCkjuX 1EBwd1Vn7Pmm9079rRy9PvsPcRInKjVHIuzL10hEgEUsqCXwqUmLlfbMzio86AsovongYsNDJXHf V8mVhuVQpGXP6wU7tQ0SYOwwVNmOBPf5+b/td/vZybaPoNlvFwfHsozjBxLmSUUN2n6+eX3meStw q6SFKKPjpmGczY/TAzNyMAAKwzfTqRYI+VdRJQdmWFqcjIQkcDPlnhVH2QW/+OzjwGOntlIMdxry sSNPqAATnYWVNbDvwOYnIrlVdcY/9W+l9JayqGYVeJsfake+O8AgpBajZHhhFe1EPPKCQFV3tDaF +9lmPmSdiSQ0ZllDt7g8/xxROy5sOsklGFih9vQKEbNJnRubbzooBl0JEMU03S1fhh/E5HvA6jE5 3WYjS0nYlimJfnpqrVwPY98Wkl5g6tkMujGXpAPDMfN0d6SLc+WbYkDZIql3JvnRoESE8lO8hyFc 2Zx7HZplskZoGNeJklHbMGkMZ7ojGhW6cY/0xdbJs+AMnPUbiI4xZk3p3xpzGjHMy64U7W7FIzwM 7gUkWc3qVVsq6jkBTHTBE9rFyXpbREXHfcWmrJs4W3ZtJHMLOMaW3psqa0hdCain0uAhBF3tAI4X PCqgVboNgL2XV662ZUQIRAKLaXKSeCLx9YrywXwFMkQphVN7s4j8gaGMK/dP04/sZcGxaXSbq/6x PLPectum6Kc9547psTr1I52bLkAV0MW/lKa8aMD4Kb8dAa+0USJWUJu3pdvwTff7jFgtxDYdRR2g 8cO7Wu3g6KoSkE43zxqqkSLEyo9e0zkWw51izOBfdnSXhvY02284bPIOIkMqfXyzheS6cecuULvF ZtE229kYYwMGJ3tKnxaZq5pPFYK4YQtpL6ltxIzAaxBa/N0uSMx3nH/3kJ1U/AssEpUw5YPA4/Kk g4gHl+jLECkj3Xh+IHcT3lFjEVh8xvQPc0evmQmbma8eln5tACnqLYnMmVB2hxs/0DXSzhNoz4jw HcqnEOzW2Xtmce/DHm4TcFAQOt/l1g9KX5tuPzP0kCBWlTVK7cKXxiORs9hDcKvPiis3G16WBIpX 3QZAOVawXkb+vftLztKFVO4zx7ryosQozy+FYjrQVTlwixlEDDVDVOlJe/sm13wCOqWjBaGCvH5b CIGemDnb2cpfN4P4N7X7r2+BIhdbej9glcKHUj5p51NvTUyA/ARYBUzmp3wugXSL+ZNv8aBn2Kp8 //eZnnFgWon8+kV9wOnQSCmEoxM1lpKC8WGNxHWL0cRsAJ01K82+5IeWj08I3mJiXxmJlU6HKt05 UM+2sJCaCT8pl7m9vKEjIKz6UA9K4FSM1l4DH90/BXvRTrCoscrLrT3Ic0bTdYei3m7APkzLM/+j ChLk/uAqnCeQzGINL4FvnM9A/MHWUfB3VvR6Tt3AnfBjlHmr3uDZOPVQwfRgjCM9/Mbh3dapB37g YJq2LOFo/XEEoLYn6Lh5QPPPE/Pv/VT7e7kPJ2fkUWeDilNUpcjIF3GahhE0ypJWxOlD1gagysea B0wG/DaPF38Y2NNQRAS2tDp/bcmcbhCFUT8TMXBUhNCmNmE+7urTM2w7ATQjXDG6ibryiLzTLAXW C/Iywp0L8zkdRYYelotIbQUsfhQi7L9OKXxTiCTZHPhwapgxREv7DU7JzR7gW9eMakxev/QDFS9L C757NnyvWZ3CdR9Nc3nJg2NFWi0nUw02TqwhnfvjQlWYEbOCp53LX/s36gswlc/OGgSXDvwRB5KD BNI6k2Xkvp4cqHVVYi+Y2/5GC4Mk0o5vlXWyBWCILBdqanKc48e0+nPHOGZjxaMydqQnNEu7VE6Q bWCqeAW7wjdum3j1D07pn7yrXcDJZ7+NtDSFmTyT4rJVfEbjj6EFw/roaEfVpVI3vJ1SHSgDe6CD +2pyVAHlBt/aC0jpvsU+A8V5BKqkdLJXo+B0ytg3LYDFRJa0nCfgwDy7gr4uZ50prnglF5tl3ph9 qhxkZTGvc9IkJrlfab5ZDzcksoRfpEthYEUd968lRo0vdvJpE1tRIoucUCneCpQKVfD5T1iClJOu oHDu02nNTSt+vr9pG3EZRhbfuGB+UQoUH/B+Hwfzl+4+I0j08RnpHJIdWe+2rfIOIvrXnp5SaFbN KFuLyWyQAEgbklug2nrHxtHRUBZBgr8hl/ZfevrhLg1YlPoCPALiAuShlxH9bLoLSohI9oGUe4DD KoT4sK6GjcIowNFTWS/B34lqYhoBJzpTwMOC+e0PyjOIaJBRw9rlkZ4vmJQZ9CUftJHBRCD6T+Bg 8UPcLTQDZRWimlBTX7pOtXJgyDn2ipFKwf2xh0BjOxFgqv/Y4Yflkbs3VN6fzPnWCf9GRKqWI0Yo U+sta0sveYIY+3KUlCZaN7vCkdWrzB6e9Dv45xGwmHUV9KRQ8wgtNqpugX4Z54m8MJCxd0ROEsAq hnjv8wePz4xVtJIhveVXmKUeCKq7pfIGunbAo9p42/W9y9ckJwyfr6OjZs7gyfkhra8YUp8/NU+m K7PQtmNfR38PANV9HvTWXXVie/ElTVMJX6/fg0JE6ls0BWwM0OqEwuhxIMDXYNb7gE31RJ/Q4daq 2Whhm3/Z5NsnuXOXOlxmlVeIhAv0goXD/UKgDBrsIP7/zPdBOqxUkDEp9Oj/PqEk2sACMYqgsdYW CXZxs34xJbKVTkQZdym7raMeJRnJLo/JuETBlBJ5qqyGeWBwZW5e6pzepXVjlJJvCWNnQCoLssBm pMjB+bGJ8zpb7D4tQlYOO6C1OgX779ORrGT1RRWcuq/eEIAl3LRqU9Bt46NaVztf5yYf6rRlNZEN NeGIrxL9qN5UmS+zlOd5PIdXeVa4z0xjklAqgJtom+qD2Z4/UNGaYgKgoq0TyRqSOdnqRmoyft8I Ax+FPDBGUeY1NH4+oHOjit7yozQXP6n+T2rq+da+cGtQja2XTkDTfaaYpotBRenaHHRHc/gXq81E E8qPAP9iZc2SrGJH/mxO7m79cpU5rohv2fq01ccP4B4v/iuoT68bULrSbyB8gKG0nDIFkkBcjDjF 1GnX33O1Ya/d2QDnfzR7aOqtgX0CQEvLd5XWvZI+UHV13TvVuWfA+5KBhKXHeoCAQ4y/IiMEj2j5 JQ27JXOK3UjppBOiBmrI43cYzXAwgaqTZcf2fCNiFKb6gytDaIIOOemgglQUOyTY1jQiswdijI2W KyFOMRCngJUr2ZrzXw23gHsXiR5MSmGjB+Wx54YY56rYp7AOh1auncDabEw/SuCiTigTEg2OpiAV 6fVT4F15EDaPzCVVORbaJRvaxhPeoINhQwSw0ZR2rfa0GppuRIY9OykmE1U1HZZP3zl0cN3THj0E VaxDZIZkWp1vm2ZdiYLjq8/PXKQfOCoz6c5Vl1vZ9Z6UpZex8jxI+6TuSs7n5bt61L5jmlw5HQIF URn6jQr46+Z/lhQIgDQ6QWHZX4oF2fF3ddyt68TWvfNO8L7lqOaQjtDlCFLdtgwzhcG2hJ1wBL92 wo/m1UAJkGb2/hPAVht4UKoV5nz+Ncw5p3F4gcyxA0//zzARM0oAMsNvZeVCH9c08EeE8+BdIxTw itTwIaboDMlvpuqKRRRVqhaLUv3cVnzG8v71xj3dZriwfCpmx4IdO5CZYH7GIb94P0JFoR3K8fKB qbxeu4IhEje6EuUMq7ds8gqCFtVd4i5bvhB0/ncVaALcjwlYbHs4iVoGOCgqcm7jS/+7q4F1iAxY UPCTn2BhP1svZhqPpJIRLHTg2XhpRqZXN5KAZRUeYz2po6puQ9J9WbpZBLQHVUy6y1n/RIdXNg6r cbDPJ2B1727mcXcg9Dto9e3emj0SFBWnBjV6OurLsp3g1trfgc9iGWIzAX6JE+orAKNUW1acKCdD M4AFkjM1FkPImUHQ7ej+uGjoMONYtjXdR7CknXn1F+2RzNlGvpyXkXlJwrjRv6iJYl0M5orNa69+ ceKtX8r9/RpnaILhRwEq3WUmRjMSB/javcCegXqd+FTYt40uJgvWimAg8eSrafM2dnjiEHUR06dl 6ZQsnVnCUh+vCItpTmDZXsNNCOibQ6mdYTDGKEhs5BMuQbAL59aBwictUIh9LRD91eL9l56iAFa3 wf/YE1eMcajDBE8Tg73nvw04liyxupZdSkLl2bDdw75t9sWYDX2ixEj2N460uMGqRghmqQqurayL CE1oqgoCk9bkORaA6+PlRKEV0ZRQtOqLevs7+eM1IsgGOctA0MZ+Q5J90LeE77rHR3+i1X0ifRg3 FfIWkuEYBkuzqQvyvjeHxDJbsekLAyr9e4v0zALlerjxxMwQ8rQ4G77G/65xuFfbO9fh7NkI0wnc Y1BCo+sNT4xtAWIU+7z/4K8lXgDQw7uL1JcmJF8Kss+GbMyUDcWX5vTfbsnvXE080oAzsCe5wolB 0bcbtQrqnr5amiGUo4EObsD5TD1nbITvbF5Jg6QsgVEXW12qUooL5gHouOWr6xAEyHED+iQO7wG1 3/BfVOQTQtOu1uy4ZEdhxK30ZTYZtMeBWslGYkR19VW+FaUVticdhucrdgXTCsyH59LwvGxj5AWq VO0nlFrOu7y+M2BbXcjJrdwajSDFh2Gd7xnrNNKsBtRoCNu/uRqep10SBgfpEFUKvHiIZZfD8VuM SkGry6mn8+6q+ISfibLfhKO5+kbkFDd0PZM7kiU0czGn9192BEw0f8VGQ9dKxUDtdqICeMFAZ/ql +lIuns5gPs8XZ8G3QC+QUIJAOpW4xhFRZqEM7AWhMD6qGA912zQ43zhIb88o9xcWw8m7EJz1AgZq nk1EbF+PrxDwkA8abzda7xz3Hm9a5Cmo+ge7jlk076S5xc7xhWnupFRqQ9LT0LE/2Mmt9/MQXqvV sSJpOeVmF/BmON6eeWuQ12SyjgDp6JSkZOCAFwyZ9Kd64G74jko1aVFt9cJN2aIPvqokEzFy9NuM uV4ILJFDSR3VZx3IKOLb3PP7TytvTTr5LaQMn/Wv3EvKncFdkzzhzibWMPoE/TRdyagb3my2axW2 /XNeEToJP8YNpPYDawkzMc2YXG4wE+qF9DeYM4m1vim8TO+6AgQaKOq+KB6VGO9oPYqzo4WkxViJ dgmYyb35vbyxa399EUHYJrf8YaOpNOujEsVJjVM0YfOmw7wNhYyU/k8wzBNsBlSahdtug/GxUp3Z RufaPSaHP0kjCmr1vHAKHZ0TMPxf82rZywqmGf1r33dQcO4hEkSGbwm9k2ku8oygk49j6KqzPlLu I3HFedIBq+eLOQZe0TT92knmBbUvG+n66fY6UNOgshRkXqEJc6Npms+XvI3PRCXC3xPmQAkTy12z 6CVk5K7+BNsbv9sG/Beur3Dc3kxjarrgvG5kZSL/qSGQ8MLXjMphbmt7MXnImuEb1pEhEZBMCMqX 66PUZK4KiyVqwHYNZgMppqVqSWzrPNhJzaQzIU7hhVu2+PRqTZBfe25KvNVVimLg7LNOW5L8TJmw PECYUhUm3lnMXHCaORfrsvv4XboDS69JVVodSMulXV5qnRKnbBlZqcE4BWmNlV8M61KLDboHL0Hc JDbRssU5wUyAo+83/vGPRzx22FdGoZkCzUh36gisIMkVOgIoDAXoJ0a5DxoXRKICZ1SwRuP0Ury1 2zELar1OHLoXFMG5+ayN5BSZrcACN2GMhUmpLgq/StaLfyHwGZ1R+SkyYoW4ESw/VmzjhWVa8frs CYiI9OElo//lTwY6fAQqScdodlNdmO805Hzg6X3QRqpXpFve8J5Azt1brH2Bo/ju8U3bwNzFcLkM lPIB7u+l4r+shlanQM85cpnPZBWOkh0143UC/qji+d6f5vGMY2Ag7Qh5rlReW9gmkIrzuRQaN7xu PQFTiQbmn57DMuUDcJS4uIJJWCDl00JFIkK+dJqpBptD7ZzWLQOlmqhvgLxOXxcttnJFpLJ5uAjR SK9xtc2q5fwRFgmVOtNbUIbbCfvcq68+KHWd02h2y4JZFd0+ESMsHUkpJMGEKnemWO+FAURuaX09 d5AEtcCyO3bNZ3bp8Q0J2Vb9QxuC6jkZP2yPniE1uvbAorgpubcBZhSYplZeLfaVHMKrQ57E4yuq elntWbi4hpxJrU/rdcDOLwu/LtLvD+ZdR512Gwk9OdppRw4C78HDftvvdJRwUjSc2EuDBxe0s6II OetPdTJxDjxXR1FabzBkKteBF5m6xtgYAKWh5XjkVeH9vhWHwr18UBuEmZTOnyqokMiFgYgcN0FW WIAhHU8zr/ZYspbKCtgmH0/+ZYgdFirM4SFoXfGA4kGH7UWavLe+pbgWiNEp1PXvx4vCCEkKbxwG 52gFZe/4klMNWmW+xoG6nUl6Ah958RO0MhzcuzmILO7y+A7uB2pVET2ueu+TnW46h9JPvzeesaRm zkyOVY5AyiOseyh4BsdiclcWfxcJkAuNRdaVmhLEQG9KaOKx/zJbSaSXWTC9FAjiBk/w9mz6AjJ9 mU9OOeIoAnnoym6F/XC2Mp73QvVcB8JfPSWHGOFJqBVtnf8b8Py1MCgcAt6WcZ5KWAExnaNeaylx kQ6UUS2MXq/P6dSLnH7qXWbxPCuUg2inqfFyu0gCFQ/r53H1ZnwNHZJfLFKA3svWI/KgsAXZIKKs wxQzIjfKdIIrpdQce0eybsflW726ptWlZ0aajl2F+3dfsLkDDby9zv7ClN7ny8quc9Tp7Z882Rry sMxnhElPKWpOW0xiKjyJxOfGyM7GlQIvpFQ2CkJgHkymhLTJQhmfsbL3F76IrgBUk7e5jbXsm0fN wLtd5nsmLz3IiErFuXxEediiGIBTQIFRBQSG6fODi4FZCbP5JwXo8fXHotKccf8tBbOzgCWitvKi iORtlbwVGDiW/I9pWpySCLXfW9kHf5Uxv5g3eZmwuGBGq5BYTvIipOfuwWJaMtGhxME98DqdxJpe DuIxZUV4NJfZdpRLEPa9oNNsWvCNkqoBeuaJfp8wRy33i0i8I1YyEiWxkPn4BHKUR0diJc/d7ZCn hk5o7Q4wpFrRbOSc7DETyCh7I32qtZo2pD81YfpUACzkL3QXujvgiwHHwBplMCVtXuY85m6BB1fi HCu/5xFU8XLtQoNtTWgJ5C/aQOHxdGipPmg/LPj59oDpSfyk4o0gr75PW3loMwYdMjZyDUpacR9I EVl+k9FBWVl3w/cmZbE64yoGgnoosS4p4VEEOWV14bIj/deqsS1X34QbUfX0+I8HUAh1O8hnR92Q IVnqK6WLOj8b62X2On790d1bpgHIzBtw2AQiaXRas/ZjugoPxjDiKQ2yBqcZGeKlDLQ9Fwed4y6D kB4WWK0LfSl8TXnGUCcnt5qQRbz60BEWJxp+EMbf1OBnguXql/nwU6UaUOe+DUVZ67f9MJMWNwkJ 9N45FYkJft6fg+u7D7sg61Rjn1ISk4QZVszFhRVUkb7mPTTY4/iG9U5/OiTz5lh5180Kcxe0kxqb IYul6LyWpNOrYdGulKDjzp1+6LGd9t9TXkTGqzQMwH9xYx8N2Xn/sobtBVer9eUmr+vu9umcgRw5 hNS99DLljww7XFgggK7lrHJNtxpKU3Y/SW/5metKywT1uvLGbhbRTpUOFfPW+l32i5QL9Svw829N yI2IUGwCyvrHYimN036jd32kaPWy5a/bOI2d9E6oGUE8rF1PkIieDTl3KO9xTKXZmmeTbk23XeWR DfVedL8y2Wp81U2gRp6WwIz6FieJl4N/KRCE+y4MXpoyhfBgt5ksTrA6VZlbwRl3BFMM4Nt6uSP5 pMruRaqO5dSK5BcPonxmpqECe3TnwayVQvGq6ruchhGwg87LOOGtl7cEi/DXP7oOuHNUss8lcSkZ ctJUUDO6u2U3wMLf+1dnGp/HRPWoXSsbfqX+PxkvkL5NQqfnfKMvVCZCjYPuZwi+C2dvZqRVGaw9 PPoChsyaBwygj++otlpG+Vhx2GARsFl+AL8/nLL53Y/mX0tSy47jAEFyolDID8ZMgEDXkDqQplhP f/JWLvK+XQ0yyDf4krNIsp0YMDRxOANbKhOz0buZStijZ0TndMiDgre6b8ChqGL2FsiJOZXtTC4H eJLAzQO7EmrDPuLTfU0m5icfGgHZFSl7k/o5IkeXGe72kPnnZ1ZoGwyac4/ucwAg4G4/CZKlfgez gAWvaxo8M9DawvNCXMMY/DI8k4rvJZfmK1/H9kOjaDPAGbPbyMrSwIBVJQlagrYDVEbcAs/bvAe9 0xn3ousD2r2beKyckOMTq1nC3AcLJp/pfNzHR2cYVbGn5sXW6Op8+boAqJkal0vdqhxoEH1rIzsR XG7bleL7MuVYSPDgj/SNvYNv3R77gfvrJHGwk/QRf0rtnBhjffrzPaZdPXp/oUgZXBD8HarAhdq/ zWqq9aMICMHAgLlO5/9gs8WsOLn+ovmHhr1KJtfvtLs8PGsXaeBENLfnKXURY3Xekhb1AsXmUtqY YsebF9L6ji8mVvbUSmr/Ze6qBakhI43cQ1hV1djYyRYOUUzjyPwXmWtKfI5ns6ugbbuRZcxUQQus H6Z8At4V4Za5yw0Qw9RClUvm8nCpumPudlRwgdatt8gOAgDttdknJ0k/lxzU60nf9kALWbXXTmEO tp9aFHU0kVIbt91fC9qfAF+PX/xlP3objkwytLfuJkVKeKuLw5W0GO9xzBZwo316qlvtMCMbg1VT rgvKCvAoM/P8uO6Pc0CyBoGFkh8utPY67m2U9+4gJpi6l9kJ131O3+IrMbqy2xgN6+akILRBuJqK IiBt9Z3u+6+uUm7xTPHuV1qMEYeFZRqBZYSIxDEr0/B+d1M/73oQWGax+794D5VmGPe91257U3ql 7JeAm7JT9LyzSQM+FiDUJZbhL+c9geHuwMWh+B4NrpjHDDk8Ossr0aEClcy6BL+Xes+yLkmLyMW7 fWpAZCCjLMMk0d4xtBap4PuAnHXgnNGQo1GjQvrcq+IEy8kG63E4UjHcah/0NzKzjm4HrPLe9DeU dih5JSmmDU5bb5RbhjJytSY5nJ94Hpp8RaXMk1V5JZIofTt5yCjPqabu75QiHa9TIe3Yey3BvNb3 dbJplcdKHgRxCNMIkn9HMjZtjVS6i+u4ewtf5yQofWcRla0FbACo6tiYqzkjuiO+O7ceRA0tb2sJ /RBfffgQhn4atd3bnolyttxsxodEAJMDNo/sRM6+QBZz9zcO6v/0K6h0oLzZob0XOF6aBGTVowiy 379fPK3EtFOJ1j0q1ulxCtPO7+e51WvG+hA5g/UDQs4B5DnpNHzdyOcY57EqJMtfaUSmjl4ZOfhO agW+cxwkBzthO0kWEkbXOXGnjSt2TQpg26CCr4nlq19EP/J1EZQEzMTa04s6HPHFfQ8gfR2U+Sv+ cs0TgUAiznMcT0s5K3RxOaJlFBPf2Assl/WN2u5sunAh4MEgJZDqXjFr2yIfQ9nYNr43YVpMVOJM ATfjxlIt63MTbydKFa9Td/4RLkimPPsQkj2cDDtAS4xQBOVu9UFYYAnal10tToIU/4O704dXWqnY H1r0UOeQ0rFVk4VSDh4gfggEU170QWsqBFWeGmfysEiEOk4pZcF+hhY0s+IG3n6fGpEuQrWr6ffe NtLb40aS1MGr/yuVizDiQlJ1sl5S0LDH4n6erUA2ZVNr7JK22ESqB6VWd102Vt41Eblteu4D0+/K +5BNlVelCMMrhfcsW7NGh9ioHGZWqPTNrBdm6gOTwv06aqoUoQmNY4kjbGu0xwT26E4ppXPa+5ED Nx5waguxTwo7IhdNsEa+1ULgKXqwn/NMhxnQHreUKUC+Y44ij8Xepi0QmjKSrLIA2I3ol0Qd0KVb WHp+9A+QBFiJAQ2/irTKzKLa+3tMiYLSVMGJjc6PRsKRtA8El0rjo5YLYWrro63XPhgiXJ1DOhoW jFp7OItOBvRWfSTRfMfGkDRFR1yU4zxNhdr1k1ErrbNNG4tsOR1PICcD7FNMsfAQT1DccJ7VNEtc e485S9NnxT3+jpFw4xFfX/YcYNZtOwA5EkN6zaHZ8WqRgv2WFvEdTR0qpjiEQ9PK+xET4MutNfgX hBmbCNfhksjheBZuA5+xtNHBpVLCbeaofy/ptWxpklSWt86QH62s9XGUDWQ45zPxBNiSswxL3aEK LMWuEmSD8MjnAy1+NkmF2JZNndP7yGxknYqAe7K0VXWMZhUMEOq/KZPoV9wGJeR78omw0YRxewzk 6s1nhlI9XdsXRveqTkw00izo+X91j8E/xTHXXZ/aBl/YjmrlTtjmKFyL+owEg3FlHPVe7lMcMrxY VYaceEn6LdJo0fkFmiSgcl2bHw2qSWuuU55TCE0yvjCRLzOxCZirThB/zeqDiIWqGSrxbzoBYx8I VKfTRGmfgPjGDhCPPONCj/3WDzLZDXR9fbdBsCC4v82caCUwYbVYhP+7q6BzSNal8Z5/n16nr+cs BatoZKiM3DExfSTZN3am3wRNud1pEDV2s6Lyf+kaonjOyj/RIvzHTjOVDSdZNIhiRXdbNn5DtnMG l2a4U3cvOMUydMsjl4mKb3EhU4Cb9c0i4W6bpxbmEvHfrB3cNuuaVEPNWJX3o6K1CuwONKLDuUmk iYNvvxKJsYB6zsSjuUBCM9cYMnVk/U7foS2bA3uCQj+r3E2I6g88hjbkMipLWz9Ffma8aZ10YXSN eNr1lm0MZ1q0rgddM90MYACMmnYbTbp9yQXf5zsbqMnsJYeKyWMs9QKIzrmYNf0yCazzPHPR+V9K fgh5PFtguOFjXSmoXtksMhasS/zOr6rgWdoz9J945Va62HtNQtg76SCOCT9vKlX0pGKXUogEfMJa ppv2qyZKcNM041iW2RzHjB/RRBFMzMSB82zCdunlHIyIe6MPIMb8gXyggkGzTOJiB4jgC9y0SmTQ d2UrLaYD0szMg7/KmN+jv4nymdr85toKJ9MfJsnrK2FPwKNUACpISodWbZGLm3EH+VBRg3ca6meC LPeEbFWkmmSNFxhfQL3BmT+G0F+zlyxw9zhzeRAi7Zrep+D9S2z30iLaejGzG8Jb5BxK4bMQxp4S DHEdH1gFxE7htJE/6z1wDOiSG9S1jwujqknXwhv2n626AjUWjpmVkUeHoaEm8fxJPwSREKx9IRn/ AE2/pdaVGw1+VxoZzShPVbiv8HFMG21EFjb1BBIVdqQTnielFcRefgiPTvjE6JrrAFyo2+3G8Mw7 VUrJ9nrDcop8PPXHXT/B9BuAakxX2KRHR6ISoSCCSb2I/R3tCNLZbNAIA2LY3YlExJfgGCbOKjJj wCQFqQqK6ff/Txy6jxXUwEn8ZrefCFpvIJAtJAYgYoA+aDBHXnWQXQKJbCVC8bdInouJn1ZAxiOu XJTIx/Rs/2golN5rrwsQzxADmG1lv/kJBHk7y7stskSK1zhFThrY05AK6OsbXncOZ2//1O5oYB2x fKuyQ6WrwYxCVp6bcA/WlGlIilGcPXzot541ZqO2zSSXr6jyLh661byAzfNmHeuni0yYTfOOPR7/ YBVGHqyGmniRLmNQvk1ObkQAi2ZX3KAjRcB91vHx93CApYFGFEEHEWGN7QwCIoS0l2CA5jSKkcin WVRHH/kmzbNuzjsQrS15gHEyhRlEjYrn+LJxQB4L5Bzjm794mB8qTFn3sY9wm3uXX4wPw9zcBfrP 0i7clNUMguLovYHWXl64E9YzuSyP1cPXPUXPabvDdYD6tjvywplIbSaqQ/NIaF6LtO+MZP7mxvSo l0EharEmk3H5eWrpbNYXuG8y1+Nwt+dEuoSHfCat641eAoAffpkCZ9XTY4KYmRyeFfgHN5UyPygc hLkWVYJd3QywtbjC5iqnszvLwdyy9qXTKEKuBgZuG2Tb/4fDPi2GjLEQcp0jUdSJpBnr96xwpZhF JyJSfsiiUUk4whYIGESwdotxYwjpxu3Z15RL6FWboxVkJWmRdE5LzAH3bc/i2Z856FoffA97iYGE Paq3kVd8FzZIECH/E/fI9uaTlS+92dCos4s1TiuCVmSpPVqpFFdCOEYfptZehx9DSzxMaD8lSAV9 xx6TjTdKaZrT9dc0JVgF9G6FgzybfSLQgo6b9Px6BONqrScJgkayit+TVQOmXX91KmtSR4BhQdbI K20uNIJVNz0NBB77jNBo76Mw6rm/zCitD7lQ/em/DWmxfRmf7rk4rOMiiRymsw33qLE4njjELq7M sA+OKJtUBStB0z8idqK5ukMC5hUGStjgAkK5/D7m9uoTUUwr2D5c6TaNFrp71UWtJxEY627D3ExL 1hMf+t+XyHBknB6PwlKUEkOjaAeA/XwehLaMw+9y6EveXL89SBkabShj9P+CGc9ZgvVFlneLBmj6 wMd9fz5lF00l4/Y25/+VuSmXlDVGUGXZchy30ntRQN2u8d6DbugL/6tRQYXRXlUT46yuvRbrogAp 2Zzq+Gk5OvlnJtouYeDcbS4ZV59CHBO7FHTM2Q4D7jUZGs57DG6CimTkBN5icQsFsC/PQmYBrEAz 7LKFMNlAYbwRtUqcwvcwhsmA621GxXD97rn6tl218IzTm6sHG4sgDmBLSAvK2VKJCloZ3QIOlWzS R7iDNiNLrd1gZOTvbqkftFbtg+iBt9GFUmIrR/JKGrK+HX16aWFOEzjoJxLi0wt8f5qS2NlVXIDT OCmZAf3uzpCw+xwxFicw3fsakteG3SrC3eV+HO/CgqO307/CoVUjVSd/Uw+1IyntQYxMOqYAwClD 1ELy+6gqm1kaAU2z9V9S3aSE73jPc78vCCXkaiXq3EXOaTzjXtUA/ygO57ucatgWqtUoN61ayo2U R2MkzzFhxP8sBlLaNsQeu+yuE1sjoGeS8EkyV1JwVVbsUICANsf1kLGOPQJPfVAoW7gEvmpuohPY d7Ht/FSHEwu9JNumirVkRtfPBdL21j2RgORUHvZEqy0YsFx5dcYrCy0bD4PxvYgqN6YV32tFgjne 4p98v+A2PlVoT97QVHf4VYRoIXR05tf2XO3nSZtinlc3iqoFY5o6uPMevyW+7aUWRwbfPKWQwByf u20a2aRZS0p4M0IdmOqcZ2FRCNh03yRsO6wsLv+Fv3ZSQtlRo8PubukXuuWjwwBWKUYPhcuNeAJh mnCgD1COB+Ch3NuNqtGCUj4ITVM/dWctdUpTHaJnWNbxzADALaw8JzSEW+PrufjWeCk+Mfh1RV1y dRomS5EZ7MViO7woZujBZOKUzHiIb8DDHT1Xl5PZlnNcgyvqeM+SG9ci6F4dIQEcITa1gee5Hlam 2Uvp8cG5U5i7MoBIkx7uNaACP35bXMrSPWLChsT1ccpDpPT5T8ke2pRxndfDFHGzwa7O5LTY1PIm uWcPLb8MH3YkduGoK3t3MKkYv6yLNN+zIEKhOhz909uS4kdqne9myzEWbEljRa3jHe4MD2SDbFxR a4k0+Fjg+1sgPluIKdRhR4RasaURIdXxy9CIEXurB2G7FVbNKxz5I5z9xMN1RWFfUTr+Ul3ievEL 2m0i5LALfRfttdgMlZIMwKwgs5kWcv2edlQXtphp83AAK+XUzlUUA4aDmiEu8Ym17Za5Ad0bfxBW UuhavXEzHe3w8AJdLHrnRYhRB2L6SZ83ld2dnNGZVy5wo7p8nJl0kaeGrxVO5LWHL5xdnE30ZIKv ljNGRUqW2sbzQdecDPAmMMl2r968bZtXrYtFOQr+8ZkBEGg08xspXba4kjpIb8F9BOzAA9lRIgjj qqqhaYrKuTIpYWL3te2Qs02aixsdcdu8sjvpC44/R0HAKgmIQ3d7HFiKg+e9WmQHMnte8RnyNkTL N9cTKRGRVYHhkV7YRwolJQWxtGZY6ANlsE2YamohYgzPfKlGeBF0e4/v9EN0Ag3PHoJiwNp1gSmc nIDEk5diIxjqvtGLrEBA6rBnCUw3w1SiZv/BBbdB9zuchDF3NwggeDRezRGDImfGoVwszBjuRYNI kTPtIatpZRnlTIqQO2BZgofQ0LR1Zko1hbuqcX18buTqRsujKp0/3/05ImBN4GIbia/NkLMP2PW4 vH4TtqrgQJJ/xzzimWPvmWz7MvszcDKc9XkJO81ry1egFLHJt8tG7IEtF/AZRFokwqjHE09Hl62g 8nuDk7TCv9QAq/hLDLc2rmQyUtPzIcPYjo0lH5e+apacYiGWmW9b4Bhht7LO+t3B4SsvV9G83yOG zM0fN1Zsn77NYrLOZCPpo/9JXg6ebmztisgXd7C6e4pDV0eXUANkmNl/JNJDOq3YOiOOtA2NXNfr 8DZOjTVXVFMZF2ZMpMqxu1zQc1rivvo4xXXq+xPx3ltaBXI3BWeaz2FK7GLXZBf5eLb447iVBoX1 tHAQX3Dh4rjYuS4KTowxzc0Q7D9nCTnmIj2hK2JOiIAgsw8vZCMYhByBBPSTXcC3LMAuZQMab08s nqqCaBlVHOkSCFyhAnjI6vWmsEjTtDJjvjnYxl6wXYB5tKEkEupNTFO+RhdBUm2sQ3AAuArFIsRM jl+bdGL3pIWTt7OEiuJZQSNni73jTGZaT5N+eB/zrYHRIjwd05Xf5r1fDkIayyKaBNaMSlu+McGE tLW6inqke3Euz5gzVVBS/cB6sYajrcnMipsGaLbSZZso1PQSiLBdx2tHsJFFbr6Fql+GHOmh9hP8 NUXkPli1Fa1b7R6+CHKB6pOl/aXuXXrmELxKaMU+VWwcucS23mUCLtfJeWQ49cMpAWDDVhsIUDii 5dgcCiFn65ZG5v0XWv+SkDRqyCpIXT4t63Zt3CnFjkPtKLXmm1r9l5Y0hmxDQegj+bV2KGNGsJwG lMnkoK8qO1gMLoVYn/Xg4wLUTkwlPtHg0QJQKquvWTyRg7/JBj+EfO5eRi4tnzRStfUi09R/zMfz 0S7govPRceNZJSMJNv/ZevdhMFSJkACCwiL36+hdkIPq74m1ad/goupkD8JXKlRvtraT8EVNrWNx t57qSSoDtWCi/8ps5dtSx0J9BqBCdxNQQpFL4b/KGRgx6l5VswHsJOwJLauGS+hmmo70/YOba8c1 M3VLBBDA2CaBToPq+HIjoIbNRJrhviAjrnU76SUDdCbu6afYF075m9gwY9cXhzfLulBYL8p0p/p6 5zVlESsqejs39t5it45V/OKKzTg3AMXbmcY4ui1edLKLVsfB4M6ZGjV9hboASwaT1vslCvMpylWV g0uhkjQ6tQoPuPjOE52k5nZ+05cZUb874yc0qSMJ9EnDyRCOGHPWClc5yo5Fe28K3ypjpiMdXwJT 0vRmSX8ijn1pmQL8Jtkm27KKvOpPh7QcMqAeWgqGrzjZgmhkVWE4op06t66OeXpoCgoz0e3jXdeC cL3CxJ61eWB1xNwmHXCXqUtGMQQqPw330Qptvijily66L6u6e2oalzKtfcLNSXhzOX+w7gYW/8Nj kUNzXq3GBsDi3ZVihSUhWOMjQayeb1wYic9Ea8Y/vbyalPgkIAzX65Oa8y1LNF4ZITDQDf/Wvjjo CRgOfa0o6Qqz09oaoV3Kycb9+FAxbafslhV23qW/BLgBgTUj/CgRtwe9JrHvoY/GJyvg+eZa1ynd RV9OEZt4V15d2AVGdyUWASNxuHC9EasWO6tKG8vA+Jyt6nMDublyHtokZgHn2dzckXcOgbWxJ8cy wtMH/byZtEEVjrYrkrhAiiLIyW/nYIPN2yTFUQhTBaItYydn76iHXc4xgUi3rC128AhzHpLdcqgw wXA6Td1PB5JZ7r8Gq8pyHnNq6zYUu2gw1Q1GShoVzr9H5O3ZQDaGKQHHxqkpBE3zKGBTob2RGVW2 f/UGgcDvOgiQeViNG7se9hWhRhHZe5hiDyipsKL5FtOpfeEWIpNObsUlLW23yObg9FqOHiuBUpwZ Uk4/eriuiz11DhY0XkgJV4pEk9cZtOFOexi7Rz3nI0bK4mLsb1tm+FBgB8JLMkwv/IZlipNIABSu 2kRoshU1dt9vYIixPqcXTy1JhWoDuncX7r73uNMvO27HScUNzkWd0SJPWcuKS+hpyVRXlKl8ccMq WKZ+AJ10nuoWT0dJtkzTb8XHHYrC/SCoioOOdEbj+y8Oolpt+8HBb/kHgeeCWhuqqNUtEyyCInAa pAPPp7IbuuWyhhRl7O40o3lT3mJUfttYaQj5Jp/Bl7rtIl2287eCqpAWrGBU+7cBMKmBK6e3efQg 8sGP0Gg4hmxDHHrKcxCcWgNg2HOEDHSvZ4r5ifvvPdQYxihEIS1EDIsNI6cfcl5iRVOmdjQNA4Fn TYt/pUB4P2vG/uAaO1biH7eFOmUsbZWz8HqrrHxsvLuT `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_7/part_3/ip/xfft/mult_gen_v12_0/hdl/ccm_syncmem.vhd
12
14797
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cE32RSTvXYb6m77U0RUuhMrh70/RTLzajd7haZYSXDqjXBbOkMuhmdGgwPsX4IRozMfF30OOY2Zg cQt1sy403g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CY525ALSmVJ1bfJ6RGQOsgWG5vbLyb3A85GFtotZAk5zO4kHFUX2zLKu3IW726N076aUSLr3vXPf Oli1CD38ASBM4ws0COi5MZJQWPSLdDknMEJAKl0oLj0m0yTuNfJKpvRpKfypx4y9dYm1BaYxUSUW l31pypDj1tlvE82HG9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MJ1RpAh5h6WDM7VJMPVnaH7ZiegPdJPPCSivrCDsd0xqxSx0GAxaqqMfmeUtOb511lGvdZZfePZM 6hirl35PUB8TO50mKrjpJMsCSPEsjxnu22z0z78K2WrErMFaYZWitHhHLveOzKMjOpuC7HuZ0/KC Fbr7g5pt48elTJ9lKvZtUE12Bm/I4kV8Nb5iL2D7+gx6Z9yjuw1ePehvFreJ4y4PPE45R88eIxYe l6aYLFbwQRb7+OvxrMFNU6JuVJgHppuGYqszhgVvA2KfvjBTtp1OR8xylerA1zkN5U/U09hhhaJQ j/YZRr0HX3lAtGRaX3zCJX6hHNNg3oWn8RC8Hw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tYAPVapnqsddRargk5BL8V3VlXyo2pBev77Cr73Ev9YzmTYFWiHCBiCB8ZT/czUvjgo/UmF2BDY9 m7T8pzMSOv1NWDP85q4MjZAbFbgaxGO9+9uNc+L3Q82FJBqhnZsysHkNlWP9JTdyAzTlNpz7dL/A oN8DrDfwA7C4joixy2w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mw/yvv03pGlOzAE5woR39/UkbkuO8v0L0CwJVMZgyMdKDOL1QfsrqOcWz+p+PgnQpd89OlHw5c1j GNFt1GcmYxLqPl1aRcf0n0yLuWT9Qrd/BheyqObKaunT2n/uAmrFHlkq/A2jl8S5hK9mYWU2+hsg 4D7zmmeOtQ9X9TfB/WNJk0brWcE25VzeWbopR0OqMQIwkm5vu8VjFWUjhEWoUTTQc7UYTGc8zuJV uJzKkh2svTxDEjmo+7Oc+3n1r2AH3fKp7/Y/rAOIVCNjaiVHnYM4IbhfQtQlapQcmWrUinw9GA0G 4RF9Li7t2MKgZ1fVBjS0X5bzo66SEdfT0Xwe7Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9216) `protect data_block PvN/p01NdbRsH/sDphjSmDyA2quCEx6A6O+e58TheEqOvCuTu13KhhBCROgD0S6T1+t6b7Lcc8Vs E4mxBcBLzl35gBapApLuaxDjvl+x6fneBGDamFK61vhujEWCKfQoUggFDNALtpdsXPbwKOlF9jQS kY9nJ8PmazPva7++bXFYPSqeT+R8kwYTiRgZnfJ8ki3b71ZdGUQu6rNatl+nB4wbb4ICeA5e4g4Q 1yL/7/QCNlvzNab3B1xhO/LoB3penFq3SFZDzWgZVQbeUoCSQW2us4u+fTSp8pTbmFY5v++vj00B Tr2a6+O75rFR6NfZ5NQqTQSVrEIkycgblehb+dGkzJj0g0+1CpBCvYBHn2BJt2jLAaKHPO7h3SZc afY6Lzq95J98qnByuv0VwKk7FRgPgww5AxrBxrxDC+s0bEHFBqUAWKOP+mYAOTkqwt/H/J8krB/o ZD8TevKmhcY9RiOuxqsphb3LW1Oh8pMfmy3X4cOK95V/hn6nf8bb04Ech5wL7wXTR/lV2/h+GMM7 Fm5CL1oRTAgOUePkJIsYCiVDLJiQ/CiPY2dfCfJDopb/lK+/JVyXULoGAVVnjQ11ddg8R76frM3X RyyXA3wpWo2d9v2tMKHyOMcdWFnKCHcyXbj+OzQZ1MePp4Z5noUyR4Az6cG5+4HR4iYac1I7poHz byMaYSqDnYQ+P5wo76AhAY9+ocmXslsrPpjcxbYcOPn/UdnVoKo7oJYTwFwztYGk6JgRh9H+H6t0 TIDE0OYJvmq0H7O9pveFQyzN0iRGj3ykF5iqhszfXbJRCS8YkTBq+1Y8FoHK0lQvdYjdnb9rA2iu 68C/7NSNDlWBK6pJeGxOvthyEIB1IBrQKeS5gWg6oyQme11RWsyV+/NhTgE+1JHe1duCCviAAz3l iWKkI929sWrj8Sg/TJo1LuSC4tLHmBwfayXNE6+74IvKbWa1x0oNLaLMjVNiRwFrhZjFto/3xGm5 XhzBRalbSP5uC17jUElettSZ4QHKrmNx4+i6KV4mrOxBEc8jEpMQY6ZCCmLAu2SpAPjbMVegwNWv b4lgc1bPTf4+sJzwrlzFBlTIakj1Zkpm1nEMqgcqQd5YeyGBLTS8ZLNpga3ZQf+KPLNq6PxVS2c1 RFVi7eabP9BKnALWkBeqJ5j7ZaGLpj04uTFoZKMuuNsKtx83ZZOFA/f9tVwqHvQDo4KqLWR8AnbB oF3UQBDsDUQ2lKmj0eYb1qfOAtp3zgGD0Ca2BuEWv2XmsWRGITLWmCOdki2CFsBRekhbWdVd1C9G nGyjd6tYX/kKTY193YNc7xmQf9xXW0V/kyu4jL2LYKFosQla5HPfEJZKhGz1BsmSBwRAqBS3aqyo dojhIsJutIz+h8a1yAXC+w2GpO7IlKG/fluG3LOX06kEwts2AXogCJ6UK2BjxxpCpAmX00CYPs7x UCDSHOfPAQL7Orsvw2/aZjhZgkRIAjypfgB4w5XsZy5eNylMATOp8ZvS7KXwjjmhAn5MZhE3moq8 YycN9ZNrl1q3t3qC+BoUumrcKLoqYWCHKGgEpaWeQ8hFwXAjYc//0bfa9mRbLSX7mKW6dn+0pvUT 74YTRKU8Gyy/Ko26sn3IX/fOk7+IZCRAjQoej52VyaQL9cOz+nKp6li5Mz/VvmOodGS6dBfHMU0z zNPLqt+/qrKw9nhxUZjecp6SDqPinBgyPsDVX8TJ/+BWOSCarIfxdlp5S3olibXSV87mNsjqiZPH eNtgezulVqNNg8a6Lxj11O4FL1CpFnaq6UOM6bM/r3B6+LMQI+map668dO+UPb21JWL2Bc7mNlwF JFUtdMAu3/2oa0k58MraE91OmnSa7+eTRuwb8+tQMxgXHR0goIO/8F6+2kUh/EZIdioQBT33mcmy NhwdI0Vvkb5vIRf4gGQxtHPFbMKk/TbOAS9z0Po3bPnlsAZ26mb0q0qQFKt/Ebkbjupozu16n5qV sOHNpTNO+8w18NjJ/68FUAAFv5OmwD+Z0jTrNipc+kjbT58TFX4aueGDNkbPvxFqt+d6EgBqBhmH mJvCvOnRRtLf26g7vfjD2/yBMZ2MDFYFoy5kzv0P0OzoZrtXRfZ6J4t90rnePTeLnmPsM9XSqwKH 9SmRYonFiY6Vj5WjQXNzAUTbaA7HUGouWg2Q2bTfOGRuKK4IEwnCUoObHGlbJ/BgFje5BjFm6Kaj 78khyF7an3rECfX/LSWel9pUH2C0F0H63wg/rfCiMiM+SgFq2VvidnhfVfKBUjxWr2d6YHhv+OqG fVrLNGSxLFDjVe693NsmbtY9q4Vwe0TZ1pBvJhli5eIxqJdYwVnb3wh/WWiJdcF8ZQcNZ1rbayFe BgYe6DScupSxF+AW/SlkM1Qzmczsu0mvGI3Nc0vd5jSjmLvhN7MXJEGiGnkyTmw8TCeReyLLq8LH 0a97CH7ps4r577deVVVu7gB0Y2GpLDt4hNsyz73qvhpViQV8Qb8b/Jz0eKdaX21dE8vY0pzHNhT9 UriIX0WaJZrc11Ls1O4HbtwHulDEmwlI/Zam/dIxs+ZfjBAEW35mr+ln1EkHR5T6xdyMCTCmaR6S QAFhBi650ScBehtFQfZRwwR+XPXvAubcsd6wrFhnQaqCKg56/7+7qMRV/WShi9J/4WrgiLWcQUzP XATlOuf98fQ7vdIWs+chLRIJSHJGLiPySmu55JPpQYRMECRpQ5Bpt2K2JI1vUksC+DvQJcztZZel oIpyqq4QGLUjE1ku/s1v5rfdXvA2VlOefFMNdADG7ZGXevpQjXE6bgha3bUOQPueG8epayiz4yUK GpnAbefMONje+BYAEycXgNWMQhD2F7HslpDMg6I6H6FIwUJnPcH6Z7D4cEG7SbadOquTp1Esv0l1 D8/SZRGqFvTIrujQpKnZwX5lMQAtPP3E38N+Aax7fl+YYI5/Ko9CkdE2PSUW6zZSRbDkNal3IXlX R7bcIDxbTOkuZ7UtoXl3XElkwz7rhBSKo70xtLDClV4CZ7/kPbi+2jleupd9vcd5ELHdQyv+hrq0 Kub0ppHQjZlP3XqFRQzATKvEZvRfMunVEYANbdJjlUL8/xaK2h3dY+G+DIRfFaIC1qOhNVEq1xVz 7CJ9GlGYSL293xKVIsHV9FWfrS54rLyhxE6Whnu+zHW4u/idbaN/OE7lFdO7T+GeaJeNadIYtLuQ +B5ehgD6cTd640DMclf0B3DQkFSP3LwdD3KPET6VbbC6T350FnxrxsIOnl5DG3ecaIqGYbdUK4Hv rU75Jjk5nJFOpqnrR5g6vaFLzYatujaJak5oBtxCrpxKkx77SmZmkzP/4TzMyRJ9tjYZBWgPnfR8 Kw3X2iPVOpNxSHj7niAJj44N4IJGgavJsuZBM40yGuwxdLUmDDqxVR6vNwY0+ZEgu+kiFD8abfsw sJYK5Gjr+8qqJA8hHAw+NyLZK99SRArjgUdSLEO//046klNaBrI31X0WtASp3moqAxT17a5pOvuR fOxYu50ccHtDevNa41b6mO2z1SCoDZbI7HfOZSvyfsfuam7f1UUGDWjjoq/2kgbvWFnhVCq/wzor UaCDB4RBtljjdXnOSE0TCiNy3ukFVWgDSPFcDt24R+TIlquEMiBD7nnHwvb4MtalMyN8C+Zy0y5z lWJeKhvytaAOg8/pCw+C6bKavaBTLf8QuJ3ULMMP94vkay6r2hW6ywaQw0NzULXpz+9pAeNUVC1Q y9552jcxIIVvwbBxQCM8dqn8I5ZpCcgtunwRjD9OxyPj7IwyGOqkX/UiaLWAFwq+BgYHjfbAw1ON 1pUfzw6ebmPhxEUpgmxzsaKXCCYwZTDDV1fb4FGaEfPaAyMXiBUMSigWKRPle0vcq92gM+6J19CT N5ZgWB4Nl1YtsT2Fl1wtXMx4ne3GrQN3+wuJKRY25Dga4jptnDv1s4ti/HD+aPiPlaWOQQqZdAjI mjQLJd0bT/8f4Vim//X5cnncAToMoMktceZGAXF0VXZ8C3Ob35nk+xrufUjeTeg3Wf/rSme0Hq2D 9luoGMzFCFSsh2j5EDxM1Tb2IQiVL+2DvlPGcom6watfkwzzzS+HHmt6NVn2lf0x+jF02xGx4CdA qVWaPQIIrm3vb/RQsqb7bl52APywITB00zbWmj4jYnBacS8eYmUZ7ZS7xFXGPqKoOoGwp3bhKKSA awTOJqJI5kZOmuDAyZ3syLCx2iA/vxmZiY8OB0ALgxmM0C8RPzFR+0utyml/tfhK01UB6FGhNmDN CKcqokunQZylNKocBUHMg9C4u7UsxLno8u7LDiL0vySy9LD18V6zcwgfejzmBlCsRYf55cvtLdeq oM3JXsxJhBAyIUUj7AA8ytKSAoyrF23p7cAdX3nmf/IjtSrKSuzBqSEf12ASOR8jaDuzX5wn0C2n JBkO4mZpBFJrpqHyvgrI+8DaFcRlZxtny4s6oG4IAk/C2VVu1yinojTez6xcEJFEJGLX7Jd8JUGq v9hpyXFZU0LaHqrXTietwl+jfo2PHkxeSEdtN7Af80sGCTLsSdIT2hqXYUBRjNzMpkEI8hqdY6Ih hx6Ap/NTllRmU6lkrRKPjr0IgCtOAlxQ3tGuWvLNHOPZTU/6aq9/Jr3/Q2v+LVOtBGY4JuXbPHpe GVQakRAYo0JhSNDyUQLTfocoMo2JS2WZAVbiNlwfWSO597EdmCofcRpsdDet8yANQTNBi/K8lg/D 9fNWGcFnyK/3uSS3JdR9wXUqhfRbVkHB2qsVxzQ03ZqCYvbAZSVySRS645rXDVX66edL6PbgdJxN q5d/o2poRAodILVgUkduVPkBoTtzyNcrqIDHUfOf23ouqNcUTwmlkWjuGhw10bMiYsYsUXIg3ILi kJ2Az1FQhXRR2P7WKFMUaig1s6oM9JxoVQDwdl4tXvvm0oPI7NQuhzXHPFAVtWlWeFfxLTkB36wI O64ozL3/AMKAbH+EVhgrZ0bc28VKmkKiuTrHKVqBOuOW8n9y1vHTqgD77nNhYDqSBK+cwC86PJng YT3bDTK4OdcYvBD4KQIUuRyd5cXh8PofTCzr1fobHiQnKbX0BCP3yQAtm+q2bDqoKfNiY+Samdxk BwuGD5ReED+K5ciriP/ssJ9fZm1cWLpUXee8PhEtb5QcIAvLh4eqpMc8AFPNLFCgymOD5bzXXtah ojySVrntbkivlewCE0ww4xQooIQnJCa9Ci9FFwLE7AFP8iBV+QsigfAaun3Sifxh0Vm/53HXXHNy PJP2NpK2cxlzNtgcgkV/4QUyXmUizo+iOb1NP7tDi6X4DWbV7mX3rhc1hGzltejf3u3Dgm0LUZnW NP54rVN1d0EbJm6OMFDRJcNQ3oOosEyhGqxjiavnkXcREB/mnIzb4X5BzICqarAIe+ABWJZtAl9M 96SBI1kS2FH9j/jJ4jU4jSls/45jMLgc60P2XxhUeA+RU5jU8yEpeZmRRFfMoNvjIpDZA/UYciqy 684rbNFegcmyFE3qyeL8GjcWbPs1F98vwVxfd8/nypxlm6FFCZGlcfzTDr1qWMpalGW8ccNq5gKP qxwqCRDUxJnT1ih9+kQ0ZyMDDfabtGH6xgrsvMu6azYAP31K008JqtXz9S5IRNBp/Fdh66e1EEHD TUw73XQns1HPXK2LCiGqxWs9fgki0haGF2Dt81o0YQyurHIA5akpsrRaZrKICs97oUgRKamM1SRB fwsgipV/qy9UKAvjLRYiVcbJaMOKXjAogPbx7hbIfXW7I9ux1NXP6wSedqIr9h0g+EJB+LHkd41S Mh4SIKCnYq+RvldU3muHMFwC05jsGnLiSiYeumfgFmZpPFK9wDb5nmLvQnkOU7GDXK4hT3oOJajJ /e7xBwy4WGtygrTjokQvMblB67dEt8RDPFDk4nU2EyjDQg/5iXNhAzGl4XlYPQPcw8n6o1l8WXmX BnGs9NgSHGubiRJEpdEjqXTEG48gmwzS/4LHEhaUin9tfi0LhMa6ooBqF6YTCFn+TMgAIdYJG/FY CfJMZWDp4JAFgNMJNAUD/QxtC+c0jBAIEYdX14VzdV/P6qzJhbY0jgZ+lDTfbuwuL+6Blpwn7bLC BJ1XuIA590PbOSVJf+aDpZcb02IjuLD2qex1bHYjy/XbsP+C79LRliAHtE1zkVLH6IxPL1gaBtwQ aVDZsGGuwlJlt537kzb+Cd7ETvcwoGMl2XdNbpjcN1CL8B7WAcoj6KuFViRD9RfWV+XnJ5LxGmU0 cpSPMq7r2iDGG1b3Mpjow4ETG6wzOOoRN8q6VrN9jEjJZWOIbAO/lUFZ30DMUTGLCYAiVjxLrvWR VhFY7BDZ+NH15L3LqP1Qo8gipeH0TohGzi4AA9MfEK5mBb/0jXsZnI295iIdBu5D9GtBvisXrddd 5Uvdh3o2MPtj3Qx8cYcHAK/ulmg6Bgqa+khbjf3gUfiUQA/nm1HL6yoy7TljLMWSwnZ8CVzlCXPV A84zTOliuuXHdPWm1W/OXduEqHQU0Div7peAGTCjcCSPosuKSn1gpEnxi87R4slyQFpQcXyMwQTF w3hRDIRGZFIkYB9tlreTZ0AyDGYBfaFuo8yiUeI9bHmrjWSCd3BfoNSh5w+J6xFGZivQMFUfRvjM fAK9AVHGDmMUXlL2W0eRovo3VJ4YoNP/g4H2c7qhVNQ8W98zFW/WOyWr0d5qeuWF2dpCxHm0jy9a 4phqhRFS5Acog4PAaJcHlCPiqU2+ymmLkMSft3rDKKXVUecInc7sjkXfwm1n4wkWzQ/HpKFfYwEG aHdUumu/XkIO3ACx8yd9BjJMd42ZeEZfrngr5dio1IPxYd1BIxIrVBd+y8gFF4nRrvi+qqf6JomW ZoAzSThXD+gGGIowBR5kUvALz6o/351MPk/e1+7jYtj/hJco3e97W3XlZQpc5zLx0XabBb5ngOk8 JSXYj71UDf9ea69X7i7PVVvnZ8U3zrXhqpmBAwuYdCiffuGOUPrikrG2Hh1hC38V2c2JoAtFLt6R cHlitHKtU4ea1WWHP54lSOANv4WNqlu48apZSIrcVhV2QjuY37QG1NRLgYrORGGPhCAMZPBe2VWY Eq5kNgiQCn4ku5VBvs9C+y/XwdTPwmq7SCKjcc7zUAId0gNHXK5fLTluUi3blxc3gHLzuaqb3o5P QUM1WVLxkAp+Zq60NtSZqvYGnLGRqUz1yRqYgNc40LSgb2HQYd7zq/DqZgIWuHRikJnl0ljn5lhg yl/AYJPLsQTEhsIssR2/xc025Y+sBVsOrHbEACTEyxNdIMeGn/sHHUOiOsIQPByymmkUnHRk21tr iNzL+oM6R+1WYsMHBnHlE822OzIwe8L8vhguujPwtkom3e3yBq8V+DF9qHmawTkfkuUatGKmJQrR V82UVIwBtRo//vcVT0dgehf8yNQoC01Seg3IxEEgFbIjNysDfNJoB5HjvE3je0TFlQ5kdO92iRle 8zEAYOtSnPBFWOR2e80DksxDpD+HO+EXEa+jc+dEoCGyD3F/teVAwjdZn/f0PTVF2msMPQwjXaAN Ilpat8QVosUiwiAymf5VvPKmCOScZBQY4zqe8wkhMYboD+V/E2iwdLmSEs8yc0s5WneHC0lTe5KY yB/uYkyXRsirlXjf+dQlQ4MLVa3lLFCgNhfF6mlmqVoDptxSUgzgpXeoa3IZDC4xnAhYoU1VNkUI kin33YvncrRfRDvfdFvS60WPodv7WN4LxOG+XKPKNZMXBQVTYTDt1yDHoW7hQt/sN/ts16rtvQEm adC36yv4n+/ZVl49P637DnJr4QZ6HASiYABj1oisVspiMR5YZtuFWKN2s5N80rredwW3H7bRA++K CyGpRKdTBES6lA8v958J4LYsflnCLpnSWhcVi+hFeZVD8adxC0/N/cPUAAUU9t9TBi1vWPN9mhDO TXnUw3FTVApzHoviCIbO1ycJjSZCBYVvDMuJAQEXERjBr+l1Ou1T0LFoddCMMaSDyaTltZf06Lci OOk8K3/YEsg/BvMZEcMtBdt6TuZomAYE0Qj1eP4UkM5ZTzgTBUFPDIXwma2ejyi+XIyU4ngg0lEL w8SrsWcjganXLzZ+KOpRjsVDJeIJ/VB3/kIeJ02RJn83eKzIurEjc86Q0nik9WiKfwhG1VPmM7gQ KORIIczSfwxQzzoVO90JFk7LCLLLgxWSujf1hnalQfAUbrp7Vc5WNOZSBbWaFLRc5yZHIVOwlclc lInMtssWclg7tTlbob3lEkahuJgUDzhexPEpZykeAXJqjQYow1YsmYg+DTTy7Lk6sLvprC1+J5Wd KM/oCrRMISK/z8pw3scz6vyezxj3yu0DwKY2LygXp3GhYfshl6Wm2VzzEXFFgnSV6ElSUDi0VKcr mt1Afz0aggQ8NskQNBqcoceeO9Dm2hbZGwbxH0KVPoy7wi2B3rpwiok0H6wnTMtAwY39ve4mPvuU TPSfI0/j2uZ6gITXxIje+BLFCxyFkzrGOy8wtQUjWSOzWPy7WGjZKNG9Ofwx69VNAcgEgpzSwmQX hv1dqQhdEjpe1zMP7r6xrEM7UDmNa4Ubox+bW2vFU033Rrp4g8FpPx7dKg8D85GDXNdCmd7+xsnq StgjCiH0BzoDBrAerCvgPoM701wX5sq+Uxze8WTsEvifZ0KptOvMKGEnm5WBHYy4GqAcuzuHjP1v iz7eOCxH+hsuPObhB4FJiQRPS1zSb9HHqZgnvwOJQgzQ7BCoOYS9G3xC+h7T4L+PAqC2W0wxHGYU wZRVreA/+pjHiyZREo4t6LKLuWTZy9Ur1KmlpLNnK/RZXxwY+wlGhWX6LXVBBtIWi1H/SSeWhdrf alnsJocd5uXB13xKnVASP8dfHFviJu069jHH9TlSmYjBVPj/gcMFzasjyFXcH0BC0MfNtpRTxcRr mp9ZfQZ0iZSG2Zh6BscAhi/gGDR/fXwI7kFSaYDQjC+ZxIr+0gz1MOS+EGtzZPGXzp/qAUKWiG7W h8oCLVU5Kj+JSVckS8UtHm5BU7Z4IrzwMrPmYkXUw3MqCLDZABMvVhf7hEtlxO4Bc8dzmod4XxyX pa2PyrDLKBhM0jYUeEhvhcyH65bQBqLJ7C+Bu40xfGVhpKhizE6hAt1dUJ6tf6oEuehK6G/xsQIp Zb3TTTNxbWf0jWdPpjWS39cec0vngmw+tjieo2IZp96IfBYdJKOfJOmJkFET3TfH6MZxnNFVuzY6 dTXfvKc9J00bzA4fR7SYzpQDbLl6RNyiCG/kq1Y9BxqI5DmofZZfJWqfuGh7Oy6RLnC/rDL2Wl9i 1c2sBt/LcIsDOepl/ebDJw7S7Ayn7W6VsF02oNz9hRAfcvfgi/3W7AsBdDhUJ/xqWjCyQxNj2ax/ ngiw2Ym+3Kupx9OuDRalmSVDxa2n1ZCjrg/3DHu9XXAAVknUnomHj288pPGxM6XwyhgOHaLPBToC jBKeQGe0Wx16VbDfL/keOiNxLGsE8JhrWPcYO09bqcVYAsbrLu+D3e6ZzrDMrVb4ESB01sChScXq WBuOJ3NhQiQCLS/GHzmM6UTYLJvisA4L3uz65I3sR9l+EI1sKy3sR4f85bDRyXjl4RAPwU5Ej0Fj W6cNSjimWrlaRwLdGEek+HQJJWAee4h4qvQrOJ/qYntBwgguDKAAq46M/QpoaURnR4pTFSf+XCOk mhj69y/NFzkatf0TeHogj0CNBK6zoGc2HuJ+TJBAgDdb8mqImXIqXDy39xtxj3nIFyuWRPYqkX+O POgqIAHe+fNI3X4VKRuaOgApXnD7AsVCrY5ZN6vR5QT/B3rc11NqK4h+vLtFn6ncayYZcQ1RF4ax GQrc7bg4il5G9OFCP+hVs5JWmU9KzLar2me6GS228wOwhku+sA4j5GuhVdI+ki2pFfDzshFLJaPk faDzJPmGD+mSyF6ByPwXOYIFRI75XS4QgUrryoO+Q8Mhfdd2rssyE1/16b9HCF6mbK05oh6EOU+h /2T0nHD1GJCbzvKGsuaovjkak5O4eyXxEIlD1LDRw+87Kb4qmp9EW6i0YkGtramNxysjKos/aupy vhuAMlN7f2yLmImiHtF8p5v18O3QorX4nVhH4hktfQUzS3wB5mtT3lj0ub7nE+AixDv6jyFStJBR VS9njsi+CZffrG03U03fs03ou4uiSfrqIEU3RK1z2ZkxuY+E+tvbItYSDYsBQ1lqWXoO3xogEyq7 V8YtUxil2Y8z2cp0D/gHdLwD4sk3LETmCDF7vHNDPyfcMSXf3ee4y1AL1WANNr+H5mP08BCIB5S6 a4B6MFMT0X0t9mEanSTr8lupdhI0kq1epRor7HbAhEUQLipOYESEr9tJBW41s40203OQXvIxtvQZ bxNWET204yqhJcWJ2RmSM7kCi5UbbhfW5orHy4z5HQeHvK6LJQWJTClI/pTj1TwdUo7XOX4WkKMs VtCEPVaTPdbOUIVACawKZVmOTkxXsKHjXfvy0vV6QU2fK/ZiyNcmVsFJLRUe2lfijIS+y7TYHNQn 1fzeb+yiT4oOgXWys7eHp8ffn04D5win9FPohrlHPdLdmlVXGJNVufA58SnJxPhso6tJAq6jZuSR KnxZRAe7HANVkeqYn5phi5A+T/YJeqjHE9L2LFoJva8fyf+d6dr3qr/mj5071WyXNa9zsiWW8YbN akPmyBhKvk2nOlSzTTCPoo1IjZjks+GuUE5vO7aaKiT5VTqfU5sDSyLHtXrTKF73QsaTRIN0UQP2 zKf51hgDu+gRuY/pOumbWHQ/QDZVCFCxKLgwByKpB02awDuO6nv7EC48tJAAfS0lWXM6FROrTOts TX3CalvTFc0c6sj78GP5Lml8JKmi76Z1THd+ikmS5r0hoB6+0mohC5NJVwSMhqY6QrF7PIJsfbqZ dRiu8Q6zjvz7jWcrl1lzZ2xYXdFMGf0RX6qaqbJQs8VtrnPUPBWGbI/DIhnj/v+7XSqDD4iqNfe9 8xtIo0rIZVsqcBhtqmggfM7PvixjdNm2oH/lNLYNPIeSaFNymnN6NYkRZqm01tbC/LAauk+LRt2Q UDTr+xA7phQtE62MIod08XcTcRpRyO9U8SYYNslTxSyuwbYd/U+TLvSgUKQdv6vw1CWLxWjF03ue Zkg7kZw/PSeWd6i11GG+7NUUpm1ORbpL1tL8Y7hMtiSLGNdm9ZUIfKbsvaH8OIqXqtGyMRr3QGvr +J4vqwz1MmY9LiqEi+KCfTbpX1mR/gf+V7IS7AIv5bbrrI9ek4kD+4Om5Nk+JfcSsJmXEcXmnMyZ rB1F1Dw9eQ3/nDRqkM4DXPySiWQpLJMkt8w17Anm/Q/JVKn1d1dfAcXGpo7kC1SWkAvfsLsl+lTt ptalA6piJKJJy7Pzc5LbvDBLaiHQ7v/K9OBZFzFmyswgKVGWM23y4S5TjziOlguTZxYWJhI73T2h 3PtO2A9A54gqaJKmh/l2V8hzNBOXXyR+1fUFyowhyHSRBEGTSn7K8RAV/WMM6/vypeN5g+jEuZvF PSywARYA/tHHQ9SJfUzbLVRwEGMU24R+vNpy7Uz0UMjPB+GZGUUvZPcw+LlMjayIPApXTjJHfuDY LyCW8UEqDnTxzL5PdBV+F8nJ1z0JkaJd2ufZvcOw6nbDLAaERBVm2yGgPiSQH5olhxcyLs8MuFB4 BP54qnQw7h/7he+674poK44iBUT9jdulmhZmAVxPY+Gc1THF8wpEKnMNiE6QSHLU9DWX1SlJr/Mk K+NvgGDxNQwqtOvrnoFYIK6Ob5OS6qfnYG9KrzRbp8IutK8e08YnXT3k4vrMSGnr/84a5fDJD5SK 4/gkCCfZm9sRVIU/Exdq2suREbdE+m3HnTfgyDMumOBsvitE7tCC49asBLKpq09VBFviEZL0Q6qX safrfR6LyLPXV2PfdQkE1lQTWTv4sUa73Nzg0x5eDgFkcyzQZCHWuFSMBTjWdDogvpvNLvp0QTtM muU3PeQraSEB5QPNYVZS1e62vvfn+IQoMpvY7Ly2fGsBOkLDnBPCn49dJmupxDjie/iPcV8lSo+h Yw0bLHU7kTM6WOtmuxMqSjI90FdeGq5MkuhukCUNuw/ey4Emqf0HmMDdysrD6Nm15AS4bte2bULS W3Zj0IaZ5oL9kVO8xMvm62AOkK+cWuX6MLqwsDK/o4ssnKfkBGfXMZ24p6A/puw0uaHxvQlDrGa5 rlAHPIRU4yexbZt3sQpLLeH5JzaU2pjaWeKixMTfDadQfrKfVH68yqoukwQeHMp2fR1LuWqJwjRT mJ+d0PEiqTe66g2NQMSosztHyDmF66z9Gmzyng4Y+o9XDpG7Kd7b `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/mult_gen_v12_0/hdl/ccm_syncmem.vhd
12
14797
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cE32RSTvXYb6m77U0RUuhMrh70/RTLzajd7haZYSXDqjXBbOkMuhmdGgwPsX4IRozMfF30OOY2Zg cQt1sy403g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CY525ALSmVJ1bfJ6RGQOsgWG5vbLyb3A85GFtotZAk5zO4kHFUX2zLKu3IW726N076aUSLr3vXPf Oli1CD38ASBM4ws0COi5MZJQWPSLdDknMEJAKl0oLj0m0yTuNfJKpvRpKfypx4y9dYm1BaYxUSUW l31pypDj1tlvE82HG9U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MJ1RpAh5h6WDM7VJMPVnaH7ZiegPdJPPCSivrCDsd0xqxSx0GAxaqqMfmeUtOb511lGvdZZfePZM 6hirl35PUB8TO50mKrjpJMsCSPEsjxnu22z0z78K2WrErMFaYZWitHhHLveOzKMjOpuC7HuZ0/KC Fbr7g5pt48elTJ9lKvZtUE12Bm/I4kV8Nb5iL2D7+gx6Z9yjuw1ePehvFreJ4y4PPE45R88eIxYe l6aYLFbwQRb7+OvxrMFNU6JuVJgHppuGYqszhgVvA2KfvjBTtp1OR8xylerA1zkN5U/U09hhhaJQ j/YZRr0HX3lAtGRaX3zCJX6hHNNg3oWn8RC8Hw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tYAPVapnqsddRargk5BL8V3VlXyo2pBev77Cr73Ev9YzmTYFWiHCBiCB8ZT/czUvjgo/UmF2BDY9 m7T8pzMSOv1NWDP85q4MjZAbFbgaxGO9+9uNc+L3Q82FJBqhnZsysHkNlWP9JTdyAzTlNpz7dL/A oN8DrDfwA7C4joixy2w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mw/yvv03pGlOzAE5woR39/UkbkuO8v0L0CwJVMZgyMdKDOL1QfsrqOcWz+p+PgnQpd89OlHw5c1j GNFt1GcmYxLqPl1aRcf0n0yLuWT9Qrd/BheyqObKaunT2n/uAmrFHlkq/A2jl8S5hK9mYWU2+hsg 4D7zmmeOtQ9X9TfB/WNJk0brWcE25VzeWbopR0OqMQIwkm5vu8VjFWUjhEWoUTTQc7UYTGc8zuJV uJzKkh2svTxDEjmo+7Oc+3n1r2AH3fKp7/Y/rAOIVCNjaiVHnYM4IbhfQtQlapQcmWrUinw9GA0G 4RF9Li7t2MKgZ1fVBjS0X5bzo66SEdfT0Xwe7Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9216) `protect data_block PvN/p01NdbRsH/sDphjSmDyA2quCEx6A6O+e58TheEqOvCuTu13KhhBCROgD0S6T1+t6b7Lcc8Vs E4mxBcBLzl35gBapApLuaxDjvl+x6fneBGDamFK61vhujEWCKfQoUggFDNALtpdsXPbwKOlF9jQS kY9nJ8PmazPva7++bXFYPSqeT+R8kwYTiRgZnfJ8ki3b71ZdGUQu6rNatl+nB4wbb4ICeA5e4g4Q 1yL/7/QCNlvzNab3B1xhO/LoB3penFq3SFZDzWgZVQbeUoCSQW2us4u+fTSp8pTbmFY5v++vj00B Tr2a6+O75rFR6NfZ5NQqTQSVrEIkycgblehb+dGkzJj0g0+1CpBCvYBHn2BJt2jLAaKHPO7h3SZc afY6Lzq95J98qnByuv0VwKk7FRgPgww5AxrBxrxDC+s0bEHFBqUAWKOP+mYAOTkqwt/H/J8krB/o ZD8TevKmhcY9RiOuxqsphb3LW1Oh8pMfmy3X4cOK95V/hn6nf8bb04Ech5wL7wXTR/lV2/h+GMM7 Fm5CL1oRTAgOUePkJIsYCiVDLJiQ/CiPY2dfCfJDopb/lK+/JVyXULoGAVVnjQ11ddg8R76frM3X RyyXA3wpWo2d9v2tMKHyOMcdWFnKCHcyXbj+OzQZ1MePp4Z5noUyR4Az6cG5+4HR4iYac1I7poHz byMaYSqDnYQ+P5wo76AhAY9+ocmXslsrPpjcxbYcOPn/UdnVoKo7oJYTwFwztYGk6JgRh9H+H6t0 TIDE0OYJvmq0H7O9pveFQyzN0iRGj3ykF5iqhszfXbJRCS8YkTBq+1Y8FoHK0lQvdYjdnb9rA2iu 68C/7NSNDlWBK6pJeGxOvthyEIB1IBrQKeS5gWg6oyQme11RWsyV+/NhTgE+1JHe1duCCviAAz3l iWKkI929sWrj8Sg/TJo1LuSC4tLHmBwfayXNE6+74IvKbWa1x0oNLaLMjVNiRwFrhZjFto/3xGm5 XhzBRalbSP5uC17jUElettSZ4QHKrmNx4+i6KV4mrOxBEc8jEpMQY6ZCCmLAu2SpAPjbMVegwNWv b4lgc1bPTf4+sJzwrlzFBlTIakj1Zkpm1nEMqgcqQd5YeyGBLTS8ZLNpga3ZQf+KPLNq6PxVS2c1 RFVi7eabP9BKnALWkBeqJ5j7ZaGLpj04uTFoZKMuuNsKtx83ZZOFA/f9tVwqHvQDo4KqLWR8AnbB oF3UQBDsDUQ2lKmj0eYb1qfOAtp3zgGD0Ca2BuEWv2XmsWRGITLWmCOdki2CFsBRekhbWdVd1C9G nGyjd6tYX/kKTY193YNc7xmQf9xXW0V/kyu4jL2LYKFosQla5HPfEJZKhGz1BsmSBwRAqBS3aqyo dojhIsJutIz+h8a1yAXC+w2GpO7IlKG/fluG3LOX06kEwts2AXogCJ6UK2BjxxpCpAmX00CYPs7x UCDSHOfPAQL7Orsvw2/aZjhZgkRIAjypfgB4w5XsZy5eNylMATOp8ZvS7KXwjjmhAn5MZhE3moq8 YycN9ZNrl1q3t3qC+BoUumrcKLoqYWCHKGgEpaWeQ8hFwXAjYc//0bfa9mRbLSX7mKW6dn+0pvUT 74YTRKU8Gyy/Ko26sn3IX/fOk7+IZCRAjQoej52VyaQL9cOz+nKp6li5Mz/VvmOodGS6dBfHMU0z zNPLqt+/qrKw9nhxUZjecp6SDqPinBgyPsDVX8TJ/+BWOSCarIfxdlp5S3olibXSV87mNsjqiZPH eNtgezulVqNNg8a6Lxj11O4FL1CpFnaq6UOM6bM/r3B6+LMQI+map668dO+UPb21JWL2Bc7mNlwF JFUtdMAu3/2oa0k58MraE91OmnSa7+eTRuwb8+tQMxgXHR0goIO/8F6+2kUh/EZIdioQBT33mcmy NhwdI0Vvkb5vIRf4gGQxtHPFbMKk/TbOAS9z0Po3bPnlsAZ26mb0q0qQFKt/Ebkbjupozu16n5qV sOHNpTNO+8w18NjJ/68FUAAFv5OmwD+Z0jTrNipc+kjbT58TFX4aueGDNkbPvxFqt+d6EgBqBhmH mJvCvOnRRtLf26g7vfjD2/yBMZ2MDFYFoy5kzv0P0OzoZrtXRfZ6J4t90rnePTeLnmPsM9XSqwKH 9SmRYonFiY6Vj5WjQXNzAUTbaA7HUGouWg2Q2bTfOGRuKK4IEwnCUoObHGlbJ/BgFje5BjFm6Kaj 78khyF7an3rECfX/LSWel9pUH2C0F0H63wg/rfCiMiM+SgFq2VvidnhfVfKBUjxWr2d6YHhv+OqG fVrLNGSxLFDjVe693NsmbtY9q4Vwe0TZ1pBvJhli5eIxqJdYwVnb3wh/WWiJdcF8ZQcNZ1rbayFe BgYe6DScupSxF+AW/SlkM1Qzmczsu0mvGI3Nc0vd5jSjmLvhN7MXJEGiGnkyTmw8TCeReyLLq8LH 0a97CH7ps4r577deVVVu7gB0Y2GpLDt4hNsyz73qvhpViQV8Qb8b/Jz0eKdaX21dE8vY0pzHNhT9 UriIX0WaJZrc11Ls1O4HbtwHulDEmwlI/Zam/dIxs+ZfjBAEW35mr+ln1EkHR5T6xdyMCTCmaR6S QAFhBi650ScBehtFQfZRwwR+XPXvAubcsd6wrFhnQaqCKg56/7+7qMRV/WShi9J/4WrgiLWcQUzP XATlOuf98fQ7vdIWs+chLRIJSHJGLiPySmu55JPpQYRMECRpQ5Bpt2K2JI1vUksC+DvQJcztZZel oIpyqq4QGLUjE1ku/s1v5rfdXvA2VlOefFMNdADG7ZGXevpQjXE6bgha3bUOQPueG8epayiz4yUK GpnAbefMONje+BYAEycXgNWMQhD2F7HslpDMg6I6H6FIwUJnPcH6Z7D4cEG7SbadOquTp1Esv0l1 D8/SZRGqFvTIrujQpKnZwX5lMQAtPP3E38N+Aax7fl+YYI5/Ko9CkdE2PSUW6zZSRbDkNal3IXlX R7bcIDxbTOkuZ7UtoXl3XElkwz7rhBSKo70xtLDClV4CZ7/kPbi+2jleupd9vcd5ELHdQyv+hrq0 Kub0ppHQjZlP3XqFRQzATKvEZvRfMunVEYANbdJjlUL8/xaK2h3dY+G+DIRfFaIC1qOhNVEq1xVz 7CJ9GlGYSL293xKVIsHV9FWfrS54rLyhxE6Whnu+zHW4u/idbaN/OE7lFdO7T+GeaJeNadIYtLuQ +B5ehgD6cTd640DMclf0B3DQkFSP3LwdD3KPET6VbbC6T350FnxrxsIOnl5DG3ecaIqGYbdUK4Hv rU75Jjk5nJFOpqnrR5g6vaFLzYatujaJak5oBtxCrpxKkx77SmZmkzP/4TzMyRJ9tjYZBWgPnfR8 Kw3X2iPVOpNxSHj7niAJj44N4IJGgavJsuZBM40yGuwxdLUmDDqxVR6vNwY0+ZEgu+kiFD8abfsw sJYK5Gjr+8qqJA8hHAw+NyLZK99SRArjgUdSLEO//046klNaBrI31X0WtASp3moqAxT17a5pOvuR fOxYu50ccHtDevNa41b6mO2z1SCoDZbI7HfOZSvyfsfuam7f1UUGDWjjoq/2kgbvWFnhVCq/wzor UaCDB4RBtljjdXnOSE0TCiNy3ukFVWgDSPFcDt24R+TIlquEMiBD7nnHwvb4MtalMyN8C+Zy0y5z lWJeKhvytaAOg8/pCw+C6bKavaBTLf8QuJ3ULMMP94vkay6r2hW6ywaQw0NzULXpz+9pAeNUVC1Q y9552jcxIIVvwbBxQCM8dqn8I5ZpCcgtunwRjD9OxyPj7IwyGOqkX/UiaLWAFwq+BgYHjfbAw1ON 1pUfzw6ebmPhxEUpgmxzsaKXCCYwZTDDV1fb4FGaEfPaAyMXiBUMSigWKRPle0vcq92gM+6J19CT N5ZgWB4Nl1YtsT2Fl1wtXMx4ne3GrQN3+wuJKRY25Dga4jptnDv1s4ti/HD+aPiPlaWOQQqZdAjI mjQLJd0bT/8f4Vim//X5cnncAToMoMktceZGAXF0VXZ8C3Ob35nk+xrufUjeTeg3Wf/rSme0Hq2D 9luoGMzFCFSsh2j5EDxM1Tb2IQiVL+2DvlPGcom6watfkwzzzS+HHmt6NVn2lf0x+jF02xGx4CdA qVWaPQIIrm3vb/RQsqb7bl52APywITB00zbWmj4jYnBacS8eYmUZ7ZS7xFXGPqKoOoGwp3bhKKSA awTOJqJI5kZOmuDAyZ3syLCx2iA/vxmZiY8OB0ALgxmM0C8RPzFR+0utyml/tfhK01UB6FGhNmDN CKcqokunQZylNKocBUHMg9C4u7UsxLno8u7LDiL0vySy9LD18V6zcwgfejzmBlCsRYf55cvtLdeq oM3JXsxJhBAyIUUj7AA8ytKSAoyrF23p7cAdX3nmf/IjtSrKSuzBqSEf12ASOR8jaDuzX5wn0C2n JBkO4mZpBFJrpqHyvgrI+8DaFcRlZxtny4s6oG4IAk/C2VVu1yinojTez6xcEJFEJGLX7Jd8JUGq v9hpyXFZU0LaHqrXTietwl+jfo2PHkxeSEdtN7Af80sGCTLsSdIT2hqXYUBRjNzMpkEI8hqdY6Ih hx6Ap/NTllRmU6lkrRKPjr0IgCtOAlxQ3tGuWvLNHOPZTU/6aq9/Jr3/Q2v+LVOtBGY4JuXbPHpe GVQakRAYo0JhSNDyUQLTfocoMo2JS2WZAVbiNlwfWSO597EdmCofcRpsdDet8yANQTNBi/K8lg/D 9fNWGcFnyK/3uSS3JdR9wXUqhfRbVkHB2qsVxzQ03ZqCYvbAZSVySRS645rXDVX66edL6PbgdJxN q5d/o2poRAodILVgUkduVPkBoTtzyNcrqIDHUfOf23ouqNcUTwmlkWjuGhw10bMiYsYsUXIg3ILi kJ2Az1FQhXRR2P7WKFMUaig1s6oM9JxoVQDwdl4tXvvm0oPI7NQuhzXHPFAVtWlWeFfxLTkB36wI O64ozL3/AMKAbH+EVhgrZ0bc28VKmkKiuTrHKVqBOuOW8n9y1vHTqgD77nNhYDqSBK+cwC86PJng YT3bDTK4OdcYvBD4KQIUuRyd5cXh8PofTCzr1fobHiQnKbX0BCP3yQAtm+q2bDqoKfNiY+Samdxk BwuGD5ReED+K5ciriP/ssJ9fZm1cWLpUXee8PhEtb5QcIAvLh4eqpMc8AFPNLFCgymOD5bzXXtah ojySVrntbkivlewCE0ww4xQooIQnJCa9Ci9FFwLE7AFP8iBV+QsigfAaun3Sifxh0Vm/53HXXHNy PJP2NpK2cxlzNtgcgkV/4QUyXmUizo+iOb1NP7tDi6X4DWbV7mX3rhc1hGzltejf3u3Dgm0LUZnW NP54rVN1d0EbJm6OMFDRJcNQ3oOosEyhGqxjiavnkXcREB/mnIzb4X5BzICqarAIe+ABWJZtAl9M 96SBI1kS2FH9j/jJ4jU4jSls/45jMLgc60P2XxhUeA+RU5jU8yEpeZmRRFfMoNvjIpDZA/UYciqy 684rbNFegcmyFE3qyeL8GjcWbPs1F98vwVxfd8/nypxlm6FFCZGlcfzTDr1qWMpalGW8ccNq5gKP qxwqCRDUxJnT1ih9+kQ0ZyMDDfabtGH6xgrsvMu6azYAP31K008JqtXz9S5IRNBp/Fdh66e1EEHD TUw73XQns1HPXK2LCiGqxWs9fgki0haGF2Dt81o0YQyurHIA5akpsrRaZrKICs97oUgRKamM1SRB fwsgipV/qy9UKAvjLRYiVcbJaMOKXjAogPbx7hbIfXW7I9ux1NXP6wSedqIr9h0g+EJB+LHkd41S Mh4SIKCnYq+RvldU3muHMFwC05jsGnLiSiYeumfgFmZpPFK9wDb5nmLvQnkOU7GDXK4hT3oOJajJ /e7xBwy4WGtygrTjokQvMblB67dEt8RDPFDk4nU2EyjDQg/5iXNhAzGl4XlYPQPcw8n6o1l8WXmX BnGs9NgSHGubiRJEpdEjqXTEG48gmwzS/4LHEhaUin9tfi0LhMa6ooBqF6YTCFn+TMgAIdYJG/FY CfJMZWDp4JAFgNMJNAUD/QxtC+c0jBAIEYdX14VzdV/P6qzJhbY0jgZ+lDTfbuwuL+6Blpwn7bLC BJ1XuIA590PbOSVJf+aDpZcb02IjuLD2qex1bHYjy/XbsP+C79LRliAHtE1zkVLH6IxPL1gaBtwQ aVDZsGGuwlJlt537kzb+Cd7ETvcwoGMl2XdNbpjcN1CL8B7WAcoj6KuFViRD9RfWV+XnJ5LxGmU0 cpSPMq7r2iDGG1b3Mpjow4ETG6wzOOoRN8q6VrN9jEjJZWOIbAO/lUFZ30DMUTGLCYAiVjxLrvWR VhFY7BDZ+NH15L3LqP1Qo8gipeH0TohGzi4AA9MfEK5mBb/0jXsZnI295iIdBu5D9GtBvisXrddd 5Uvdh3o2MPtj3Qx8cYcHAK/ulmg6Bgqa+khbjf3gUfiUQA/nm1HL6yoy7TljLMWSwnZ8CVzlCXPV A84zTOliuuXHdPWm1W/OXduEqHQU0Div7peAGTCjcCSPosuKSn1gpEnxi87R4slyQFpQcXyMwQTF w3hRDIRGZFIkYB9tlreTZ0AyDGYBfaFuo8yiUeI9bHmrjWSCd3BfoNSh5w+J6xFGZivQMFUfRvjM fAK9AVHGDmMUXlL2W0eRovo3VJ4YoNP/g4H2c7qhVNQ8W98zFW/WOyWr0d5qeuWF2dpCxHm0jy9a 4phqhRFS5Acog4PAaJcHlCPiqU2+ymmLkMSft3rDKKXVUecInc7sjkXfwm1n4wkWzQ/HpKFfYwEG aHdUumu/XkIO3ACx8yd9BjJMd42ZeEZfrngr5dio1IPxYd1BIxIrVBd+y8gFF4nRrvi+qqf6JomW ZoAzSThXD+gGGIowBR5kUvALz6o/351MPk/e1+7jYtj/hJco3e97W3XlZQpc5zLx0XabBb5ngOk8 JSXYj71UDf9ea69X7i7PVVvnZ8U3zrXhqpmBAwuYdCiffuGOUPrikrG2Hh1hC38V2c2JoAtFLt6R cHlitHKtU4ea1WWHP54lSOANv4WNqlu48apZSIrcVhV2QjuY37QG1NRLgYrORGGPhCAMZPBe2VWY Eq5kNgiQCn4ku5VBvs9C+y/XwdTPwmq7SCKjcc7zUAId0gNHXK5fLTluUi3blxc3gHLzuaqb3o5P QUM1WVLxkAp+Zq60NtSZqvYGnLGRqUz1yRqYgNc40LSgb2HQYd7zq/DqZgIWuHRikJnl0ljn5lhg yl/AYJPLsQTEhsIssR2/xc025Y+sBVsOrHbEACTEyxNdIMeGn/sHHUOiOsIQPByymmkUnHRk21tr iNzL+oM6R+1WYsMHBnHlE822OzIwe8L8vhguujPwtkom3e3yBq8V+DF9qHmawTkfkuUatGKmJQrR V82UVIwBtRo//vcVT0dgehf8yNQoC01Seg3IxEEgFbIjNysDfNJoB5HjvE3je0TFlQ5kdO92iRle 8zEAYOtSnPBFWOR2e80DksxDpD+HO+EXEa+jc+dEoCGyD3F/teVAwjdZn/f0PTVF2msMPQwjXaAN Ilpat8QVosUiwiAymf5VvPKmCOScZBQY4zqe8wkhMYboD+V/E2iwdLmSEs8yc0s5WneHC0lTe5KY yB/uYkyXRsirlXjf+dQlQ4MLVa3lLFCgNhfF6mlmqVoDptxSUgzgpXeoa3IZDC4xnAhYoU1VNkUI kin33YvncrRfRDvfdFvS60WPodv7WN4LxOG+XKPKNZMXBQVTYTDt1yDHoW7hQt/sN/ts16rtvQEm adC36yv4n+/ZVl49P637DnJr4QZ6HASiYABj1oisVspiMR5YZtuFWKN2s5N80rredwW3H7bRA++K CyGpRKdTBES6lA8v958J4LYsflnCLpnSWhcVi+hFeZVD8adxC0/N/cPUAAUU9t9TBi1vWPN9mhDO TXnUw3FTVApzHoviCIbO1ycJjSZCBYVvDMuJAQEXERjBr+l1Ou1T0LFoddCMMaSDyaTltZf06Lci OOk8K3/YEsg/BvMZEcMtBdt6TuZomAYE0Qj1eP4UkM5ZTzgTBUFPDIXwma2ejyi+XIyU4ngg0lEL w8SrsWcjganXLzZ+KOpRjsVDJeIJ/VB3/kIeJ02RJn83eKzIurEjc86Q0nik9WiKfwhG1VPmM7gQ KORIIczSfwxQzzoVO90JFk7LCLLLgxWSujf1hnalQfAUbrp7Vc5WNOZSBbWaFLRc5yZHIVOwlclc lInMtssWclg7tTlbob3lEkahuJgUDzhexPEpZykeAXJqjQYow1YsmYg+DTTy7Lk6sLvprC1+J5Wd KM/oCrRMISK/z8pw3scz6vyezxj3yu0DwKY2LygXp3GhYfshl6Wm2VzzEXFFgnSV6ElSUDi0VKcr mt1Afz0aggQ8NskQNBqcoceeO9Dm2hbZGwbxH0KVPoy7wi2B3rpwiok0H6wnTMtAwY39ve4mPvuU TPSfI0/j2uZ6gITXxIje+BLFCxyFkzrGOy8wtQUjWSOzWPy7WGjZKNG9Ofwx69VNAcgEgpzSwmQX hv1dqQhdEjpe1zMP7r6xrEM7UDmNa4Ubox+bW2vFU033Rrp4g8FpPx7dKg8D85GDXNdCmd7+xsnq StgjCiH0BzoDBrAerCvgPoM701wX5sq+Uxze8WTsEvifZ0KptOvMKGEnm5WBHYy4GqAcuzuHjP1v iz7eOCxH+hsuPObhB4FJiQRPS1zSb9HHqZgnvwOJQgzQ7BCoOYS9G3xC+h7T4L+PAqC2W0wxHGYU wZRVreA/+pjHiyZREo4t6LKLuWTZy9Ur1KmlpLNnK/RZXxwY+wlGhWX6LXVBBtIWi1H/SSeWhdrf alnsJocd5uXB13xKnVASP8dfHFviJu069jHH9TlSmYjBVPj/gcMFzasjyFXcH0BC0MfNtpRTxcRr mp9ZfQZ0iZSG2Zh6BscAhi/gGDR/fXwI7kFSaYDQjC+ZxIr+0gz1MOS+EGtzZPGXzp/qAUKWiG7W h8oCLVU5Kj+JSVckS8UtHm5BU7Z4IrzwMrPmYkXUw3MqCLDZABMvVhf7hEtlxO4Bc8dzmod4XxyX pa2PyrDLKBhM0jYUeEhvhcyH65bQBqLJ7C+Bu40xfGVhpKhizE6hAt1dUJ6tf6oEuehK6G/xsQIp Zb3TTTNxbWf0jWdPpjWS39cec0vngmw+tjieo2IZp96IfBYdJKOfJOmJkFET3TfH6MZxnNFVuzY6 dTXfvKc9J00bzA4fR7SYzpQDbLl6RNyiCG/kq1Y9BxqI5DmofZZfJWqfuGh7Oy6RLnC/rDL2Wl9i 1c2sBt/LcIsDOepl/ebDJw7S7Ayn7W6VsF02oNz9hRAfcvfgi/3W7AsBdDhUJ/xqWjCyQxNj2ax/ ngiw2Ym+3Kupx9OuDRalmSVDxa2n1ZCjrg/3DHu9XXAAVknUnomHj288pPGxM6XwyhgOHaLPBToC jBKeQGe0Wx16VbDfL/keOiNxLGsE8JhrWPcYO09bqcVYAsbrLu+D3e6ZzrDMrVb4ESB01sChScXq WBuOJ3NhQiQCLS/GHzmM6UTYLJvisA4L3uz65I3sR9l+EI1sKy3sR4f85bDRyXjl4RAPwU5Ej0Fj W6cNSjimWrlaRwLdGEek+HQJJWAee4h4qvQrOJ/qYntBwgguDKAAq46M/QpoaURnR4pTFSf+XCOk mhj69y/NFzkatf0TeHogj0CNBK6zoGc2HuJ+TJBAgDdb8mqImXIqXDy39xtxj3nIFyuWRPYqkX+O POgqIAHe+fNI3X4VKRuaOgApXnD7AsVCrY5ZN6vR5QT/B3rc11NqK4h+vLtFn6ncayYZcQ1RF4ax GQrc7bg4il5G9OFCP+hVs5JWmU9KzLar2me6GS228wOwhku+sA4j5GuhVdI+ki2pFfDzshFLJaPk faDzJPmGD+mSyF6ByPwXOYIFRI75XS4QgUrryoO+Q8Mhfdd2rssyE1/16b9HCF6mbK05oh6EOU+h /2T0nHD1GJCbzvKGsuaovjkak5O4eyXxEIlD1LDRw+87Kb4qmp9EW6i0YkGtramNxysjKos/aupy vhuAMlN7f2yLmImiHtF8p5v18O3QorX4nVhH4hktfQUzS3wB5mtT3lj0ub7nE+AixDv6jyFStJBR VS9njsi+CZffrG03U03fs03ou4uiSfrqIEU3RK1z2ZkxuY+E+tvbItYSDYsBQ1lqWXoO3xogEyq7 V8YtUxil2Y8z2cp0D/gHdLwD4sk3LETmCDF7vHNDPyfcMSXf3ee4y1AL1WANNr+H5mP08BCIB5S6 a4B6MFMT0X0t9mEanSTr8lupdhI0kq1epRor7HbAhEUQLipOYESEr9tJBW41s40203OQXvIxtvQZ bxNWET204yqhJcWJ2RmSM7kCi5UbbhfW5orHy4z5HQeHvK6LJQWJTClI/pTj1TwdUo7XOX4WkKMs VtCEPVaTPdbOUIVACawKZVmOTkxXsKHjXfvy0vV6QU2fK/ZiyNcmVsFJLRUe2lfijIS+y7TYHNQn 1fzeb+yiT4oOgXWys7eHp8ffn04D5win9FPohrlHPdLdmlVXGJNVufA58SnJxPhso6tJAq6jZuSR KnxZRAe7HANVkeqYn5phi5A+T/YJeqjHE9L2LFoJva8fyf+d6dr3qr/mj5071WyXNa9zsiWW8YbN akPmyBhKvk2nOlSzTTCPoo1IjZjks+GuUE5vO7aaKiT5VTqfU5sDSyLHtXrTKF73QsaTRIN0UQP2 zKf51hgDu+gRuY/pOumbWHQ/QDZVCFCxKLgwByKpB02awDuO6nv7EC48tJAAfS0lWXM6FROrTOts TX3CalvTFc0c6sj78GP5Lml8JKmi76Z1THd+ikmS5r0hoB6+0mohC5NJVwSMhqY6QrF7PIJsfbqZ dRiu8Q6zjvz7jWcrl1lzZ2xYXdFMGf0RX6qaqbJQs8VtrnPUPBWGbI/DIhnj/v+7XSqDD4iqNfe9 8xtIo0rIZVsqcBhtqmggfM7PvixjdNm2oH/lNLYNPIeSaFNymnN6NYkRZqm01tbC/LAauk+LRt2Q UDTr+xA7phQtE62MIod08XcTcRpRyO9U8SYYNslTxSyuwbYd/U+TLvSgUKQdv6vw1CWLxWjF03ue Zkg7kZw/PSeWd6i11GG+7NUUpm1ORbpL1tL8Y7hMtiSLGNdm9ZUIfKbsvaH8OIqXqtGyMRr3QGvr +J4vqwz1MmY9LiqEi+KCfTbpX1mR/gf+V7IS7AIv5bbrrI9ek4kD+4Om5Nk+JfcSsJmXEcXmnMyZ rB1F1Dw9eQ3/nDRqkM4DXPySiWQpLJMkt8w17Anm/Q/JVKn1d1dfAcXGpo7kC1SWkAvfsLsl+lTt ptalA6piJKJJy7Pzc5LbvDBLaiHQ7v/K9OBZFzFmyswgKVGWM23y4S5TjziOlguTZxYWJhI73T2h 3PtO2A9A54gqaJKmh/l2V8hzNBOXXyR+1fUFyowhyHSRBEGTSn7K8RAV/WMM6/vypeN5g+jEuZvF PSywARYA/tHHQ9SJfUzbLVRwEGMU24R+vNpy7Uz0UMjPB+GZGUUvZPcw+LlMjayIPApXTjJHfuDY LyCW8UEqDnTxzL5PdBV+F8nJ1z0JkaJd2ufZvcOw6nbDLAaERBVm2yGgPiSQH5olhxcyLs8MuFB4 BP54qnQw7h/7he+674poK44iBUT9jdulmhZmAVxPY+Gc1THF8wpEKnMNiE6QSHLU9DWX1SlJr/Mk K+NvgGDxNQwqtOvrnoFYIK6Ob5OS6qfnYG9KrzRbp8IutK8e08YnXT3k4vrMSGnr/84a5fDJD5SK 4/gkCCfZm9sRVIU/Exdq2suREbdE+m3HnTfgyDMumOBsvitE7tCC49asBLKpq09VBFviEZL0Q6qX safrfR6LyLPXV2PfdQkE1lQTWTv4sUa73Nzg0x5eDgFkcyzQZCHWuFSMBTjWdDogvpvNLvp0QTtM muU3PeQraSEB5QPNYVZS1e62vvfn+IQoMpvY7Ly2fGsBOkLDnBPCn49dJmupxDjie/iPcV8lSo+h Yw0bLHU7kTM6WOtmuxMqSjI90FdeGq5MkuhukCUNuw/ey4Emqf0HmMDdysrD6Nm15AS4bte2bULS W3Zj0IaZ5oL9kVO8xMvm62AOkK+cWuX6MLqwsDK/o4ssnKfkBGfXMZ24p6A/puw0uaHxvQlDrGa5 rlAHPIRU4yexbZt3sQpLLeH5JzaU2pjaWeKixMTfDadQfrKfVH68yqoukwQeHMp2fR1LuWqJwjRT mJ+d0PEiqTe66g2NQMSosztHyDmF66z9Gmzyng4Y+o9XDpG7Kd7b `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt.vhd
3
21736
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mBXfr9r09DgkXlDMhNLBXkTr/g0I/TRGqOCt18P5elvNtJ+ki0f6a3Hu5ggcerzsp6km99/QAXeA 9CKTUe1XVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y0VOaaF6qeR1ps1T98pXqMa1KMfSaEKZhks+xM7fh8TCDIo8Ift9p3c3cNG+yDgp0BsI9SIjxMq/ fkTB9j1OErYlkQuQVJ95PEdUa7DzTFTPoplZxhvuS6YIViruNRtlf0b/ZbctdMIK6zNES2JL6JZB WhYxzdJEqBkXq4V0SGw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKysW3hJuDQ7U0EqETGvCT5Rzg7GJldKyR0m95ohqoinMXiNuUJsIEO9ygLXkXDJi7DB8gSZM35G cG6IeX9IDIyGqpOuDpzMdowMQQNKYsSrMk7jgnCkarJW0QBw+25S5H21sEgzdBwFWBmWToyqIgtf FB1c+IHPLERmRbQMbTY+Sh480oYU5RcCWBtVxnSL/tQk6INsQabkon/OMhH5KCXe+Y4ErzlT7LuP EKYa5aUqfcvD5CJZOvcMqja5zWw2LTff/fdkul5d/au0b+SKNtHaQrmiFfSNdyNMOQLAe+qBebac r2WpNqfh/NxUCih+0ydFUBd+BLO+Zk21Loyaaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sXsDWFZduR/R+j0JmFkOof/K0/gOpsZblakL+u6Y3jE5M1oX95IgT9FGiSLGWzSN0BicCgBVQsNq iYDyaUzNHaa0qI/2gK2z0j+QqbGqGFzjVeBnOX3KXmmBZPg1ZvwGquj5Ik1Ctt0d1q17d8YxbZyM tc2NTmJNmJFZWBgch18= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AhKEbh1jA+IKWGuGt8y3yfwmqKTkFcTm4KkozpTu9YFnlSZ0FAATTzH4Zg3ENbI8eHWp/dOs+IOq hyL73nF9D+nlL3PGlDhbbRgHdN2iNzCpoe/tITsbSV0sJWWKCoFt54y+BQf7rxJUflbWciF4qWlO 7nYPBhB3iXtbV3yzEtu9c3gods91C+DTbkm2sYJzEcFrYu4OntxYHEOjPSnt/j2HI3vJr5VtMDfc HDOwdBjR95mMsZq1oUWs2/PM4h+VaHQX37zeupsUvrSt8Q0gfBcydZ3yfdbVJneYgmxrQiyXrhvm y70gDXOLYu7g4jD1uIRq0IihsHxZFIxL13/MHg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14352) `protect data_block Qkmfh0YlZkFJlsrjRwtS2HoxvRiwpp3m1KdZLzRBqwwifkCb3ihX4PrKwoaIxIVl20bImH+s48BK riNfKwULALlfmjcdXew+t62WeVIRH7W9gBftgX4rK2Mnspc9pTx0ZTma+T0kEltVI8QTPMmQMFAK DUUB+3pHvU0eOVpNv1GKAko0oOb2aMsn13JU6NP53Diu6yCwzWDAQWaTiZ/TNyMNJ73vS9DPnbH5 6kxMATccA4ekP1CeQrOzSIqr5Rmo7nPibpmtrzOyLrqPcFRsgaItUrGmH8miw+tN2AxEB8BLKx4o kaGAHbrZ5Oi9dWFY/OfMyDdgKxd9eVu6k3NzEGl7ZWmVmXpcToJ296Z8Usy5X+rjfV0Jjw6ayRAz OkwJYsru3V1kvJgoz9cKfpnNLxih/uyfMa4Oa5z2KeeXotXg4AvAktHJf8gsQ3FE5o1Kl7uNB4h0 4N+10F3zGIPnTam+c/LVtccHgqRHhLT+PN7Fsdk1JIObW1XpgwP/z9KZXrnA+x6ajGT73qvbI3kf PoAWOWyf1m8MStt6tBWoggVIfBQsFSkuU3Ji+YreWU2FCDgd3RbFobM6O4fKLtLXOPwIYnHMo3qs kgpTJCOMjwgLxVEKOkxxBIfZaMCvJcXeD5LH0hWuZXbFxpHvZDX2k55RkRl7IRiNTJm13G4QqDCH qYXKt7icD6b0iW50jGlJR/aAIDstMkd+ga7m2CyL4re+e8XqW2EXnAqUTJYalcvbT0wU+6DtvFPr l8DvkrFSpHgslJAva+YuKzR1cKVp1UhBVNZnt702ROL0tapmTSFBUsx/oxuTLaq+zojjgaoW4IJ2 23Kii3ZTkxpvkCoKB28h8KJT7SxrCx92mYIwjh4v9HLdGu6nJH8OodsiRQ5Iy9VE4fWS5LFeIr9v b1yvHQ4sJ7T52McMbOIVw+wEk5KO6b1F8ErFAqhIr6K2X59YSkZvXJ/SV0r26ef0nQwjFzfQ5scp IIKpb4uTQvOgCCwT+GJlvZhZywVuw+VOkwCerJ8pmBJIkgU2YpjyV2vFxubjJpg5dHQvxYB6Aw6u jxZ6NVn5tB3Z+ZeCixfO3u1kTHrmI9EcedERVntq7itetk9KLMip5EuXaEBT8Y7UdrVOrGMe9JOv CI9+MTpf22xqCl6f1zEcbAUFpZpp7XvDvSzSHVzgTd985B+Uv8VoC+0NiiNF8RU1I/S+1M2ENE4U Xxha/2C8AzQXc9F7HXHJLiEj3R0iE1fq/5c2yd0v3LbA4bZ0Mt/t/sOqoOlZQpwUASq5Rs90rBoq WFFESSwRoFYSnnQYwVbokU9EJK7ta+TN1mB8BW1FO4Y1rqxRc9NDiuU7nOtxfkABDO5qr4Je/KYF n68e87vo0BAyHjbO6QtcXClwiL+rdbafoNL6I4OEqIifM/KMMvwSdsJb5jweJmdmjK+rmXpNp/oS G1I5H2pvGVd2h6inp4uOPAQFMh5+iOX2N4/tnCZgHHL0i4cmBcwoBAS8ys0WUcWoSionm9zGjBzZ PszUtNUTNBt8jOGR4CXTMQLgU5aqHzfI/PtbpVOQOrcXDL3dOHYz/9U72wZZuheA4mkg+UOnsG4w 5YjE16FNdeCUsxEu6SwH0jl2YIcL7ZX3chGsfP23HaidcM9tWscDn18ttM+CDqPb53mfhcO7suAe dgqJbhEuPcYEL3xThssL+b0yemhfy4PkkGbCKR94Le58VQ99ndigc96rN1v0LjfVsooKvE7oGNdG 7FHVzqWJ1tW3rv0JE3GAZERVmMHM5XKPwr7S1Xx1jetipX1bzuH1ElIkDacawp59ybvl3ZJSadJ0 os1n7hOeaCh+x/57e8YHH+lSRt+AxfwE2V+v4oGaZdPonRmtmlZlVLfVe5v660o/qCEe+BDSvt0W Vol4q28GJ/+L1S+EIaJ4Zv1q6+R/vFCiexaZbJJ/FPStQ3XnHqGYOA36xHv6rm4YhR190GsTiilF FIi29m0MwiukgiFvK0OvurmGLHfiwZbnK3Vazx2ZK47kd2U4QHTK8/uCGk9XnpFhyHZYdJFpdc0u ACraowxw+HAUd4zKDu5KyNVyTtBqGU1zXS/nGK8w5df83Gv4A4H3L1Mox7S41HsJ+i3jtKnHf79u iavEY8QNnw1Mp9jjQ7Kp59Xl2gxwNySFcHZZUPMl2zz9VBBg2pTP/JNa7TV5WTcB3YKdQ9XXRKjt bgqpHlk4GTchFOYTozE6wzLZW/wIbjmHjvm0TfO/22bosg2ZTx7nRx3SsWugZkK6Y9c++eDtGrRk cgvGz/qjsebQoNzCe/HVVGBGi0OQg1dcTP/0mIPeMfWaM0wqgjm1HOd+KFdsFGavCDaXfbRD1IIv bC13REKlvUkOBmE1+xzTtF/8c4gUH0lMZFZmmr00CWyqjO+KLyuFfqwSByYHqPHmSq4l5plNvpjA MIuZaqQIOkYKkWTyf5EJaDebxuSpfzpEcXeg8mMg1dYFik1mhc2+Y3y1O8AsMKDdLb71jN7/EPPj QlNLkLVXpSey4E90PPwAE0vbdIsYcqB+A2rgW1+l8bt1pTVweJjQZQxS8BS70VJvWdp1brA4H6i6 5qJdVbTy2yxWED1s0Bx995Gu2Cbc5x0+tYq7WG+iJnYRbfgvMqREsKesF9Rgb7a8MgA7wq1ydhga PPt9wPgqO8KL/5yYVKd6RTnvJw3QHv/6qK36HaEFpXo45ZnCmx5L3cRhoPc6wr4ZzrXSFHISNI9N GzBeGlhmmCUI/nqPHhqbCbyeET/TeB6HAfREEDBcpd8Bg3J90tSu8aigKJrSaR4WpSfXTfbPU8k0 IFwVb1LZJdz25bivk+6ODW/eN75llSpsiXi2VfeWPkKQZ9/T47UT+FiWrUimylsyiotB3lUB73Bn 70IWzho4MjG5zkDl+dlhGtd8d6xWpWsnVXwsA2DGbBpdVF25pRNCqD6csl9GUsYPDsZXn7AoEgnn hIJbSEx7E7KAh3sXiGvS6XNWH1bkoP3vVZ16Qs0cQswYxQ6oMhyyHYdBec/AUJBD8VeiXtszYi5K 8xOkWZ5wiL+OVjt9cxF/Cr14sg+4kFSk5g0l5p1v+xER2VoP2DngkhHjSkQDZeU+MtrdzDyIMpEd drWs9JMzgFCHSpdPCD4h7ggYY/+6LYa6IDn5ZC+1gjqTPa+nXAyNOM3/y/2h40VlixwMz9mDBFMQ x2pPnjEVgEnPEIie1uE0vMURq7C5JKBM9MAXv3IrWaOx2cabD4ypnpv+IRzWQb23TYXFCIerCwpw zwyV10xXn0uu/Ulz2ZXQM3ne9Rfwyal6L5wolwlsjqKKzNw2H8m159rBQpXxAsqeSMsM6UJcjPOb MpJMMd5OFZpNfII5Ba22Dp7eIFoMJsu1lY42uPHLEXVMoo/Ip2foDygJq82Z7XETxZgyJclwDRUw lM7S+fBAKOhsEoJNrkmYl3N73QC4lm9sVGSKcxdpxiDRDOGpkRRmn4iQnf+aSwNvB2yAHzGhVfrF t8EICXczG3OiTU0Iv+TVl4dYj0ViQOtnfbuhWU76Na4OL2RXpPIQ1wG/TmKH1GfMZBAfh1X3ZYcl NCZ0uVcD5MgMUTPI9X/FxniV+rjO+RbdKHtNuMYOunpkIXInA/Ud5TFzuWc2VHpTrZO/rljOkwz6 g1Hexx+fnJTdbFRRNM6shFR5UvfpEo3bbI3EhnU1xADTQxzsSiU+blvCrMgJZCFTC6s8pgDNziPY PpWe1L3rnl0U+juw8GVvb+IPcbUEk6sZnox61HM1NCKLBbT2AMv36UJkvy+md6hK8dzbTfrxIFDb n2xHq7vItssFLGZl93HIwAojxBxL6PHF18ibolx8t9LCenz+tc/rV0muFpucDLWNqmYg53v5jAq0 IiUL8l9gziq6Ii1YOzrj7BO0HSkHSn2MPt9WS8rYPYg0+jtr8fp4EWoZE0b+hqfZq7paJJdlZgAv o7SaMhgQMZwBuobDBDEYTemXrlF3diiNW7gmdTcjha7owyNe+hChUisMzEFdw9b7r7XasbcLUkrz ilKCnroQ6jmz3wNhRqd1ZeQta021O0MRRNtai6j8Q0SLpby6RfJdSIQZ337ooAvygoHhPfirWZ+E Joh4qHCfsB0G6ESa7tPJgx6fzWRk6iyGQcvXz7H4gYNH4dGAn9FnwFly1XcdYZPR2rUc9qV/MzyC fyus7TTmYadC5w7MxW+ZJ8Nis6UbF+ZA+NbKoKQHSGeE7WMfXSyNFdm7kVE1LLyIdop9eXWjj31v E5tzwjMji9CrpiJ8P/+2z3ZTOC5mRZDsOiAQw+MR1EomXNDpYXswz41CE2Fe3ycrBJEzqls/QvO1 LiXdtG6F548KKhAOE//AFnAmTUi1xf82nKSTvildqZxq520WBykhtGAFruYc02hIptWE1dyBS4xQ pJ5LUYQQIOEumjKGvr1US5O4RbWmnd+tEGhIiau5UZiNzg7NL9uSvwLSoyf9hAZ1JLPCrTRBEyKo 5yAJJbAEwbl6fGGx0/Y9qgb4/FpC337ZbsNBxhaWFM+MJpRY7mAxWDgwkwud1TGc8TLkHuGBnDRc P9SBAEAwdmnqiGQxSQJhHqUYGPnjep37aSlSQNivtdpls4fxtyVD12H9n6MSClGEwVDLtamqz6tx cP6tC4Pgcr7Cov+V8NQYiqxJCTE/1uxGiJ9ulM3gu8cOSkUiznIzRKoo8znQIXtHePPnm9oasLjF YvBnR/Y2WhGFmr1s6U3SFzClW+85AVy0/xmoBA0mTqbHaA88prpexk9q1JJEteYi4JS9AYZIDJVg Weo9rch3f33jn/QXIyV8FzGGIPGpdh6nHfoXjoWUbZWVT199TLi+JQQQBVTXEgAPcE85fVFfnJCF IXP6G+kIBrDYgdTL/JJjh1xLJjKb/Io+Z85+wKgPQ/EmeLKowCz6NURwS1Pjk6AxrUnjgbiiMtoe jBdi9ePTtr3HvcEsKG58X+SLDlVl+/Yft4yQscbjBGnmmNRvlJFtswjXizcTph4eEj4bT1owh95u vKKpzfGKWQzTFvzH2lKu7rSXpLH+JZdP/ZJ/jQmw4qL3rLyzHAvWLWzTBW74V+5tQNkcw5hqm7uy 6oOcPQgSiCr5M6Oja/o6NJLk2sxvS96QGb88CNlrO+2pzqT18ELTurfAKMK7iz2M7y/sUpppyJ/o XwnA613vJwLWFlHNVoViAqu0EXaYP9K2xiSUl1nU9B1F24fBceEZJdyL0Vk1fi7PVxQPkjNse6/H lmmxbyklj1A+LNh7WgMYQ90g2MusfJIGUskruLSpgtLLd159IFwQU1Ed8AE8svsfjQydOjws/bCB Qvkr7uGhFnJd4FyQuShW5H2CQhn9HXIyBrLTyeJTdvbPgbuDlnZM22TqhgIp83bnikY8bUSBNhF7 uilxQn7lEkRkR2WWx4AjJzdpjXjSgaz6w7+pREWuiPm74kSh0XRYPGkZleEIxQzL8DIWRRkAnsoH dC2gzz2rHdKjAVPbJK5yN71wtISyW3bB2FnHVICio1oeI/FtByBp7ctZ1mvd6V29D+lh/QIbmoE4 QdUZpZcBcoQdDqIwHSCSyF7RN7My9FT44y2opk2HY+p45Hn+jExlbQwDBg1T/yJ3TOSppyMOT8z6 iQRmvv5mGChv7GMlkublovxlXg61arN7NdGAka61D5/CZRmqK6NT+AMMDN4BaEfXqZbaScmnDISk b6vOQvNLdC8LrMVHS1yVQovxkvCKaT1MvoqY+B4nePegSpXT/qpbBoF1xTpLzNB0HAfLJe54NJ3r AxXn1TGkGQVITauAI1gMjemoP34W1uWHhA7JpQ4aVnR8fpT4GoKqrZB2PXIaByNbE4g8hJIKz/Dk SEzk2GhAvy6XUneDT2xYelUdSoT6CuvJVQeTBjHMqJP2leMY2SlmChLNcMu2zUl3vsck5hU1eUuz RU2kD3klDFvos98TVKjePTtRzl2bbrveRGrhFsRQeccA5os9e9WLGyG7b4E4H0gzqoPETfKwAAwb xdfIEJnNfJpgqgZy43bOm0Fuf36KTcGwxr3AaOJK4eudCLjxsBlJhyMK003Qb0/g1YXVJrC9KxU5 WRGBRPExVfDMn3NdQbLv4huye2leYvTKrfq1kFII89yYVhCr7XseJxh1geA/zG8QkjGsZ+exWHGZ QT/zwwlG/xeI/HQ6Lfds12Gvts0z8+b+Eh5SPR58NzZXCgd/tTbOZO649dBp0BYsXPwQOXXqb+1p FPy1qOFVZs3bFlTzrZsujKseun5QsavEgYIQFcDYu5VDcTz4mpWqMH3Wre8c0PCtEP/307yNqkJn 9P0hbw4dN9r5uQuNYCRYfd9WXBeXWydn6gl+PwJsrxLslUgWhT6m+bsm0HEYe3cKynRSmEslTZzI Mims8KCW/NRsruhUttp5ns5WVy2HSFAgpG1SGKmhZpZTxSTDwqPWTFIaLX5gZ0s8HYZJbTAf/Hz5 Qm4qTPJYOrfhRY2V9zZkbLZvDYDUMAZ+RER/6dalkkOOIzPd73iLxdgqkNXk3BFkopDwYqsdXtpq K6R+rT8sZhIS5+Skn7I7hXPlzVXaW0V8qiUnbYWST4Nzoca/L1s8M8oPeyxJgz6oFoDW3No0wYyQ EVtypy6920QPzifGvv0IT/9LfykWT3ROtZk2XNVCYcbgcfBWXGBIE3Pb6hAluijL/H+YFpsNraHE 3BrT5/JwxwPINADwweV0mYT9TKVUXNNqzjxjLfaB4BbAzvi9+Bih7Ef8RchGldWmh0s0G3vkBXQd k/uoCL7dUx5QmYTimm/zeq6+zYdpz+kdQcMzwok0Wd5M1CGdVx5NP8WTdx6mkxQYC4QI2iHnm0fn ZVZ3E0awAAub9NdhjSQfh/0E1LyGNHokYfti8W9IAfhebX4/OCVv1WzQAdiQiscEWd3YQtheOcGF 1IASOz08/BX+zAy9TPoGkKSDhB7OXkBjVV18n/b/seQsmuzJM9DHqx2tQWRRpYwtCeFOuwPfUWWh PX0eDhOqptA2vOrZvRLJ8OYC1mqtjqwcd3nV7Df66KH5T6IwdHhwaW9dSEY6tKhr/g/qK3DGMi2r 0YD/LdCt66XLqZUUKA5Bd5bjeiqb4tyj26un2zlS/oAYJMjUt0bUX7xAV3ccMHhRBcqMo+lVSAGA CeJQB7TQNVOlOlm0yeNLLOCYMQPsvsCmjyy6nsNv16h4LU5Q3gsmGq6+Nk0MfqOI0icwbENyDL0S DMXY4x2IDG4g9x4d9tZ53WZODE+eyQMkduViB4/3N9NDutJOcVBgAHGODoR6sGQg44wSevoE1TYl iuvN9VYnfWK+/Rez6M0ndNfLjUhlEn8Z+OW7YxTv1gOasS2zoB+jSrRjvj1icsCMoO5jHq0r0iqK 5EqLB0iH7Yj7AsSd5FZAE0HONPCY38m1Q8nJGNGPq+P6map9EbnEni/DQ4oYxFaxa2hPCn7H3zYH mFfAgwGZIpkJRi47BQ0ldQAKCLrebCePwZFjtoDl1Gwr5mvsqZWIV27mlUaA5vG3k5iLL2AF3A6C ELXesUh6hbhfqNW8OkEwoa+y9E/fG3rIpN03/2gIf8ahcSrCXHkrMdAnNzyMJUOJQ5FTZCqsODrv CnWbQ7J9134PlZ8ZTVmUoaxyu0Z2GbDlKxQiRHFOpth/e/RmYXD+attvZ8V8zcb244CmY53uvSS+ mhxkTG4TB+7IQoTgWD8sIPQCgdPUCCiJlT/E3V7qRzc8WSHH3IeA7V/TKzZg/cRhG6LUSQyuJPWm QJl/7galljyegtlbHF0Mi/47+t5ibJ1k3JJ3Vir/mLP8bzGVLfzTyKx46z4Mapgl+7c+dKOSrKw4 2zrvvP8tERfUwo4zPuhCB2tH7Gq9cJERUKbntP+rIuwz9nCcvTGxR4W3M6ynQRCJ03RMmZe0VizU rhPT8GVXcIskWOeLBhUki5Mc24BjxVFnMaWBXQxNqP1lC6+IeLSfFBBL8QL9jvt2huocjH/CENtO g8o4UB4i2FQ0CTXoGbFtxPweU5iKhhxGQeML5xwiykGP5meVHYtD9pHaSAlMd6yeDb7sGanDn19w mwBPEH1vofz4hOCCbmSF9Bqtee99/m+U7jtvFKT/P7uJETrhTCFCXoZQy1QmAVhyiShFPD4Y/eVT 5F4NohFGNmK51bE19zhSZmsC2XYLP0PsAkDoQEoNfwcj3n4viiv08Yq/Fko0jtyTH1SZSXg+Yl0U S2WeMittyCaLwZVowJcKcDHQLT+mO7CE3ld+7vjTiUHvIOH5v4u9MIVgjDgUU4kDW8AvBqw8iquq hvsd+VUTwgFp9Qc1IkilsR8u4Nnb0vzS/PPk2chRKqgNGODGTOwwGCRNZH20bqX7r0LrIEGZYIO1 k939fpSu7FHG9kUOiuq6osVyaydbkCKpY3blgMhgqx0OVoqwiZOvWFDlKWgW2N9WVrF9BbaIWVVU h9woEbTWdDOHI5JeV5i0BvdK+Qz+yAx7pZapaO9eaH1uyhW7TeXeIM1dlMO3grFF4F21cnvLaEbw 56nycthVKEkC+SBLiJMn0y9e9wkKk5bu/Xl1mECCqPHtBo3DfFJfmJ0DvAZ7u9IpjSMk4rFyCPfl O2V0EcIy3ILIZdsNB1y0DrvKjBYGrLA4F1qGusyrFsa4047/JVLdKVR0/icTy9HOAbsobDNgGUi8 PMZS8mMyGupK/ELbSBSCix+e8gCsYUvF+nPJDzXbysgWpUk3AbtSQsDG4oR7ivHdNyYjLU0b3WSm M9Mx1Pj9OHTcKoGPNdKee93zwHu/Bapo4UFC2YnZOJHREiCedeEiWVBuy9Tg/cCacBzxT2+sCWb7 EcVnvaPXC++azZOVhTdXRPuxPuvxTkdtTi/OjSZt9WX55GXWKozuXyxwj83fo2VSIl03ZgXDxqzW hf52/I2Q2WUcHqmmC/2pc8ukdUjb6Wopme4/OTi8xRQFNTTk54BwbLZURJacZX1DoOFDMJug0lb4 CqstsUZCImPOd+TGjnUcxNHflgpcTZS2mFxHrt78Pk3BWXp75xK3h4P+rvntPjXMP+PJLoNq5AnS Kxurn/0/d8o6O+NrUfUgPVYXynxfobwhytYDl+6FRfhhbfs8FljpwcrW4x/rEYyn9fzCPcuK83xB Jpc9lRwN2hBRk3TVFYYPb6GPQzZ0AMwFnLhkvF0WtPlEOJ8qgAhlq8wffp+AEQ7K8Ty0WJL7L/J1 OykQ8sJPUdvwt6vKSaChJWTlStTOaCHRIB7G5YVG2R+/7ydMGOkQFOdYSu18yk4O4dPriiTgs3Ok ebS+6/pA/nky22XUyc/9HqoEqhRRQjlTgkJn1xUSYcYDg5fOTIMWCL8LzE3k+IAQZpwgGGV7grBo lx6FkvuFK8K+q2vgk/4f5aZuuMy/nV2QMVIBE1H0PFOasQeFJAhVbbpQra4d8kDvPpJ7gfiGZcpa 2Sijwo99sNbvXnD/K/X7pOkO97aEb+X+pBmN5VbQ93gg6Bz2EOM1ddKR/V8vkMFNm+bUwCBc3rhc s2yZXuTEDU95jvaTVzpFjhX7c3OKwILetNmVyFSIH/JYtuVnDfqaIe5P2ky2tmwQVFVvx5BhPfpE FWR4xxWww2Xr4hoo85zR+Zg+G4NKfmyxIgFpgnkFYRszrVnIA8jmEIC7C8R68Xksa/HvFej/0bM8 PLFMrgdUxVC4xSzYrFFqajQwXDJ2/R6HyVRXbNsL/jb0EoEdIEqEHADsXowZrMq4oMGBGGu8TiL1 nFJbRwlPWvjXRy78OmOFkp9sfwUNRUhAo/GoiYxGPIByNYtoC8YTvz+c7P+SLBN/vpJYPye6bhUz XbYhrhey4vj06SgIXQDX5Gv8BDcAEU59UogRCJpAkxchqTLRfolhxFrZ7zoaeeb4H3PerNbnH3VY b4Ca8qLZeZBLrsYLrX+1nxRJ9iW6c7l55IwgK6e+e7pRUOFIjN1mx03UnEY2tYNsmIf5nz0Y/aie 31kZFeDSdk6K+XIRSurRn1BaeyIOnQskmsUNt6zSbaX2FzP+Als7n69w64GZLYo8stYVOew4q43M CoEXj9t0dYTTV3o65T/nP+Drq3Zk8N3Mt/cbV15YBoDdfpm5kWa0TFourA940ckqdexXqbQ6HINy nEWkgQ/m/kmmTL82jIzuCrIlKFoEELRqtPvM0FIzrnQtNQOCGloMwHLVX0FXq/sXI6ovwJuAZZ6z fWheEtZ8IrP3Xw2bM7GCvvPBSiqqJIPEDAZaufHawFC0n5o+tXG4IiE1D1Zf0Y5g1UINjMfw9MDv 4MHuCBbBNQBFtDsd7GIfrvFgi83q2HHOoIrr3sp2n0zDRBI9R2QHM+EOfXSjqSnvjQDCT4xqWRfk 14rHovynj/VUZvkyGTdE7eoqeDdvtkb0O2jWyRDWAXVP9ePPvEMxTiwEiRekSeqSgKV8TEdClHi2 1dm08UHG6hVXWJ5im16CQbHdDKFqakRitU+5FGbxo3UiYGXHfbyR7Ayn5mYOU/SCtR8LDuhtaz8i hPruPq0lX1PFSn3FdubiDePYSHzv/17oaLBXbNnsrGcPV3I1xzjuRudP5110vpwjVJmvLBbuBAuW MIMNa7OMo3bXHXP10lfQYh0CuyxfDHbIPUCViYF3ygLj5gTsf8ak+f+62FRLL0CIihhziVBAxFNu IhRy9NL2SEMunkssutgKTYzwLDn3mRLGIwTVXSlYDrzij48U27Me2z6zbbEtrWoBH/262Dv4wFZQ +8UywVahaH9nGfsRb5BcpmRZwQQLJfVvDj9uk3rw6zl+2IgAbQyCE/SUPZwslv/EpqgS+O/TL8FC 35ThzRnj85ST6DdbZUhNe0kwrmnjJVR4Kknll/87ZSKD1Wkczxq3uyIUaWIIg7fNBBKxQ9InGhkX KbBQhiKfF42TexZUzrxTg/pHbco+NTN1X5uci2ifSOlnTeoYd4++rfJcOdrUxy5pSX7ljNlLMA4H oEhK7/6xx/q5Yb3N+en/vKkY3g4SJUivNJsCQuCtWPvLm6KZjsd6105nBcNv94sgXCjIUYaMC3Q7 lDiWkl563Nd2I+enKjwzHF3jrn4SqhL6WMDnP6zLmuiqUrimhVRcKQcdaBv1+gHxGctNPbPlUMAp 5eUh6Bi72zNw/IxpredJxRYi3uixRKu8R9nX68NYz8DO/x1pbRJqvkww3JoExYaOzqN2Xsc1oGdS pmL2zq/s4/ZAe6MPg8BMdFH5erNYi5CztSd/hr+J8ojthy0qeef1j08+ANIq3bD7sddctYCVBUQc M+mZuxO0b4z3g7h+jUe+aAutQTpcxunm6YhaNkcnVLn/PhC1RYPNu+Gd6qyV8DiF6Z7NSNfP4Y28 Sy1+v+AtRk6nFiLmoyqPqBODu2VS+gXM6OSGEuEYTlOWIOxFejuAYWH3qpkngrUJdNrVNtScwSlw jrbrJw9uug3p23knvkZMgrIjumiUdv8XAxJ3P3HSHgsg9ColDkllVgJlFRfOVO53TxLDXuEz8v9w VBNiKs4LQYwE1yhPRw6gnu/eqtHgPGgfCaT4jrysXvTzQg44WmY4+uvgk56C5PeI9+dKdY4/rUCB GZ/72SP7ovhXl41NqT1Ov4gX9Vt8ZKA6t+cmRqVUDtoj0fGUMKeaMnSohx/82/7NMTebb6hGx/lX Eu+m6/vdAiIfqf9Cw9ei8PFGxs1GqRuWTM2irbdmZvlrXzqsXzpHZH7F66IPOPRv8FRrrs4IR2of /e9esKeCdkQGi7AdWrJA2MhMPfKUn4/RJhD8+VIbeuaVm9rddHrCgxQtA4oEIQv4hDIyLf4V492K /6J6GvWtvvunV9MOA+7pldgzDtNEmpMFFZdWSHe46bSU+1PL+kkDMMQDyVsZ2uoFRVMCXumTwRTf 85dGHLa7CwJna02zFHuavoCFtAmnlqlnmmpkuiKiUZ2ZboIFVdyuTBqoqk8MVqA318FX70wzMeQK auHGSnXO1+np/J8J3DxNT9tfQqXlk4DJFxgI3kjY738J6NFsr1LscvGOdOSDhnP49wbvBIPjKsyM TY98P3ifneSFmm4AaR8hiAjg9Wlm37zgu5b9TdM6g+3W3jUYtkcwX7BLqHyBU/L1oG0/wnntCoVR t9FMJVaX/LoINpbT0/N8ZF0wC9IWWBgXKRhR2gRbYwOuZQtRwLoxZVc78oxCAdjrog8ubNcT+QI8 TlI4mZ92jaL1ybGFZvC1Ro43EutyE4IZITVzRxbtakxXJ3gE9bclI1CGoGOinMfYM2e2NvIs57PJ m510oVv5Tn5MjQTXtmOUTGw5kP6pIzPQhHjlsmxxNMRf/K42sdmxKQO3e1Ky7DysEYY4SiwQaYcZ aVSeoreRwnix5MGPva0GVzNrSm8OZVFhxNrOWGdM3CcQ/czhiuI2+zsgyDJYZtL+Qnq7s/ew8kEv vX6ZO9/3sUOLb3nwgJJyjYZFDZEck7sNByWDT387KzGZUXClSSeWhp2d0CuBXp7UxA8z3Ng/tjH/ swFOA/B51tj1JcznOMYcug2nQah+/QQSbIbP8lN+c/u0sCSE2jbCS43A/1o5Q0EZou0VN51k9usi EKSXigdv0e5RCFkj9pVsVER6LhhFtNOeZwc6UVxJ/ZdjKU6D5waK33d+0B01ys1gx8r3fXT8G+ZT srMQu7ncsXB1pN0oEmVghV6dYnXyq1MEcxPzR5zkt/wA8LIscLmaEscPcSCAHOUAl5Y9aAzFs7TP qVKmDsu37SiPK3cnSAIaN5wzFBB/LzAC0jO2VCHGuDzP+blOnYJ6v0BiUfSjsH4xqS7HPRlGoVUo CF4ZSXj9s0by5r8hh5xsrGbS1wXbSXUj786T6bF+FDYqSS426WgI56M2xwyORzhOiApAXfE4IRCf lT27LHnwWjFaGHwdJBTOIxisjMHIzOdWKaVbp9f8WaQSHTh9TsLiOVE44TD5rjJQpxCLtMSTmEB2 zqffiEaBrzDA4NDia14GfLAXeDeObjqnHnw8E+OszVYiH3Gz7b6XVDJOTfpsrJWBDvyqrAGH4EvG a1SjHAA9WRHz8PebSkAdfhutjsB7snylV87tFdwWVCVq929eedABh5JeSOxb8WboF2BpapvquczY +x2L5KViLHh9DPKAyXzmKIilV63ETkpxNDWyUXKC6NfyrQZfk4pxM4vqd5XERml6Xrqm7pIcnh3N Qx5/tD5VbW/oVBUDn2sbGVVARYfaSAPrZEJzqTPqiJPUrihEZ9esEFWB6YEbtXTh9Nuc/xorbYR2 bJ1I94ZaC94E/v5d+wvXNEGLkJJnsfpJEMDG+XdELKnmm6AVQmWE+DhutqhbDT+8u12w1Rc/3UmA ve5S+2epbPcnK01Dvysc5XW9SPiIhdWxo8Zpe8U94lKICx3UdIq2ImRrFJwSUghF2uXMmwgXsCDa O9TKowQ2KfX4NBZBZuOh+dblCT18a8AhEJvrlsER1itVHAGQV2fghXJXgpe6mSLpNc1zPDhTEL5G H5vfa1cmi3BuKmsZtnIU8Dg0smBdGzjxB35ioWDY7/G28gs7Lnc2bP6iTRoNwQTGT+K1O45IsUh8 0UCLP2UQKnyBeTlH5/AZZBSocKqAE88UO3xiU2kR0hbj5n8bBzgm8+BIHm9HdRc4XlpuYdD0ULcD /8DE3z6KlDD7xMMPwl2Yq5Q4AiQy8DSdNbOGwWiPIQWSfNBJoFuxFMwtxiGoQfgmvlcnxE0da8V8 fbmMlwPeJqn2Rzh54h1kqdk5Ko+knFiPKSzr+cCoxs25+bvCn2Mg89cm0+J11zHRNZUhsd9ONHZu 2za+ro8hpNXCjm3QFhPlNvh8foNls/b3zmNSiMaK3B+uHQQxe3tHPYHROwYWvFwWkqhOcmtHc0yY 8yY8rogYjXRtgLbL8iPMmMuQKhP0WA1/ENzHdQjAEv/IOmarIcfcvW2ooHLyv54V/D0PIoZ2gnYB vSHYCaRjt5zCTkVG5k5gjSEp/iHVmllQ0OsfZnzZW5UVU5CIH+dZP4yGhBZ8WR0xSFBzV3MZKp/S 1SwLSsV2+FqRH4U4uMFqA9eI46aVEim+haPgK3/TJYFwtSQJt+uE1J/72a9iomAvSq9oZFZXFob/ lCmrqxVzngNou7ZMJ+2Y0H4LNAjOVao3HzqSMz4gcwZuKynzW55kM/O/5mies37gan05sclst3EI EE8N2B5D8T2nhjEi7zyraixnmWwVeXhl9Gy/8UkDQQaH6JFhT62fJPpjBupXMziL4+O6Lrg04tav 5p4JXF9icJ87J8pz3W8MOT+di27/kWiekzfQQu0IGWgY61LVb979opXITvdzwdajPMo19+waASJI 3ikSqyJCztsIWpxkbDN4MFzcecqhxGkWnav4AwATyPXq9kLFQ2UuR9+j4+ttWTOK7DVjer9Bhjb/ 6fX8xNSyxmiMDKkoYnou5g/E+gja7/Uuh4Gm3PIM+XwuqVkNdfbs12mww4IF/wx7e6X4JmzHmmM4 EzyMQvP3dFVgEiVjoCxUWiaW23IUUdOim2ueb70NSIIgra3aWWQXi2wox3/K5aI5JKve8icEcIlk aKUVt3mgU1D6d0Cl69VIdcJVP5OtDCiJk7zcpqBtmSrwUCYBEFA83PM9LE7divFzVrROMKQxi6fe czjXNl7ZTkwoQD0iRDgfKlOmmRdn85NNZYmRm45hruglYTwRnLm0sBv1nDHGdqiIpHJrDqyt3z3F CQS8I5PSlW0+G2UIO+mvXrDWhm6n3xMsLoG9+V3KI89p/bgVbp/I4qnyJYmYcD4k+pPp4ddmzJSD UOuu+6L2oSLCh6mFXik+8iYXa69BFfJo9IAqF714CQNw89Iiwwjx+MLVmfEDbUQrMkxAOdoFgBmv J9oBq5xE0ojGr6vznBzWJx2It5EjNHVrD5LM5w0ldWK0OHBvCRaB0mAys2FeHfI8M5jmytgfIdjq ujE3UTEVJJ54Bv10Gfdieli0O8koarwKcyOuAgLH6gH4TmphssVW1Ncxv1N1BdHTu0QTmDtkW9Sl dL7QhJquzpfTOB+Td5OmTCh/T0Ir89+eGbuqQz2WOw2du5LbfoAHiF4hTiB9ndXu3SYaLi7zHhJr 7LfCF7g1pW9DcDQHBtaTx0Y2EFgOBXuFSlkRLMYNTvn9fFwg88i1sbQl89TL7WlsYSj+bMgyK0AJ mE9G5yx6sT6p3NvbvyTFPzFclGKPKoufQbmQRYT6MFiHYtcN9NIy2E9WMfe88jDPcTpq3fMXjDXW 4n004YNLr7wifGYWEuIkZx29sXD9auJT+mOi7+ehRoBe5K2u4r88kD9xpvikY2Qg82NMHOaf5v8Q Cv8GZvvG21Vu9uzKPeJ3tK3/+tP31ZJyvJaKLquwwpl+qp28J5zINFJwO5k1SCPTvjuA+3elKDj4 hp7MvugkHwzYNipjySlccZrYDzlh6UsokSulLUWDkN9RU5nrNBA9tQN5FeP19OlOY2V6+kAs8m3P HMkiLGF8U571zy49WtmJSu2vlEI9/QmfOb3yZbFhxvfVgZIpZy7XMrkNu5l02wZlnOnibZvlwZCl xcgaTZsH52KrRZGa/d0c9bHvDEwmufZbzcv+TqisSKmEwkv7jpzYG4mu5uphOukGoPEInMnDu55P dOKCLkLl7rliEOgBq+/LmTxeEYls9eXh/MxgXnwDBkXsj0QaQPyrZ74K47DmCOPr3RYPMICwKWZn 1FcaS9FEIIgk1I1hatCzoDPj2n96Rn4+E3z77Eng8cNElL6BKXNBOsJd8X0CbQxi244X+1SlrH7Q WvkdHwMvywIjbIwde4dhKldl6D3euX0MGTgKPgf2nCSCuZb2hD3XLB0YJOqhjSqXl/NbiYtEPWVo PcIU0L2DjAMHxa82w9GWo29Y/s8c6mwFhnJc/50jSgddVs/B9zq38EGjLafCTr52wQS3rgjm6QuB RwRvBeha6PYgoCitgHPTMfq+0YA4wh+619XkIap1HBEX/TzUjO3EJh+NLPmJ7GRMw6SQE1N/jGX6 x9DALDMJNumHXnPhY9HIhWwXKfaj2gw6mPlxZh6QINKWnAwsdqNC4Bgfh7TSxNP+9XBvfhhzwFwI kOkIWIy1pEW+SUPRGyC8ZuABSeYie9ReuKod4XhnDaQvaQXHqD61H8exm3tvdretfKwQCWBIHgNe 1dVvx9gSYol4gjI9KEXZvGXZHFGfsu/3DKHslTG81AiZw2+XUAbcrjIUTxFiR6s7wetVgGTWOc9F 1lYapPQIwoSNvnp+oBlfY2Uasn3gjjIM7wBhFyYM6mq8+fGKButjKubVu+6HUZvjbL56KYysUyRp /sy+F5H6FfCRGv/ZDJxk/jRFvUgkJZYc/OafqtOJvowFTZyg8+lupvjN7eZHeX1txT4cXSQ6Otwz LmR+xV3JX9VqQJEvZzSc/2FdaVo5vbQ2J2K9VLsI9SKmvbIYbfqgO0m6HmQPJ1RgVbY2tQZHsWIS nQgIif+hOXx8rRh8In0f+0Pt6uw0lquEwOfDyTP0M5w3uHh9soTWQWjOKH1UeKjYz7UovRlFIaJ8 FnUdLKDsBFenZWuw7GIXHbNicoHxgGjwJYkyNXYHhEe8Vl5H1HLysyg3nr3Ik/BIcqtGfxQWNZqx iFlXDxJIvimpmR+EF6OQ0kkLpKWvIDAQfIfKkqMkyd5bUjWo0oF/X5omDp2Kna6Bku5kDiVUMhQQ 8oRumxnYipstiS8rc2KLLrQ8GK2V0EMrC6I6Snnc4ALk0jNLZIQl+ajnaKRUwpv+DkiV8GCRcI4C 9KP3aAmWTxR930Idr6OloRjoSAlbgr6vL8My7JXGiPb0jtB8XabOfIMefMMkvrgA6OPFX/ydc7Zb 5toDDxjeULxxPR79+5S5OZRVRsr1piTe6m9n6GHwOEw2lCToE8V4r4+cSDRYDIoX18DyRuPlGeWy i2pim5w78a0ca8qOE6aKwOdFbZ7zWa0VyC9tmM5xtMPqY6FnSixiKDR86fQS6GUWD/RN81juN/bJ AxsLKbkq//FK2bkrG9jRXLoamGvGPifHT5XN1YxsWzCuBA1Ibnc4ek09p17TWUv6jCw/tSkBm+7x kKOCQQCE5Vks/nCLivrZ4IPJ/Aib78u90Wfe6UjjoD1gYhZovxa0BrR1Is8UjyiCQYBThHKs7mT0 M2dJo7Zcfmauh+83YAziKuNbvIiuaGU8uxC48/8g7Q7+q7hHy0s6P5okCu/xfOPmzvKFOm4RP5wV bM5LJFZnjGI6zASMfqGDY81F3M6LvbzOpnOjVFaeRcVRGQeKQw5Yo7K8P9+pjoJwk/0nx3NYsbhX 42IoDp/HAdDIhc4ZJVvhtaFBjilDB25vGheYRfSgQOLinEldErmXw73lORYzC7NFHYcDHFRTDyV/ GUvfnulCN3ULMpASVj5KXrAyu9556EEpcFmj3BqXOOrrez5eWkCSlnzBbtdFK+U+1sZyqKDek9u7 QistCLc692lqjupioZG6Dtnv8txWpuj5KQe0vTd7keAcGDNsFPsQvNdGYwgEJUYxAL8juYg2h45m vUzRev7vbmJdSOYhB0ZL3oJcXyviclwE9Dnt5mRHDoGbI6IyCrzvY3eK3vnDiwfdNgf/TH5RzoKf cT+HKm6qyRWkGByEEFh3IyI7g5F8yIWxw2eOfGZFFOXVmbEbHndeCM8V6c5I8HqlLYJXomLGlzV3 cdUY49XaZQirgm54lcPU2Xys3V9ojUGlDg1Rjk/7ms9vHkgxYAjj3O3tLrmKgM6CZEyq0p4R70Nr kzbSmS0H/VanGiSOCKKLHeW1lh2/h+ofnuGFrJqcbO26aWdJzoe7Cf2phuugVsdV9zeICHaTC3xU IonpJyKnmh6A9OOeyBySVCZcZfuBHdKawigQYBtqxiAEJUmLhJllUe2dvD3tJGekx00d0Ur+5n01 HJzUxwNmnHwNJuKUzW+anEVNVO52RNSynEPeKnl1FGgXz7KE95CkEIkDPlneDoTvEYSl/NKdkuVj o3mOPDi+deXdHDIHDaHXWKxkoeBZi5mJxLI0zACGHXapFoR8DM+tKAypkewxvjGJCwOE6xgstjFj ZbH5GqxXwTtrWNjYNU/M2dyNTRRGvQTp/o9UFM6f4zBj1PZuqwsdc7XTxLUrwpVtNCKYZRMvgxeC LEcnAfdVf5jI3xN1jLG8L/RSFm3QpsLIFJF1FDFTTiypnpQs/icK04jNP84cZ2LCjokVbcbzy5lR hkapug8+w1tcEu5MnvisR3LvZU5zhnQuMpqGgmjqtLGFGKjsXqmOdQmiyStE0Dl3leHWs+sAzXEl VQx2v+bTD0qy7sNuK3J2RcxB/NhF1FInI7NS2utCm2K8PCE3ExVVdunKnhphuJKIP6AGQvEIqVNP q5xDQDz3x8I85IEWkOipGcLviU1F2sOMWiC2aMZ3DQBRjpzoPr2Iwy4yNyvKm+q8XZ6rJe0QvTNE pvSdr/Mzaeb1MBjo5qIKtRXMYLy2YLlnSnoiCcNacOnT3pFREcM8XNRUocauKtLDVR3BlRp2E2wR ziwWki3LstFGn/+NrlPnzL0ZeAOIjywRkN8y+1hJe9Orqf5ltS74a35zQmmaigZKAaiepvjgRiru vi98jEvZuMkMJZ6fVRUCJ+evFoQPFDQcurVbFCaHdPiXaEoDPYJLfsOEODQ9L0bWg047j+VcylGH 4HelssosQUbs4lKkWCN/ywALDn+n9FGhWu8HolsAQz/VkPF9+VU92QAo1MwzqxXgyZ2runbtRdPe AGVm1o3ZHtSEC3SU8RsV2gLDJHcEJOjomOrIMSdT+SkZTJK0tNuV/WTcdYQ4xjqWbL2UisG13MSb FRbZhZ/tLyJdkPJhbVtmOaBxGLI8QedJfHRQacO2CpC+5SPTc2wXYba14VWlSzVCeqJ5Q+jIN3tG N0v7lGgOFajcZyo/VwrlMADu1MndpX9aqpc6ZGhnyAb19cDjCLGmx7mO/+Gg5/Vdm7JfghUAw6qf TQSRP+JDpMOfwFIA6FUh2x5hJivFihIkjnXh4Cz3cJ5cjgoGNkC/3zccDsBittvUcJp+6Tl+E3PX i/DCJcjP6fiLd9JwasOThTJwsMO3GJJD6VSpOFfr5LPHtGrIYCj+1OlF4/M27HNSTZjuw3x4Wx5M EfQqznnxBEgdSKRPjh7w2H6cvVYSPgnhS5N6qlYVRo7qMuYRBBpePKDZgE3P `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt.vhd
3
21736
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mBXfr9r09DgkXlDMhNLBXkTr/g0I/TRGqOCt18P5elvNtJ+ki0f6a3Hu5ggcerzsp6km99/QAXeA 9CKTUe1XVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y0VOaaF6qeR1ps1T98pXqMa1KMfSaEKZhks+xM7fh8TCDIo8Ift9p3c3cNG+yDgp0BsI9SIjxMq/ fkTB9j1OErYlkQuQVJ95PEdUa7DzTFTPoplZxhvuS6YIViruNRtlf0b/ZbctdMIK6zNES2JL6JZB WhYxzdJEqBkXq4V0SGw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKysW3hJuDQ7U0EqETGvCT5Rzg7GJldKyR0m95ohqoinMXiNuUJsIEO9ygLXkXDJi7DB8gSZM35G cG6IeX9IDIyGqpOuDpzMdowMQQNKYsSrMk7jgnCkarJW0QBw+25S5H21sEgzdBwFWBmWToyqIgtf FB1c+IHPLERmRbQMbTY+Sh480oYU5RcCWBtVxnSL/tQk6INsQabkon/OMhH5KCXe+Y4ErzlT7LuP EKYa5aUqfcvD5CJZOvcMqja5zWw2LTff/fdkul5d/au0b+SKNtHaQrmiFfSNdyNMOQLAe+qBebac r2WpNqfh/NxUCih+0ydFUBd+BLO+Zk21Loyaaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sXsDWFZduR/R+j0JmFkOof/K0/gOpsZblakL+u6Y3jE5M1oX95IgT9FGiSLGWzSN0BicCgBVQsNq iYDyaUzNHaa0qI/2gK2z0j+QqbGqGFzjVeBnOX3KXmmBZPg1ZvwGquj5Ik1Ctt0d1q17d8YxbZyM tc2NTmJNmJFZWBgch18= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AhKEbh1jA+IKWGuGt8y3yfwmqKTkFcTm4KkozpTu9YFnlSZ0FAATTzH4Zg3ENbI8eHWp/dOs+IOq hyL73nF9D+nlL3PGlDhbbRgHdN2iNzCpoe/tITsbSV0sJWWKCoFt54y+BQf7rxJUflbWciF4qWlO 7nYPBhB3iXtbV3yzEtu9c3gods91C+DTbkm2sYJzEcFrYu4OntxYHEOjPSnt/j2HI3vJr5VtMDfc HDOwdBjR95mMsZq1oUWs2/PM4h+VaHQX37zeupsUvrSt8Q0gfBcydZ3yfdbVJneYgmxrQiyXrhvm y70gDXOLYu7g4jD1uIRq0IihsHxZFIxL13/MHg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14352) `protect data_block Qkmfh0YlZkFJlsrjRwtS2HoxvRiwpp3m1KdZLzRBqwwifkCb3ihX4PrKwoaIxIVl20bImH+s48BK riNfKwULALlfmjcdXew+t62WeVIRH7W9gBftgX4rK2Mnspc9pTx0ZTma+T0kEltVI8QTPMmQMFAK DUUB+3pHvU0eOVpNv1GKAko0oOb2aMsn13JU6NP53Diu6yCwzWDAQWaTiZ/TNyMNJ73vS9DPnbH5 6kxMATccA4ekP1CeQrOzSIqr5Rmo7nPibpmtrzOyLrqPcFRsgaItUrGmH8miw+tN2AxEB8BLKx4o kaGAHbrZ5Oi9dWFY/OfMyDdgKxd9eVu6k3NzEGl7ZWmVmXpcToJ296Z8Usy5X+rjfV0Jjw6ayRAz OkwJYsru3V1kvJgoz9cKfpnNLxih/uyfMa4Oa5z2KeeXotXg4AvAktHJf8gsQ3FE5o1Kl7uNB4h0 4N+10F3zGIPnTam+c/LVtccHgqRHhLT+PN7Fsdk1JIObW1XpgwP/z9KZXrnA+x6ajGT73qvbI3kf PoAWOWyf1m8MStt6tBWoggVIfBQsFSkuU3Ji+YreWU2FCDgd3RbFobM6O4fKLtLXOPwIYnHMo3qs kgpTJCOMjwgLxVEKOkxxBIfZaMCvJcXeD5LH0hWuZXbFxpHvZDX2k55RkRl7IRiNTJm13G4QqDCH qYXKt7icD6b0iW50jGlJR/aAIDstMkd+ga7m2CyL4re+e8XqW2EXnAqUTJYalcvbT0wU+6DtvFPr l8DvkrFSpHgslJAva+YuKzR1cKVp1UhBVNZnt702ROL0tapmTSFBUsx/oxuTLaq+zojjgaoW4IJ2 23Kii3ZTkxpvkCoKB28h8KJT7SxrCx92mYIwjh4v9HLdGu6nJH8OodsiRQ5Iy9VE4fWS5LFeIr9v b1yvHQ4sJ7T52McMbOIVw+wEk5KO6b1F8ErFAqhIr6K2X59YSkZvXJ/SV0r26ef0nQwjFzfQ5scp IIKpb4uTQvOgCCwT+GJlvZhZywVuw+VOkwCerJ8pmBJIkgU2YpjyV2vFxubjJpg5dHQvxYB6Aw6u jxZ6NVn5tB3Z+ZeCixfO3u1kTHrmI9EcedERVntq7itetk9KLMip5EuXaEBT8Y7UdrVOrGMe9JOv CI9+MTpf22xqCl6f1zEcbAUFpZpp7XvDvSzSHVzgTd985B+Uv8VoC+0NiiNF8RU1I/S+1M2ENE4U Xxha/2C8AzQXc9F7HXHJLiEj3R0iE1fq/5c2yd0v3LbA4bZ0Mt/t/sOqoOlZQpwUASq5Rs90rBoq WFFESSwRoFYSnnQYwVbokU9EJK7ta+TN1mB8BW1FO4Y1rqxRc9NDiuU7nOtxfkABDO5qr4Je/KYF n68e87vo0BAyHjbO6QtcXClwiL+rdbafoNL6I4OEqIifM/KMMvwSdsJb5jweJmdmjK+rmXpNp/oS G1I5H2pvGVd2h6inp4uOPAQFMh5+iOX2N4/tnCZgHHL0i4cmBcwoBAS8ys0WUcWoSionm9zGjBzZ PszUtNUTNBt8jOGR4CXTMQLgU5aqHzfI/PtbpVOQOrcXDL3dOHYz/9U72wZZuheA4mkg+UOnsG4w 5YjE16FNdeCUsxEu6SwH0jl2YIcL7ZX3chGsfP23HaidcM9tWscDn18ttM+CDqPb53mfhcO7suAe dgqJbhEuPcYEL3xThssL+b0yemhfy4PkkGbCKR94Le58VQ99ndigc96rN1v0LjfVsooKvE7oGNdG 7FHVzqWJ1tW3rv0JE3GAZERVmMHM5XKPwr7S1Xx1jetipX1bzuH1ElIkDacawp59ybvl3ZJSadJ0 os1n7hOeaCh+x/57e8YHH+lSRt+AxfwE2V+v4oGaZdPonRmtmlZlVLfVe5v660o/qCEe+BDSvt0W Vol4q28GJ/+L1S+EIaJ4Zv1q6+R/vFCiexaZbJJ/FPStQ3XnHqGYOA36xHv6rm4YhR190GsTiilF FIi29m0MwiukgiFvK0OvurmGLHfiwZbnK3Vazx2ZK47kd2U4QHTK8/uCGk9XnpFhyHZYdJFpdc0u ACraowxw+HAUd4zKDu5KyNVyTtBqGU1zXS/nGK8w5df83Gv4A4H3L1Mox7S41HsJ+i3jtKnHf79u iavEY8QNnw1Mp9jjQ7Kp59Xl2gxwNySFcHZZUPMl2zz9VBBg2pTP/JNa7TV5WTcB3YKdQ9XXRKjt bgqpHlk4GTchFOYTozE6wzLZW/wIbjmHjvm0TfO/22bosg2ZTx7nRx3SsWugZkK6Y9c++eDtGrRk cgvGz/qjsebQoNzCe/HVVGBGi0OQg1dcTP/0mIPeMfWaM0wqgjm1HOd+KFdsFGavCDaXfbRD1IIv bC13REKlvUkOBmE1+xzTtF/8c4gUH0lMZFZmmr00CWyqjO+KLyuFfqwSByYHqPHmSq4l5plNvpjA MIuZaqQIOkYKkWTyf5EJaDebxuSpfzpEcXeg8mMg1dYFik1mhc2+Y3y1O8AsMKDdLb71jN7/EPPj QlNLkLVXpSey4E90PPwAE0vbdIsYcqB+A2rgW1+l8bt1pTVweJjQZQxS8BS70VJvWdp1brA4H6i6 5qJdVbTy2yxWED1s0Bx995Gu2Cbc5x0+tYq7WG+iJnYRbfgvMqREsKesF9Rgb7a8MgA7wq1ydhga PPt9wPgqO8KL/5yYVKd6RTnvJw3QHv/6qK36HaEFpXo45ZnCmx5L3cRhoPc6wr4ZzrXSFHISNI9N GzBeGlhmmCUI/nqPHhqbCbyeET/TeB6HAfREEDBcpd8Bg3J90tSu8aigKJrSaR4WpSfXTfbPU8k0 IFwVb1LZJdz25bivk+6ODW/eN75llSpsiXi2VfeWPkKQZ9/T47UT+FiWrUimylsyiotB3lUB73Bn 70IWzho4MjG5zkDl+dlhGtd8d6xWpWsnVXwsA2DGbBpdVF25pRNCqD6csl9GUsYPDsZXn7AoEgnn hIJbSEx7E7KAh3sXiGvS6XNWH1bkoP3vVZ16Qs0cQswYxQ6oMhyyHYdBec/AUJBD8VeiXtszYi5K 8xOkWZ5wiL+OVjt9cxF/Cr14sg+4kFSk5g0l5p1v+xER2VoP2DngkhHjSkQDZeU+MtrdzDyIMpEd drWs9JMzgFCHSpdPCD4h7ggYY/+6LYa6IDn5ZC+1gjqTPa+nXAyNOM3/y/2h40VlixwMz9mDBFMQ x2pPnjEVgEnPEIie1uE0vMURq7C5JKBM9MAXv3IrWaOx2cabD4ypnpv+IRzWQb23TYXFCIerCwpw zwyV10xXn0uu/Ulz2ZXQM3ne9Rfwyal6L5wolwlsjqKKzNw2H8m159rBQpXxAsqeSMsM6UJcjPOb MpJMMd5OFZpNfII5Ba22Dp7eIFoMJsu1lY42uPHLEXVMoo/Ip2foDygJq82Z7XETxZgyJclwDRUw lM7S+fBAKOhsEoJNrkmYl3N73QC4lm9sVGSKcxdpxiDRDOGpkRRmn4iQnf+aSwNvB2yAHzGhVfrF t8EICXczG3OiTU0Iv+TVl4dYj0ViQOtnfbuhWU76Na4OL2RXpPIQ1wG/TmKH1GfMZBAfh1X3ZYcl NCZ0uVcD5MgMUTPI9X/FxniV+rjO+RbdKHtNuMYOunpkIXInA/Ud5TFzuWc2VHpTrZO/rljOkwz6 g1Hexx+fnJTdbFRRNM6shFR5UvfpEo3bbI3EhnU1xADTQxzsSiU+blvCrMgJZCFTC6s8pgDNziPY PpWe1L3rnl0U+juw8GVvb+IPcbUEk6sZnox61HM1NCKLBbT2AMv36UJkvy+md6hK8dzbTfrxIFDb n2xHq7vItssFLGZl93HIwAojxBxL6PHF18ibolx8t9LCenz+tc/rV0muFpucDLWNqmYg53v5jAq0 IiUL8l9gziq6Ii1YOzrj7BO0HSkHSn2MPt9WS8rYPYg0+jtr8fp4EWoZE0b+hqfZq7paJJdlZgAv o7SaMhgQMZwBuobDBDEYTemXrlF3diiNW7gmdTcjha7owyNe+hChUisMzEFdw9b7r7XasbcLUkrz ilKCnroQ6jmz3wNhRqd1ZeQta021O0MRRNtai6j8Q0SLpby6RfJdSIQZ337ooAvygoHhPfirWZ+E Joh4qHCfsB0G6ESa7tPJgx6fzWRk6iyGQcvXz7H4gYNH4dGAn9FnwFly1XcdYZPR2rUc9qV/MzyC fyus7TTmYadC5w7MxW+ZJ8Nis6UbF+ZA+NbKoKQHSGeE7WMfXSyNFdm7kVE1LLyIdop9eXWjj31v E5tzwjMji9CrpiJ8P/+2z3ZTOC5mRZDsOiAQw+MR1EomXNDpYXswz41CE2Fe3ycrBJEzqls/QvO1 LiXdtG6F548KKhAOE//AFnAmTUi1xf82nKSTvildqZxq520WBykhtGAFruYc02hIptWE1dyBS4xQ pJ5LUYQQIOEumjKGvr1US5O4RbWmnd+tEGhIiau5UZiNzg7NL9uSvwLSoyf9hAZ1JLPCrTRBEyKo 5yAJJbAEwbl6fGGx0/Y9qgb4/FpC337ZbsNBxhaWFM+MJpRY7mAxWDgwkwud1TGc8TLkHuGBnDRc P9SBAEAwdmnqiGQxSQJhHqUYGPnjep37aSlSQNivtdpls4fxtyVD12H9n6MSClGEwVDLtamqz6tx cP6tC4Pgcr7Cov+V8NQYiqxJCTE/1uxGiJ9ulM3gu8cOSkUiznIzRKoo8znQIXtHePPnm9oasLjF YvBnR/Y2WhGFmr1s6U3SFzClW+85AVy0/xmoBA0mTqbHaA88prpexk9q1JJEteYi4JS9AYZIDJVg Weo9rch3f33jn/QXIyV8FzGGIPGpdh6nHfoXjoWUbZWVT199TLi+JQQQBVTXEgAPcE85fVFfnJCF IXP6G+kIBrDYgdTL/JJjh1xLJjKb/Io+Z85+wKgPQ/EmeLKowCz6NURwS1Pjk6AxrUnjgbiiMtoe jBdi9ePTtr3HvcEsKG58X+SLDlVl+/Yft4yQscbjBGnmmNRvlJFtswjXizcTph4eEj4bT1owh95u vKKpzfGKWQzTFvzH2lKu7rSXpLH+JZdP/ZJ/jQmw4qL3rLyzHAvWLWzTBW74V+5tQNkcw5hqm7uy 6oOcPQgSiCr5M6Oja/o6NJLk2sxvS96QGb88CNlrO+2pzqT18ELTurfAKMK7iz2M7y/sUpppyJ/o XwnA613vJwLWFlHNVoViAqu0EXaYP9K2xiSUl1nU9B1F24fBceEZJdyL0Vk1fi7PVxQPkjNse6/H lmmxbyklj1A+LNh7WgMYQ90g2MusfJIGUskruLSpgtLLd159IFwQU1Ed8AE8svsfjQydOjws/bCB Qvkr7uGhFnJd4FyQuShW5H2CQhn9HXIyBrLTyeJTdvbPgbuDlnZM22TqhgIp83bnikY8bUSBNhF7 uilxQn7lEkRkR2WWx4AjJzdpjXjSgaz6w7+pREWuiPm74kSh0XRYPGkZleEIxQzL8DIWRRkAnsoH dC2gzz2rHdKjAVPbJK5yN71wtISyW3bB2FnHVICio1oeI/FtByBp7ctZ1mvd6V29D+lh/QIbmoE4 QdUZpZcBcoQdDqIwHSCSyF7RN7My9FT44y2opk2HY+p45Hn+jExlbQwDBg1T/yJ3TOSppyMOT8z6 iQRmvv5mGChv7GMlkublovxlXg61arN7NdGAka61D5/CZRmqK6NT+AMMDN4BaEfXqZbaScmnDISk b6vOQvNLdC8LrMVHS1yVQovxkvCKaT1MvoqY+B4nePegSpXT/qpbBoF1xTpLzNB0HAfLJe54NJ3r AxXn1TGkGQVITauAI1gMjemoP34W1uWHhA7JpQ4aVnR8fpT4GoKqrZB2PXIaByNbE4g8hJIKz/Dk SEzk2GhAvy6XUneDT2xYelUdSoT6CuvJVQeTBjHMqJP2leMY2SlmChLNcMu2zUl3vsck5hU1eUuz RU2kD3klDFvos98TVKjePTtRzl2bbrveRGrhFsRQeccA5os9e9WLGyG7b4E4H0gzqoPETfKwAAwb xdfIEJnNfJpgqgZy43bOm0Fuf36KTcGwxr3AaOJK4eudCLjxsBlJhyMK003Qb0/g1YXVJrC9KxU5 WRGBRPExVfDMn3NdQbLv4huye2leYvTKrfq1kFII89yYVhCr7XseJxh1geA/zG8QkjGsZ+exWHGZ QT/zwwlG/xeI/HQ6Lfds12Gvts0z8+b+Eh5SPR58NzZXCgd/tTbOZO649dBp0BYsXPwQOXXqb+1p FPy1qOFVZs3bFlTzrZsujKseun5QsavEgYIQFcDYu5VDcTz4mpWqMH3Wre8c0PCtEP/307yNqkJn 9P0hbw4dN9r5uQuNYCRYfd9WXBeXWydn6gl+PwJsrxLslUgWhT6m+bsm0HEYe3cKynRSmEslTZzI Mims8KCW/NRsruhUttp5ns5WVy2HSFAgpG1SGKmhZpZTxSTDwqPWTFIaLX5gZ0s8HYZJbTAf/Hz5 Qm4qTPJYOrfhRY2V9zZkbLZvDYDUMAZ+RER/6dalkkOOIzPd73iLxdgqkNXk3BFkopDwYqsdXtpq K6R+rT8sZhIS5+Skn7I7hXPlzVXaW0V8qiUnbYWST4Nzoca/L1s8M8oPeyxJgz6oFoDW3No0wYyQ EVtypy6920QPzifGvv0IT/9LfykWT3ROtZk2XNVCYcbgcfBWXGBIE3Pb6hAluijL/H+YFpsNraHE 3BrT5/JwxwPINADwweV0mYT9TKVUXNNqzjxjLfaB4BbAzvi9+Bih7Ef8RchGldWmh0s0G3vkBXQd k/uoCL7dUx5QmYTimm/zeq6+zYdpz+kdQcMzwok0Wd5M1CGdVx5NP8WTdx6mkxQYC4QI2iHnm0fn ZVZ3E0awAAub9NdhjSQfh/0E1LyGNHokYfti8W9IAfhebX4/OCVv1WzQAdiQiscEWd3YQtheOcGF 1IASOz08/BX+zAy9TPoGkKSDhB7OXkBjVV18n/b/seQsmuzJM9DHqx2tQWRRpYwtCeFOuwPfUWWh PX0eDhOqptA2vOrZvRLJ8OYC1mqtjqwcd3nV7Df66KH5T6IwdHhwaW9dSEY6tKhr/g/qK3DGMi2r 0YD/LdCt66XLqZUUKA5Bd5bjeiqb4tyj26un2zlS/oAYJMjUt0bUX7xAV3ccMHhRBcqMo+lVSAGA CeJQB7TQNVOlOlm0yeNLLOCYMQPsvsCmjyy6nsNv16h4LU5Q3gsmGq6+Nk0MfqOI0icwbENyDL0S DMXY4x2IDG4g9x4d9tZ53WZODE+eyQMkduViB4/3N9NDutJOcVBgAHGODoR6sGQg44wSevoE1TYl iuvN9VYnfWK+/Rez6M0ndNfLjUhlEn8Z+OW7YxTv1gOasS2zoB+jSrRjvj1icsCMoO5jHq0r0iqK 5EqLB0iH7Yj7AsSd5FZAE0HONPCY38m1Q8nJGNGPq+P6map9EbnEni/DQ4oYxFaxa2hPCn7H3zYH mFfAgwGZIpkJRi47BQ0ldQAKCLrebCePwZFjtoDl1Gwr5mvsqZWIV27mlUaA5vG3k5iLL2AF3A6C ELXesUh6hbhfqNW8OkEwoa+y9E/fG3rIpN03/2gIf8ahcSrCXHkrMdAnNzyMJUOJQ5FTZCqsODrv CnWbQ7J9134PlZ8ZTVmUoaxyu0Z2GbDlKxQiRHFOpth/e/RmYXD+attvZ8V8zcb244CmY53uvSS+ mhxkTG4TB+7IQoTgWD8sIPQCgdPUCCiJlT/E3V7qRzc8WSHH3IeA7V/TKzZg/cRhG6LUSQyuJPWm QJl/7galljyegtlbHF0Mi/47+t5ibJ1k3JJ3Vir/mLP8bzGVLfzTyKx46z4Mapgl+7c+dKOSrKw4 2zrvvP8tERfUwo4zPuhCB2tH7Gq9cJERUKbntP+rIuwz9nCcvTGxR4W3M6ynQRCJ03RMmZe0VizU rhPT8GVXcIskWOeLBhUki5Mc24BjxVFnMaWBXQxNqP1lC6+IeLSfFBBL8QL9jvt2huocjH/CENtO g8o4UB4i2FQ0CTXoGbFtxPweU5iKhhxGQeML5xwiykGP5meVHYtD9pHaSAlMd6yeDb7sGanDn19w mwBPEH1vofz4hOCCbmSF9Bqtee99/m+U7jtvFKT/P7uJETrhTCFCXoZQy1QmAVhyiShFPD4Y/eVT 5F4NohFGNmK51bE19zhSZmsC2XYLP0PsAkDoQEoNfwcj3n4viiv08Yq/Fko0jtyTH1SZSXg+Yl0U S2WeMittyCaLwZVowJcKcDHQLT+mO7CE3ld+7vjTiUHvIOH5v4u9MIVgjDgUU4kDW8AvBqw8iquq hvsd+VUTwgFp9Qc1IkilsR8u4Nnb0vzS/PPk2chRKqgNGODGTOwwGCRNZH20bqX7r0LrIEGZYIO1 k939fpSu7FHG9kUOiuq6osVyaydbkCKpY3blgMhgqx0OVoqwiZOvWFDlKWgW2N9WVrF9BbaIWVVU h9woEbTWdDOHI5JeV5i0BvdK+Qz+yAx7pZapaO9eaH1uyhW7TeXeIM1dlMO3grFF4F21cnvLaEbw 56nycthVKEkC+SBLiJMn0y9e9wkKk5bu/Xl1mECCqPHtBo3DfFJfmJ0DvAZ7u9IpjSMk4rFyCPfl O2V0EcIy3ILIZdsNB1y0DrvKjBYGrLA4F1qGusyrFsa4047/JVLdKVR0/icTy9HOAbsobDNgGUi8 PMZS8mMyGupK/ELbSBSCix+e8gCsYUvF+nPJDzXbysgWpUk3AbtSQsDG4oR7ivHdNyYjLU0b3WSm M9Mx1Pj9OHTcKoGPNdKee93zwHu/Bapo4UFC2YnZOJHREiCedeEiWVBuy9Tg/cCacBzxT2+sCWb7 EcVnvaPXC++azZOVhTdXRPuxPuvxTkdtTi/OjSZt9WX55GXWKozuXyxwj83fo2VSIl03ZgXDxqzW hf52/I2Q2WUcHqmmC/2pc8ukdUjb6Wopme4/OTi8xRQFNTTk54BwbLZURJacZX1DoOFDMJug0lb4 CqstsUZCImPOd+TGjnUcxNHflgpcTZS2mFxHrt78Pk3BWXp75xK3h4P+rvntPjXMP+PJLoNq5AnS Kxurn/0/d8o6O+NrUfUgPVYXynxfobwhytYDl+6FRfhhbfs8FljpwcrW4x/rEYyn9fzCPcuK83xB Jpc9lRwN2hBRk3TVFYYPb6GPQzZ0AMwFnLhkvF0WtPlEOJ8qgAhlq8wffp+AEQ7K8Ty0WJL7L/J1 OykQ8sJPUdvwt6vKSaChJWTlStTOaCHRIB7G5YVG2R+/7ydMGOkQFOdYSu18yk4O4dPriiTgs3Ok ebS+6/pA/nky22XUyc/9HqoEqhRRQjlTgkJn1xUSYcYDg5fOTIMWCL8LzE3k+IAQZpwgGGV7grBo lx6FkvuFK8K+q2vgk/4f5aZuuMy/nV2QMVIBE1H0PFOasQeFJAhVbbpQra4d8kDvPpJ7gfiGZcpa 2Sijwo99sNbvXnD/K/X7pOkO97aEb+X+pBmN5VbQ93gg6Bz2EOM1ddKR/V8vkMFNm+bUwCBc3rhc s2yZXuTEDU95jvaTVzpFjhX7c3OKwILetNmVyFSIH/JYtuVnDfqaIe5P2ky2tmwQVFVvx5BhPfpE FWR4xxWww2Xr4hoo85zR+Zg+G4NKfmyxIgFpgnkFYRszrVnIA8jmEIC7C8R68Xksa/HvFej/0bM8 PLFMrgdUxVC4xSzYrFFqajQwXDJ2/R6HyVRXbNsL/jb0EoEdIEqEHADsXowZrMq4oMGBGGu8TiL1 nFJbRwlPWvjXRy78OmOFkp9sfwUNRUhAo/GoiYxGPIByNYtoC8YTvz+c7P+SLBN/vpJYPye6bhUz XbYhrhey4vj06SgIXQDX5Gv8BDcAEU59UogRCJpAkxchqTLRfolhxFrZ7zoaeeb4H3PerNbnH3VY b4Ca8qLZeZBLrsYLrX+1nxRJ9iW6c7l55IwgK6e+e7pRUOFIjN1mx03UnEY2tYNsmIf5nz0Y/aie 31kZFeDSdk6K+XIRSurRn1BaeyIOnQskmsUNt6zSbaX2FzP+Als7n69w64GZLYo8stYVOew4q43M CoEXj9t0dYTTV3o65T/nP+Drq3Zk8N3Mt/cbV15YBoDdfpm5kWa0TFourA940ckqdexXqbQ6HINy nEWkgQ/m/kmmTL82jIzuCrIlKFoEELRqtPvM0FIzrnQtNQOCGloMwHLVX0FXq/sXI6ovwJuAZZ6z fWheEtZ8IrP3Xw2bM7GCvvPBSiqqJIPEDAZaufHawFC0n5o+tXG4IiE1D1Zf0Y5g1UINjMfw9MDv 4MHuCBbBNQBFtDsd7GIfrvFgi83q2HHOoIrr3sp2n0zDRBI9R2QHM+EOfXSjqSnvjQDCT4xqWRfk 14rHovynj/VUZvkyGTdE7eoqeDdvtkb0O2jWyRDWAXVP9ePPvEMxTiwEiRekSeqSgKV8TEdClHi2 1dm08UHG6hVXWJ5im16CQbHdDKFqakRitU+5FGbxo3UiYGXHfbyR7Ayn5mYOU/SCtR8LDuhtaz8i hPruPq0lX1PFSn3FdubiDePYSHzv/17oaLBXbNnsrGcPV3I1xzjuRudP5110vpwjVJmvLBbuBAuW MIMNa7OMo3bXHXP10lfQYh0CuyxfDHbIPUCViYF3ygLj5gTsf8ak+f+62FRLL0CIihhziVBAxFNu IhRy9NL2SEMunkssutgKTYzwLDn3mRLGIwTVXSlYDrzij48U27Me2z6zbbEtrWoBH/262Dv4wFZQ +8UywVahaH9nGfsRb5BcpmRZwQQLJfVvDj9uk3rw6zl+2IgAbQyCE/SUPZwslv/EpqgS+O/TL8FC 35ThzRnj85ST6DdbZUhNe0kwrmnjJVR4Kknll/87ZSKD1Wkczxq3uyIUaWIIg7fNBBKxQ9InGhkX KbBQhiKfF42TexZUzrxTg/pHbco+NTN1X5uci2ifSOlnTeoYd4++rfJcOdrUxy5pSX7ljNlLMA4H oEhK7/6xx/q5Yb3N+en/vKkY3g4SJUivNJsCQuCtWPvLm6KZjsd6105nBcNv94sgXCjIUYaMC3Q7 lDiWkl563Nd2I+enKjwzHF3jrn4SqhL6WMDnP6zLmuiqUrimhVRcKQcdaBv1+gHxGctNPbPlUMAp 5eUh6Bi72zNw/IxpredJxRYi3uixRKu8R9nX68NYz8DO/x1pbRJqvkww3JoExYaOzqN2Xsc1oGdS pmL2zq/s4/ZAe6MPg8BMdFH5erNYi5CztSd/hr+J8ojthy0qeef1j08+ANIq3bD7sddctYCVBUQc M+mZuxO0b4z3g7h+jUe+aAutQTpcxunm6YhaNkcnVLn/PhC1RYPNu+Gd6qyV8DiF6Z7NSNfP4Y28 Sy1+v+AtRk6nFiLmoyqPqBODu2VS+gXM6OSGEuEYTlOWIOxFejuAYWH3qpkngrUJdNrVNtScwSlw jrbrJw9uug3p23knvkZMgrIjumiUdv8XAxJ3P3HSHgsg9ColDkllVgJlFRfOVO53TxLDXuEz8v9w VBNiKs4LQYwE1yhPRw6gnu/eqtHgPGgfCaT4jrysXvTzQg44WmY4+uvgk56C5PeI9+dKdY4/rUCB GZ/72SP7ovhXl41NqT1Ov4gX9Vt8ZKA6t+cmRqVUDtoj0fGUMKeaMnSohx/82/7NMTebb6hGx/lX Eu+m6/vdAiIfqf9Cw9ei8PFGxs1GqRuWTM2irbdmZvlrXzqsXzpHZH7F66IPOPRv8FRrrs4IR2of /e9esKeCdkQGi7AdWrJA2MhMPfKUn4/RJhD8+VIbeuaVm9rddHrCgxQtA4oEIQv4hDIyLf4V492K /6J6GvWtvvunV9MOA+7pldgzDtNEmpMFFZdWSHe46bSU+1PL+kkDMMQDyVsZ2uoFRVMCXumTwRTf 85dGHLa7CwJna02zFHuavoCFtAmnlqlnmmpkuiKiUZ2ZboIFVdyuTBqoqk8MVqA318FX70wzMeQK auHGSnXO1+np/J8J3DxNT9tfQqXlk4DJFxgI3kjY738J6NFsr1LscvGOdOSDhnP49wbvBIPjKsyM TY98P3ifneSFmm4AaR8hiAjg9Wlm37zgu5b9TdM6g+3W3jUYtkcwX7BLqHyBU/L1oG0/wnntCoVR t9FMJVaX/LoINpbT0/N8ZF0wC9IWWBgXKRhR2gRbYwOuZQtRwLoxZVc78oxCAdjrog8ubNcT+QI8 TlI4mZ92jaL1ybGFZvC1Ro43EutyE4IZITVzRxbtakxXJ3gE9bclI1CGoGOinMfYM2e2NvIs57PJ m510oVv5Tn5MjQTXtmOUTGw5kP6pIzPQhHjlsmxxNMRf/K42sdmxKQO3e1Ky7DysEYY4SiwQaYcZ aVSeoreRwnix5MGPva0GVzNrSm8OZVFhxNrOWGdM3CcQ/czhiuI2+zsgyDJYZtL+Qnq7s/ew8kEv vX6ZO9/3sUOLb3nwgJJyjYZFDZEck7sNByWDT387KzGZUXClSSeWhp2d0CuBXp7UxA8z3Ng/tjH/ swFOA/B51tj1JcznOMYcug2nQah+/QQSbIbP8lN+c/u0sCSE2jbCS43A/1o5Q0EZou0VN51k9usi EKSXigdv0e5RCFkj9pVsVER6LhhFtNOeZwc6UVxJ/ZdjKU6D5waK33d+0B01ys1gx8r3fXT8G+ZT srMQu7ncsXB1pN0oEmVghV6dYnXyq1MEcxPzR5zkt/wA8LIscLmaEscPcSCAHOUAl5Y9aAzFs7TP qVKmDsu37SiPK3cnSAIaN5wzFBB/LzAC0jO2VCHGuDzP+blOnYJ6v0BiUfSjsH4xqS7HPRlGoVUo CF4ZSXj9s0by5r8hh5xsrGbS1wXbSXUj786T6bF+FDYqSS426WgI56M2xwyORzhOiApAXfE4IRCf lT27LHnwWjFaGHwdJBTOIxisjMHIzOdWKaVbp9f8WaQSHTh9TsLiOVE44TD5rjJQpxCLtMSTmEB2 zqffiEaBrzDA4NDia14GfLAXeDeObjqnHnw8E+OszVYiH3Gz7b6XVDJOTfpsrJWBDvyqrAGH4EvG a1SjHAA9WRHz8PebSkAdfhutjsB7snylV87tFdwWVCVq929eedABh5JeSOxb8WboF2BpapvquczY +x2L5KViLHh9DPKAyXzmKIilV63ETkpxNDWyUXKC6NfyrQZfk4pxM4vqd5XERml6Xrqm7pIcnh3N Qx5/tD5VbW/oVBUDn2sbGVVARYfaSAPrZEJzqTPqiJPUrihEZ9esEFWB6YEbtXTh9Nuc/xorbYR2 bJ1I94ZaC94E/v5d+wvXNEGLkJJnsfpJEMDG+XdELKnmm6AVQmWE+DhutqhbDT+8u12w1Rc/3UmA ve5S+2epbPcnK01Dvysc5XW9SPiIhdWxo8Zpe8U94lKICx3UdIq2ImRrFJwSUghF2uXMmwgXsCDa O9TKowQ2KfX4NBZBZuOh+dblCT18a8AhEJvrlsER1itVHAGQV2fghXJXgpe6mSLpNc1zPDhTEL5G H5vfa1cmi3BuKmsZtnIU8Dg0smBdGzjxB35ioWDY7/G28gs7Lnc2bP6iTRoNwQTGT+K1O45IsUh8 0UCLP2UQKnyBeTlH5/AZZBSocKqAE88UO3xiU2kR0hbj5n8bBzgm8+BIHm9HdRc4XlpuYdD0ULcD /8DE3z6KlDD7xMMPwl2Yq5Q4AiQy8DSdNbOGwWiPIQWSfNBJoFuxFMwtxiGoQfgmvlcnxE0da8V8 fbmMlwPeJqn2Rzh54h1kqdk5Ko+knFiPKSzr+cCoxs25+bvCn2Mg89cm0+J11zHRNZUhsd9ONHZu 2za+ro8hpNXCjm3QFhPlNvh8foNls/b3zmNSiMaK3B+uHQQxe3tHPYHROwYWvFwWkqhOcmtHc0yY 8yY8rogYjXRtgLbL8iPMmMuQKhP0WA1/ENzHdQjAEv/IOmarIcfcvW2ooHLyv54V/D0PIoZ2gnYB vSHYCaRjt5zCTkVG5k5gjSEp/iHVmllQ0OsfZnzZW5UVU5CIH+dZP4yGhBZ8WR0xSFBzV3MZKp/S 1SwLSsV2+FqRH4U4uMFqA9eI46aVEim+haPgK3/TJYFwtSQJt+uE1J/72a9iomAvSq9oZFZXFob/ lCmrqxVzngNou7ZMJ+2Y0H4LNAjOVao3HzqSMz4gcwZuKynzW55kM/O/5mies37gan05sclst3EI EE8N2B5D8T2nhjEi7zyraixnmWwVeXhl9Gy/8UkDQQaH6JFhT62fJPpjBupXMziL4+O6Lrg04tav 5p4JXF9icJ87J8pz3W8MOT+di27/kWiekzfQQu0IGWgY61LVb979opXITvdzwdajPMo19+waASJI 3ikSqyJCztsIWpxkbDN4MFzcecqhxGkWnav4AwATyPXq9kLFQ2UuR9+j4+ttWTOK7DVjer9Bhjb/ 6fX8xNSyxmiMDKkoYnou5g/E+gja7/Uuh4Gm3PIM+XwuqVkNdfbs12mww4IF/wx7e6X4JmzHmmM4 EzyMQvP3dFVgEiVjoCxUWiaW23IUUdOim2ueb70NSIIgra3aWWQXi2wox3/K5aI5JKve8icEcIlk aKUVt3mgU1D6d0Cl69VIdcJVP5OtDCiJk7zcpqBtmSrwUCYBEFA83PM9LE7divFzVrROMKQxi6fe czjXNl7ZTkwoQD0iRDgfKlOmmRdn85NNZYmRm45hruglYTwRnLm0sBv1nDHGdqiIpHJrDqyt3z3F CQS8I5PSlW0+G2UIO+mvXrDWhm6n3xMsLoG9+V3KI89p/bgVbp/I4qnyJYmYcD4k+pPp4ddmzJSD UOuu+6L2oSLCh6mFXik+8iYXa69BFfJo9IAqF714CQNw89Iiwwjx+MLVmfEDbUQrMkxAOdoFgBmv J9oBq5xE0ojGr6vznBzWJx2It5EjNHVrD5LM5w0ldWK0OHBvCRaB0mAys2FeHfI8M5jmytgfIdjq ujE3UTEVJJ54Bv10Gfdieli0O8koarwKcyOuAgLH6gH4TmphssVW1Ncxv1N1BdHTu0QTmDtkW9Sl dL7QhJquzpfTOB+Td5OmTCh/T0Ir89+eGbuqQz2WOw2du5LbfoAHiF4hTiB9ndXu3SYaLi7zHhJr 7LfCF7g1pW9DcDQHBtaTx0Y2EFgOBXuFSlkRLMYNTvn9fFwg88i1sbQl89TL7WlsYSj+bMgyK0AJ mE9G5yx6sT6p3NvbvyTFPzFclGKPKoufQbmQRYT6MFiHYtcN9NIy2E9WMfe88jDPcTpq3fMXjDXW 4n004YNLr7wifGYWEuIkZx29sXD9auJT+mOi7+ehRoBe5K2u4r88kD9xpvikY2Qg82NMHOaf5v8Q Cv8GZvvG21Vu9uzKPeJ3tK3/+tP31ZJyvJaKLquwwpl+qp28J5zINFJwO5k1SCPTvjuA+3elKDj4 hp7MvugkHwzYNipjySlccZrYDzlh6UsokSulLUWDkN9RU5nrNBA9tQN5FeP19OlOY2V6+kAs8m3P HMkiLGF8U571zy49WtmJSu2vlEI9/QmfOb3yZbFhxvfVgZIpZy7XMrkNu5l02wZlnOnibZvlwZCl xcgaTZsH52KrRZGa/d0c9bHvDEwmufZbzcv+TqisSKmEwkv7jpzYG4mu5uphOukGoPEInMnDu55P dOKCLkLl7rliEOgBq+/LmTxeEYls9eXh/MxgXnwDBkXsj0QaQPyrZ74K47DmCOPr3RYPMICwKWZn 1FcaS9FEIIgk1I1hatCzoDPj2n96Rn4+E3z77Eng8cNElL6BKXNBOsJd8X0CbQxi244X+1SlrH7Q WvkdHwMvywIjbIwde4dhKldl6D3euX0MGTgKPgf2nCSCuZb2hD3XLB0YJOqhjSqXl/NbiYtEPWVo PcIU0L2DjAMHxa82w9GWo29Y/s8c6mwFhnJc/50jSgddVs/B9zq38EGjLafCTr52wQS3rgjm6QuB RwRvBeha6PYgoCitgHPTMfq+0YA4wh+619XkIap1HBEX/TzUjO3EJh+NLPmJ7GRMw6SQE1N/jGX6 x9DALDMJNumHXnPhY9HIhWwXKfaj2gw6mPlxZh6QINKWnAwsdqNC4Bgfh7TSxNP+9XBvfhhzwFwI kOkIWIy1pEW+SUPRGyC8ZuABSeYie9ReuKod4XhnDaQvaQXHqD61H8exm3tvdretfKwQCWBIHgNe 1dVvx9gSYol4gjI9KEXZvGXZHFGfsu/3DKHslTG81AiZw2+XUAbcrjIUTxFiR6s7wetVgGTWOc9F 1lYapPQIwoSNvnp+oBlfY2Uasn3gjjIM7wBhFyYM6mq8+fGKButjKubVu+6HUZvjbL56KYysUyRp /sy+F5H6FfCRGv/ZDJxk/jRFvUgkJZYc/OafqtOJvowFTZyg8+lupvjN7eZHeX1txT4cXSQ6Otwz LmR+xV3JX9VqQJEvZzSc/2FdaVo5vbQ2J2K9VLsI9SKmvbIYbfqgO0m6HmQPJ1RgVbY2tQZHsWIS nQgIif+hOXx8rRh8In0f+0Pt6uw0lquEwOfDyTP0M5w3uHh9soTWQWjOKH1UeKjYz7UovRlFIaJ8 FnUdLKDsBFenZWuw7GIXHbNicoHxgGjwJYkyNXYHhEe8Vl5H1HLysyg3nr3Ik/BIcqtGfxQWNZqx iFlXDxJIvimpmR+EF6OQ0kkLpKWvIDAQfIfKkqMkyd5bUjWo0oF/X5omDp2Kna6Bku5kDiVUMhQQ 8oRumxnYipstiS8rc2KLLrQ8GK2V0EMrC6I6Snnc4ALk0jNLZIQl+ajnaKRUwpv+DkiV8GCRcI4C 9KP3aAmWTxR930Idr6OloRjoSAlbgr6vL8My7JXGiPb0jtB8XabOfIMefMMkvrgA6OPFX/ydc7Zb 5toDDxjeULxxPR79+5S5OZRVRsr1piTe6m9n6GHwOEw2lCToE8V4r4+cSDRYDIoX18DyRuPlGeWy i2pim5w78a0ca8qOE6aKwOdFbZ7zWa0VyC9tmM5xtMPqY6FnSixiKDR86fQS6GUWD/RN81juN/bJ AxsLKbkq//FK2bkrG9jRXLoamGvGPifHT5XN1YxsWzCuBA1Ibnc4ek09p17TWUv6jCw/tSkBm+7x kKOCQQCE5Vks/nCLivrZ4IPJ/Aib78u90Wfe6UjjoD1gYhZovxa0BrR1Is8UjyiCQYBThHKs7mT0 M2dJo7Zcfmauh+83YAziKuNbvIiuaGU8uxC48/8g7Q7+q7hHy0s6P5okCu/xfOPmzvKFOm4RP5wV bM5LJFZnjGI6zASMfqGDY81F3M6LvbzOpnOjVFaeRcVRGQeKQw5Yo7K8P9+pjoJwk/0nx3NYsbhX 42IoDp/HAdDIhc4ZJVvhtaFBjilDB25vGheYRfSgQOLinEldErmXw73lORYzC7NFHYcDHFRTDyV/ GUvfnulCN3ULMpASVj5KXrAyu9556EEpcFmj3BqXOOrrez5eWkCSlnzBbtdFK+U+1sZyqKDek9u7 QistCLc692lqjupioZG6Dtnv8txWpuj5KQe0vTd7keAcGDNsFPsQvNdGYwgEJUYxAL8juYg2h45m vUzRev7vbmJdSOYhB0ZL3oJcXyviclwE9Dnt5mRHDoGbI6IyCrzvY3eK3vnDiwfdNgf/TH5RzoKf cT+HKm6qyRWkGByEEFh3IyI7g5F8yIWxw2eOfGZFFOXVmbEbHndeCM8V6c5I8HqlLYJXomLGlzV3 cdUY49XaZQirgm54lcPU2Xys3V9ojUGlDg1Rjk/7ms9vHkgxYAjj3O3tLrmKgM6CZEyq0p4R70Nr kzbSmS0H/VanGiSOCKKLHeW1lh2/h+ofnuGFrJqcbO26aWdJzoe7Cf2phuugVsdV9zeICHaTC3xU IonpJyKnmh6A9OOeyBySVCZcZfuBHdKawigQYBtqxiAEJUmLhJllUe2dvD3tJGekx00d0Ur+5n01 HJzUxwNmnHwNJuKUzW+anEVNVO52RNSynEPeKnl1FGgXz7KE95CkEIkDPlneDoTvEYSl/NKdkuVj o3mOPDi+deXdHDIHDaHXWKxkoeBZi5mJxLI0zACGHXapFoR8DM+tKAypkewxvjGJCwOE6xgstjFj ZbH5GqxXwTtrWNjYNU/M2dyNTRRGvQTp/o9UFM6f4zBj1PZuqwsdc7XTxLUrwpVtNCKYZRMvgxeC LEcnAfdVf5jI3xN1jLG8L/RSFm3QpsLIFJF1FDFTTiypnpQs/icK04jNP84cZ2LCjokVbcbzy5lR hkapug8+w1tcEu5MnvisR3LvZU5zhnQuMpqGgmjqtLGFGKjsXqmOdQmiyStE0Dl3leHWs+sAzXEl VQx2v+bTD0qy7sNuK3J2RcxB/NhF1FInI7NS2utCm2K8PCE3ExVVdunKnhphuJKIP6AGQvEIqVNP q5xDQDz3x8I85IEWkOipGcLviU1F2sOMWiC2aMZ3DQBRjpzoPr2Iwy4yNyvKm+q8XZ6rJe0QvTNE pvSdr/Mzaeb1MBjo5qIKtRXMYLy2YLlnSnoiCcNacOnT3pFREcM8XNRUocauKtLDVR3BlRp2E2wR ziwWki3LstFGn/+NrlPnzL0ZeAOIjywRkN8y+1hJe9Orqf5ltS74a35zQmmaigZKAaiepvjgRiru vi98jEvZuMkMJZ6fVRUCJ+evFoQPFDQcurVbFCaHdPiXaEoDPYJLfsOEODQ9L0bWg047j+VcylGH 4HelssosQUbs4lKkWCN/ywALDn+n9FGhWu8HolsAQz/VkPF9+VU92QAo1MwzqxXgyZ2runbtRdPe AGVm1o3ZHtSEC3SU8RsV2gLDJHcEJOjomOrIMSdT+SkZTJK0tNuV/WTcdYQ4xjqWbL2UisG13MSb FRbZhZ/tLyJdkPJhbVtmOaBxGLI8QedJfHRQacO2CpC+5SPTc2wXYba14VWlSzVCeqJ5Q+jIN3tG N0v7lGgOFajcZyo/VwrlMADu1MndpX9aqpc6ZGhnyAb19cDjCLGmx7mO/+Gg5/Vdm7JfghUAw6qf TQSRP+JDpMOfwFIA6FUh2x5hJivFihIkjnXh4Cz3cJ5cjgoGNkC/3zccDsBittvUcJp+6Tl+E3PX i/DCJcjP6fiLd9JwasOThTJwsMO3GJJD6VSpOFfr5LPHtGrIYCj+1OlF4/M27HNSTZjuw3x4Wx5M EfQqznnxBEgdSKRPjh7w2H6cvVYSPgnhS5N6qlYVRo7qMuYRBBpePKDZgE3P `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_pkg.vhd
7
27921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NYixJJt4xR5SzA7UdRkVlW63oGfNDiiXLfMXS1sMCdXOOBaS3coIU4Dl4Zl+T8apNfJdL0zI2U98 AHC6224Big== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VP+pPrbpr4c2zeKpk07hXlDC6IoizNUe5Wefh/ghwUbE4VCfRaI+whez4QMqiWFDiEjVEtxr62mx NmzxajCcwrsHgFy4CDpzZ7jdxyLF6CIP9qwfS63IG2fTyqLKQU9flKWyFChK3EyTP6dcE+YjUytK ESv8IyLGQBeimHREqjE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHmNs2+aL28PwPA0Vc1ZeJSO9fhAqqhoBLpl0KF4PEjcFyMe9x01QQXzYvzNQH+xmz0JDqLTFqWA +T8qnHDuoMZ7S3MwcstoWzg0ScFAOgC9RqBkPfPE99l08u+Dks9EIcBI+ROICELPi8+/7z8gBHZ6 8YRPP/ihKS+kL0tXDBxooitDm1dv33nHYVyLYxp0Kmtk2b9lxIOpBjNePED3icAzdB4Pasf13mYl prAGSS1BamtYRMRsLh20haJ5mQRuCr2H7uA2nOcb3ODKShIDSbyaeR54+t0JjsiD8PZP2w21UuI1 MClLBwF8hIODwCc5ZRpsrKjjg8xc52iKd4D86Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1Hsmzh4sd2NHNYZsaHwGiwTcd1XiB9gn8511viIbzfbKDONfcyUya4o4FtPnYRM7g12q00sAhkOn 6k2IN3UCzHOCC0/IjeeMr7cgO5YS3e0gMbJfeEDID5pDY/BZUD4BmoECUVJgA7tBhW+dFaYXO9Sr +B+pSYZqhiQ0QgRwgqg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X+HyfcBXi3fxMuaErsIvoAh0ttzb5kzu/V/HUqQtdGa+Nob3bELemkYPUqi3hJTTlgd1Ow3D6Gjn 8PhwzgAPCEA0PgFOZzhaSwgVlWRr2NvvMc2qopXmq8WiENd3NkAsYJs13lub0apdvM1OoOsoWQEE WZ9J/rkuFK7SQRuMlTviTGPEQWdvb4k18sdJSTp1Y1VvIwGEr3ZitpavN/xmZYmUorLPQLPigbLT V5DAM03M7pf7mRxMTRhzcLC15x4y8+LTJDzIbIGnAAcWtXKsqzo7/Ncg7MJlmxrErtlRQpgef7SR 4bOw41mo5kpKZ86sMHadqnSFyRbjzhGopt4ldA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18928) `protect data_block L75O/yceTmkNMst3J5rHzu7XEuqpdkMCSw9BUdAocF5MUryKc0aNe8bT/2ktq6ChXykYAF9NYy8g OrdbgDZtDfkvRkPqKVwKnCc2pb/M85G9lQeiEPoC+/4h+hiR3y4K0IUtIFvhTuLPbUm8wj/Z21lj Ap2oDrCLYsHTGEiR8WPrzzEENiF7bMnMBsKzRyyLuij7Wb8CaxE75+GlM/SlihCf7reRg6b7oVXm FL7isB71ZOxXx5Pl4UykbV3F62i3Cv/xSEMHQi7/K9uF0+qfGy9cxam2F68MnnEMr5F8RA6g/c0i UrZf5QGQmycaStI0FDkKZeK99TWat22A8Ypw4bOzO8DoPd01ZJkBvWwa382aKEhU7jxXjv6x/I75 F14KAOhmcSKfVeL9+0ANZu4uY+BNFb8tQQ3X4wSr+MCtsPMAqtS0B4l/ZrfmmBwKZL9ClQEJqV1W 3RXHpamCDPPzTpxaWV/CIQ6orFirbkc+CqAXrkHG4O4/c/Wcd0shp/ZduCboXQtM0qspv5eG0rHf zW8GFGnechpMBhOLuTg5mKwmY2AmIKt2IRSuAPm5OIGlAGdb4C0gBhWJf02bTPaTGxnftS7N9nBo LoKyp3M+UjWbVBLol49bwheiCE4nmh37fjMkYKtsGGPs5jsIhu/QbKCbSleKvh4UEkoc4Fb4Hjpf BoV2MsYyT7rgGF4MaK+YxR9d9cc3VeFefGXMbEcsi9rKQ/gXx2R/9nhG7GCsdyVXctI56cSi0XMz bgPPhmaJgV3EZntHGgRQLwlgGQpago+GM6fUUMNeF486a7JrXC9yu8Julc/Oo/HZzka/SuNt93sI dO2InOW5K2/Ld4wblnLUjH6FC5Q0PbhKngoQGkcCYJZfCAD5iosN2Fv7zrsFv/tbzuX5C07O+Uht g/ALZ2vhFz8lApZsL5h7tgu9i/oteohuix9+GU1B6s6nkT84NQXO1pVCsQFmByapq5ATZ7WdKksw zxb6nX666lP8Jn+NxNJv3Bb1KXIpQQsCveciXMLtwsvvZTkubKCOfWJhMseVNspZLDDW/X7ViILy MPMfn+mBtdWp9T4+SRVMMusQFl6Pmlby4RyBbbiA4VIzs+Z/7PbIlkWP2J0T/HGY6IYh9WgWimyR nIMYYMuQAUimFJryuq7qtWwf86MbAbx7pvYOEo3+hCKfvs0X3+bGtP47FJ9Ut+tL1Ty+Clq/gytJ 7u53QASUFVpyzuWdQm3YKsIhhvfzj9z4XNfMc5/lxdHcKt0jP3VHvrIqWcB8yqk4BNexJxQUTwL/ rWDciUcPMgNDojycU7pH+KV9MkG02+rhjhdCtlyJMPomGfhylTV7zayBQVRNy98DRDf3C7KqvG/O iEzgvNsUFHizOHwudezR6pL2QcutV82gro/xyqSiexd6ELxVJj6VoznjNibRfitQ3C8gf+1KDwab Dp8sUhXO5UHMDoQ+fAUrC27YZfElkejXljYgerxktR9MKzErjX3r+Sz9cKU4EXSyT4ACWECdWwYd TIX7Q9ke9RxmY8ztYFppq9PB27GCEmAT1KJVgdTCre2EcalhNoQkHKh/xAlqPT2uSeCaV+9o0hoT elMy/i19dwlRvikg0mICkU0KsXutF6/KfbWPytRI+ZolHHbRzA51QUeoJwke0LcIFIzVRzuh/NCk Fy8YyVHqFlKWFPXpvYg/ZTVc5nUmvK5reaWSmreA4xB17n0MM7XLihovL1VZ+oZPt3ddvmC0VcbM Mwvy/ZHt5qWeHvz9ECjswqEHtkthQQ3ZzRji5qsAq+XIqqaDr8rzMNZWLg8lNndgYsao6J23Xat+ JJCq8CvS5rFiRivZPXzmH0crUYfA+R3HVYZyPtgiRBzd3VGFMSixC9MX5PjhFx0dzUgTM6/KGouy f0WKbTD89JguZxcpk42hzqda6II8SAHfMXV8ms5SrjwhVqUbARj9+FQp5rFyhc9e23UeqPB0J0aL /cZPP5G2vyllZTlZs0hGTP/9n0RkacZmZhXH4DW1s/Wal/GUWSvsqR+1z4CQgnSBJVUOdJ/ngGXo 5VtkdDe+6HhX+J6nNiXhyA1kdTh8R8+k/u+EWFZ1ff21fUXXJMJhYR6a/xNfeWQCNfPm5LY5BjC4 2zoyTDIzBHibh51DsIwPLh5kEV3PWICbDr+9A41Ih+uAEkSTWmm7YAXodzLFMRNv6BH5fWTwxnRE Bxy3ttUyqg2EuPgmt3oCJ3enKEdQZoTOnKK3pdFqLEEGpj75ydr2bw5a95N5KfoqHXgEQPGiidXn eISSxwlPKtNCEdt6z4AUSp3ulq7/18GHQevprdjmYkmV2P5uDFuSzpOEhbCdPqUonZJcY+nYONwM Z8S/x3xNwNWbiqREHXT/fY/BTHjOOxO9PAqtNjyp22i7nT/pn8XpL4tRNeWNx/WIpmBzsKYsIMcU XosaKBCwOf+EYm8dKjKnmyPvyfH/SY+20k5nt0qYR7kM3jpJlNImFtcwIyvWJ7GCN8yFXfhEVclx yxVgekAti24cDUCGSjGMBdAD3+uM3o4uDIebRTEKF5Ux28dZY7dAbqGuQMZhTk/TiSvykFCxoGl5 ZdwTWfCA6OvY85rp1Zabi/NvDU2XAvxG5vLsSt1Z5KnFIvfzBBXAFNBAreuUHICvHOyJldjpa2lB 65hmGw4FUa/m1UtqVrqHJnyGjhYWsQmELbKcp5N9twUYTFOrdrXFn2s4P41XSYRucIggG+1zPhW2 e0986eRQZcDbRrLsUeGKqIltSD3t4V5LSqcxTnjM1un534aCcPu68FjtVLf8doof7Fucgp+BNlwf QEO+yteXudRTh2JwHLTvY544h/1yUSRiFIxpKvqE6Dhd1ufXgLWH2Ep2H8DA8oMN4mKE4pkA5eHt GRacDYKxDBJ9vAkKqmPC160ABsSIai08++FZ7NOQIIWcQzCiUxDXJ+B8apn1z3OAmDfv8ZX4BLU2 gL7X/UzG74bEPANMxOz8XAbnglIGTxQu+Yt0oOCvk/Z/tCvmNVRXLNFw5Ft6jCLTMuTD1Cr2E4qV c5UPTgiljweG3k7KBzZA7A9HZCRxq9urYk3FPCsdfcPoam9b+/3hKWoML+zjWKuYUA9q/IGsr1o0 Tuz0VFWaZeWcTS/yBQutH6G2PCRzssSmLcOXRo/hrZDlshNhJ79X49Yb2DZc2AUZcBeWRA2pkSZ3 SbgETOvPy2gS+a35nhp+wkJPUlxBjQI43sDHFD+5tiSMPq0uUA2khVR/5Boh/DWyrUF7XybiB5BG 7rEKO5u13Q/c/++KtPk7DUW808K7UsheHJHSzUWVORoi5u46G62X7Sx3dwXejO+N1Z+0VAe7YwEK TgBNFT6uwZx9QURNWMBXaXC34ip0Jyu21GzkRNebBgyB5ss2pKqzdRcpnmUaUHEUXisoNvM4bki1 EbYiy5lvV5ISkd8v9kYC7WLlZHjVeNev7dwDIn2OlOe1mluTjeFgNN9Vajvi+VPXt11fwzJ3RQKE IVwRewYhnJB8/5Yp/spE61M/x0k/HcfbUbHODOcQkJWywxyAFjiNmJhWb5egbVaXTvBMkYSgOhRs zIQG55kTlvILci/d9gZ9H1WOSmukUeuw3gMa/MHlLYGcRxzM0Dx1LgKKD+gN/pK3CTldIvn9YI6c lmMSBWAjcEbJ9VoGbunUp/tcs9rzOL3j/+OQhevy3cIL01c9dS/g++3D/+eyEUc9nkjMweO/55vY BHjCn0txuBGsdqTbGQaOmI9bGMJUSg4/EAvs/yNPktvsMLx52plQ+sfUvtUS18oiLqGZ03XNbEjr dMLGrenRrg7g9B3xYcBgyKRrowD7lpidYpArgU1ZCfRS1+Q/kRfZWAddMrQOiHOUI+dOt+EkldzL 7O383sbx0nnCvD2XwkAmOb6IuF6SWJip+/e6V4WDqKztC6J4FyucFdJUvDho9WlsMmIg9mnrL55h HcLofBuo8xKDtjcydm0TsKsEvwNiHrHlSawfgUA5PNguWWpIa/55md17Ik0xdFSBOtSlpK8zToPt Khf5A+T2P+7o7+oFKU7FvTD+qiLm5x2af7b0jifvvtFB9uhJihqwO5phOBiNycvVyOdH6C/N8UiZ vBTyDCJeGkW+k3FW5a96gUPmII4rrQIq8gWRlCFJCE+UzcnZHPlI7N0ZUdreQTSsBr81MWJS4whn RQ4A62hIOcrEW60rewW+qr38KrRiFneFzYBNCDTrv0nfP37zMwS6e6nFN2RnkCAm1xJwvYeW2RMw UzjNi9uNslQVejLTDuOFaIDOZ/SoGKAgf9i4cJ6NOUy4/7rOyaYFDicRLpdY3YAh+mTNXe4WyCH4 Dkst6wL9nVd+ABZgZzlzFUo3cua4uI+kMAwt236n3w8xeoqRWuX4HwSpGJIHUjXC9/HSCngNN8Jo R36OHnh2w9xaijsVXVDWaN7+8/RokxRoufVzsc+sDs5KWIpLEGxqkz+uAZzCiK2s3ByP6Y5H/wR7 czRJgC+KKJkhht4sT3KGzF/aO3DimI46d4DXA4uFDjSna4oEg2f5Pex/74sSxuGPKGUttAh3cbkz vpSZD8RT5lJtCxL/IKUBIGOvXerXnHYnWFiyb1j6vzRUrZqBaJmmM9+jYAsa9d7Yd93tWaZAWcT3 OE4L9tar6wVWdeEAD2Mh9kH6u8/qy2YbX7/uHg3Phlydf1s0OHsAUWWNHMDHmZeAbJqwrfiRIeRe KGSSzuj62o1cgMXlrFgnkZaBDHO3KXpSHmgqQI11uSrP78SIUL6JnnlkrfaioumAJ4brKSJhHW7L oKdv5skmKfBrHXJ8JgEbZF/CeE0My1J31nsLY1gBZoVqOf6EJP4UAKcKbb93qHNtVxq6eF8xj+6G hAbBnSlRnCtxFXdGLWP6qjgBJrTShQKgQXG5vRTL3GCaeb8RVvp4CIEmbwlqePgdFQZxe6WIqU1Y wJ6q6gan4al2SVaf9OYVbMc92qKlOJY1pFeIhMY9f8L/kDxo4Jc1KK0q25Y2s+dD5cfx8Q/kP3fJ ewwM8r5ad9wAL+UVBV28soJluKcAWbjjsdZLDBEr/gcnqfWO8eb3tqZkB9zJUt75TIahqn7B/hM/ LGCO2nX8qiruvcMIC63S8D9XlHPX2bSPLb4R8dfWIbXpmTbTmqPowYHMO64IZ+qf+ofI4TxMMonQ s5hawHP5JBBQpA9Ak7t/4ZYH5u2f/yZ/8zF3Ip2r4463kgjv0L2jzZKzucGdErH/Y6MR2ZOfo7L/ 6K0S8YwJ/xnUfPeZJdrGpDNeHwPs5invOwI+qhax9BVRX/l473u2f1hF79BecTNadwRixIOqdUih 9ETQm8Kn21ywpy9mt1paSuVLu3634Kv/JN77lEJaXcKfjVotCBzdTd9ys89G4/sKy5XAtfwmIcAl +oRneANr1kvqpSJ7HAbd8YGHYVCd3HGwP0CpXLTjkHsz5WSfDQbf6z/kVwhtZTc54vcW4TNAFMn7 EFrE1p6y3/gvidKbQWgbmEprEBKpa3kUM4O/sXGRfyTLb5G5gh5T1XM8a06CbXmTtWltmu939Bq2 h6bpvoqpb+HL6xSFEZUbZkez7sBXwA7cgTD76HvoqSt1e/jFAkwnZuiNFJbVMftlK+F1SRqPPCNj 67E4vwxfRKGz0bpp4PtxYlqvenXzPAF2yqRuq/LvG8qiGzC9F6sCifRGxj8QoUmYeFvc5HuatZgM O8q5SuMJZM5xyeI9hbA+rMAHYxZghmdqkmv4tLBt9LUWXsGL4IZRcwoCqG8LJM3puujqv/RDVPHS +7h34LcsLGhVa1AukKFjg27BL1Ikq8ibvNJeZ+VAH76GPv+iSqqsPjkgHBJ6JbZEPhMtmz/E7pJs TGQqcJ1NSev+ex22xfvhlkxqF7cJ+fpjVHjdHNV2HZg7rGDBBxFKA5o45/Y4HCWJYHdUIGpcpGma HUQai5g4FxhnctSm09F0/27VpOjL82N3K/sExbBs/YOzFnfOiShb0lZ2Wo13aiU0kJUuePNiLW2s S3mFv4y34W8MXGrHestByzjncbt7GC7KTpwluBozOy4TSSM9DPmALL4TPgn/AYYP0MskZ2Y6BffX zJvK4AZhzbyO1SYfZIFhgBHKMGRvWHS4/b/jObV35xeAhD2WcQvr5FRuZvPuAFz7vvJJvMLwxsa2 775vu7toJVh2gCgZeGW9QYLhpZ0cxVBWwCHnnaZQdZ5CJVx6nyq3QuD6Llq6OQxiKP3RAS9zL2Pk egh0UyQFimBH5lSP9Lc7+lidxNg2kItV10wUY55kD4/qWm4H63rg7Xt8kuLyTExofvwbjGXdPn2I +pFQhHuIhIN8X3oPug//qF0+emKzwN+69IEbtvaFbl1/e0w1K/fTTxuRR+XjAOOQ7U+S78Fanq/c ooXrzaKKQLcx+IsF1D3TQtMG9Ghh50w9cZ7nTdNDDgFYKlkURomc1HUB0W/yXMGXHzU+S3RZFizB 4AOeMehcEZfVA908T/t1EaIH2vCfjn0+Wb+o5TLJSrhijSFuRcQ55NVfCMqx2ygPS4MRxDlfGZNM iGFhriT/MRn4fxKQ1ze3VvUECI/R5S07duTxOnzHuY0I0PsPQmu3v4LCVwztWwxGCAoJpOiYU5/h rq5fyrQMZZPIKcBOxNUrznrYTgZCOi45JO4JMM3LNyXV6RklZvIvhVPP+S8xBFQ97vSI+jeYbrsv 5+G5LuMw69YmxiaxB9kV2QhtIak71iwEIBmFE4BWlpEgKqzUo5Mi1bznUCDPwsT1mLLjXyzKaQ2S HxeWmvJ2D4EdRis8XbRKSPj5ir9rsAW17hItyRO+h+AVF632jZGquIab2x5LZqd1C5bvFH6dp0GC Ckn3qHjkid5Q2pX/rP9B8dRzgey8/8/jTPc0EaCMVR2UEefopcaydbboBhkvm0Ht+fiKjLH8nHVt 94jstdZxFFK1DcoFumCkNvH1zDuZFj7ioNcGGVI+M9QIBt7m779wLrzvCpd5IdIw0qCNW9HBfKh6 Eb2Cgga/STYAAv33nIvIW6Fz5N6uM+ksBY0wLMR/5kWFAZqowtzF+EX1xFU+RiTC1y39EjI2X28H CwKVLAV/7PjCiDJpeoLjdoJ0A+BndnrLjpQNG9J4VBDvJ+0KOPM3AcA7OAJJpRpmFpN/2OmtjHUl llwidStN+NB7ah+1yDjF7q4RMU2E54PgZbLTNMx5bmD8VvcP+JwHOGulHImIDehVQ98SFzpWjAPc zaR+b6JSXEbzPSNF9aGIfzmn8O4v3USL7yvs5MhMHzLKDFmXyhQclRh9mSCgA9SGQOebjZrt3DfL WcRc7MBfAo96ZTJ560OXRAv+Q3Q6bhmdJR0luUCg1o0z9QHgzTk+d3dPpE1GPEf9MbChd0fPi6Ju 2Je598qPZN5ncN6C3e9xuahgTVbg3mBmbqcWJ8uf4DcU/KiZ5YuIdIyB51u0vhh/bYqPZUr1idUU wsCCTq/iaiEiPDGWaXGr2Ad1UG+rrxs0X/FmFMlQnaZF12PENN1mbbfu+W0GbNTf53l0wgk86+Z4 s7z/1b/M9rJOK49LqBs6ARAbQdJVteSV1lU0OvZ7xqDyYHCrhTLrwN2V187n1icG9VrzZ5um9BoL 6a7i2ikY0KdedG0ExrBQhWDMKVIzHHHHtF9A3RHkMEryMaJoETR/7jdslukHbG30Nd+Y+82ewCni c7QoU5MdXgtiPoEW4AcqV31/gFVUtYCxu6HNCfQUs8EmtLGlYs0Y2hcQUrvVORlO/9CfxqPpjYWS ki6hrV8rYdlvZlNHBPU6D+D9DrPzKJ4xydIbp2ZRh+aGHWp4flBdCAwR92i116Omw3KGAN84bVwT xdPxP+fgeBU/O5oBtzitYDCpBVKdY+ICFnR+RMjfV1+Lbae7ls40TSHft3IaIdWKi0sOEcR4EHZE B0JZguw3uXPcOSy9oEIJ5cdkzKkqYsl/QpA5iSTAsxlGnLVadm7Z6ZnZ3KvOPRdoJ5NyXp5uem1k RILPM+KyK5+6Ag81CiuLYbVpswocv5dDc8jRmAeeEpkNiLL3WLjgFOT/HtW5eu5gc/95pCPL6RDO c4BDVWO5lmQr+N3CMG4NmIthYFestMbdgUak2sFGohRGVWLmhUTnY1RtD9kZpEbs5Pflyh7MVUG/ JUTHjzt5LAxFmk37hhtt75dy0OfUXgOSquQS0aXi0v00sRILb2KuxzqUmyIg8B+dKPiz6+zR4pjx VNvvOiqO9xaTR+xVvp1iql4sg/AdH9v2hIgrTcl2VqAhBIqncz3Pa/4aiN5t1mo+r2RkpP53ffIS fWEGZZ3f6K9/uGyJo7Lyb5Kx9+p9IGTRruoAw2hocAFAYjcvOXHgGDWNY6Sv/73lwiZG3Z3JJ98e 0BisG+46kA03ncR0iyTp9rtUXqvuEyg5hcfybc8JRn/RePfJ4FIbPhQO6Xqh/8izUVE5+Brc+dTj m2FRf4zkXVPk4rY+2IQ0W1/iBVzfmTepXXWzQ9GDU4Nmjklq2q/LPQrTQPTZzDCMVOijEWaL+hNv PDb3jB/Uhi68seYeN6vgiUWGtI0/3W5kdp3NjhPVJosnWZeZTYRzQeIS7gCf+ludFBktQ0Jsa1xm TFyGYbrGa0D/avwrDyiXqSzgpVgVAdxrHZUG67JLCXpnGP7nhmuqH8lfZNu1xLYLF+6vD/uaoeFE 24TAkmfJ24CMTDIjHK7pHA87FSvxKh226Lv/cPPPcig6377lkCw/eWfsiRI9A1QA61GZnphzaH4b wpVRyvQRFFCQcwHqqR2MxLXudT5Jk/J5e04W8sETgBpru0hPR3KHZm/QX4HwS0d6y8SdN2/PGwGG n3LGnhXc8nOQYhnNpH9sbWiUJ+NLY9QjElbCFrsonPMkLyQUwmX0XLnC0qpCx2T2d1k4WbaAm5yZ iNSQDl1t8oMp1nWzjCjppf4PbCmnJuksoENLHRCU+h9KV+YrQTeSyyKqALSxLNZ81IMi2iEWjQaz 1NjBbvGbL1TjKIxbqUgYvXUcJc9AfDPlB+0RFEM59qlK+4B5vYs4B+cNC/iMP9VZsm59/r2IEGc9 BgjmuYH88UAmYEYCuWH6PnTNV9JKG1Hs092wX2GKmn22nav+hR3QCJxbeRNZZ+/oI6W88nUiOOx3 674MrZjTd/NGtJUN9BGAvnq49VC4YbQ0ZTDx/MfEFKIAkrniiKYiVPn7qWlqgtWZ7yZB8WbxteIm 7k0kYlUsAw1NDzV2tK2j3BcfiY60ZJN9onXNk8ua0SvnRu2eTSmcJaurQB3RWoA1sDRIrZLHDLKY iMBXo5sru6zdbiR/Zrq1wxDPX19KreTPFziGzmcYDhsZtPb25ig8J0kqzbbaN44RUE/IDF0XD3+c JxQ3EfPGknVmKlvER7KdHMMpNCMxnQD1uWHkK5PUpSQGdAJYRvCt6VBfgK8t2/oy6903gynbJqiI jNtLbXP6KSwb+sxfU1d/zsKCiSzegA8uW9CUHtET5b64bYOIvc7bOFRINBvIwJ6Ih/wjfmUhCcnY Qx3KWobrw5CHhatRKUY93eawO87gSz91obe+VVdaXqZ1ClCt9TpkoJdVnNgITdlwT0ZnA9zsigBD aA0PfA8hpI2KagzffGCkkZ0hirFXj2LpmiwC4x33kpk2RWZ5H8mnVfyZ3hmNHamymzWe6bpthMUr KF2rnbHnQxJn87MMZKSP2Qcp3XQvxJDXkwdRw/3NvPLZcenB5M6WecV8SuXuRbYUkvRRWGdnqH0J gRREMFaOO7nEqvi4yWNxemwfjY021ZnaVv8o1IMr2g+4sMwu84B/gZIX0vJLVaxh7C2++7mldcER 8nglVKxb6QgADRL4Zhr9Cee5jmtVwF4JCJusWnlmG8J909bIv+IgFkSkege9+PUftXBrxJ+rBJ6E M4CR10NCjVpaHzYpT3/F62McIfAPxnmHrrlEr5uWM9KODplZraQykeCSbkJ89e8qFXiwllZ3Y8EB ocJ5dfKacKZPX22rigcKcRqI+Ns/bZFNa268vW4UEF7012OKPO8B9GWazeLH7EFdgXnHGbsPykq/ +vTWYF2GE0dntfJ8C2SRaSdEdYx8Fqd5zGTfYCoddlewPgJHRle2zE52IMbu+UZTRniL7THmTE0w xQTC1OK6DR0nEULNT8oqQA2ZbwJgHvtAwvmEOasjt2kRikLnWl6rs/YgF3Vi5QxJ+z2LcE9jjKKO IY8TYoaffLOHglSM/CBVJcKOdBrjl+f4sJmAGMBQs3YHvvNBd1Wr05zTTKGz6tZ26TAGAUeJWwDL I3OcAZHVYIPvfDWrs7bL8ZN677YIFCE2/iJ2MSetqVdHh5XndbHr1B+xOYgaKQS8zGo9JEBDimRc FukfHDgx3KeTxvWpWNBgaMQHDLUpNLXWM0O4np5eGrQxn4ujHMHY4QPjO4oZvLcvJ8qqoyS9lvmw +vb7PuK7kt1MCNrCq4YAxf31BX4lyYrFJ7a9YLrnYaVVuqX0hyIZGqJw8aO/en7DRgWokQNwP9BL DTlj4URXYuHcYeiXy6S8A53JYXT9bcqq0zynama/0htUs2OWIU/0hB3vOsBbVBD+DYDxQQVIWdMz TEqdsVMZbyCcvcGpw1eF+t19Nreg0gro3tv9/yiKB8yc+4GwdysPLAz5z6cNVsKX7pCRvA1TK4UV EF8fmCIxEOsPRYFI9ZjyBz+EMO9XfAgJb+yugav8GseUmHNjHYrH5bL8jrsmmYMILQceduYdO7ih pbXsO3/sQmraTDV8eKwGAnvjhjgsKV4uyWHcVhtGIrPv6i/pi6DV0DlKchrIbq6hCv9G3uf5bm2c 7KL8Td0EvDPf/OLzl1xvYe0Lr+5ldQ4GsJgXuwA8BtyToWbdAKsQGYrtAhYSnNSEGFeFqSbCyw+m RmesEikFLYrhulCPL8w02NRJjQ4XIFy60NFw+NERl35w4sJ/ybVeQxLJJs6OyCKr3O5/il9GQpyt 13S6idG6NPWlzs06QmplGnKI+PHacSjtFZCezBtBL950VtLapBXK6rO/derk1Nqjc4Q2hR+3F+qo EiKvJHatjXpyDvrZHqNyx5Y0DXU/U1WA3MT3LxRi9GKEfVdeMgCMenZ+QDW/4vUc02N436ygpABa KHcbezB8JxDyb8ERGccxIJzThhmvgpJA3vhX0W/soaCuyEdGYS4DxLsS3gT1jVXaEvii7ZOkRSW2 PaAHo+MpVjGllbm5ZjGCd+W63UhtTMwoMDnc1CeSToKksCC1uDKY4QEaOGOmWAWSC5m+EMqqgyXC jBkpIwzuKtXqCrw+QGMFaHJraGte7ff6dXx9ELME+nq8IEMwubb/Ke5ml4CV770GQ/Yw5XFgp1T2 GXjoo+Cvhnc1s5Peg3ay1cDK8+k1AwVntzj9zs2ocwqxCsRGZo4lnAR+l8UJ5dqjQHJxXHKJrUmw 9n4Lb5dU+Q0Sp0J+9t2u72DBbMmWFSEq/LM0IjuWsY96oiuXODZmgAmiU3dT6My80qisAwTWxHwA iF74XIlUl0DKNwtsXCaznRgTukL/JLkseyc+74YUVa8xSwik61BnPUY5UKuZZyzIruxruSzYuafd Pf+44tGUdYaW5qy+B5RW1ySio82HM9YoyrBqTHvaCWgz3E4OJHdASlYKCSaQ142H25oy4oAKKsBo IvsNxGTRkr30eu5Qv5M8BBXSmIDwO5koJGzeuc/CkuwbCddvksv34Ziu7W7ymwNWQjttm2Dyf9Ir wBtNlKrHVEkOxB8yfyMSyPhn0QaI0aUrd6HWJLkvrp5Z7+67bPw3L0DfyK6AVQZQ7tpLYTz4nKj/ SUiPSqu4QLPqMKMzXVqaZpj2yLYnLnGDjQlk9mT8yNDjkFSKTb9yL4e7vuwtviDpLOghNw/KtUXj VIqm7m8vdzZICzQZxLx1Z2rTYat1I0LKeqkQLaTXJfJWzbxsK0dBfBePiXmb2jOnDHuLa6Eq/elN ySuQlnRnHVFc5gg38XTsrkbNKPKO4b+WOcD9uZuDHbl6aaat6Fm2LAHQ6u9QE5TJVox82WglJb90 erY/Tjra4QMEmnXrJyoa4jemMTNm6fuw2nvGpJ0JHYkMR37CRUE/NAoUsK5V7gXmhyva99ECxMDz XLKyXh9iwH8kApITjUMBjriaDhqVt9OFKJv46lKT1V1hAB+oetCEk4PZjc+GBMDJp6Kj6Z0ytjXJ uzZWzF7mlXGec0MPPIsaxyYi8Bj9r634QdtNrIE2o1Xjy/uIZSxyJ24iN7Nv7xlz782/SQtO9/lR IC2ewQAbjXIoj/71M40Xlu9/UiZfImANmQgM9k+Gd/GtABImssDWEESJVFGFtwGJE/pCuuj0ZTI5 nbTaVTjJuszuFuMRvkJbVPHPaebKX/WnJ/WjFkQ084WxW+Q0m7lu+Lr7QZ64RTRlzbaR5DThcOdj wf0oRrt9OTzsZ/gEWjrVK/PPGF9KqjpGQjjwVPDuLboC9BSIlqaeg/4xdCaApXAuBunHbBTbAZKt P7QCls5TrWVSLyvzDWRIvy8+6GyBsv8vQvsNbTrkjq2lTLOcpqLocN9pBlxFJQCHgmREFjNLsfUn iR+lzwYlECOQHD/3lIMeLJEJPdhAldOKcmQmOH7VKxjrrhpQCj/cgSHtdXBlKWmeIK1aW2zVsRT+ Z60pp8DDM+YcDB3hQ9YBAYCV6qnErudqCA9Sonp2YCjA6la4oapLfchycwsCM9Q+n8j9QsMVB31u KH9e0r79ATohQGurMv1bjaRvqKeUw5l9kCyULs7ookaD2DTGuqMg/xP7jJGJb6jyxNsaCzTeBr+f s9YTWM4HpGVuLyU2RHwz8LsMqbnFUwYDLHM9KbKoG12dHtkkUmiW2S+mwWwFMTimCumzVKu5otBW XJiXen9BzjBsiO3TCFYKyheH5OzJCQmybOrulHatzoWrCKwJwiaNZrjeFzbydz6SoUhtI0mxcenH JfQj7FcrxcTyUDABoJNxabM+UrZm/eOogu7rq1LTsWJHjT/fcItPTQ+i9V4QsW3Sv1CWIGTHZzEs 0Eaff3vmJxUQ6DFqWhpr0RxazZ/evhdntU0wpIsx++cyGV0ueXnMB5XlpXBuwVH0WRnyD5X04hcs RkcZ78eZ4kDtnHyaUcueiVz+YK8bPAIZyV1LqmEDVqxlq9jPK8q1TlbR2ZnEVMdQyteJCn70pzHQ szzhspDna9FSNN2/+e11u/tELSa/T8p9KGpibJ1huuAukRWWlCDmD5M5LSHDMyBULULpPWb1Sv7y luY7XOlpLfXol1XE1I/ae2SAB8V0No1Ms8GqrLVgfEl/coWNRxWE5bS6DvIi+X5B0AjKltjmOr2C Xbulq/ds6ffZt+jPmUcIIu91JSyXgD5MEJ7NRzCPmOQalAxALJw+0017dRcnqMEYH48qIM+dE56p DFIgo31Xrzf8nUF6Gdo41dThJEZR8bvXBoAaK8ESxR91MsekWm7ZoJDZDhFAHb3WxtKV1theS9PA TETCF34NxZLoSwTdmrQFCDcCxJ824D0lSAkQqVroH4EiFgs74V5WFgrwW9yo6oWlZFZzb85zJf6C Ok82n3Bp96Yuk/d3tqlaZY9u3cjBNI8jYiXY8dBr+tucl5/zt5et9PI+SuA5nE3tQ8tXdgB0JdaK o2A2c56VZki5/3iBMVgYDpsGBHozZ95chHWkjv4RDkGhbpM5RBczpF1DMSN0bNc9m8Fy5d2KBThk O6T2o1O334OX8rsYrtd5b0Egr/XBfdvOvpDmzB3Nz5NKaTd8jgwzPMcViqNYX/s8YafuRH6bWA5G zryZd4OatC3jaeudj7NNnMCWZjCa5IysM9shvFws4K2UHPsDQY8O5kD7oazZv9ZR6jnAiIeoV57m mYTISUgDRtEvULFDXrF7dMTwAAiPGYtbC9lyGO3vcYPPOgiVM2R+JSGSI2ftIVomMqdnHvHdIi82 ztB6RF+x8eHeu7jG38eltBKKl+5j34V6IhUPvIkTREyjh+d/DvWeGbwkPlzWoYIfM3Q8NTXVnjrJ o+UIyIMwmUEq466ql0W7yUlGKl7LIMObyQAINLrGG4Gke7zZIEPuwcAZ/msd8d3I33+R+7RilXEW UXczVj6pXHm2F8sXnmJ98seobbxAdOip8Cs50iYMNq/tOpY9JrGlAoTftcJ+oyPVAZ+Dxsi8qe5n c8w6lQqvFeWp6kPqFjfTg8Y5vjEr9qOcr2G1pmhPt9SuCSpTXZXgbpk3LtqDr5L9/06OS+FEzZfW bJhmJAiKVkUw3VgaadGszaOlUfDw4zMtaDJHjDJNWVrmXUcabgcYRi0itjAZAMeD7gNnORrIWkIC 3ppOw/iJ9MS39JZoCnxSIA5t+Ii16L8/vOy8pYMB3TcHxQGH0qEYzP8Qv+b3PyWOTYHmbRrEHAlm 7b0MHfWQU+LJpn7qZHyElHGl9WHhe6Tc7CpPblfvZfele/ZvkZqWRxib86ivMExdIkdWKtHjXRnM JCY6vD6tbjl/Nh3l2qdCtjbK9fMkUADyCJa2vGwAzoK97DwnOkjIsSJqruuECRAdETOHLfkmNnKn +nmF1k64F8wynikfgIbXDgXqbbDKbFD3M4vsC2ybNAnTeAs0Gg/kJ7z49JB61uJskAPoSe7ohPxW yg+t3nJZInKzlLzbhqdK+lMNTdIvW6jS/WQxAwfFT63EmylNg7hkirOUN03GuNomNApNXv5qgGYO jJoKKZJS/X3WltrWZNG+GUy06qHyQRnaY9DIiFRTU+mXMIlp/HjJxT5ShTRuNqnXjDrCKm8UkWgI wxoYAzKc/euB5jdJrIHcl/Vqoz5jnHxb112g2bkR0PPQy85BCM6EiQv+YrEOlgvKa/BT55s75hYr WniP7R6yWBU+4nNZbwf0TRynsgsaLYWofPH6ms1bp3CgcNVbEz4faWYcdM/xhsc0ZGemFZeWSfLq HIsXTh2CiiZ3Q905hbGgTdibogcfNNmq1VfeRpm0/f1s8/k+1bsUxW6kwolXvbkUtWmqwxMf4H+6 JJXWcpjBDHc67JvAzyWYGA1779qJAqVZWWXHBY1b3QjB/sKSupmFmiG0JcsMq+ZxPnUcnISHdmkM uiiRjbeFaHKHuR866PJbZ4CfNr2bh01Ul8s04UqFB/+WG+p6FA004po8KnVG7M80BA9qdh39N7ak T8BMPVKFFumiKTTLrDppK7fX0UQ2Rht1QT6Yba1b02U+/B/BGbfodEbdUrwiJB8ZXcfVrbW3p1z0 FVp2cMdBBecmT/AThH3JRfoRlaT73EvY+FYsUlWm6dG6Xl9VCIs3fYGT64ajSh8kEqeKhOaCKTuc kdP85pLc21dyiHAXY6MoiRjBdWczc7Ztz5NyaSxVQwURFmNxoZcDbaVg2M+d4mZ/jDKdSeLtX5oZ KzrdPrX8vM2N9rZ3wGlZ+JPC82peOJWvrNFmUVPT7P/QF8R3JZMFNu1qPsjmSMPpDhyZ1RTg1nUx TzS7VHtKBSHds/QjfjjB4VTa+yiQ16tg8sUT0BvmPDCV1CToQZ9k4d3+XCyWlGGlPRGVGkmOuKJe 9mxKYgWsU9hkVu757luzfntPWxjh6MJ4W0cgQlcPrYfaHBOCO4sOCdDZkZzwC4L0JN/IgNg7wYXu uAxSbqdlsq2jQa9O+6Xluv8JNh45u0qwY2dg+uMeqGX7hdoTG6VrH7irfdh8+lrqJFhDPEBl8X4G DhIyilTPuxPmOcC+fE56dRce+GAIipgeSh201yfNqzv+7WJ657iTzyVs/4kkNFJQB89YQaL26X64 oRhz5WkPckitaE+QbG02nOoe/7VEduQF0K9T+hxQ2Yw0FLjlxwXrDleJgjRX4gIPJsupXEhWvOM8 09DUVjR9WamNb7WG053Pf6QDyd9Shwy/drOHaB7HN6vehpMMbai4PU/yZ2r3uwdoLinmbbsMH7MT jJPghVzecx+W51z4gKZApfRhU4n9EPnvpVzsBk/QEEqjVF1N6ZAgMGjBEN/GUPUEPtTPImu2LzA8 M61b+cw/GAh9birDZrc6mfmtKTJY6yoRZshi2v5fYYV2ymDcINWrvuyncimFLvrwmS8b/hGg7zeq 2P0JWcEGKHTlN+d6akb+bmJalWmxPlJGLOMCHOEOSx5I1z8QvS8sMvaxCuTZQxIGcw3eZI53ETrb R5EHje9XNOfgPwyrBC7w+tnDqGhChiqtOcD1L7pStSaXqnOVVRMmE6/JFbTgv+0l9N7TGdguBfev 6QsIcrTnDZEVeZgkr+iMUWz7HSlkP6FiZP6UFWayhGLWur4DJp3bpQf9qB3u+urzblIqIJ2o3PxN AAX+aoMOK6jAqRd03kGiAVZjQSaQFmiJX1kMlVZT5jH3xIaBUjeYI5hbD4b+LwFvCchMJ26rfpvx FnDdlPUnaCfCDbaeSCUxH3S3UxgJNtPAgqRiYKk2lN+Yx9anV8uNscIbs0+Qz5ETw+9O9EfVBkSa sGPzGxC2kqdfF0QiFw5z6zuYm2dYAkmj9YYEpIkrUgBvwdxcc7P1+f+/V4B4UGM91k5oxC3f3tYM xYpXnsRkKwtLeifrtZxA78ITV7wrCZP+LENy3Bw1SvLeKhJG8Eft+o6G+VbHpMmBdLsgBZI5c2r3 S++C5RL4nagdWda2wt/+kb5szU8eSCVEhIO3yyMkt0q2qoLtiUUkHbAO0BoUBff1OPAuL0CL6aAO UcSlSPdKLf9yeehaAxDULumBWDYjSyUTyYIYH+BgYprGllOnQqxVM4d0kY5GMpCXEv6E5tF0eJoS ZJxrTnizg/70bfbvAkBC/6WuWtNNAdjVYOAdwCWPtbjpEtuxScLV2lMM1jcgGClrG6zlY99y4pO1 QCbrYHrnFSv0agKDIwFyHG2x0DaF6s9yETL8S51almF6aXmhDOUXlyGK/tRdRnJN5wjGqBt+pvWo GiN46sn/iZLwzPe22p/EipdC1BFMlWCvae72R7bC3DnH51v0/WVXT5yFBEC2DWxJOvqMihVmk9zL An/hLa9rIUFiz4vmYKuUugkxxJmnnYMIBgqcHKZ6JzaTKRzzJu/4D9Ul6RsNs4FCzsTDkhazhunG O87J3WvAxup5aIjy5YdqbjUwg5Nb3tsFLjwu7pmQFqDU/C9ty47Su+3hwTPjsEO6R0aBmlMzsraf L1c9xQs9X+y9aSgXOGNvWIKbBNWgcwTHG6T1e4Q472YW1vTpKqNpcoZl5Mza9QsXrp8owMNBP2gF fXKDe6ICUngUp37oaewI/9HH1Ug0Xml9TDNH49S7vpLpP52+V1DxoY8Ch49ipDNcMQfQI55DZXz8 JT166C5Q7qyKf/q/T2tXD7/uKfQGB5mfFi5OjEuyvgKVyihSeghS8MaxEWZVxHU6/qTUY/LuyVpU e4AD2x685+BIwyPez932KvCOf1IRMdK4JCDPpiSd7AUTYAyZyAGa6dt7dTgiXIQ+dQKbuKcvrUg1 YBnEJDpcjT3Pka6vHMT1VCx5LhHHp17BBSnDcwPuppG59dTaffJMSUbdN59iO+QbdyqLQc2tI8VB 5EpUGtsDfgC5EqIcNfic2/R2jXRfSNujERFJqF9+5TA/vrZVV9z0kSy3718nASDM7uummCUJmFPs xn4ccz1013WBJaBPEHJa2kamHc0SNCl2YpZVNxwchbVI3PUUv79c5wFzphV1sDJ1hTClO/pri3Vi h9ieR+nexx1qZDQW3rpKI0f48oNvwJSQBCYdZLvrfQhP1ALfK2NeAd8eFQLzj0UyQ6KSZ1s03aA2 AT1FUyhHNKyScUsdNaWqHoSq4bmeQ+j+QftgVauxIjAthvLH96Yuu73bFr3xxtAOoYE0kDx1lQgA lMu/ikuqPJlf1rTk1TTX+yqM3B8KXcrHgTTpaV0uL+8oXDXZMvsIGQcyr9VFtMUqnVjm9mD0ayux VgdDtPDBk79qn3d+fJ4RJDwHaZBxXoSA78GvIRoaBUH5Fv/q6c7gHWXlzzwe6ZDShHRCgNSYx8J8 ioiKrbqlBKWYwJ+lcyiub8S7H3gV5cyYiqVGiF5AaPGGtkMUFacINGTLAFLXlLs5ErN8R3An8Q7n 4PtrLPPiocqnrnnmR3mcarFT8u1ozy4bLuku3C8h5mFCABq4js3usWpasSu3GQC4LTk4IK3qOjIj dzjyGCCOLXuVB37pynAo23lgCO7kH52jpgcZqmu8AuWz5CmlVZswJGrpLpPNUglzaV5sgxkRQ+RS QgcGsHo2kYSvONgZMY2g4ktjD1LBVaq9vhZ04QzsjQyug0msjNvvJ+0pAYbuQFg3Ug68OZXVRltN qQmMuZkjjDJ+YPQDmF91expS0mCceOabtkUyGJ8Vaq/srUPYQMmCmN1ePZePsvuGWY+ufK26n19w S17e3U1xAf3Q2PagyZnZlILMzI5yKfOSaVXnI0ISMWpNOGTS9UNpIrDTJ4BiZ+MPxakWNn0+q9ly lrHEUJE7TdSEa4r+VUHM6xKSQZ8Evm3+Af7f7kzmxd/ofpm77elwZhzjcve8jPXP1VdfLSrumcmW FAh9gEMk1rDSfhBW5dWANWjk1bI/MIY6ESJW0WtLuAIZFb0HKZ0JaMIJLpsVfS74OShK1nsJs5Sy 4+iGkfyFxu4tXeZOzs56aujX2zcnvrzamxH1IJsUCnqtzGnB8ZvX7NY/O20n0R66FZNMUmod8Cw9 WrOzkoQC35m6AFkASscI/ZsAD1sqQZy2cdwBP2xPJ+vKb16t5AwoKSbtYrQtwlvHPdOLV/zR3Sul Yo7Zrp4Tgr8YBH0FilcV98vldZm+Q3kwhVGTH42iZ5xRVpO0liuW2t9+UsyKXaQvONrkf6RYJt3F PqQ//MCfH7aXGhQFUdDU0vQ3CcTiJiJFQj9x4YaE2VxA8jvrvUh2pmFqyzW0BPyyJwKE/x2/OaSE UmN2soFeC8Z+QIqyphF2oQ1Zf68k41mVU5hwCVV2mZhBDwODdj9QBC4X/NiswgWsQWQiFm3QWR+J LWRvpuVg7k1xdjFSKRJua5p74iiHbBAEDM02CpMdOqe5uZC3ZyK2RX4kaL3RBCRuZbm9PicMiyIB kOTPa7eVO2atkvPt4J3/57ee54BsbjFWmRY7fMZW3EFdjmfKAYE1QIJqTF/Q62z9eWCeFzNsRPI+ jPUBnNzVhU/e0DC3ZGN44xAdNC0Y3TW4wgU3ilXMBQf+KbgsfVLSCxmwzhZqqU8wh1QFuupOOLVl 3grLKVpSmAj/f1Wpdy53h6cxYb3LDiTTW+5Iu+gTBAT/WNnx9oYdwfQAUvStViiWhZo0y9TD7qeI EvugtjZDfzOWiDu8HAcrvOme496ZrZtL5b49O86ZV2HzL9n37RKcSMUEDCsN9lsvjRr2RHvZAYlM QgC2gqUxVRkwOWf4/zaqLzFMT9B1NpiEeaqUtfTM16gvioQJFu7I8mTBGUQFKH9Nq8tYjT3/eZ4E jKB/KEUoD2epsvcVeywvpiaTTSYwV9JKDGv9Jr2rbFFC9amTCRpVs9v6WLqtQJ8UdFXFP/9h1UD+ kmtuUkUTf9fK+bkC+sCDqQzzlndfuoRtbda36UNblT+D3xs97rKgwlmiDigbBEnePX5c09vE25Eq jZod3Q3LyLZkewJWcWjaeZtjPFPauNpUUJGNDgkD4dMwzIENakWBTJhuDf5UOwpWcOjn89SA5LLN exIcQP1oBUtw12BRPjv75MPCS5ykHRy6LF9r0UHNCyjhYWJafeh8iK3+QzOG8W0gXLPEyR6t0VLU c+4i37FOBfugCbTXuLSHp23JlemyInJh4dfaM6fCoICJn8THvoBY3bteiKBz7BrDkQgwg/6uxOM7 xMY7khzYB47pCQ1Qocz8FkZ4+Y1nLCspe8NoDdrneTHJ4RtLouf15I9JLjwekRsfjTOJu3+SwKmd /d/0YruNkVaSLCUsOtZYQcjfv2kGInf82VKBX1Rv0HI4t3/MesBaIgAdfopUfek1I8lOt90QQbF0 6SFJDsPArNuq8n/FrE28CuO63K7WzqnMy2x/ttq1o7fSs9K0owtYeVVRV4PVjDBftKdg3N2Tqf/b x18SrTma/eAilN5h1LpvBO4xP7DaS/c8GviTwvhObbdAMVwo6hqCBEMAMCalzeKySRtNGsq8+zWs fRVot6R1YIG+9SzE/86NfeKQbxCPrReFVP0I+jGHs4vZSAe9/qNZrqgGjCcdj+Ws4K4Pb8xygbsV 6ORjeFhPgRDmeZDgHhoTeD+fxdt/syTWs5wUBavZinS4ipBJ1H3ZBF/gb4TFmj/IfCUnBgv4ANIP CWKhHlkN7i/TXfr9xp6ggJXgL/dPEIQ8NMUmm0qk+iltGFlERqSEU9dfcHHT0d/tbbpy0+R4Ux+D b7LS4Z3m/e8LDOJGAamMojMycFoIDz8OndkLEtDg0zrVK5ni6rCs1I/K4m2pKJIogpOD54rPuhj1 YRd0Mss3sJ0fg5qGMTZ7C6veoXLseC/v1BHlx4gne7CrhbvBhFOh8ukOq3tKWvwHihaixQhzgaNE tf8e+gTq/5LI1z9nuA7cj11ijEuRVfECARDJgFsb8Mp7QorMGO7yWlOZFVOcZByiWYntkGDlrRsP o4FOoc284lpLvDZ27yWPiOaROZoaIcZO3AZ1CblAwjdc+a/3XM0bKbw7PZB05K7Q3JZXgV/MMVgZ MG0+R8YviZa2onXs665QsZd1v4KW37pm3sicKmMbus8trOY8SQCimIj811WjJ9fWsfXpXelF9Zit ELPaHyFxSjmXaohJTdqw/BZQGQfQ/rvkUu8VraFQVUzxpRrSBiwMNmFev4To1xbUinZb/tTDghhV 39xls09pWbKCXLh8j3ofxJhwOdZUHei3gRWoAvP8dDYJvonfnkT50iynTEB/3spcJIEu1J0kIvXa GZKDrk+jBPQPwWZPXnuduDbqmxS33KHEhQhA8rLZWYlpq4c/m8VTAar1FKnlyvj4RKkG+/p8q0UV XGxUJoUdRo5BcXDXh4TsWQXT//WwWBU8bMYDH9XbUHmO4P94uXqPx3VhEyQwUHctHqwV3LAs0Md6 uREpgiSH3lpJ10nTjBXHSWofxrjem/TRykpLqyGJQS4akMHXvBGZLg5RmoB+ATjpdvQJFJaI3VK2 TXSAU1p0vKShfxqui0bZC2RplPCi7gvGSTExYhNqRXz+ZZB+jw1Q9LIAL9+GhK3heFdm2htaBHq1 gFQOKpcb5S+sVbqdwS2+tzIfmyfKWvyN5lJTSYGei+jLGIgaB0+Tfhp62RC9geU94Bc/mLzMWdsG NJjn9eg90UrJYz2umtakoAOgL9Zp7eRkSQ+Zs21IGurJmk9gbHoAoHwibvM8szbUy537mnKiWYJz xh1INfQ1XZsLzdTFqwNwTSmsDPGfm3TGzrKUfz3MBmzVtNfoMkpKCMsvEdoQ9vsSVWWWCPCxBHxA HOr0as+fJKAIKoYH3foC7HoY+WP0Tg6qM1ED00Fq0R0cu3A/HzfoEQF2bKLf4BLEE5wR3lUYt/Gj 6cPmfZP1SApyksub1uCs+dFfBTGN2wSscRRFlbh6v/kCvuJhy9d9WS+aydjgJVECVzulcnu9tm0W OlR/MO0vkZMeARmdPRjUV2f8wPdaBoxShnDkglnEJfuYiuRucSsnY8qh84RbVH3Ybp6Dcqen7iim Ur+3vP6W+zVvfoHyICwaNFdj7rm5ifywy9w1a4lpM1M1HPQ1MBp7+gS2mOXeT0Wds0twl4L44VG5 9raDmkyE0Ca2cuQ4fwAamfqrgm6x30mBn+anJaZ7o5fsL8lnJdKKzpPEswMOjfArk/otcjqRntzs Lli6+3Q3kISKUBsdGOb2djTTVL7cEyHTOch0DDJ8Qd1wX8Cy73KdSs88MvUg4a3F/Uf70XiqLjVq LtuW0km4iJf9zkSEX0QW5ELwtOBtZKUUrZpVT5XG/nZE8pNaWGnCtZzIknEDHlGQ8oD+z+r7UFbB LZ0XBtF0+gEuWPS0D1gCW1bMLcmGcLVuybR2J7q37mv4+2apYsBJbLP8EMABjIvIxVA21jSdd4Lr butJqskdXdsqWLdw6/EyXVuly1Vz+BLMXyyIO0CE4Zsj65L28vzvzEtIisVZz7yVo/zwvZGMVvMy AnI/TOVs3QtM66QR92SY9b6/bgxJxBE9nTlz3to4dKAGLnVBdd6hpe3hAgPHMUmcKQ+mCxMqyq8b Aht2g2i0OBl/5rGqAbCTXlhgiMeBmk16Uh4/hiZM0CcYyUoxco3wGlpWQufh8ioxd+NSuIhiSNaU Uysu3VVSKfp9xMfOjvqIf5HpfeeGzcuZr4TwtVlIsuxX27M5FVIuRMpe6V6Ht5TsvqH8Me50WtLu i1UxzIIxNix5/9o6YB5V/MqgF5qvuFZuLApp+8f4UIE/bdFVGiNdKoF+Q3+LJa50ySCIZkuef5RS T2uf83yP9Idyir+AZTFWYtOM2lwuLz3nzUDQ7wQfwd/8id80DZXkTKTjjrq/3Vpf3fz/JcKsWcqZ pV+9k93X7FvskB58gVfaPpnNgTe/6Fw9AdyJl/8dqUbGz+E9llJBU5blviDde0WTNWdi73tsoCnH A82iXvEXES5S57VWUOkPHt1BGyap/p0fMcohuzVFlx13V4madpHUzL8B4/JvDh4NhWJSCR5j0vfq NS5Mko5IMGFBUqeZCNRkSlJEeTUU5wdDkeudT1WKkwZEI81QQ2J42J8QzFHR9AWr4LfO6fcCOfrp DltNxMMJM8qKxBlGExxT0nXLOhtrZFA2bL1tALRhBDFGrhn1Sb6WarXclqRaSm81EtfbphTwfhuS LPTv77tU9xwMU1vXKPjVFam0lznlbHSOIFjL4DKLKNaiD1iwAplzipY4L9Mvkdf3XGOvSxCCQXMX r3hmmibpTrfJzNKe7tE/QvLNZZ+GUdt9qYpJhp4zd02F9k6EAF+sFwER/DEHiYjqIYR+cF03CciT xElfp6ft0Y1Fr3rlzfy1cXgoq/BNT/Myl4LJiyu2gEF51KcU3scSUkFRhubnFWhQp3Qjs9THxfj+ g7V6rrlKiS6QfOIAS33RXhAQBEw7/nv0FKPsVyyvNuItzdWyrdQViVRZH7mEbCh1jItCb2M9MK1d /+L0sWCmF47mk4xgkqABKkN15FRI11GWRDq9K9ebD2SxhXToZk0LohYvlSxAQyiOl3M04zY4oJ1k F4hWvwTv4GPFSUAbNvZ9jmPfAsQw4oKVMRqQOlRWUmZyCL3W4PNBSazwi+UcepNLvLh/t34rq9BO sWQ4j5uBxKgDXWo5WAVi4ry6EUgVDpRor99kiLozrGYZ8VWW+QNYcAWGwDUndMokp3F6zCOaK0EB v7p2+R9lz1oddf1J0eJ5vZ05wyrW+mOEVJhPWJdSuhBVenVeYUsNrFG9cpVzRUM439R0IoYx0MV+ eTJJBxVBZ3QPt5oqyAcCbGVN1oDB49gwn7Ula4AeCx1+RTEordyvQ+dRJcAEiDMx42CX+eWgtzOb zZ6nTvmvkPl2xspPjZY/N6RxW/KkZmrQDTe+2Qsia4aJno8e5OwMGlBPzIY9tw12pCz124jraKdu GQhCDDK7weHAkNFMo+LFEfRSGxKtNUSA72skiel6G2Eg/QzEeubF56nRNaSfhwOsMjX6Qnt+Yl4G DS/2UiAUFdspwBqni7sjn5YgO4Cn97z6BVpfuQJtENZIyw2yo+cRbxLj1Vwujd9nxdlnHrEBXjbq QWR9/0v3xWy5HtM5SeLQYAmsmLrppW6P0r3fuCw49ti98s1yoK2uLmEiVui1r721VTGMipraKWls rfIiA8G44HITRa/q6YXP32qZAlv8bjim8XxItHiX02JqAVjqycczG5lK50YnxV7bt7oESYA6OhB0 fxfthRMNcLnx5E7Sbed5h32YTVD66aoN947qyHz7asEFltkd1rRv8e/fsK464nBCynJooo7BYA8z 9nCVGPPVNRLDYMv6ZL9NNIgkh06BBB4BxKBEy2DLENU/Vf9sEF3tq90LNcYxb13e3ILXyrgCKcz3 bnOU0v009GPuG+XgltL8sUeki4EJoc7R5LRIutvAsS7h+9XQHo1T4z6aVZIkKoy1q9gBtyIZ6EQB eXox8qxyO6uVl77bZRjiB5/EOrMhtXciH2QEPu/iSen6fkQhBjVzThHTw/geS275yxCrCAsMbttC JwjO1Ebd7LZahdaFp9ScEPd6E2PrHnqd/Y4mw6zCRFC1SXCiC9w5FM1xPt0szYipAZQyx/xdhCBd pPsviDgch/jySnBUFNTwBrkZBpp7CHOD8Jy3YoSh86L/+QuZTop/qkVfaGEaNXj9k3JCuYw32ZCE hMc8QJCysiN9Th12MErQ7TjAXechg1hR1vczqtPD5cKskqb7ilaZI3hoik4uqVbTjRXfbkxBMzJq 9r5INwl40E5z78b/L8auDlu0585sGVcLllFkcB1G9xF9uwq7U2rXFYOLhKSBYw7HcJMzEp6TS0jM OpelLpaUWGX0wSN6h0aokZOc425ymO0F4ix8c+pbbnk9Ls+FdoNv2k3FSXXegpBYCW+FIPLbzf7n RGjucoqYSrR5yR7Jx44ktXDBxhUBr9wFELjAnpXyW08kTEupaU2U0blvQ9SPSCukrDuNVKS+8k5r t0xwu/ysX5JcANShy30uO6yLkeTYHfADWcEMl7BNq3KEVnJJt5ruB/Da7hleGFXqZC+1/Eb+4Z/n zpJ0zwgCEzLkLraoh2zvEUh3/CHC1uldBV/e5al7RZOl2Z+ffbP6gh+4n7WMjorWcUz548totWQ6 n4+jqZPngU64hvCdasXURR+DINI0uFdL6JGtqCOWdRzY/5279spvDZxl8yeud+rxHU9uMRmvpVvb gDMqvlCtd/b0n4iJBAXKkWR2Ppl3THtWDVfj3QAlmo3OL0mrCsQw4IuCTKs0ok/ulIweOGxFk2K3 Objyn3nlM+xpRvHpM6gwXnaJhjdKp1MXaqcJYGdNcHl9XCOKazXe1qTvz7FeybBnrqYMiMmS4ZKw 2FfEp6q1u5VUsPYvt/qAVo3oLzJV907q14ePdLticFdWzWOBZodnH2EuGXhg50MMXDFWBGSAfocW jviLbRWlgUr+gL+6wlmtiWbOAk+VDEOUn/qDGoKRhThD7H5CuXiIm9QKbekJ1nEV6XZ9klI4EHWL cLuNtwacEsYBHdcpi6ZsUPSoX1MkoxrDSYn1HEyr/ngxwVsM0hQ0351CqqgpIxDc4p5k9+griEtY AQgPp6iGmgunQ+/qHOzaMK6/uYKP1tUB6X4ri9FLmv7zd3a5G33N2WUHsvQQr1nMsGH00Y3foHwk RDww7w== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/multi_fft/multi_fft_funcsim.vhdl
1
2145437
null
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/vt2m/vt2mUtils.vhd
2
19337
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hEo8ds4QehAPq5bM0bVdri0TuSE0uFiZDjrbnEDb3+C6i/+grtlk+RnwA9G+cOTDy/SBxW7jUmjl pXlbv+ZyVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block naczDimjKLvLFYaT76c3cM3w70YV3umf3g34KG+Vb/Mr4XgmyOSSFifnpynkgJRBMJ1KoCE4qh0U rBOWObz2ghPg2o93I0wskmqQiLqLVlu08wekvzCFYfiNGp/Se3wPZhsqzW5Lv7OsPLKsqyB62HwY h+3UiEUb4VzKPiq3Kug= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3FCYKmVkQIdlw2SYqR1WPdNAjn3fe92byO8WnkHdjaOF/F7agJuQO+9xfwT4i1QoSrQ71Lee1SeY GQnlOTHl/sbLr8e5w6XsEU71otMMI3JuVmMQNw47SK6vjHvSNBw2chnE6TVK6HQirh5kpUw5+PmH ydGcG0buvSx/VTtdHxOon2KHFDS0udH44DIaYxVu2mXAnDLCDFeqx5syiLPVtyYGuFn8iJkJ8UpJ Sm3JHm39OKPiqSYxQ66lH/qHQo5/ju3EZbEdaffsdGrwY+Nw2FCuIdkMnT6VCsZu0bptinAyVpRu K7QrBlKij7iTqXqBMFfVbczxivx7P8S0anpK1w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ycFKEEqFNMcFYF+dxpshmztuP0f1krYybiRyZaiwDKzUcQOjiwySxzqjzwp2PbOoixYhYyqm25uy ArBTeX8lOZKrbnIziP19L63z/NyX0W/hcQS2q8Qm7fx94wBplvCA99fhOMTpdk+bcEWiscRiVaUr 1JGc90MtIvDMPxYwPv0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FIs0g2oDWMIHPFcXndVi087Rbo8xezmev1Z01bnDb9F87XrUUTZdk2nZy1Crw+wym07glGwW4oHB +pnRgSqb0Fm4j3DOxoY+UsvMnLgyCbMug3Fn5LVC7Z76ym0yT6HAVU2SBtZv5WGyb9/4IvgFVIP3 Qx8i5lyV96JqPvynhJSWAKKIXkvargDLZ3td1AU5mmwOrf8A4xNNbbLMI71BE9KZU5gmcKomoQ4u TlkTqLk61szQBCKQO6UCbRxd4QIQIBEVZzVQfwtAUVKVCjjX3AhlcN37VXh94/xgDs09jmpMy8gO Gvo1C+i9EmDNAAa6zJhSBfLma28lf92sfkfT6A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12576) `protect data_block sYepyIXAt9IqU/mYyGNpZfqPHLkn1AdJDsXH9fnDs2PR1LbzaabPgeb4ShksrvSIDEOR0Zca3MBy sN51nsxwG/NvizPzaRVjH7vUdcsicHCSSa/eXPos6eGs3R7twkzYGECuFiqjBntjb1VnaE4QrfV3 fpGVGLuVsKtNx7Y1ry0wE4wg05sgubZQ4mnJJM1fZLtrtl57u5oAYnussXows/HcQaF8oD4gsbJe BD+Sf+2NeAGRwZ449mYcWVbvxqdMC2VSWNPRfutoLTLPi02NvrEBkD1qLZW3mWpFf14k02MqTIcS NZYy72Mt+ZRW/UBxkS5V8Eq1K3obA0ZYB5c3L47HNOte51kxpFpvW9oJEs1smM2oJtw/HPmNFVGs QGdSrPF3m6wuz1kiDzR+8cHpsz0t4ano/wcFC57M75BwoC0QiJmFzk5bpQkh9yGl2Fi8ZonWRV/r rvVhkIyEjF6INlSyQmEUdDbYtUIshCsUzIuYICv4zPxGx9TrCYlakERx6K8zBJcA6qLMp7RQ5ZVG vyK23ApT1ZIu4E3Z9o5VBY/Z+oL/XrtiwcjNmBHooVtr4dcC+8MuI172gvYJ/xAVMmQn6LXFJ6Q1 GRKreY7zvp1voQv9lTefmOrCiuW1nmjpg1mxHt6z0MK7jI6rRMAV4ihg+/k5lzje2ojSOsK2iLuJ l8zv1dKP/mqMUXDLWYRy0gIep9mVJ29LUS84V79L4i52SNZKPLaiKnIDA10Y03nTX68qQe9adB8q /eKo1IlrhM0GMMUwCoHoBv567HVFJ9VaVlpmZJlnzkwoXE85d2oFVh9uM1HINORE/rVd5D9d//jR atThblkhiBjuJ9BM9QYkoloHZLVvIQYZ0vzhQhyFPy+49jnj9smwbvxsMkXZLpagxhBKbmsyc9p3 kS9knwRSxKGwMzMi3AMPK+XEc5myjgA05FeL9LGKLRieXo/l6+hP6Qd4nVMlAKYWUZqoVXVWxjYU IiZZmbpgVU+vSNxy5rKTrGOedHO4SFzBNnM/5pG4AwhNNfOhAunGPWVRL+GvEpQow22npR8a1tW7 whyHkUaOX+3BflR/EcDKlCWIenELBRBeqkKD2Kg+qcxA+zJUTzyRE8PgSNNyA9uRpDbau3ui5Ovu 0AKIrRdbvJqRemlM9x7AuD6WI8nqdI7sWRwuLXDILfk3j0gbccnGUPp0RqEA4qMNrMsPENQldIye 5llfKXSNpL2R9/TQNw2Ikawudqg9r9h6x7/vNSvYikTvBGV4csw5vumBH50ahyJTE+QmvBzRvgyZ tytZ1kvjZupceuYoiv3gsq9MiUiUHpULs3BVKNYopdHLht2eS3qel6wgn5/Q9lrzKyjwdqQjRdA+ LNFJclrexvfbZw6aQySTX+zC59+hprrc9t2gbB7KeOYNgPH/KtxUbSvve71xyw1i1kbU7eV1uYFP VVI/sPB7RHu5aWEw4GZv5AKKJXwGSEM9KypNAeteS9JDNe9nwfDni00h0VFarivL2PycjlfiS33T pu2LoMyOXf58G08KC8uGi1upsi9FoeVSWREJ1+JBtKPizypLzNNekkXhf0hZibhGiL2t2E/xqUNH pApBtl9wyU86gZ2UBLAjE5+lR7PHhKlB7Onql/TiqOC+07MmlJwW/71eLhSyEy622Wx+070Q3h6K SZ2NeYP/QL6Lf4dNABJ+ASUWw5Ge9b00B+A0eUHkFm+JCiCTLEwq6JS8RoWugFU/mC4tl4z3hL03 NDZr0m1sTEY22aQwBzqdFAw4ov4hr69MSa3oIFTNeLwwkG6CitlcX9Pro5i5pkb9SBLtFhmZ6nrz bJfeG4mb4jZVM7WmrIa/zXQnwuYNDNiHeWUXcgvSKju100Cz02cW+hD1K2q2DQiNMa1Md2AvMQPQ L4A36ZTEsHEkSJjHJyfxg+bGCjXQGiMaL2BBBmQGSsylkP8b+EPCVkEK3IXM5NoVmWt34hPC6iS/ 6vaRcmuz94zY8aW41cysYZ4z7bPe5EX3WLLj8Q+fIGfSZi+1BF1cTHQ/mNo7sG7eK4PDPrfHof4c H6EtD3ck5By9iMCYOjTGdBqvHjNfZGWZwh8MBfkWJ+oyLjciv3a+sbferO0a0ey5JarJMoukjwQR SmljpHVnR1YkPTK87o44a9EvscnOKGAYQZe3T4zxGIDpqfJ48/+AJTCpWWSe3k0IRQK4+CaNV7CR XwxjfLZLfF1/Rh+06sBYaWT9ya7EcfLT/b7JAafklECh8kJN4XLui2SpAzRE2lCTHLfpm+/ovL7W V6IAyRjwHwwMkjPhabwKLKND0MjfKdNuAJTB+Fdg6azJYx0TyqfA9IBgEPaofeAPQnfM8YlU2HX8 OXwX5FRiDSst20pzErdgDhYZ0ZOGnzDvZ5ll6DejfmBkzcHNmbngOhEFUAl0e8x+2BF01oirZmZa 9moIFdKZnrtMddwfV9qllJprHxzxvV3BiUhWJD0N1COLjfaD6n0fGYTkJADq0IlYzHaUW7KV+3nC Ea3pQ7GNcmvqzWqUQyO/w/E9glM6ZfOuNzWWIKw9TEkILnHL03oo/I/9YzxW0jwZW78xRReX+Uwn 6ZbGFeJmozwJ0P5742x4LEk6OtEkkEZQTKZbBqx+HrtDOpsM894Qt9ksCjHwLYh9i1ElItTmsFcL XkYvU01HG8sAI2eG5KnnYYWe1ilqV93Z3v9X7ecjGgJE1N0y6kHqIgo+SRS/CkcfprJd7F18Xc/U 94Nu0fXT6LoKTRyGt8TiTXHkOoQHRydsitoiHt9189csmzU7se3PUWtIyeFE28rPRzIeCPxpEmfW A4S9bzliKouJ7SMbWDLP/9rHC1hu4QxZFHmSKX4tc0RveFxUi+U04Wt80zeBkvzBfbFCWCXeXCoN CWGVtzZ54VLA/ScIR7u2oS9iTY9XnM7BK5/JDASm0+qiznITWP24YpOMWB9lymAp8SabZEGA26+B Bj3vfIHT/10Cl9CbGZT/TGg8dxgzxSN8RdXSYEVcDPkqEauz102+9pfz2FmjtArtCgZtgy+7Wh0p 9gL1NvoxRplzJc1SgPbusiWs/1tk3vyB4Ezr2qH5ShWG1F7UIxkojk8U1Kz9mXY0SoxnbOw2mdm4 clSTH5l3AdKR+NVj/OWWkjc4uDyiVFzO198s3bYYaqA/OhDgeV/oHUDjoFByqD4Tky86B3/OaO4R 1SJfFup1uoxz1D9rQBjZ8TPG0w0kEwq9/yYLdJd3ZlclXbFJcxQjAsxCY8NSirS906lOohL2Ve2J 8rk/FDWolqcpdH2KOpFBFMPajkO1vwwikxpz1/pT42CBsPAyNEFKb+GJHfkbpAbCeHaMIYHeRxii v1msrnbf/sE8wGUtR7fchcYPGf4Wm30z5pnxwjqatYvCY0/UjCy8Ot214Bfbs7rVzLpxxpPSu66b OZAnPyBahrbh7Dq98RL2R5TDfGfueJTnBiqqLMoEJkBYtytoM4nwp07Sqv/pfVyodnWbJ9s5613g e5blW9bnM1DKMdhEIhBNtLjSpk/J22IGNDuXosObTCpnPEM+q5NQYv2hmRZ3LDm0BeGZoCxfPPVz xw6fBdFLafVtCrswestcqpDY8ogiH/Niu4MO23ML/17AL2MSCrZzNzYy+E9eFB617fExupGbVMib s5FQiKzBdawxAK0RycWRe3bZXxeSQ0QLy9iBs5lkNOGiPWLw26ket0jsGS/842b6Yw58oTao2m/+ +zXp4kOtKECQyGCtyJG6YWXn076jskwVwOLXh3HOpxzhHa2GQyNwYRA55GqJZ40XBY77V5SGmDFM Y3+xkwDZNcdnVPv8tjBtF7kwBiYNH5Xqg/REckKRao6C2urAKy2Dm2Iv61wTJ4abh9D1CVyMVJ+U XRxEcMxDtFo5zwq+3MTPvUWxJg6XM2I1ulA7DsOGttUI3IE8JbBEoEj/+go2WCNdZGGTrmTHBKum EazO9WgXAzEVm2C6+nwHwM4gl10JaHFT4KEnkvq655HonhoYZodECLTzHH2WbF3zmNw6O9H9CWQo N3Jnb7gfbWuX3QDe4N2e9vuLD5Bf4OdPNxsV5g9+/f14QPHEcgkb3pvXdfv7Fqakg4nA9lw93Pyj oeBPoTUiHV4+peJQjPirVg0rHb6nB6gdqb62oWicS9hLGXEzVJn0KDXkORS229ZqnxqoWjIOj9UY dbHHXm4wzlOdNewMHsuXCO5EM2Zch+knpjzjatQXGq1Rhkbl9ar54XdBCWQe+cxtiftyiUF6SGGc XG7RhkH+e1Zlh20lz3XSUSLP+03xhmqAN/0mors1KRAArnOOdM/Urw4nmQ5VR8KO9iqn37b8oFZR lE8pfsB/Oib4GbcNGyU49bHFH3EqM93qHWnQ5WLtIV5Na4aEZSv+ack73mdrW35e+DmPi1ygMGeq h9ix/EWz6AWlSyOe+VDlzI6DngUojSazHD/TU9RAAeFKBKYObhcKyi7PbqHs8q4xnQCQwr4aW00y LtJZC8rStKtJ/B79xDzIc72P+k6P4AzkxTekn9ajTsK+wTHfBrjz52AjEN9HqrAbFXmP6FsM+r4V 79eohs3j/KM2mMIRJIOs4025lw6gzMGuXIud+4S4NQE8RxKORW2WOnP+FUg3PojPZh7pWDQqG6g8 XWK4m3dsFKF/RQ70eGCPYx1ogvk9+JX5KXVsho3dfU7qMipER90rL2ZaB23oQhCa8cxiOrSY2bZv 7MO8P4arXOU6PU+5AhdwjAAO35JslBdgC6jko3s38ZSnKhuTiKKsLOBiaqyX/LMkx9GMNKzOLUJK ZdM+X9J9ABx29uTWIGcx95R9AFVz8uQkms1eQSO+s29/uokrMz7uzqSyn1XGaULAaj6aUOfKalMX PH/bKKEtNn+/0Gj7pi7kaTpnVVBuIr41qBx3z7cxduJOcbqlpIuPtqxT6ryfu0CU/6GBFxGiJIXJ LhuoNesNzcyiJhc52YtQnqxcAR/NghdhLMkZALe9a9k12vwCdBT2I4N4FPPlKcFDnKaBAghey5R+ E+/ZFq3ZxeS1Jueb6Ra+DtVfhz6BvxQM7fQVqlBpOEo77YNNfrW4v+h/xcZe0Fhlz48ZBjjIjmAG G5uEXxpXmqqzh9dyuG6Z2m5eDr/hVJU0LpVdFOSuatP/44C3oKNxi4JxsLtRZrzx+AQZ1B65gYZK fLzGgLKswPKiDrXwgCuEhjan+snDFuDllMNF0RHmjXUJgUUseJi4NL/YGmkYuBEmXaQjQ8Qxtt95 P2v57pCjJj+nJJjh40PwGS3b7dNcUjlCHvaT0Ls6oGUL67/hTiqOfFvHQxGyCtiA7Oy8rsfrsCcX m0z0vjkH107R+qESYrW3o8RQNy4x4943o9BvJKCht9OmSXqofWc7KiGHd9K7Rbionr7tUmvdQGbP fhVTc24A6/jWiV9+c+q+JqBAK3axxNCrnOfI3nFKQ7cak8/J143t8P5xnvIh8EqyistQw9/ESi64 ASfINHcdL//58sk5K104Tr4Sq5CLTWJjj+JtBg1ywtLDefyK7RIsSyoz2byNNxv691JdrVJV4a5I hTdEYJRGaSdepX9HBJlowEZK0Cx67icZpWT2Pmlw2+p343J5znkWumVUfHXxNFbxPA8ISoTDt5qX aD2L7j7nEJZurMZORUkWvnDbkzNC6N8YKWIsPKCMDyGjESKMFcuvNgEEir4jnPNz3nDZ06nPQ3Tb Gf9UjG/9+J5C7HKbGIrBExI4BVYkM835052wuZDcjr1JbyhxXeimpWYPaw1YsauBNMz2aSSjm1D2 70kzIn0Rbc0Tu0XdURhK5U6mTzql1WPHmzm3O5mAxUN08nHRzo5Hp5Yxxe0uvdUzga/3sdrS16Cq 3D9Zg+y9/I2uXs/Bm82Z7jxqj1nCA0lBtSaWgO9usVVgHMLPJgqrkIxX0xbbRspK4hMgv1pBYhx+ zGjSoiXdOOg2xXiqa5CwQfAIBozp0x1YYNh8GbpBg83+8CLLEuqUdezhsVA0MU8XxTf2QWDqC2MG O8yu0KTKs4oJlLTJxrK2z5FR4e3vcHUGFDrgam3ko7ESQ5KhhRMLM085HYaHtwoGINwMyNh5iVbP dgVxRJWA5VP3g4m820qwEgtNLlkC0kUkxWBBHB/gdYKz5MErWJtclnh9HgWT7UfJ/30GosmY+8JT nSwdsFdOE8IZJ+G+Rc6AsOKUT+U+JcutcETP3EC1+IHDdzOWNq+2fAf7I3MMeeH3Bd/oQT/pMrTp hziZDQjriie6DooiIHPxDdV6ESbhYAGfwBM8BXKt3Tfhfoc3gaABY7xOWBM7pgQ/iZON10WPocnT KPnDfKC4uZNr/JC8lsaP0nfsbSEjXif1gqBLtLhrMizVJs9EqTv8GruIr4BwqlFy/C8MooYkM5VU avaVzsJzbYepsCm1HDBGBw4HFMFJPrUrKX0x9A1U1VwmYzoESdjat+rlBQZ/a95Vrxg2A01FD6fb kF1miTqoVm7IaFOgk63iHkbL+MuSkEXeQ6xGUEMztLaq8HuCtSOIfcZBZJSM634D8AS+jaroe869 pfST0bJ7GMeCVAoEIg9O/2zOQjG86GBLSrVXN8Y0oqzNq7tpN289Zl8oIo66D8GRIRN9LpnHtk25 AAuumpCFhIeoSncT44kvfjgH+M9qV6ZRzBx4Fpsvm/4xRUfXxiYf+kmbtmCWO2bqyIlvQeTf/kKN flXsUiVQGsPR5gGI99hmUNfDTzpxqXw++yOjzeqNfwIp0Rua/ah3dUDjmF24dci3TXpykFSVEeBw xkxhswHJUee6qjPBYK2LQnLgAEjUPNuUAycM/TJSIHrZXV/tiN7GqfuTu14A18bVk0rL5CXNKZ06 UNjJdqYy9K+bvC2O1w5BZf5GHPNhcHv1s2oTSEsKRN6daEJjkv1STU8v4MMvbRXTHQ3+sMNgIS8z 33A4lkq3KJ7TxzJOgB3FXtcxhQK8nW0ARZ/WJsTSnWoD50JqdXmWCzCHp7v2n/crrUxZlllJaRMt lQMksGDAhL5vr0pwdwAzw4hLk7lVgBwb/28EfZmsmAWWK3zwmty5KGlTFCIzjv6q4dDnUZxIMyGy VTqjWfWywm160QOUp1j/N36ceZpVKY4rSu1vJQJ8E6aOz6NO6Yd8NdQFPSU+bSNCkaEI8lSW66D9 1DF6CnuqVy0N6z7RgknW/dn+sd4sciyIxa1cMj8xbrd7xERgDi444RIKeWNamGcPOxTcgRyVSFKV 9UOrq+3sqynaOb8BGiqvNrBMhw9eE657/TUejrfgCXGsY1GuxUQn50UDGIVIxCDZCuoyhj++A6j/ poNDIl7qonkk4OevWk8PCgpKW/M/5mzyCMn+YsrwjjuAlBOLCU8P2ROPxHAIDxiZukO4edBMFFvX jgBU9+mNCj95htWAsqFvNEaqEmrlYmPcnOHkbrkby/dPhKjQnwBGJLvDyWxrbFX8PqZhIDci8KMc Sgn0CgOSsl/l8V1a5WDtWYBdWrO9LMsctGhNAdlzdWEF8iNOLPoCVx9qLPPBRZwWcp/2sSLkw9+l 881hzAdv3pMhCWQudRNaSRKwpNc8ZI9GvWUSQ0luR9UjnL8Q8zvYd0Y2gI2pXW0YTxLjNlm8PfGC FXA3wQve2B+Ny05Lej6QysYuQY6AArlTMwy2F5Dx5i87vWOzPBoHadStrgA8L3PiPR0wFe0E3Pjk 79N9PrjfIb4ebrhY62Lc3CUmHXzUW4r1yeLU03OUfXQ/mS4ebvbvHEp1TjG7zlAQp1N/Cya+kUlm c98oL3/MkeHaDhJfOyXMMPhCzU0ugRVEh467Icfg9XCq+9FlMOUuntEORNeUUDrZw+hk7GeHm0YX 6ZNdWt+F1ly0ZKn8Mnx44imS+1K9Xqi64AKQGyetduZGErtMlrQ8rEqxgxuo0kVodlxHGfiUkPjI P0vmqgDSaVQgIJwYHtFmaK/9qQE+8OsT1pqcL0YaukXjOVRbzb0GZB9G4a6hjU8us9qPmrAVGrFg I5g1TEy/M6Zon+ZYbI9RY+t76Rms8PCzJnEnNEQ7M0rHZmsWmPWsBvFXVVf4q9b6aKJZWQJTE8I3 yUylo67zuVnQY/VBYtsFVi52X8xbcIS/J5zOEgAMYqP4H79k1UmcMv+04P7m7uUD3QREm6kcEt2q p2bF94rpW/RSWDKcDgEADHaL/V9Wb6edLJlRRuGafncP9NZNLlSqzBP/lHYpSLygvSqkszZCdhnB wK2MN6z5GrxQexI+a7JhYWxgR1EnBYSuGmBul4aikvdxzivqzVfE89pY9RSo1ZeEBpNoHs59DLAg dd1kgz/9DtA0rEFzvcqfXEOGgA9KtbFXiYMvN/vdixJVaulLEopMslNLheyl0bG93N84uWYYOcnB dptIkOhSd0o8M7pdBLEXlyGntKhykXghjIBEf24kfR0s7uGpnw3LusX4kmg6opjRRAN8vfnBJQhW 3MGkSLIgzbGO4SSo3fBRq6E4f4iRN4E9VhzbM8dHJE5HKrFC5i00c78RDANzIDWNS7wsK8Wfx4eO 8VbxFZgLviNkXpBpnv9FSDBMLN4wkOkQV3W2O5D/tVGCjGqF/+WUY71kaRu+HOTw+FxnrPirYXis DRmA3PKgfCCXA3cit/IGFVskDjFbBTe8Nwpo9qstLstHh1mKq2Y60kwCPoQoA5VpkGvrqv91t99/ yvEwBmrPz81AAVRMHILdAau06AjaGiV/l/q1XnSAYulMGAVn7/OAgntpLCBia97MmZgUtDA03S5L 8y7eMB6MAzcntgVxmPoijtlzegyKGmc3T8F3bIpMZQleeyRr/J0vHVL8dd/wm0o0obAh1UZhgjUk 3mzXcrMUNqWXgdgg1HAanF+476lnyvGX4vddPxP6QGysa/dKlQ5iktM6972pPt9m8mmroRym59G7 6MGtkujmm3fEKLpzWfme92WGzZjlxHfRXiO6lS7nE6qTouNZ+dlPVMNo8/xU86GNzaqfOnjkRqqa hR0+mR4o+U7GRfjL2JsEPZ5++2Ak85LOf9p8tZv2JYcI7ZtRRiot/9TVfDkxKleFbDCvsAWvtDIA EBn6pjq2jh+qtOxXu85rCMUzk7ohxpNoTrvpI2VgNt5Wvdwzu+wIhK3xaeV/1DUZVKHU6qYfJwDK 7cTzTfGN6UD7CQQknUfooV2oLd/hCZUyty65vXhvUX1kk6I2njZq0SI1gxF1aL7EwFvOMNS6pYlY VmDJndxd0nFszOyMXYbsNY8yxELmzBx2jGDXygK1WwTVpLuwV2llxaL9q4Tvk0TyFvLSjl6YKrGb zF6+lGl34Zak0KFYKQXnZfSfSf67BXH6bnH8IRjlu3qRVOqrSnN94eoMK0izbrSWe4PoHuoSzcx2 1KfVcFm9qtnqrAhf9ZFL32LU2D69d6+dpyNNRgJwJx8qmoiHrVkKUGfFeWIwwLxAvy7HTjE3dh/y UBk07Nz9FpxK3PlEp2AeL0KDUB2EFAfzwOsqgDRN/+YifeG/jNVCNgOhfTjHpBB1k5ml1KKFlwKf PeDLgZhZPgNFDV519ZjlmRZwuxYzUx0I8rkIyePoO1p24QXjWw5Q/EWmXPNuAHPg9mM7bPwrPt+4 1/vwkfayf/aIN9vHzKoFf1nmZ7uqYtimJ8qj218bMJZ6dRapcj6+SIgIez2/VlWhtM7LABVRHU4b N5OZ9psNMphCJ1LHgmasmJA9Z+7XJrTlKzj7bgiWeaZIDRyQLDPiCFT6dvKpJ2DAaNSJ2DSagz16 OvQzc2JgyWOeSJkuH259n1VZB1aaurShZhYkdFRX5UqAQf7rfBS+RQoQOjeF4qqkDttZ8Exxe5l8 9Np1HE2ZIke1A7VIgYvPThV99lVteEkR70YSlJQfYTwO5404ibNFLIllJWcpshd17BiHkJgcAdJT tUKtGoiuoEJfefAuSmATU7ktNtC3sOrNGr62FZJmQ6q7KuodHVlbk1PP49+1KfBjEAzSASzh9Qis 1/CxOifuKkX8vzwOTGo5/iWMTbPQD2G8LUFa1FE1Davt3143hwJIhMCWdsSzhL/R0pPDWGaowHfk lFbROwh4Lez3moI/EmkCzHwHbDMgSx6uQwTDMvHB/Gqhq6Vduf8NQeHSHIIv9J6Z+mSVKFS/9fgL JRJpuL0xprPbSGNLo70g4E4ZO8ceHyCnJk8eNY09sUgOl0NMfnZ1PCl//AfMSA8VCbnALca5GhGt +bS1Md6fx8jPFvUo65Gh7A9Q9R/5a0N/pK/ou8HPC32u/6XK9uBnPpf+FV4pfr3sZPsa89C2rrPc MGjU5ReXaNgxTkxRmnDXOTSBEO+t7X38HhsuESRSgJkHemzjBcav7RiiUnNz6VgowyWQQbnZBbnC f4zGLGvdPYrpw2X+E5gWXgQ1MohsH9uCCr5Vjgvjisa3yoWkUWeqWiwJXeb14rHYEtd5Fz/6x8TK fVhoJsJg/wGxxMwCEUk/RWQsDTYvmoQJXuKzUpG1lTJVQcIfOjnh80gauhtmFJg8av0z6laN0BJG mksVZxHcp2RERa2gsRQoj1ySuEjjaiydutA1HjFyhDpfNNUO6SekDs4vmDpL8r0swNA+b0NthVmM kfOmmNDp1n2w7no97aBtzC3jcnjdzL7LYcOHiCTN3eGZErQ5Gw98V7OsR26LBJRhgeOFTiyYv348 DlGXi8Emdw6GdZLG9GQV0Zh/qQ9hMPSCIwA0Sr5Teb27Ih1VztATLu3RKAZjvUQ+uvq+KciU2VEJ KeJYVNuUPePLCVtqXFbyANVZCnVvvvRQBHu+VQU5UbTxpiZjoHrf5A3PF9T1zysnBDVjSfYBMHAE lAPUIbjHW9ZebnbkYN0weNlCuemweskn4vOHKuIeqdv6dykcGx5qh7FuVHS2SIoW+9GYfFEuJiWD DO5XJO+ZDxx2C/5DvkA8QmlZ0qKRr/fJFQyw/+nOFRA4YxH804BsBTBz4bMUVhz5c51PTEnVg0ZO IKuMeaM/ON+MpW3k9vSWD9f7s4TJtRACDVDbZQWY9jtqODZHLzoL+lmMtYyX5UZ+hwbS22tA0Ssb WX1ypvZ7G35kI/B+KvaI9ILg3XqB2FAGKs6M6rC3vrNVTdqpkPquySa70PM/1PC1SKnSFmHkGSdY v36WHZSBt7wecfApurQm7C83VEhyb9IAb0QtuqDawOfERYQEdF9Qu0oiNT6lmQKgo3tGBCvDurVl CTXw+LfSey4pg2t0jjuzYTvYlBt2WThgGJ/KSIm2k1We3240fRNRwDvhSKF+b7TDmmvBiGswSXis JnRqllzrvMZH2ursoVHIP/3Ug8PHdvwzxOp3EgX5GT2QeGMUvPVTZg1HY2hw6Da06MPABXSdvUfO LoF0bR6FFVdOTxpAppVO4xwqGuQo0554tQhZisAi7/1Cx7XbsA+y9sEdll4N4tAJ6la/1vfQs2CX SPOra17ygCeLetl8aaMzKttAOeKOtA2xI4bt5szejuGmXtvqKrSF6IM9Vl9pNMk9CH5+PE+YC/uE yhelOzb5u6xwK4SLphZ/030wEDf3g46hJXX2dYvMf3EtNZDwN85TNxxEABCvuyArgLwRhC4ypTsZ dKyW1KZ9R+j6LZi0LODgmq3aSbc+ihCN5KighaUw70I+Nj4v1c0udSC3v5pqOf5Hy6mWfYxAsfvh wp1hTXHfSgfwnWPAePSsO0m1LNMfIX1ZXW032haUWHjyKNR9GGV+t99NbCiSiz7XHaC7BZPYLpZG yHV+rNfi2CBtrkfP9RfBlhuyFCuLMP/oExpRn/aXWtu29khbEM2ymlaTldZcwK/it0LxKswrc4dj ebXg2Ib1uNWg3Ftfgy23ZvnGywdr7pEL4nNYhZiqFoUfaM1pp82TVNtVgNbC+zRfsAZ6MOYx5aU4 QVBgiJCmYYjHfIr5LNiHc5CUsRL0nhMBsPZiD/1KV6rzaJtqzra+2/EYcDs/TD5yuyoYta+WH90G rS02eD4iZa4oTGTrB4/58BCBF2LtWwBSSnhMs38nmwdUbZ2dfWvWu712vG30QMz1v1pvXLkIfDJZ 1AWB+aWz/Nz+Im2PIwIKd3zJink0ShBsYAp/I6h21R0B37uDyNAin9Hq3souXlv9hKxkmIlVM9oE 5uEYnF4v/QpPOfFT7Ud7fk1IrSVzOniOJYC+NxvaLOMMFKB+EGucW2cJ4BOBDFp/0qqFg3/i0M/J rPynyXtg4WOKPifRfXq949Jj9LsF2bczSFG4K9a/3RFXQmtJWkNquiDHOx8J1UfD1MI3Gy4eAo3S Qj/XGeE+LwXXZzi5gemHbxSC4jw3PHOsIiswK0R+nraPh4O3iigo1J+LalY3dJXrSlpoKOJMIreq 6AML2KlmW9MzWs7NjCo2ISlPe2m1zZfDkAe+jmwLs5HHJ06j8b+aJ6UShZlW3W4I7h3xbrm29wr2 v/UBSwSmygz9pvEtf6xhkt0tj5cdonGtp1pd1/EzI2UsNSHb+tzaQWG5IRFLoy44o+HvwVVQ+0OQ AHi24i/KolcuwOhl9QvsRPShPbmSs48p15ZPTfNFfdG+kTMBnpWp7ffQ1MspklRbZHXJfZ1QHjwy rhOD3I023Mg/MAUR+WtxzzZJJnhuF/uegnnMeZZniF2EerOpy8SJ7cQ2SXpoz2aPaLbzShOTVaR1 cQapb5G8JCGcp/voQiObXhxUSZ/8Q0ier3ikbPazDuHxipKOyuu1sNBZ9nPKHkaye0WwBKRs7APs prP4RG1OrgTQRcqivxFY39Bwo5dtyrqW65pOBw0K7jHidQP2Nu7NCJhfDOM4RSl5rfM2rcQU6PFN GqM5BJhcG+iaBVEGaPOb8MqRsJ1hHts1FMiPeF0eqbb7F2QfZ4ZIbvBnuwRVwKB3p31C6J1u9jyq pJHaxUBNITy7PS4CftD2SXs12OZxybGLrcAWdmZL0usveaky59A+Sl5SAekxI9yJLXy4L4IQ8PB/ GATbkemb1ztZPdGgE9nYIfIJZB0/rYK4QYyhYPUGLTwPkzZ0qrbCN5zVR869nE5+NpIHUx5DXmyz Wq9psdTHPCDvBLyeDLx+73eE51Mbkrcei7AXm9VAjEiA+nt6wXgx1ePzZaONaONqqdr5atJAW25F b4B69wfLfs3ER0p5oMYQwfJPjGhayh87GeAolJ2ZSXrDmNjDZIy9klwFE624BxVrfgJQAQCGX8Th 7k2NpK0KB6N5a6i543dRL8VetRkhIQ2Lbh/6LX1eEg/bY8KqsFq8yBqMrkyQEIss19paD26SlP26 YeD3xoYtlcqLP3tVKykraxE/lPFXEFN6GboKdevGx7V3bwdvoJGA/+dkzPwkzunxKh0mTeEKj9gU 8A522BIq3XKCpS/RiWv3eBDa9ulTynwv3Pr00GdWOVstFUchl7IAi87voCTAptzduTM59nhHKVtH Ni1NcQU0Sj5StTzrCIMPhpU8XPK0BkHV+NKjqq3l9Mt8BDPuCcttC6Q8XBgD09mPI8cXhaXQsyLM QBQIuTzafsY7dILzeyGGC3cLEUqeIothLhll2VHw+zNa98dsZybelaoq64on3dgnvWVRioiAmzKR cAloqK+PB+7t8pnrIMQBwF1xUgdDkGcwruavhd+2CsKdUY0Y10afy7wGDbG1zLD+M+pYZR/6tc0S 3LYtCC4pl5sbcxwOKvG+7tu+nSkaFFKwKpOK7zZ0Krm1fJn96DBj+vrezcrQf8NHboB+QwnHWbsM Cevs8PQOwxWuwuLjIHHEXMa0M9x6wlDVbaskGVoJLkX0gygtjYlIRdda9GRLHLiDCyR4ijVa7/HI 6PGjbhpaexNw9fnZbfi1ylzyy8y7M9/yd9IapSCeViQbFyvJCudCEcwk1/O08aPohtW5mt43gLgc 2CBabTMEWp/gHWAFW4lJ20x0UulcPv4gQQbCkk+bO5H0UxRTHHSOXSSuYNrdfMSnRmAhZ2nqLKE4 1qEFweX2k8mvDyP43bI/NRQZZzUAotkXflUR/DwSlNFFoHvNDi7H9os7XnbfQ3QuobO49XkkNdeO bp/J1pxCwbRbPPXyUO+n5v+4dKdWUcnLpEhe8otv2OFBAM58Lu1wXtbmMip8ImqGnKxbv1N0l60c 7rKeMw5/kyB9wYaugltkJM5VJJ77w5CyZMeSdBOQfxBnyxEWCsZYY7So80PJuCTygq7NOtpDd4jl oNajaNEhgbQxawDMGy+B53T9QCO3JcJxg3lIJt7pDpMDdEfpnC9g0MoTbNUMb6TZjG7y4GQe2JzN bePiA3ZqzHzx+nZtOFb5XW2yIfgUMx33ZYB2ClA9yx/lfdP+xQGH6Ajjf776zjo+8adgC+ehcrsC ACO6VP1ZYnx0+jOP5XNCMikkTcQuSAVNs2neaRfNYSmGbgSndbgJ1QS7ff179BrL+MFUCr3VzqUt lgG+cwU0m3Rd0k+OSKCqRCnUUnDvF2j09RRGE806nFB9du0en5ZiPFrznejSmUno1N3phi2SKj0Y YFLHjGsx4p2Te59nnoYGqPRDOn6UhPeLpUeiI56HqgyTEmJQv6MUQjRUAnLsrXsqX7C0hH6yihMG 7r98QL02auvUL/xRSwJW0QSoL+94kQC5w/BGa+apWgWA2yVrE4uQ6BmL/qFPfPy+mQkxtHbNQ/rw ZPDRkd2J3XHLjJsD9/SwJWU4GwLJ/k9eZKlaeTGc+Rp8mI69M3uV9AUDsH7pH+Mf/V4JaD1j57zD FQQ3vWFJRyQV5vjwNrnZHym27AE9ZrO5SViFihpFSjavmxj7pyPCbGNu6NXCj1x1XSRPi6BWhV+5 5FHUHFX/bGSLCprjgW0QYef22mdjAg/L3eKooqV0H2UcNLsyZkTwI1Dfb7JcXa06f5+M+IeFsnNP IQm7juPWg6o89NRwZ+57Uo9ioodvujyFC+kNP04zL849mmxSVwzgItTh1Bn07Y59IZCUrQhXrbQ0 a8/Nh76OnCY674td8ztJuueLRen4xFbyN58RWnSinepOULgHt6FG1YZsgy9niFI1XOG/JkGLBJ2l n6jURrQFOWQXYQ4ko4ulECypT+TL2W2j6+YZb5V5AOiwh2eh1wf2fyWDz1RhhXEO7kUHPk/yQI1T YY7XfZ4Sq6dbFgc3A62/kIjVOu2EuFY35ghFAQYuriCym5nVaxDhSTbb9NnHRuWPzFsqe8SMdlm4 nHHHTqfd/g6fLpQqw411xIvO+41MN+9xzJLUS4FQhUUUjOMBy7i0gkcMYMBcq31kJZf+jH/M3NBf DTkNcnfxPub8JlvWSm6bJYQuaH03N3x2HvRlkWyVYY4Yl/Gf7WcV7+vXwJHSvRKIQN9Mq4iwPXBW GXkla0bg3KxA4+LE/e/xcAsRuZHRnGNedPVeKG5wop7c+ob1053nF5Mig2sHUWA/jnCWJsB6PvVC 1o3t1+TltPRI6zk1YZ6JFhEEgNO0QkbaHGboEn80danTl3yF0ejz/kBdxfbzN5vQHhOcMYviAZKm WP2+44jPRp4yCoD3TtIEJjqfTjdBp0d85mfxEVgxyPLTG3Ge3PfGPCqNg9gQEeSff7wxAt4TYSTA l7AOH1TtWX2PsvaYOuW+toUoPb6z+/Sp0vmqfaf+viR05+txz0ia8jC7bnAcH9oMMvMMiKGzu6sJ 6w9A6mvh0S4bzWqhCa5YXB24GgPXdf1sEbLu9+ji5q9AyfDY3FY1NiDnooe2jz0fiuOZ6rir6mV8 8fPVNwrvyupSZfAWE3OGzUgzEERRl3YDtImdRG+Q1o/7DSgsv6GMf7d92Nwns/i8gDblAl0CrXJ9 6Jh6nMmlb2bOYgnzvuT1JMAggbXazRLM9FTOTkLuBwhJRxf85VME+80Im41BDD+W93/85kIpel05 53GYhVL4Z08afEnaTupA7r7OTPDBAEG1ExKbZf8t5Kg4KHPwHMhhKrEZYjBey9lUD1KOKDqmaz5R 0pOrPo5Aq1taHea9EegpRY05qxZE8JkQaJpJG6TDhjkfE5KCOJ3D/kanX4chemTzssVmJ9CsV9aQ bXcL/aTVdD+NYBnLa0CsUXVhS9bkD4AwxPqqbGLYDuVP1HaxSQYluzujeN7r7UkOriQ3E0ct8JJ2 lNamGOW4Q/8WQhzz9Pce2B3A4UWEi28kYWo7SuoklxWZ8w9reQibndGZkWuSlh5bNBu0CuRbfnV7 z+B5q0SVgam0xvr8OIdP+RZw9yf9K8heNr78QUVXnKZm0SBAk9+LtFtz7l65K73cofRWLGu0HBhS lLK72HCPPiIn/OQw/OZsdNWylTtqJn7mJhfoRndh+jJNlJQBQZ+R9kKCpLs4YmlAumymqSaPC5K+ O/exFcpY+OYAy3rD2ICVSzda4DlAcknMQyPchGwJFhC/t8c81W93Vx00ofosz3ZU8N0i284UO+Xn jXFOdzbbBwxRCbfMoIRIjHJO7Ae+/qUJzpuQGvTk4LM0AY5XEb4uhE19v6gugSHiKfJ9duEIQnee amFFIfdmfcJfYqe8ylOlanafA5voV+2BuCxnO9+iSCP/dYkcnAD4BKV9833lPr2V2JQEEhVP+X0b E7tzU0WM+sEENRZp12nqAbYerQE+tb3If5KIrj3pPfxcKnFX94ZnV20NzFcLCAGxHzzPqlLvOQS1 b4sLfF66TBzqzONMNE36JH0c6ZEUC5SF0HaZBfoplxOSrJgIEm5Ly0SS5Ex9eCSS3idoBSsMgIYJ r2LkdEPrl5TV63lCuo2DOLG7+vN9d12Da/OUThtV/RdJMOdNByKRtMMmwUID2mG4BHErI4O2D8Oq l6OjQPn7i2t4t+mwIIrXSECIUn1TeIjLCedi46IZo5a4AjwV `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/half_sincos_tw_table.vhd
3
55738
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block P6pYzAEPmvSVXcBQhLlJwPl7ZgAtGAWfErHJEXfqbFLVmKaYHXLhI9XRxImoFkp/HeivyGzDiPBa LbxoyhmvLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MCQ5ROEC/nEKXKVmitBCShjDORpLb+q/rcs779ST14xxUALqvTW1H6w63pV6rRBhN7a4/HpmpHYB oWl+8f+k75sDpduBuxq6aCSfWngxxIXc6x1ykEjE/WYM74+Okh9oGkscjfSKQHVEgmXBeIaPOdWH R/clUsb8Yi2qE8pYpxI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T3Ff1X/1GJHLfE38VG7SGh3Ml6zWneAZKmRtzuNSO1NWwa5O67OoVGLEtBQsWOdHo5hCW8t1eKsy puUH8b8r1rrvW58wkARLq0wWI1s0m4Fql3JUjA8sqD90VZxn+cSIiCzc3SrTN7swuIDjmwpSN+gY yG7LRPIZjzSuJ7S4Qfo6Ftt+W2AjdMJyJZzjP41pzP8rhEn+AsNO52FUIA6k9BH+sPJ+rIKZfaAe ZzNzh+c4P2LwBlBZxuIKi9o9F6WRDpqAtgsmUNFYQqpHp+ktQjdBeDHm5Qxn5DYWBI+AR/t+E92H nvpXUwJOPkrTGqpCaGxSUVcjkcS3ttcQ6tJbFA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mFwyhs7hTCh6LzmZi/XWaGffOwJ4iZkwZWTLGiipVt6MYfTJGEvjZ6piuy1uucPPEUaZwBH9knZj Orx5GFdzBqqdI0o+V67HP7KgDvcoJKat/Xvo207EDcfe4tmq2cKV0SNIGr3LbxlttSwHy26avOAp FoP1HxBUFKUDvv8KjLw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O1GyIvoke63EJwLRQEPVk9yhYKonMPRW3gXuXpMKjJEaHc1NdemsXR8obiNVf/r4GNOE+B8VQX55 VgEE+tB6ElDcoUL4VcxzO22kzXtvjjoVGt91UUZT33a6RnC4tfwQQ+WGWovmRr+hAcl8iRg+w4tN w37DGQraBGrptScvC61+lkcg68bHr3937kDwbu4y67Mt46Pl339eqLUd9/EuzEf7uMxOu5F7+uX/ KumPLkmfVn41qhFnHIoVQt03PNOxv4eoEK+1tcW58zJh2tPE8jlwCxGKSs9Prmqqjn3agim7tI/p aIF5YD1ss6VUpDaiKoLVzBEUvWEskv3rgg0bhQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39520) `protect data_block T31NACJvgg8WNHzI9pRtI4mdHrngJldJ6sjJUsKKAu0Mc3h0dNmcFpmtQUFBHbTDAzaEzgVQmOne tQytpo44oAl1YiaqFX9T7Wa1Ws1bEzkiKtXLvzj1XeIctnX53QfC6ObPC91oTyFKNZNpDdZ39A2z hGf2wo1JvYKgxTF58p7T8XXLXZknkFqBFdduNUeqsBnzqCD4+IjCzloFNH4jpXRNH/5zbB4/q93A QrEGbXqGxDxNOd2bW1W5CpRitk67vYHHy2VWkis8Td2Q5bWgeG40TdAZfTu5WB48wleOBr91CzBx ejTN+VjOi2N2hY9fAeqskIuO66EkuPScsa7GmVDX6VGfYUwsRJpUaXC64kQFXdLd8bsiYWPPJMcd obxVrmWM51DpFpWCUEFwTJHF1vBqc/Ysspp3w/ERlAVC3fRlJ2imldrgMi58uHxhHtOkse1t8cty DnmVb6SXKyBuZs+96+XMnXaEOgMBW0USf85TN5pTBl6qmarTmehO7dWURCpJxsm/eLUAQTT61EJp qoMjPVtojYVehTyAQsGRk8tqntjPqy4SwjgwyG5+thfpELiNMs1Yl6AouvKyACV0TGo/Di1o7Jbw HwaHMkTpoFIUs50oPKBLvk5hyv0GHM+brSBqpDBtoYYlFoKMcyL0MYW4zvKGWSwFPPRVZYmdfhYY Df+0G3Oxg4rN69WKwRbxLgiMJ1CpuSB4/S3OhU/vdLYeXObsrAUv7ZN9vzYkowT6fvakhasFHaK7 /WjFULDpPQUSgu//gHAOc2s+uASPng/R0ikdBEBMdT+NSMEslFKX4PiWulB5OwBwVxo5JOlnRd04 /8HjQzn7icFHKqK2JL73tdcIkAZJXiHhfBVron9uBAJ00fSC50EcstkON3n3qzpkRLUY9SoV+y7L bfhJtQGQitQvw73iSatPrWR8Mqcm/r+qAjpgq3KsBXNexHDvYAqDVVh99Mv08tcgOeEIRMgIa6Km T0Pll683Tt3FAwLlKPunuaARZaqhiQZGHQu1smhoPGsxIVCf6SQ6Xat3/xgpUqukL02vrN+tAWPI ACcF8ISjFLdCUCk0C9I7fxGMlts7RVR5QWlw+e8ZE6ZJefLYr/fjxzto+ZymZrvxCU4Z5wdwGUtp vgnT/2ZuzPOW9hqYq/Zu5HHWkm+ynt0ku/F3aJHIMWaEsjZ6Db4DUsKRJeC83sGGtG5i/1te+JoA wXLY9CYFc3p7tLm885bSTdz9z926hfM5smafwyt+avJo1wig2vjJd/dFDch2A7Zo598gEEt7Vt5H YLiylOP+N6fFPFDqcGxv/FHVeneZ7CWdGa9apbX8KyJjYju3Qz9CN7xOEAwZtiNI2r8xgfvvgcNG hkS59fSxw3+W9++htGFi02XweSbRljgh5FhH4xR0jo4i5H1U9GqETPpAi6XbRUMUY3WdKRCdC4iD BCcgIn4caAVg4djkCdDbHi+AnFIyZTHyZJmDcfjzda5wWc8OnMMt/kJ4p3utORPgSEf+q2LQ0owx DprA/gKXDdEJqkfCslHlCfsS9PSFv/Eg7iJUPRaeJeJjAdbNxx07pOu3zd8VTT/NVKWTlBLO8gS+ ZgswkjsaWdcaK+8H3RaR/8C4N9WYxSafyqtE3+DG3Jo4FSHkQVUjmwl7pnJri3M/gi7uylKnDNB2 Z1w66LDeSVO4awVM3SrYRB+FobJsd/cvFpbkKBYbnYUbb8lQChxowiN14sLShRVNoF6yWGCoTQ/o VG7Ib102UUKcXsQrRox2SVqLNAKEvBUynossXmXuSyfntNuqit5Moaej2TfpDKTzeZsrUXmbAiI/ qDpYuxfL6gGZ7KepNou+VgOZS/Og6JmZLKmOce1mFXqEucExsiCqER9kwSDYqaNrtifmQnC80vDm nq4+SzFPcq+eaME0RyFUoDJgXOZaLTPO4WouD7NqzRb0aEi0nfPEKhCDQ4ZZd/CEmbG43gnDXCrj 7k4j5RJKNvxup/aYiaM4GvqUogiSC80BTY6jHJLjbNNa9SGqxY5D0ntWUiHLhInVttlaQR9g8Fqy R+9x6eh4PrGQLnBGKtOAd44RdQzQUBQFMLQzOpgkvgKENkFjABy96L3EE315HNaoyZTXIc/jkBCm NLW83SGDzXcFi3PFhw24y62rOTM48ICqiulPvEVEHi49i2gV4NFAe8KiYKksfP5TRzBcPlHpwxcb NElmcIpRzKZ9eFCDj9fJsvwXRtJaTrUAg9C6Zj2F2HMQdCHwv/yBSKNc+EVHI4LpWqrOSAkUoDFJ j/ZqRak/1hvJj4GoK4YgYWTWp2gY/x6qNfmnvFHKsqQzn7vle/8rA/yODoIdB9b1cRQgLESHwidV e6QAgnYy6Ng9tUieIfeemRjERsw6mx3LZxFFrfj/deJon/m4RArjDUiK8/PB3gPKovWaE9huVg8A Njwn0OWPxMam5xWNzyvyJs8RSGIScI8iMA1nY8lW+44Nv4S/dO9jLaKSRkxpo6LfhGioU4CyyDJv /V+csRIJpg4eSG9TwCDGd4YP+raZWnhCfrWx//KD9dLIG+lUQg7sOAeaswpql9w62pnVftJRP1zx eY5LQpFZgtouvB2A4hPGIk9AD0FuGqbmPAS1WzQNEqcQy9E8CTsxzMXwvqARjIW/qgCA/vC6pBSI XTl0AT2/FVwp/XL/by7mBwb1gTC8SN9KVs81CEhJ3XjXwv/7pi+YPWMs3JZO+XqYxbTgTmtcrK/e yubZtwQ/U78IwTdb2S938Y4SniUBkGPlP7YfI4qtMigDXmMMhfr0lYQPnNlN1cv3o1MjYQCSLtvx mBnslGacTA2ldj/U+reU5Zj625xrWyXgKUp2EkDFfFA+Geln/brx9urgBKvgRp9j1CtDSn1IdRW3 Z1b3Q7UIHquKJWklWFWr73AuHgE1ArG/8yp2Mc4Rx1vjqLKV/Qovj6eIHJRG+kqud9pfxYM5JtAY qB9j3jE+FY4rZ2NxZ2Vgx8aBWxwirW5hRr9VKH3ckf3pD4aOhXPU0Orfo4qLtyMMp5yIeR1KmRFY TcMTwwsFyq0sc2Vek/V3Wk1MnC94ZwqPd73Y2acNxeatwaefvIMYRxvYrsY4q2maGhi57KoRWGtN 8HWfYoGdRIy3apl2NwGjNERtRuKrFvuHzYmqbNJE0AJdNDyrg5dbIGCIQNYEGHRQk/902W2lJkWN FyEdRxLWLbfGauz84GoCKZqVoNSiVBX/h0sik3QDcD4Pfpq1PvJurI+jENJYuECGY6RPD4XJ2PNZ 8g9/L8ZgsIeql50yOm8AW10UJztUwDPV/XiKkaAs3K7v3eosLWhvmpQBgYw93moF+uMBZLBFgJ4M uIAxGJJyUKObCT9dkF5o2vYtWAgsBUqOd9aku+um6lIK2zJhrEJutmW67SXcrP9lY1ScfzkQpTUt 8vy4YdoLA+h46ugmCDXvCR/5uvqk2l2/eXfEeMRUx/XFmco6BShwzqZQsFWcHsEtEBcAUf/YjIFZ 06dk+/xy2kmMAA2rPtIrN5J0fGeHP5m1Nye9ynu55lAcPF+bn5FqWF5AJ0oAZeL04+eMLCh3c5xG Eft3nmCXORNf3gPNR/3koRysLw50gP8C7dryYB9aesmcUQ9uU6+9zwP8KMt1yzt6uzeDlzC3EGW3 8Qjc+a4zpwpRWz9wVvyHICxhPTyV38ifMVJXV0baPxQjH/gYFBIwsNJ3yun7+LiwQYZX6vMOtrH9 oIkafnEW827N9fOpd34rJiQQGNXllenleTSJl55YM50oWWtF7pupl5OE2UvkCRgAjZ+KqXu2CirO i7T716YiHBvMRHhkfjEPFZ4YltuKRyJ0jiILYEXuF5c1I0U3V+l+ApXCeP6Yzn9bMhmbcq35qMaa sl7hY60KCoDxwQxBSqciSWntdGRaSIZOYl0Kw7bkio7PlyNX6B4xFHUAA69VP3oBiUrxQvxNz1EB hOmqNshfzQjzWH5ZknwA49BMS/HbsK0Fjk49RPKisZVKM3RoTX5BV1fL3L17OJtAP+fNu5m9Hs6e 2pbqXu2tCKJ7DX7UGJn8FwCgsPk3PEZ33AxZVIehVTUP0aI7lYgsueCDBWsnfvowoDSk7k9TxWtr 0WD8ed5FSRyVcw7QcuGxQ0szZ3SnXUM/ybTyha8iS0To+crJwTgnulgWHFF9zk6lEY8eba7Xb/+Y 0tuFI1GZPcjZzWXfBJSOUDg47bvWAzhv+lmuTI5VepCHrTFnjkeMDM2CRZ3f0W+YDcWA/BqdYlJV kszr9cmx4WicsLojRgt2c5kCNnEB7lzp/t/gLQyFPfqjiFPc1c2A97njg3AVZVrznfnaxBXIq1xN ocKFLsVGW/6GLPPu/OT+yP35prDK6W/DoNjq2QMnpLBryowL1e3rglIeGAt8PbfvKxMVvsGc9Ls/ 7aHi4Y0JUFo/byNKJZOEiVppMjITN6uJ5QwIibWeJN7ko8V/MZyRV4xcMS0G9nEa+M7wFgit3g4u 5nbCih0FSZpFpt4yZPlrnd4HiVD4fYL104LKobRvgQ0N8v4yoxYFq7ufmkn5EyVQdklRk5lEvji+ jaiZNVCCdA6JYz3aWBO2Zt/u2ypSULLudZ4adYreSdDoX79dBh4W1RQEpM7MFKYcryz1+6NdKuV4 rNmW6mccnvR+QKGLX1BBFjIH7NiVQqAXhgyPo3cjB9T/ne13DJmm0MA/adTgZgfVqO8Y82NTr1oj jFDNr+g+zE17gY4r1BGLlO0Wc7t/RkrXqDR4skbYwZHaZ/fAhbxj6z+S/0Jpt4pYv4w2tvj0wfuI aZGSkU5gff9CiOwdQs35Wq4456Nvk2DE6iDZjVqa+2TUoxl6+nnQbRaQFryVIw+4Urrs4/l2AeMJ FSkTnEc9QIHx72rA7oTf0pRhm6bBVt4nbWdfIEIe/5l3zXeZnBS1uexa8vlDHc7vmGsrFb9LRPOk aURgS/MiRerUmlcEpMC17mLhfV7Jg2JrJLtYpscObVRezZFOqbTKH0NnDiOq2/FbL4xCtP7/i+22 RE8lF6SYonLVd43SD5jYrqkZgDMRC2wgzUoO1zewk5c9CLXOGUfeuprhiOR9TiiIoaA/1d9F/d9m /5IXrwJwk8gKyDlpwUeCvATs0ZZRKrAMT90m3hzTW1lVbIWhn8CLX3rw9qr6OsUf6HhtlykpMD18 nvYMoxtNcv24gpOaSixZGKjnhv/oHRJ0LzFD1++0FvA3qmSracAhifQx09ux+4mdFCCYpHVtlpSz hYLdvLp/OyHdNhq60F4MCLfuH99QOb2ikTMElgSwv1AAb6FQQ9IPI6knXsUVqfLE9vCNFMVUX2ci TGkUvPJa3YPpfDsZkQeBza8zJVEJ90E9HxegjJ8aZThdnuW62yzuGXaI97Qlx4Ayf6HoWz4BljMC eNW+eRkFP3JeIRHIHiWDrjrEVqNoWSZV/39uIPzH48BzW4N9laTr12gKunLbhnBYcVdqUalZARZD G7cgz1tkUdPUiStHpv71dfK5ScZyWgbxyz02vq8R5kQU4ZN7JPII7JqkAGw8f8np/NDPkvleOP/v JOzSxWrj7OxmRcEmyz1uFl0JiicMDAFRU93Iaz+WUTzR7Ndbm0T48hTq3rLHMwIDYbM1mSaAjq54 O0SNJ1ZSaU/9CLXTofPfIO18IFEcLcD8fxYNc5H5gX19Tz6PtOWgpu6Cap5301GKXKfkbfJj6oTT QhNvwR7svC0P3W+7KEnk2SP+rWWOLv9vT3nEJQpyd4cNGw1mZ6JjCERUzWZgIYaOVneIEAgJNS8b PIFQv/4r8R1uutakP8E5TijgNgH2RxKlFJdiahnGObCpG5M26DDWZiIrgLP6lhfAzxejg7rMRwBa HjPSaA9JB5fvAZiTr1sr0Ep8aWeucQRdD/uoGdth1zeC2Y0bAlv8N7Hn3XY33vSboLC+iIrWwp4p AFYkj9eacNw3csjCLOD7XGegoBrrYUUg45wW8L8T/3qL7k56DVfnu4IvyUKDt4ksjlNWkjbi6Br1 DSjQdjKcq/5XDXL9k5rH5n1BrEhRA500617RUhYq1GdGSIP5Ld8CpCSJvaEqvKCPIniyLSO3v5JK 48HkeRFD6A9wi01A3VpYhyANMXNPWfujAsPqp5/RZ9HmL26pkpBkJVAnZs5pPxg3uY79DuJAwIpi xgejRPVmY2W0wncqZ9rjNzDuCJdQRsueNXISIhbC7IH8MH2DJZstItRknZe291BZO8EgDzxPd5X8 Qv5h2reZphfmFIdXbaOrKeuBUEhmAeQZQLwamo9TmM1rizQF2Ig4yZcM/vbzGLvm+XgRsHpAJxmd VUUl2925mrXWL7x31CiVgBUQ+CDIicQIp1UMLPZHeGpLzuFk8N9K7FpAkSzeCNJmbJsZ5hqhE1sm AxImC41J+fNq9tQrNqYX7PbmRq5duCdV2Z/2TnwB4BGOxewNoOEiHVjH8Kbc7zdpf+oF8nXjSWvE JEKk0oJ0IpEwkakJSTrubuDh03FG9OCLTnp+V2Hi4o6V5ya77uu8yjH8SyrMYOAPNjyvA+zQ0XgN Jb363r4FGg133v2oF2N66NT16mKV5ouKUlhA1vW6cqYSgOckHj54ouv5EfJ0RGrS8r5aPVh0VPIO Q08FsQpspIssAGt3+uRoIP8pNTpveWBa7RR+GdIdSjE1bOqeCNox9XC2hdyFQ4z//m0vPScoQtFa FhgWaksM/+A62wZrfsNHW8KBRFS8bmp/qbT9Sbkxpd4ePjRUxVC1GqeSfEyL2jvpwlFsjoOasaxr TWzi8iMNwHvLOGGnvx0krbBR6bFqIrEzzmpHKD7w0FvPxnEgBQqdgnLIX+NCUiuNWQfoCpz87RjM opgfStO862e0HZSoXcUxbrmvjpyQ2iJkEaL1byGGlFa4pQ9UuxOus47q8goeU857r++M80BVhd50 MOgsCU9elkFMx8YBlsZGOyjGhwIlxP1uWnceGoeQSEqmZQwSVGy6NZ97OcuWaAPZm/JSw8UKP6p0 PO+nG9qRB5XmZNY0D1EUnElErINPW+yYbmHVEkMSX2fg3wVluGkxbBvMB/F7QsK9fW5RPN0AoDPR iuG015dQqdTeCFy9FuXQqQi8LWzPaXWZfHQN1sixbgSfUP7jab+Vk+CXV/LnPLMx0BurYjCqajpR CUxK7TQbC2PzLlNuVVV+jJ6Oc8Fic+Fn224+vvI3n7pMFUeLRESwGI5tHVSBZirVUOx3rtx8v7Iv QGdls5VXS/EkkI9zh+F2JI8D6D8ZZTFaLOrXXvPDRRJmhzNh6ZZt4wZjI4AKN3Ic6oqbYZrGOYql s590zCUGuaoP5pLo9+CjB9cjg1yPAUn4kSOOOyCWv9ljE2EOmVlA6Ai8FVYyN8wZ7w6Qu+aR80/p v6f5KwcGGaaqL+3uZLlUCgQkAQw+z4uyv9Gv0HtIfGoRBiM0XkM6JvmEurWCQknvw0ZrOmI5g+6r rFrgvWa5MSdabn5nZlyAfoPrw4AlUYI6ZHeNwUTZFMaqkMML9YBDL7fRZq5wCMLP2d8GwJ5LhmoN tzHtjTKfplCgUzk08p1+Mk1gYB7WRo4Ufgnc67uEC/INrFfQxAb1iPGYbOGlchJHO7I9xAyELMsX ktqwRXRWtE/n6ukWDylbv2KowzV3SQHmp0cYNwOj3jbja4FNhvTrA+II9LG4Y1buwWiY7MUZdQ60 qt0UrqSH+NmmPVo43bx88VTrigmQCrQ9qNB2Dv2sG8Svh3AKG9WAfzvBlAt0Fk+pOdSb3DhHtxyS FjmHjPR3GWiP+Ta3rhQvtd4lwd36OpKZJr1RYUYnkqT+fUSmQrrn8HCTcbsQgi6Wi+l1fEHO6jda At6vru+4/t1FPskRsHeQHhopX6TOMQOD1xljftzXcTV0SxB+8Bk4QeTe2zquh6pimdoDYnKTbkpz ycEmg72BwOgm61i/deV3Gk+1ie3ySqDlHi5FwwcHRdbHFXg4+bEqy8EIy/LOKREC/X+eh9hY0vru ejOoJVhLVjj+yp372oGKfdazk7eGWObI3Bkc4Tbliwa2V+IeoXSokwjMlXbMO8b3/8Qk9/spBec4 u/pA/Ee1C53RhERgc6jnajRMhc9oBBbYjIO7I7VelqdWOm+zfPBoYHwD1LAk74i9A/OESiJJcFuv V35owFbYxkvomLomqLuzme2vaZiGHEIl2rzqmdGiF9YXYsHluD7kyHImXzhiXFXf4P+QxDv/yZCP /vFrqPRhjH66KRe3h4xxWMc6ECecTd7bOde3pBuo5oQyIWLWECqP34hy+6E2ZMI7el7AwuW3QvEe UYnUYzvsKmkcMq2olxUc/mQMsj/aiRjzlw3UZX7mZ4zGD2W7kN3mZrHHA+I+jdVID33Ytzr4hCZJ l2Rg6T+3G0wwzZpc4LnnolI9+irjVJY+HxZUl8eNIVUwhNcizX/QNhLQI31yzscgRxX2FNCy7Umg GhGaaT8iDBEoNbfc2xtNYg4MjDWcHPumKCIDDeSp7p3czi/YqqXcEV7MimvWaPbPZ8CVaNLgTncD 6YGPp9EMChhUKegZRGU/C1vZkBFgfwFT5nR3/so5QZ+xY+QNpo27nKjNiBv3c+drkyc9vpta5pfM 4H5IbtCwKP/aSwhU1JY9A7Ijhe7tSNeASfkzVXbQpW54ICauZBquPylN+7j3YtlHA+Hg+cLdTS6x 3oZf8kLqtpghtWbKz68xaO6kM+27zIPISWPyksJGfMwMDzvKm0TrNQdMvfdXpR9+2FmKaOvzfeNi BysdCs26Ukno8sph2ywz3DFUwvLIkjg4QAJOcOtI4vSOeucc0HzdmtzyIpGdlRBzdGar1Wrejtl6 nL1Sy/3r1e6nbJJE5Kl2CseGqTvwYVW9NSp4rsBb6s6bFSvx7tdVGJmliR2XVhJCJOTA9tAQmrXr NCqQhSS8P/KRG7YrCCkDvZG8s+r8zL8sIUlCaojBDF6ZXTt04XBUAwalb2b2vTlBQiQE3+vdwgeV wv00y62bfzi97ES0soJhM6AT0gKzmGxv7W+nvwFQDyiJ+KnW1KPjywRal9amv56cQis9xomSaVaO wSEehDwxJ6EW+17eqSfH9H4w9nSBP+x1K7q7150Lp+A31UUPfrVddtYZ6IHM6AfqHoytqzYCq8y7 ETI0dowwneiE/lT0jBKxvvWKb+DpUFhe379phK28xW1s43KG4fqdIUErYGBMdLSfeUSKCS4zfzKt xFsFQNYmzG850N7bRI6UkHmnlk11HxvqaypglzQJ9UbFZyoe4SGZW9QojgvPLTF6kaaAjQryojer /RoarC7RaJNq1ct4BH+b4AZTQOgWyK2zcpwLAc1hfY11+nRYU9OF72TySIrdEOUULGiLxnTXCEeN V2UeDgSGqGdNmEplHXN9Ayzre/pEO/bUGlqUBrSUQoQk2nHyj6J0wP5EBaQvXw8M6TtQTHrYOep3 2MMun6UCvqqTD9G9WSQsMp8+gW+qT0kwrHto5AJyCfzhPXbtFuQKmXaj68P+Z6Z+s4D0UcTI4ZwD +vnnkie8i9453OGn+AV6rd9GYr6mBpCYxNdJTH16XD3CcgSrF+/J3qkGcqx+Dd4kzkB6Of7YmuQu 7792dGs9KotOYpYofJzWqO+eEXqPU+QqRKKlwGPAep79qDbGrQpgJPQwCSX1umLokEOyxKPKjSkq yvhxQO0pI8ZYMrWAo0JLOg4xCz7AWRNnnpIGxglysn+RPGCe6C5kw96UF1YE6rzgv2xPqZ9hq609 9TQ/7U8TccLeva5WKd24BO+EqiHYFmWB9lfTMpohh0iK3ulUGqZINZYG9P2hmUjmcEIr+Xpg4Ym5 dQKCeVhiJy6OIPvZh8cp71kzk5zDzrnH1BHgHWw5ax39gF+Og93OxwfKiEBRJsFqK6VuilnMLt4v 2o9/7l6gz+4U9ImIZyRZfFtquKmFlr8p3HyLUSbY6cidCwa1UceYkkH8Cr/0arz/iLvaIgI0Pffo yYHs1KXV5Q1z8ygCUreiLy0v4W55W3mNN8t0D4TfmM38GKssMOAj7NuX+0dqYlXfYtO9aFhLVXNH 89C1lO2lMJ81Lq5d6kV8zWFwiPYRbXA/JZlm6iqFhYka5Jmc40ME3aSbqo+c8xU3NQJYrWuNE5E0 94pN0LY37CVbE73l18mQNi7+5Q9oByovhnTyroddlS5o83LcqddxsPsVTHNbCosoCYt4cZQ2DpiA Qi/5dzR3jmluwE07T9jXFPYsJVnWRTJYscUFdFyHFs8qj2OuMK8B46ZBQ1ynB9LFdaSpzTNaJEvf evwOT0U2wdNw5ny/NMKJSoOM2z/NushSSzi0HiQct9zIScR0xFA3Xa01QiKW6+MSJK9Ti6KJYula 6941eZz82lj/9LTMVsWe+P5XLxbuPr5ZPK3VVRM3wSSkU+eFjWtKD4BdQN4r4ZfQXUWiyAUZUGcc 9rPAWCW8GbqOKFS2VkUe9s+x0oEylKaFsxB7jZ47QOg6CVYRrUwwMtAc5aqe7n3owOhBtgqul/vu mSPCbus666IkIo7gDYicFamrCZg3TPrsFkptfvCFh1f1ADD8Qi6u79RofHzvWuBQjVLH3DbKiNur cnzQ8lmI8AJy8aCc7fx/6lySfQMpkiYH9krRnwKR3NQalQCx1xoaZcfOJ2Y+78dE0JZQ++1qY3hG ufirbNqggvUqECq8a0+lr+mpGZVOIW/jlRDtYDd63RsqN/+iIDAxpfjib/s1lswpn5MDe1ScNwJJ k5TDoXZtn8qJjfKVtFxMAdDC/QClsFCJp8C+xlCLUpRSrL/VwBwEmN1bc7Et09tJ4Is2nnOwGSDa IHxRLmQ6Y4D2VwOBdMo4ipXrlUVzwauy7zEyi5RMWaJ45YIoBm6q5rXNH1jdqvBUdf33DIXABEBW q/FEMuNv7zlvfYVN4I18BMhDdE+OCONc5CtJHWuXBFpe1xCgPqWp/ReOhXx9x8PlYJQmbG3wHQGx +KybUAkrFY5xZAlBh9/BlkKiMuAXsvVS/yG6z5ONa05F8wYLrQOLn2AAYe6yO7GcjqMqzY14Qb4k i7l5L0Hg7lvkezPSMP6W5ReZRVkG+tAgzTXVbY7cWr0cKLcvLW/pv/8xGoaqkqyaIBIwEzaOL7FE uLypgGDqK0hm4inK/anLPP9n02wPV9pZQ1EK49snT7OyIUB/Cd3v0gssHq0xciBwb7l59kmwZzQH EUEdQ9g7CkAStoHZiOYHHsFkW6uvltTMGd1TX26rm5njIuEdEC5cR5T7L+NP7qBGqffNZorZgMU9 WW5FCwi6lbPH1E7OtimXZr5izxPADufoYs1O6sCnBMpbTI5kvY3ivp8QW2jXLn+tuc/hnSk2J/Eb zkgYBbPocSxMojX291UX/fArcwTlig84hphmOFa19u29kHthK8GaRJSrTxHsvwe40CdVEVlsmhfb 5l6cNpgTU+quDKlWlww8nKF90LGVAJP/u1laA+/ObMqwdGS79FxM/uUaPujCQk8dQZir0vzqLWgO jmuUxP0P22b/YCtfhVP9EifRasyb+0+736pMg6eS0tbfcWY4soJFzwfiVxgOkxj52aBAc6VYAAZd 7QD46CVTgOl/goI6gRZUgoPR8DG+yBNF+2U4tOAgCCTjtp5DmZM9wUPMO+hiVBsY0DfXZF4aEDyq XICrwpMArod6E2Vaeksckwl7f6Rl5aJq245p5284qcuu6N128Y3v+rLXuCCxG7M2Rky37Orq7S81 iS6aZkl7xT3amRQwl3TA/Hmy2Zey886cCwZDYE8I4l2Kq5rXxhVNPV3pJTp2tmfMp5WWG9gzfAnh Bpiih1Bai6quSzFjWVY5h9VKIO2gNMIovzkynHamMA0OfIFLEcrYylk1dCSPaVPSiTvWEmabq3L+ miEXrE8gve1rWxPi0XWs4Wi5bREHlSV8JmajAxSC0Zx5SpjdHVnDCQUHmBP0rfcw9t2QEwVnwow8 QvBnzG9sSdVAtTqKufkyOQjsoIFbQzTAUN0lcVceOw2aVsh6Rnur3LqvDsiO74wUIemDENvQlCYd 6SdOyioA9zSNbS1dVsn1PPHW6mAtX2idg8+MMpWxLEoQlvN6GyW2gX9b4lrj6fDqlecM04EUa4xv IxU4cfrFug0xzNDw+ypJblrbUakcwgkYNcoMDZ9DU+oSJUdeqbILg6Rzvr5JHXT1urBi8ss4BoHG zZhZQm+/h/y27QjKM2f2eOlQfgRs+IwkArYwdLHapQ6G2echMXAJDzxWCvaTDhicAwAQA2x4YROJ pV4IgIGTTVnVhJ5uG4zr8Mm+dHB3p9PHYSdfajPvNdosABr7XFpyW8uPqXL8YOHUrqA9AeDifq5a x8geW5YrEA8NBcefTcna4ZtGHq7KOoQcslSd6Z1VNKxo+PmOkj0wJPjGKxyvC7tpun2qLt+7tJ2w XH38kVIh94zR/v4jOqddEjIGWyUlqtRpUk1mFWARICybcIZiw6wKCq+N+xti1O3BdYpGpTOMAEZA 5xUVhD8PfpFO4vcQn0bRaKz5J7cBNfI6yK+mTlRifVMQFfKnqduqCJrb7rSVSlq48hyZQoSqCweq PaRvzBbSMoTJKO5sCowmW/f41GeEwDSNwc8UH5j0VBlGht7+VfcM7BTE9r67TJcv1xO6Tj5n51Uz zx3YptLNTwhVxyQ4yM8+iHqUrPDVWvsLYYMWsFdXf/R/NsQ8vQbF7uyNtEDbQBUXJXO17sBicjeR NaXHSiFFzD6iKTiF8zxdzj+y2XzSgSMm/ZvjxCCofUT/NuCZe8CK1edyWGU5R/bphdhE2qUo8iLl AB9ZeUmV5tr7r2jFlhlkQhm7Qs9f8LLBcq/S7klLmv2t67bsg5zEXHYRTTcqUVarAMEy4Ol9VLlH VLUd5l/yfeZqqyWQnDXrA9EQOOWE/n/Yn4EbohUYsM4XVrcnyrxnNRc7DMSjBpTD0EKttlg0niQR 8J85JN6yypbx7w9gv+TjKo5jSdncpKTbgW4uhx0vU2lP1sepC9hJ9yY7/anMJSrt3uTPaV0gSoea 964WV0o0iBf50RgCPbmxFXMOG8uqOB7hqKJ0Ww86vxYZ+NK610ldmf+z0YCNg26mN4C01LN228vk w7ruYpJrUxs2Oh7m5mLLkz80Zb6++hvsBO5HrGuRq6b8wxBzC7C9eRTSYeYKgHT2Ah6y6HYzpre5 FMW3Rt9KQWGdNXFEcYl4W0SAne5G000a0V1/TsZyU8p2WPWFf5u/jCdw2tJg2wSlxRzIJVFGeGVv 97YM3f5xfXNDGI40zPqbiCB6ewLQgrssR7Q/GamD9uWJjC+a/tA2TbJPwRDRNAAaqdd7O2WYyVCL Vb8U/pYQYT2vwetHQN2xPKhQIm9ujqRbLNTCt8iPo0o62IlttNLyMyBJWOq30RXgOvL68kOwrzU+ J3Mr9IA6QDljYD5/umX5oQwfExiONUmCALwb+eQRFN2o4B8b9v2IUA/nzGPqJ0haD/HAW1Ts4dO3 sIY903/VADc80qLpLH9sTJzgWF7hxNMXWHBEKf+Id5RtvDlSXQTG25n0SjDm2wly6WkfaJpAox+T T3G+cDqTzfxmSIk0pkMB15/+8apuL90yHGuVchO3/XkLxSR66gZiMB5KvjxHAfYw2QzGOAXnSOxc wWtldR80YEk0NNbbxmjTN5V8X5DJ+UlME87Ft0Qeq1AgbhNrYZuYVZBKKr9xv38OUaFvhEvEQ9GS QLLX4AfnYS1gn1y/HvLHOBPvtBeg14hUOXokv1XOepkfiYcXrzi2faLyRswecDo/GdZ89OGTWZf9 2Xx+LE8IRkFtV4rWqqTmsQn9AaW3tB76gKv/X0dS2nPEI3Hh1FODJ14TTCqcTMaO2dvIuecxmMIV eJLESOi3gXeZIJCLD4u++Ews14mnabrQN5UUBHElna+1fKDvJ+cj9xF+2A3ZuchDXNbDNJuYtcYH fHtjbbXaxdoY+dmPDnd+RHe5188Ff17eiKqvBaz/GVEOLEQ6JvfJtcnNFIAxxTTpvndXd6OziLyd um8HpxnJ/zFdY91B1kms66KGLqRYefAUe1enAhNXVOVgYWh8mlUQJgigsx1VVrgRnTgB79ZNkgYs b8JSgeubGBChzpzNllOooQVIvsQMP66XAr6vt3ewHp/NSqzWvG8yOOinBQZlwvdq4r2vPNmHaLho g7MOPbL5grPhfw6J+afJjBgGfoF9qOKQ3Sne5s+fu/7OLHWywH444T7gQydeM/jFU8iSOW7SvbRi Fs2SGa/JOXpUGZR7nBl0PPvrCYgKrTIIHeySm/k4OPCUx8tgOPxFXJYIzj2+KiOZkOTl++XG2PJ6 QT59U7Hu7iUsEkvrK4u72Gx6vkF7B8rYIBcRPYm29ib6xHFYVF1BBtCtUCnfeXlwwKPM8a31U9mK Bo50PmMoWkpPrRbDcgyipx/DfH0Adig669/GOwdI4n4rtHKoJaV7xanX0NoZazf1UJwdzC04jmja 2PE74ZlP+0sBLsYX9WZhhGFvrdDTdnjxYTCbCycSCHc2lpEBzCeAWIx9fMJQ/1rPitE1Vhey01ek iTgtuREdSlMZc6ZbJ8ye3UkIBf8afS5uU3amfX+fGT5Bc1sLeP8EeGP+4bO9XTwYEhW/TCOZOKSE ywYfvkFwBITzgaJVVurSagbmFbh7OTk+BO6tSQn2p/1e2drgfKaf1MMiWDGKwNpQfj2oDRf7iesp JnzRt2hDMMpuOt3EP3vembkYUcShJqIKqcmmTwBRjvJ78YW7LRGLXwRShYhwz8H43COGrQMcMu1K Ykw/ESQWVEBFJII0AdeFCWPQ8S1oX2zqGAWGAKXuhPjtoxfMIv6ZMJvJFZYUX1LWrCxaIQhVKbXk yntM8eTA/boGDLQC9OlLLZl6KhIE0C/FGCjlQL6XfJd7pi0KVLA+nvOpyjNYvhSli/flErfRtX6d hgFm4quAiVMvbt8UpHMafrZqfkoX3sPsBjl7QH2sYszIzYOWUM6huum/auoBFCa2lV+mbhfbk8fD En4384UNNr7vzFLvcE9ksTqB38FkUhxVM7n/XrG6TnNwB6V8LcXe+dRORSsumi6iqC/vjIOpjI1X UZNhvdO9dJMVjYTvBPwWL45GgmjtdQuA2JeECMHBYLNUzoUO3V1VuyCe3wb6HnxF4TzsfAqDi7ZG RRUNbs0urUSbXuFgO9J6N6PZrxpEbPu+o+KG4LK9ieE41v0jLuLZac1Eu6bPfBZoO87wDnarzLNj bH1Vx4REl/wFB83Q77vfgGwYferqOdWPheRXMekzpR408J51Qg3HVqQ7HWQY7YwV95D/AMnHR98f b/FSI8msYCSu0L3LhYvV0CIscE235EbBoEoipYQ0wcjtjpUFb0M+R/S45SHYlsaxeIJEYJmIA6cO LUTNPzaoe1poY2pgAozOLD80QT9MegqMajJKRwu0xf4eDGTg7bwnAjRIeTXuAO2Bb6UO8DFPWuih 97nLgWyBTz+OaL3ta99DmYld++Gtq8Qxg4WZ1BnKBpnB3JRwgFOiEpqLYwRb4WA0zNWU74LCONXF LMJ+OpDqnoAZZmViQcyYPvwo4cdxpRIR0EtvP+t566ycEGW13L/pDRA9CUs8xtxzxjcHVK9m0d2m TBa/vsDapVtlIMXaASw0PYNZu2pDRJMUwBI8yH7utGlklqf+nKo7VjttgwxQJmGmwtKXkOKykrX8 DJgCgDp5ioVf90+90zied3vGl/W9fYGFTkBdvu3v4XXOxqcb3Wc1yM49QB+YLEcNf5gsvmPT1n+3 a3whN+/UcitFfbAo/EbUf6nuZEyrJ3hEIW3na/DtBzDSS79x60GuFLBHbpi4HRzfeZ9JUkw4oK2m cTvhYWHr5xjNqrSvcuTxdQSWTuPhdP703TqlHCJw2mJcho9xrLMGgF+xWnoxqgin+qzNugHdbnbr hbwOOHLAyd+sRYq31+F9o8kk3zsQYrnB0fKC81mKo6o9MCKHFwjgLaOXdwFJwc/CykX6oypK8Tcw GHzNrdO/39fkj7xrI/zlnVv5EiDfy5B7Y7WO9Dc0P9/hd7eRnWarfLFwB31GcmDUBpTeRUcZ1vXk lEJze5+3IDSRe/D3MC6CwiNuyvmT35UppiA38QUTid+dF4i+8AhEsCm+BHBtqCnawe7Mua+2Ebuu 7xHcAvqlHW/yHeHL4MpQnPpBESs2KZFQEIHOROrxsiER/IzKGc8BLcA8uTNQR9EMf9QdaJrMjPfC 7Iejn1JygJkdIv7Sa6PxQvlRzJb1vE1v24uNJNzPX0mt1rtVq5Cgb0rJ8AoSYbPiCBhH0nFX4nOK +6COImzny524BmToSCjwsznhKDvfYt7LjgWt0bFhR0vSAtHAdZLS3rAAGzMvHVN1/oq2tqIzq5c9 neowckiywzZS1Y5WuE43yk9rL5+ry6UMaJNWkXPlv92f0TpKRv1ApKu2M5yz03nvw7ZKtnitJsVa HHxrjVT6X36ZCJgstr+rnHgLfFDfxyxbbd6+hwFCP0LOBbu7IWbEx4H063Wh7mEGKRkTTfS7nW+q DcAKCVxoZJkCUDNzjPWoa/JaU6Ef0Xa1VwFMtTyhWqKYIhL72MdtdBmiduXWhVWHyIcYN5qz0IKg +kv0RhHQEl/2DiEHstamaLyXA5Kf1/DX1es53S2h6cF6BrXEHaQf30kCdtI0FXSD4tkTw2q5Zeru swBG5P8pvm0/VSc5nhROvvzjwN+OPghjcikvxBnIc1ChkEyxnmK/RZluZJX3fSKhhsJ7rGiQHs8I yE9mSMfg0OdIQ7kWLtyCuccURkUYXv4DAOTgYFsMzrH62XlvilhvQBNB7db/53bREiemcRtQZCB6 ROXdaiFFLug8NUcSnpo8Vm8ssHgaV8iLAqFac0mZ6Mr7uuh3Lt37ptaMrdgtiWu2bQ1X/ecTipWt V+TaMRUUS6aRO8CwbP9g6sIpB1ztnDHWhMTeNCTLQedRWmf8IMdc83fDA8xj4wNr++wGKGC6SvDs Q3TdfK9h1bPj4gBYoXiqd7ZQL+e/bUWk78n5uCj7oXZSq39ybbJskXCccyrD/5k7oROfeG6YTEKk pZEslCajSQR6NK3dy7/st2xUNusj1wcKH+cr3QZlLdxcg+Ly/L/B0+qrz2L6+3HOM83mnK1d3sV8 fxQ6lfzqOcgvnVBu9miKVwplof76r6T94mU4n0XBtFZANU3qRjn4xYAoujbdCf3Fl6m2MHI1ZwpF gVuZVV42LXrDLJV4RCSPYkv67kemOo100Rw4wQmvrLYDzOkVNjwvmiKCQb7zG0Ktd+LnkaViULt3 kwSE6zf6aXgSC6YjD72ROBIR59qHtuym4MMclHHOuduTsRgv9kvwyVOH4l40SueceCdzQNOj1O1W 7E0Sqc2aQXXKmDqVZWG4WxTJD2xZw2PgP+HkVO9LcfxXzmfKk1LBzd5eTwllmmcEzjla34g3RJTu oaCM1fRlB2pZu0sbNyqKV2zMvLzihAXCy1RTBgsbbLHjATYsgIoXHH6OfmDxq+kEyyb5MEKZgfTZ oM9Hste5WQi4PvMJioT3M1P49veUwvEZhzW4y4By4duWoc8F2M9lzYfR8VRGYpMYYpxPOE07l/Wm 5Bqi6x3hQax1LyqLIcfxVWsD0Li1ef1qHsyLkCSp407+XOxG4FY9ZjIZEyj6dmRVLzHC6JeEo/30 Ke1KJLObheq8n2e4nJrLl+ojuMNHfs6iQ2b08oWgA7YbtTcdE9N2aYloQbLQA+OeDCADlU3ltzXo zPYAMonWygGXjMwBLzAr9w6DkazFyWmV2FVd+sSX7Ihc1HqVxbsRwB/C/iR2VXV8XeacVgHLm+NN Sdajt6B7MZHu/eljZHguhEHUnGNwZ6P1SxKcuuaPFNX2I0rfATjzNSYuExgvAtZwz4+Y7VuiBWos MaX60lkuPiubbOftSxaGQ/XKfWEUkugcNNg1TFjnrN+6SFWfba/uGdB62R9mJS2mzhF76QzxDHFr 2ssZKdO3WkMAz2Jtuh3CMSvKb07UHhOs7MivoJRHbWeG/4uYufP7OEL8CtAfoGEHwtuSdTzS6e51 v5/DPFoVXxNnlt0i4ye5IY7NdY/sccv9AN9P5sH9bXLE7jkmA9wJTgL1HXAdJ19BwxnbltIOrF/D 9RO9gZwCCBHIaXWG16b7E0LJ/bNhL2ID96mzIp+ClMuO7Gzk6wTCl07/rhIwXc/mornWghrexYOh f9pVGmo4zENCQZDESE2hGLBoBYzCYYDdp3alY+q5BOREQOUN4Ry3rnh1V6TWoPqFCsNM2rDuIiL1 FNkdVHfXAFMpnM4bXj9XcE1nbZ5C8UNFxP67D7IzLHxFcYIQ1nVM2tMf4PyoUZyOR6Qqyc8xwDti TP9le4z5mVwk0moyeM0ukInOMl8Rcihb6cRjp7tVMyq7oz5YBNEFOE5PboKRKXobaVmVOHpH62H6 zUA9c7yVWqee3IrV8OtaXJ1aKBJyWuEZECyXVLR1mPqQfEt9VtdMfx93Rb1kvG119RZoztV5LEy2 oVcA/Z7iMe8f9EBJgq/jwz2Vufkizg/XdRLUrKbb7/za/5uIV5B/QmStny6cr0Je+0hMKln54ddN IqfR+POECFvy+q5fmJcBEveSfxkOHkeNAvVIIRNoXY9FrW9WZVvsxk/iyR6YPAgSSwqgA4KBQSR8 wdIhlDM+XSjmBYW/pIfxjFVLhp0dxu38PiY04uPPzcNv7pJ+zUh9goJrWsrtPpMja1yt8tzdHH1X 2T525WbBuz0nTm2ll06RlypvJtcYychTmfpY2frrz56BVGlsIMOHeFuhfi9yzlwGXMqwDniRlXcT cSVA2ZS7E2fe3OdOk6WuQACFNz3F/8OkBTo5h24p1kcsLW6XPskUgWuyVCHFN2j6eXx0voqJ6q9q efiQeH+cKc9aZsq4VBQ2L6aUnoAcEAule3urNAg9DSS3H/k1zUNS8WEsw/7hRFb1LYnq3amX/my1 wwH9ocLqSJzjm2C2AX42NKFD8pP6VdCKO9v3kCA16zXbRuJVwamvLZ3fStLE7M4KAZZAg92GdkVT GlB7z7eO8NUKvMMC6m1qxB+4l0D4tKI/e137l6p75OJ39K5SlmLaPJL8JFF8iVG+SrzAfhdE0gzm OZh2iopvfevOXKnEfaPZceyhEH0s/Ag/GiM2JslmRonMMJjwaDhoKUsDPx8XZRJFp/j6viMrRcOk 30gCuW+gWXswdn/BjvsKcP6vGhTsYmqrqJLRRzoAlFvZ4MiU4ePr97Zkwgxa9//0V28gP6Lrs0Wz QxHvHv3LE7+roTbB0Z10nf+ptneisYSuiWfhICJKDpNRwbYX0ip0ZpwD/+XC0RMobMReZmOuOWJw 33YJ9rjw35IiVwNwyWw1vIBbw/i4+aI0BOOsuLYwRDugKUVW7Q3BPnrfUhzo6X7ZEpSByDldg1iy Xl9HSlAfQKxI+9TFBzfuObOdZ1fMq/dp5AUGxxtoCoCs5iofXCJC8BN2kjEf2WTmwjgj5oJldv6p lhSgjQzDgLCp5p9fYtXUA4ubC7MOK7Z/gz1rhSSnX1AvVidU4EVH9SPbzlq/zfRtG3t7gjY9svfg XzX5DTyCEmLcllYZxQF/DXCCy3BIYTkE7E5ppHEiSDaxGdXzUx2conpJn139022GTg+2mfBBQI/Z rS46pnUxXVO52JUGwmzPwh9yLN+LnWPY6CSSKc3AviSiMxbqZo4GAYV6ncD9vMYRihu4zlSiaqLG IK+bOKQOUAWp5KtCikQMcnlzXvJxVkRkWqWaMQ7anqqySuHHYU7/c1pKjmLTbO+iQpbrnzhXTvk8 XF4a3j4TwQxv7XZJm85F7RUbhcQhmSG4xH7iqbVlEOGxx6kj9fOzihIx3PkqkDQ81BheSdW9V4wg g72HlcCu2Kq5qN9K+Q2gZh5WA6B3ihM0/1+Jc8b/LCH2RdElfKGS+Etjmg9DBZm+CqZx2nWRCbcZ wu7J3vBtWVZzIwwXr6lagPTe1IjenJS0CsvNYTdK7GOCV3EiS1f5K/tG9nlV6GK08BZ2H6sXesqa Au0WzKaEAyQfYQIQI1E2dqpoveDJkifn3GD/Bq2u2ieHFcg6H3zYHWNKPvaQctQJllRdfPhlwUhG 8mGEZK1hM5aTV4zkWltlbXE4/fGBgd9x/M+d6U0QTCgWeqBk2TGOFl6Gea6qiS0uaZ3BciY4M2IU hptjvP+FiXWfKgZ8IDpU5s4KArXw2lIJLGArvlOT6OR1f4zVxJsYZY84VPK8ZOjQhm3F+fRVJvSU yoY+pz4jobdlBjuDW7+WePxmMvZSRMijAga825UaV8Sszh4BteeyS2L+aI1Cza/8dy3eO7EPbxhz 9tbvvWnFNgn7ZqoA6YnuamZytanL65o8PvAgo32MkBjRInvmOmp3qnk2C5lPIukiD2zeFHaSlaK7 dPPDtrlG2YWvlzwEkj7Uu9MV90w77KM6p0SRe9HCCqaTIGJvd+b0nHVmh0Ng5z+4Ch+DCV76YfV4 ihwtO6SkXDESZCjFhYwjqMh3PbG7TJhOkX72U5QZucgdo+5YxfiKoRs/H9XWibs+t1WnJrjnlyny 5w8Fq92hOOtvQX6T2CPgqLfMPXDf8eBzqXZP7FnjMoxnZ1yPb1kFCFK/n0oCg8A31mks4sAh++DA NjTvuH9u0uCmp0dyb03QWkrhYKnv1zRlXxsjKtwCtd47s+T2Atlrai5AwBAt0lftAWFSc/hXvbVe Rgx68TutJoHo1zCrRx+r42/Fd6jNlXLuoNLC8hXcuUc4DI3NwVRzvzhkeOsjni/k5iGph8ZJZ0Oz Pfl5YY5b9dqDCjfxBAbphrkELQ0p3W6OzTOcL1uvyc70+jPUJVPc9dA+h1xvI2bhTqRUlJvaSKFx vWA5ZWI4pAuj1ibZUGoGN75PshZ0/R2xISkVUUgMt23ldu2MVDckhwvWoBNoTO0wHwCiXmQF2Iu5 aK7gVMxOWFZy9Fa3Zsg9DhLEW8A5IsHUORXwwkw3+iVDl/t1vLgVisj/0WodC8UAmaRuwqydgVLw PX5mLO6npiPKQE0LP+zWb9mi96wfMAsStMRLqrcvOzVzNYHDscgSsFpliX1Dvp2C0lVGOoFLezVA Qo3G51lm1y1rhyFHo/Z2mUoNzM/+fqs+9oLq/ru79YP3DAS5apKCs005oOOzHkbSyxhyJYc0BAcp oRl3ywxlNetS7rSzvIMXzmLYfJ6wPXDkl+f7p5X7IsxOhlXW2u0RQdM9TBd21T6d0M/y23yIzdEY K7sGlzry1f5LfP0N54drmGb+UKytdMYaAeWm+TXYWnoLErjJRoVfZDq2zI40JUDR+KpJYAae/dE6 f0V8RlQ5a7UOQgtReTx0UvRRrQxa0OXHf5Tx6GlEjHERb21LFCyixMWrpiUbjSiL95Ff93XdFvDj hjbjZA2W7VmJ2QdtQ2qqv8gq89bvnAwjV/KNTE7uasi0UD3VKBj1fD49d79W/FEM1tyPLUayi9Cw q9lSX0HJgZh0L2r+2Eo0HKrZV+UEPi6RtGVlrqBiZ9trAOavytPytXFCnaV5fz+d4c7yYgjXm6MV LCpOZlDVUlzFBrHZ4a0TvG32RTm5BTNMMZXNvzUTUEXpoUG1SJ59cp+NdPI0E0O3ODHqzU0JYuAC elEkTX6wQZgIl9QRCnvesyCUEhZjemYRQrQS7QB2pFmIYlCpQh16Di6V/FYntAlJrUI/BwnobzxH OX4EW9nPB5kbnyMCMNuSRVRbrKkYzd18UPJk+VQ8LixUIq7zdseISEhDNxmtX3gWgbSDYyOvZGsU aozt3oM7r+CS4A14p7WZJknmtsq9VqEM9uESZStfJr9o0u21fY9VLOSLv1UGfk5g8Vq/5JIuQU4s aNhH/wB7c6Is0SLhf/IJiKZ+8UzmYCI1TW2EjIce4xGhMigHxdOpmG71fy6Nc3hz9frOT89h85HS XhetP/xKoSvdfteNZeYXgqAdXl05wZrxKbR10RZHS3wZgU+tuv/PM5oQgToUNsKu3iEyNHdT81c2 D8lP61JO+5TEoI5RMU3dRYqP3gG+1w1yUfrnqQazYAjxtmNQkuQWQtN2CwZHaxaCwC4zX9Ucg/9O jUhFVLTtBfYya+ta/QMHdMxnnt3r+OVBGUVdT13z3PyzlRczRLuNz2WHTrlb9mqlykwWQITWpHzF AIxXFf6HVuzLLVCupdu3u4kZrl5wtlFru95MACHUG+vZFSt9cY+Il0iBEw3/Z0//sb7IwiKKD668 OTP0TXcfeOCQzOom4erp2ekf6ITjigg24ZohGZBNWNpVwJSjoqBQ0/zM+BjReJX6fWc6G8j7QVWW W52ZIUI/52SdvMPh3bB442uzCtrB4+c3FKYChcvkrm2QMuLDdGd4wEthlDMFYY/63yT+g7/d6Qyb 5AKIn2QVoJ4tj02tdRyUExbFFI2IyRScBFD45a7NvEH995L2ayXg+NucMTSLMGmmyl47z/6Q8GRP U4YHysIRpQ9WwI0GGksGQcvSEWFwW2jJ6UVyKIzV++6gEpDl9YNKWNiz+6GLGCiKeU3YcnRB1dm5 FIY93cT8c8AX+ZxS6mtAEV1KryCQ2WiVSRKr3/vBI+oCg2nQtwg5wFwT0PzmPRtuu3/YSjtgL5nX 7tEZsFYIHQyUVF7+hQWwyWxoRKMR6VLRrbl3sXhc/1XoJEX2fDXCKA9ZjjVdtXK96amwJSku7iqr SPN83WMQ2XeWf318qSq3Qy1qAPw6jqdi3ithunnQE3mxFNm80GuBdFnuRl4WW4qG15u8kLuTkBrG qumMxxxGqM/p+i6iNUB0hdO02aiE3RNyAoPdVCnnect4Sz2PrWAfMqNHl9g4+X0gqyoiWimW/w+4 o3w6R4WVZcF77mEHHReX8QY0aC/glKG3rTrfjwcSZhZQ89Q6zt22PILKGivr9ZWY7gRcPVK3aFRj cm38RpTenQLpWE6egtcAkt/RlbZlPjK4wsBF9cuwM9Yl0abVdW0lM63THvbKnsVelBg0tFYo6n/O CNV9nZUBww/xHGCuhZYe9r+92W7PEEGXGqj0gDFAriqIgUl07xVo5Nt88YIWb4r36piq1K89h2Wa kFz4TfJmjlu3DhqXlL0Kox3GANnXo/KdUJqQ31+LgVEkClukvYUSw2hu2Shy1mZzs3c6jwbdN7Fe 0IvoeK4zX1Gl+kO1n+4Y0zAk2j2fdGiPxEgCiwDg3xQdmwCHIMjseeFpPNjoWPs1hPCYdNJgD7os oi5tgRWCUBt1OQKAcquDfj3IHXB2a9FIRrC5wR/TtFGuT4GX2iqfdCAP3mm7JKPQWOQfuiLDdqvT lkyvX9+K61ALFkZvECkvr7f+mKHVnzQrFpoi0uI/Wz0O5i82ON9uc+drm1CjaTBpC0/uobQbSeSZ ZLA92V0TWbk1Oqf3tuPlVnGhGNQZks7ztGbn2uOrJ7zZVSFVTMFu99V4JVskdOemezzGGJ4+tmnW OPQqR68miTD0cVo+ZIoKkeNRObEuLdDvUmKVrhdEgBC+yNoEBWLYvLCx1vbeN+XdnnFBiOI0BO5D 3fUorF5ygxWGykZNhwipdlZgAeK0GMW10sQOfuD2nDY36HLiTSRmpiKsx2K0Du4KiUGfc8dqchYs qY29AKA/6In/erVuxc9gCPSjCEDz/Vwes5mwzbCkL5N6cDYBUf4lrKbYfvSV0XSl2H8/nmZZ1rd+ 6jU4IzHArjYmOHUwobVT5Py9JPBX/l6RjdL405QCvDauz2Y92fEJ114MC5ax+qoh1mWSxX+sW8XE 5JaCzLWC5x8PIRwQs2YB1n6ga74au5ZiB6nTcldsqndnZerlX5PkqR/8CBLproWJwd1XQKcb2LFT yLNK0sWS6+SscOJ+SQgULjMvCa8JKrccqfrdoXnauV+/H0ET8W+j/t0VbVdO7Xtq1fgDpGYcFrny ScQdcvhmEqF3n3OsiniQYorj79cxNmg1og0Q3adh1CFC9UobPGRYXx94lWG6e5oj5Y/9vPsXQqHD EDCRne+nLvIebqgE+L07Mi4mgo02ghnMj19jPf8AO6WqyeNRB0YOLKv/8ui2cYY85oWRemya3lG4 nbH5pcy+cG77oX0iBgWLCIN9d0LFDlEaPHszJrUldYH7MbMgX2btgUO95djRabWxaXhUPmIqnOTj Z4XQqz/kFCaty10vMH0FkZbmMCUYwS8hYgDGULM4297ngJORChp4Sx7kroVaFqo7MFToc9uQmy3g 91orlW51g6OJo70+FRULIfOU/we/gBvUMhJMBhKgBDeaulZAikIv0tID4J9PlfIobrB/EKJYzF4O um+K5Z9rXom0Iam/dWDR4D+aghEQVWuWh0Ys5mKc48amyeyfBdiEVCssKvesQjf5Ed+eRj5IOO1t IyzA+XdtlY1m4gD0207y9tvrg/lNyf16JH98gJ5wmV0xO6aJqQI05lxwCUdPGVwmXyq79sf6X4ZC TNexEB2d7VPV1hsbvgtduxpLZMR4FDjrJ4uN3MfwnH5f+K9/qSauGes11lcoGGXrDnOXcl+hwqz4 FVl8B70BKoZ7FrEXSBVvFrfvVA0AELRhcnJZS2V6LxbXoJgHGw8vxcvZqxsAHdUilPH8kt+nGc1j 4zN8w0vxbCdObdDS2zJ/NXI2Ew2rfxCuzAMc7S+aiFXpsSSyMne8/1v4DGL7a64DAFeNpiCApsQl iWgPNPX0WNv5GAkOmUVzjPUNGZ7rmcWmpXYDmcpAFlKMx5M9LACV2KW91OOQAKMmG0Q+PHYwODx5 tPF7XVe7SbXxr9R5RlacsbK52c5m72nHTR+d/FSOIFFAFvL+cvVyx+OZeUhnEFDFY6XL3vTVI0jy Ejn1nx5ykp6qE9v/kIXzoHWhrf0mBVzbkWu4PL2hvWo+hBp6pm5THdA6Mo/i7VjVTWP72sNyji1u Iv5zXi3Vu+4jVuPCj2KMRs+DmROMt866kjgIwgIS3RUqmtjKryd/hiqvWxJenVG4gonVO1NjxFL7 HHMLMYcQecVEnxRqZRQRn8f8MF+tZ2bFgWfhQaK6b3V0dHhM0jAYwf60WWdh+z5Ssr0Cf1qctWUJ 2E7qs8pumd7NGHRxEqPSjjq11f8IxLNK/cQAacqUAOZGbcfKCmfWesqCEsxfvg95QKdhJ0sT//we iUWZmrWoDyVzbMINb9b6hwNFZOngdHzMtXZdXYd1TtwAdNhVGjWs4I8LsZhPMS6lpJLsC0i26y1z 6ScOreSwO3F/jq+zUdHpJcniYnzYl6HcUe6D/QP1iQd8Tg2Gs1I4uOGlonAWTvqTfG1Ps3B/+qzP 0a4wKzk4E/sQyzU1O4PrgUZD6eLqjUtt2rjlcyDTfLs3fnShEYbKLi9s33+aYDcgplnq7ZyP/fs2 1TfctaJ5sNLCU/+xBjq2YUtBsT2FOndXWZrtzRpje2/jUyRMSGoPbe1GhmHVIg0IYIrqrHnpsqZU jV6YvWnU9KR35scsosKQNPMXsJBICD2pBIyFe/4u372X00NhpYz1y4msGSE4EVTeJFZkTzY9iPtO 9JDmRhsALP0Ybau6whu734Bbl7fD8ZbdcJ7lmr9aXJmZEHmySfm37us4SHSNLTRSIfbkTcy/2rvd aT4iBpOHcowOi/NeyCUbWmHTSCSzcCtUX4BHFcdpXMEjjAmSpAm8z0vSoaoynoABSf53lc9Zs/32 YH1uz4OqwbYsSplNDTKpVO47nQL0ErnOVYGe7rkTyjVMDbcPIRrYwBwdohYVQWd6Lc8wdps08o8e n+etp/9mcroUNs//PiWWk4q1Kprb4UfZBt1Oams8rdmdpQHyOtRVGO5JNPPozaI3fhhj/whTJCHC 4IwVLl6WLOfoABNDuUE8ltTmjkMkCn+CQmSHukQFmvxMveDGMj3sftkfiEYy4jHpZA8EIJCepItW 0/lt3m//iKZcJFtrPYXP9ZdgkSojf2YxXu+AJyhg3nZ3/VIFt7ZMOuyRzvZ8lNZW7b3T3QdOvPi6 Gr2pdlaud4EvSrUZ47FRaazUDXF2n+GhY/LRGFH0Q/i5LSBetfxZ6Hti9XkQFmKMRvDFx5QHFZdN 4fYaEiFg3hTY+Lu1YN7WPXYi4KBsGzO3x3JSaPukzE7c7kBe7cIyZSPQU+vadqm1X5eLWbKYzTKb vgXiN0ZMcsZoXTrpPlzRmgXaYqcdRAvTAf/Ozw9kqTvtiwSPaYQ3em2DDDf35K4M547mUKVNVSJS JaOQlS4fE3Kfdyuizw2jEUFHJ7Nh1YzVb9nllVtMe2ENci1lXSxPvjSWfukghdvR+dS9BR0lToFl ZHFnPi97XYMaeWc2LIPsNqOxFDpRKS4T3boyI9g8OBlIinDMLv0t7I9xv7taPFImgUf8+qMbOlYF kuRAfltCBcRwyKmiSWonjvL7R9ugIV8bEE9yfTdnmJEetS7QjM8g6mK/VxUCEV09n2gN7nTwV4o/ JI8DTY9sp17rqmbZNlvm4Zm8KHzFXrI+DVhCAxhDMlIzXTF2l5w+bhyobX8T0plrJbt6KPyLs6Kp K4SIoVnaqkKqQSRj6K/+gMoFTaUoXnRdgDuqiGIvLYSPTQHGKBJ6OItynNXPyW0mFAF7Zh7dukdq FSyHwHpjIyQNx8zuDqZkrWMSa5GMYU6IuZpUC2gqkpa/pWLR71Hb9A3S9Sdx5S1A0xvsCwK4djQF 0387SXshUadL0uEgs3LnOPicGOoFGmSNSRod0gv1yXx5aEzmgKxshg+6OC7N3LERKHkwGyd1nibE hAuGw9W4pKWQRr8oCdpIMYkdWzgw78S1V7oMf1IRdY2xGBEAKlrDmqCWe6JJuHyNdBG4xumqou84 z28dMfabmzvzp1ar88pxDQFiQAfvmF2RfUYRz5Gy5dm21YbnxXUAgOn5ghHiZPb3jDSgNb5kbNTb gFD5FbOrqt1id9uaR4C2+JhppG86hjLwds88QqTExCdFR89plX9eYFIqquH3Dyguxo5q6QnUYYTT QidfndHYeMLjFp2oT7CXy0Qhidh/5rqUbFhjFTsyb0IPHKsbdP6Bq50a0uVfWC+1euA8gb48S8Ck DjfYc19SVDg0soxHRQ0lwvezCcGcR8k2bpoiQ+rUfzoQqPg4CvDAQvtLgYONo4AWksNLtKIgpxnb 4RQkGfawo+PmpMoEzMZwhzfeBBMC8ghFSqjZYu5+hnqNpVi3ctSqsw1mRKqSDVRAiF1CjD4fkvxe mxkImIqQ+cwTKleTkmOfO7q6EVOHuNtg46eLuEEkRPqzzJfhdvaAOzNqFajV5Eq4wurD8+lC3PgV eKTAE3n74EK57Liuw//KEq0TooYAzP+dbrHifz1DBPpOQav7SXvFau2Yo5XuhmjLC0uLKA4GDsZY c9IVtDYcupRN/p+22TwtMhDlyunLog7shZSHhpenGP8F/ibk5221KgT3EMo74RMOVmUrUUgPNnRI tqRPCRFNGTgtwBAhL3AzeybzTC8318vGHUnUZ5hyIX2A6kvBUizkSGaInJjw2sv6OYPQcXNezDfG LH0CI43+d3782XZAnkON/kpPa8H+aXKSR+vS4clSAUdT7HFdgp52JSBquAoWrygks2EEL5KxRV7d tn7m8NbnHQ+aGHiah+41jyv0unIhtlkfMTaqrQ51/Msr5YgpRreoiyx0xeiLoNevh7vRvSBDphdl cVseX/Z1QUTsOppzuQCftHStNROfC/jObLEVgxgmrg5NGyZaEcKVM/Jb4OZ8adKw1tjLCAjOWU+H oXW25+HjCbXYCF+8/yZRyzd03AStdRmH0uF5/VLyB7SjQD32+xZKjXMtm3B9jsReBFO18cEybH9R gnskb/ubmc4eNZBPloX/eylR589r6mnCyl7eSybn4yTPJiMaDiSArTUjgAhuu6aboina2A7iqJQF 921NdzmoVuawlUv1UAZ1plVk+lR1s4VUdUP0twV2G+jW0e8fDyfn71DbZWDan+CqeqAEn6z/tD7z lpMSsp+u62IU3oUvX0ey1+2O6osOg7hUYFaTKBI+eQkqfae0E5nplusr6Sxr7YGpEmf8d25WbkZe wp5rLwFSnuIUD7uYKviXbNveP8AOksKi4ULJ9zDITcvrlOjUqQNzgu8zdXb1ZiPduZ/6CPSVNxT0 0V4Faira8wJvi0hcYhr5WjBlVBTt/lFrPScCznY6LqQmmIlr6H03yjcOTyNL+veEqsRrVB19DdUR tINwYvxJAtzPLjmkOJSxyzRtQvgD9ZHyawKUCvm/hOvdhN7aGC4Da0GL8m50BciAFWzn84o18EJ3 ziMiSh9nrvuc9QRbCNmAyGMgK0/p5GYWKh7/kzUQCjUE9E0uaokIJ+2rlyJIbGDRZ9O4zZOhb3HX cH2b7Ud1jOT03iu4r2FGa0+q48Mm3jE40rL8ll4dPEHONAMWA38omjXKFVdYyd2kILImeoAE8XqJ qvs9j6JTloN2MwIwLf4BBZP8rtJ3PCeOwBTZpa+pYVdTF3WWQdd64EvcMuqOV7nweagaYUCwcH7O 3EHfNMxyd3jGR8mPLWbKwrIMvMlbigUnijkL5dvLuYs44wo4KAWTz4354SbbBhNZKcjIdtgHqM8f ubNMr7LCGVhW2zTrfoWadS1fjVARL5l1t0xARCwTExnFpjmVpLAqsiTvRpMEqf/WKgQbTiMGSl7C vW1f9FY6qhUgTWtUbd30NptskBD5IBksRw0yYhjc8CxGsUIqddy3DwBnAhprDLaFT5HlpMRiiB/0 OHkXUz9AXW92A0obGpcjRM13obucvuds+UnB11OOHf/GULrKAsEXiXqvInVATRuSneX98sr5pCeF WWzDWmNu5oi9yySk4/EU3lkXA3SWUHZh2ZJQRjpFn+1pBO86Ea3lPezO6VlxwDdWXK5DgOdycyqB yS1yMoKz9y1aZUVHgcUJ4rU6Y1UNxCEdKu2WLXGBLhIpLVakMaRqB+oAaVATCIjrOmCJI60Y3rzu /m9z4Qj7lzsjrvHhR4PMrRhz0IyUyYKcTrjNMpv93CuyIVX3+X7V0S2NeHbmLwp8EMdkQy9l6yTE /i7idMERA4SEO8gQDrFf+7D0akiPfeWGTx3k1zXl/Vwu2z1Iy9N6ATnw5L6O8RaakuUBXz2DRrBE 05E0SpcZpaz0vKLxS9oiN5M17C2+7liyxcXQvEPVt4k0qLPcCS+400gg9s1ySVFGi/5DTX+yn4m0 YinkXRHtYftzKoyrXcSjc7c3nGNRHEqIdGtOel5eYxx5QnY3PvSUazTMsq7FLciQaJ4SxJjkcmsi 5T3sMmKlF97GsyfWHsZ5ZqPw0JkeFeSkLE64M0CyWT0O7V3PUKaX7eI1KnSWgSQ3ogZDJ6RkEUt5 tirC/CYBIkHeJqDYNx+ied6mtJ1gyqT0HzvZxyoVkPzELViAD9Dh22Bsyy45AvypHqwgk9EarnG7 pznsTL6AWwGBnC9hveVNYMQrFb8U06XkpoNR+6QeXxhcr5vPuAl35z0MJ1/e3Di02Sa6m23uQLHE NgJehdhQSco6vzntKeJLgtiUHbcyIJgHPrpqiziN7sqegbz7IpK170TozdJPfXGeK4VeHsYKDon6 XAjCm9qIJDm/2tL+LW6R+t6a7wVxMT7OntuGmuw70zVduZZb9DPC+JoPaBefEjxchQSE44wFyagH XXsA6pQmOE5LbnlhoUg9tySKdgWgJW6ZD/U6m/ecr1E0/kQFLppTl9hgkdfdwyjtjhcwCDcoBZmT l0iHdaHoTvPsWwUSlhM1XPdycpumCP7HC/NGCPhEoPg9xQUDssUg4kaLlbA4vL+RQmfz1rTxAiox cOtxsFCV6BWh5WuUpFim67A/oUA6S0krtPNRQgnFZKxLRaqhAObgoCIXnS92YUOK88ayF/wVpzP8 1+DojzA8wpiHT08SMaYxc/TCun3B0El2SLF6lpU/Yc9efzFhMwA+4sDfLiLcOlgKzq4CXYFSLdsE umzWtaneC8JD569NUwOsCXpASndc6jF8ImgzdfZ+hRLLd1cXtLPS4Ems3Zzu1V+h+FrFd+m9LAPi dhflkmyPTNWqDIOTqzEKq2t7hMvmGtNqsSBNzN6lestO91jZ9UiuWB1LTZpwr8VJJ9V2X0B/swZi s/mCFXNaf+mUakEofOIhJINac4nWuZNt+FUab83JRKB0QjTCM3QDy7Z+/dbjpLRp9fxFTFNy0vlQ 2KoF5FwGcdj/cV1EEVn1ApI1/gmknWBEgGFq2cMp2H+y80jlxthKSS0iviFBViI4cm3Lxjq1mCuZ QvrVphYd6jLNkbp6DfoU2e2zOj30RUnbVrtHrzi9lcD2VrBrimtDkEiYxLCmMVX8fL+pdOXHQYvY y6j9w6Io1vfAgvkk4JHtxwCtbMSPGukZIzlWxlzSzz3bBru4GZgWVpLixrx6wlkl1wVMECRiAJ3Y CLt/7ZrWD0CzrDlIQt3Ir8DApK6zZms2eaFB3ZVZPTO9nR3jmZtlwuMxLhDpKrUqlofOZVb4Iqrk j7wt/Dp0VWRBdI5TD2kEwybeQMz6EqP0aIZj5QDXdRfxvgjld6+WPYDm2M7K0PK74rf3ItoX4eOl fhRW1kJC0SnJCbnF+EhD8xICzyhJDaHOOj+1tK2m9LquJ/z5uWyR0+eZuci94qb8VVY5Cvjw3R0v 3A5RIJGknpItoxFApBl1HoKGLFRvDQSwlT5dNyf3RqdNjuZsi+AAMHr2pVaDjK4f1K35vNS44xoq OSNFY3mOt18iBjofzdpKbiV67mXIw6AtlYMnqT5dRvwIYeB24WlmkaWqUyomwG/Qcn7CornQRT1f /FSGoXlyjDGOVUZEzdZh+bKtVCM1kQIKQxMT05bQADKvqA6W36xcXmwnOD9YGqbcdC6FWtPeHSxI GmsBmAV6eGaxP1hE8/TDbaqANz6ntaesbTGj1qa0YGEg4bd46PzhE0ef52IHUxSPpYMq7ut8n5Dr jleki4+D4A+t7DKBDUkquLtdCSJu+u3yIqTjUiBvIVSayEsvEcFl8njqxoRsiHU8r+dgpd5G5/1V 1xfh4K9rIGKzJqLDuE89tmQLANUl+R7mKOo4sMtvygXzNbrKNGvPSmj+VHMMNb3RasO6MxCf4a/R reZiKCSYahHfs9ogMldej+b3RUQgNR4rSFfu0+/ejLqa9T5OsLS8gjzrYFPB5GSOnVzB7EfFIFHf P26Gm1VWA/Yd+c4Xz4rKQprmR5h9dZuvilj06UQU8lO+BTovUXeZphfOq25xXSgYt/7z2oeZuldT GngpgNSQFdi2ffv1LeLLt5/YLe/FkbSim75VskCFweiAG8kVslvYhUv/NIP9UdLoQnV3TXeTmtel NERVfuKhBMEsSA8N8TGzIjvilQQ4LiTbe0eXpFRrF6zj10HibXL+KapNY/hWWWUFyYjWL1SuZ53g eSKqfyD+uszh3XAWdjx5uces4Jv8NgPhlOY2RrZrmdqLnvNpY/y3m3wEjiIAYP/CtQLZS6wxzrTI LtIfOI5zRC9BamH6j8gjjnWuYTIt2/D0VNIDP3tJLtQ04aA1CNERYmNiV/jauzCKUJ7FMOETFB6q 5G1slIBGYMyJ+7ErWEMycdtA5XeAxN7Kef8GnmRiZSoRaLqGlShwRYk2EjvWzNJNXkc8Wyma9gP7 5xLTjGpSwiiPfoiCZ+vP317u6nzP69lqCHneTPNIqTwbIX3hXjsfIWA1/5JkkXdYmIsApXLWvnDX Nh7F1qzSrFcQQleLsnoegYRlfJpDTIElX0aO+ZuYVxPqz6zoXfpryCIBi3tovBDyr2DrDqLuHTJp Ljv8gPqtzVekZTI4c+y1WMVTNBu/zdiH+hKR2tlLBYCFCFVe1yeG4IRgZja22Aq3F2wwKGAvPmrr Ls0Shu+6aohjoNGrzzio7+pupR87GwCQCU0EOX7JD8F7764R9Z1UbPE0z9B8So80yVXXiHhI2Zz8 +YZ6/zdDaqVMIuu9UHHdRDaXhvLa57piNOHCVzSl0lC4SdPMWg3NhKBKtTScku/sqYxZTjtneWhL prdhiyT4SC1E/z/R3pBpaRRnfmNY70F3fuD4IFnCTe2th/lSCT0zes5Gvs8ipVKzWLJKL6Bx3wRV 2LjTNK+omHEWIPqA4gBEhGNE61R5Psu1k4B8JE3UrEEELoPpBV+kikUiU/3sy+kdeiFqQIlYn9LQ l6lEaPxdVm0BRr15EC7vh2aCTI5Y8VLC+cMsXv6EM5Zg3NNQML2CWGcBajhxLfTK+HSGe2w1dQAQ MvQUnv0OwUybCpqGUjn+bjqyG0exJNuYoBZmAzPZwzRLiuKM/DOmpYZ/3ArhHTxqCiTIWvMmrTWa qmaeFhXslYy/eB6SbJSz8c/gpB0rtu/RhNrqKfx4OIgYvbmiWMmcnniSTIR9GI2FvZXqtM5ODegw C6verSeunGcSzIITG1iVaUCDDER6Y3gdZIrN+d/DMQ9nnvBTDBL0ZBxhNQ+3Q7dG0sOg+/ch++1M sttnDpBHxS3ZMEEDmJr6xLxqA/jn49JbEvAVb8l7UMnhNshEDUyN/JAX8jTCE/4fBJCdxDpNYiKk bCB8jOfI/N7V9CPUdfQEdyL8I6Uky3fg1kS/AzmccpSMVitqwFkPhxiwQoXwDPd3p7CPBSyqBHGT UA5m/x/zUaD8IcC3kbQsxpA+LJxEQFXsVUqQPSOFhUG+zFHbrj6B9w3WwpLpFP9Wd3yRl1FGOsEX P9p/ZdZbMJzhvTVF+91wBD68Y+n0hagrxUsQo78DtrYS3I6wrPshoX4PDgb0EwclQ4yXAp85XgiZ 6/OcBPpBfXkrHX0yT1+gYKaNxl0OQKCTt9kqILA6Rh/aC1uIjtq9LBjFVeQphRQx6gi2eiefSeQp gbfX/Y0rdnGZ6YrtO3TLD3fctMzVyKs8o3r6/NGrpLFEkYEcgPHz5fZJ2XerK3fqAthLbeihZWBV DtJcDrwyp/ok0Sx/kUKi0fhXQBPA5ViKX107iDrFq5hz9PI4p7O5AHBcfwJ0/1pYhWJ9Aw363Xxi MCxtaY2tTmDW8/tGWhV2XoUGXgqMv+YnqttZbcoOpveEF0PlOKq2Xv/1HF8KUDi3mV5RiwSJOtk0 +Dw3g0dMYgvY3FuA786w27YDTbonbEfSoUJT0M8dnCE/zZ7SIiYLBhSZDzWvsbQ90gZMImEmkiOM p0V10H/BU05lFQrB6INStuwH0u131Hpz5A/B0oqMHOwdILSNPXfTdOQbT2uHzw2mawMv9r39Qc5p bi1YExtLEC2V6MWD4KSS7kZvmKQyeM0VZXFJq1HwF6rVAKPAi1PGdfFezqYyUzT9KX6s08apx4CV YYSUhVG62LujheKiBNQlpKjSqouhLvy/chB4l9E74Fd7i4N1tK09ACkVdoo7CXCeIerevXp23iGz s35XyRQm+r6toBB8qYOcJs0k7VHHJsdapyz1VYpG7YdKM7OpmcA8vLmBWjMo/hhyvGeRZcXUCYb8 lOvZlUPHkhRPXJHllxkNIiE4xG7GBk8coauN151WwgDCTaESPrgmPy6iEnsQ+Qy8SC01nvHBZInM BFUqewvBGFt3h7Ko1wDK3y5GOGHxNggmAS7hc2IqKU5gPRuoz93AxDl59w0EMmPLoAFzm5SHvWZl c0cUEwHLAboX1yOHUO67rh2N0J8alUpkO5CEEP2aDRd0f4B+gMhZZtmqUCbKk1Spl0Y4bOAqD90S mAlRYmlXxPoc30UfFMnINgSUrHcl4yQMtCFp3DFnlDHzphVTXlJ69SlTboKFuuq9Og1y6BxrvUVP trgKN/D+8TSYTbVCPVXoSpQeRVUvFpLHQH3MI8hij9Skj3RdaZOi4mfJptLzCM0ep0Z/6sFmABjQ FSqU7bz0PE9RMNy4ujeFsg4d5PRDgFmO0HPdmP/E3DS9ST1Azmcmhdkfdp1DFNIfJyiwTyVOqCQH qZzBRd61zr51e1IoaL5O+D5FzdajCvjmPu0lT6802PzcCbRoN071fHiu6GdjOpxfVKCTHiBSCUpg 2IfAOu46PrgPAG6TTgYaE7E7bTva/cqao4LFohkp6quhhPiZ+46RVsXmlxrYZsa3+aRuxX4v3jVy 0zACBhXcr0Fx81OuX9QYLvJdwOTfmIG+l+t0PJkAWcUjKx7wpUV2U0FKkdQTDZGYuuoGd+6JGvEU QgM5EgdlPLIgOe4dlU9A2Iu4irupciyhle5+HHrtjbNLF7if3YIUnnm/Y/Uklu16hjz9MYjGFfpw 0P1A8dZrhiU7MwfuxuyD9J0dGf3w0nzgr+p+xYRMfut6fx7PIob/S66ViO4EjM7PekMFjthqpAIL L1qOKG3ijZSkqPEL7oklqBqeWuAtGHO9JO+rQeJsqnJc8aoo4Ppw30fvt6BcqTT0Atf+iCMnCp/f azEtYegWdkJ/IYl4L6PdwYD0TgefYucAmtvCa9PS+Fb2mK+lrYOKrBl3fBUWwKi3k/2V3p296WKk fY/GYgpw4CmshQpt/3aAb04FVeoRveU9QSZeedCFXVQ5EH6SkiXiUwTvSITtROd1Hvn6EHPs3Q75 4dtMwe1KC00fP7kaiVpVbrNiZ4G0SWPloFnebHkNBhNujNG0ROuGz5HaArfIl7JRvnPZwGh3UTEW Bnfs9zP4Lu+E6Ao4Njvav0aqGhVNqFef3MUrPo2e+yKs/ql6uOrThHPu2szSG+oHq0xsYrlslEgc i+TBHZLyHNDYJ0cSVBeHbHDIvxceu8qRx3uWS58nqjmAeM8xvCGZ5VFOkprqrcyXUzgqjbhOg+Us /22K00ht3gdwj7kp+uOCfz55vWLSQbrp0Y+TVajf9l1N5qPMFMnIJ8kklKrc1rilm2pUgVUC3qaR myoSQhgrniru7Y/ergyaKPEYjEPSQ2nCt2ekN0PjMuAD8vnPn8TibL8V53TTYhtSEQtnSPkRHKgK 9wUIKlaROKngiLeRfRGHOmuT3rJ0BluJ7IV+UVUjL4R9wDxn4WoGnkwIitMhybK5jDGy4xD2CRyq KPNG5jVUa7NsR6asE3t2mNxPfJ5GoDXnWV/bnGICG/av/GVTo2enAAyBVLDeoT2sBs0Dz1ihgpsc 94uKLvhteTurj+UYYreF94VFsCLG01ISP3e3ced9viu1VyjN6gKOjys0kqn+5OhlU/YXRShIjii5 Qv9ff0g3/GXUEsv7XngFKOZOj7W9IiQWJtXQPqW74HeJvxW5FgYwfsKwR4qVYoEJvYax89BUtOuX yxAD/gB+/mslqWbOzE78n8Nf56S8QrMMDrh59UNUa+1+wMhkqRrOC4jdvbxlUhP4Ngv3L7C6UpjD auGV2oIzp9nnZSETUMyH7Y7cSsiHP6qrI9sPPtxefk9gtXsoyQb1uvZx2Lk43VsGt1GTfRH719vD zqodZaAUMUmEjrBeTvCq6dyiTCSkcSkHS5D5DNDlqrNptSUOLe2J2GsFbJzUPWzrY5Fq1TFlbKFX oEUOoQePWT8zB+QAlNnzN+MD0WFEnkU+sJFOLJxzWvWwrqQd37Mo9lIQXVJJPNa3yHVBfY0WgsGu 6AGimxBNzuDb+C89OeCm6cM73ScsrrDOEI+zTq+2uQFJcS4OIoGQ3EeW4x3uOZw6vej0rq46uhof tOtpsZ+4xfYD+UpFDENleWY6oP9JZxJ/2rjGdJfc1riGr6e6MQRR87jxyb8HBCvecMLtOyNuAR4h +97rcp/z/61bnMIDcDX2HSFQ9y9fyYM17Vwu3wQs4HHpu7VgChx3ATuW99biFGYMHBRv2NiVLjPq luwT7HzOeIHxajaYahtLo197fb70Sn7p50NzAnGg7D2ZqNrgGzOqZQ3RDVHAYB3JyQ1c349d9JoJ LSeBBS1pCPuU3B44TUIDRTmbBoBV+tyf5tQtIAkRWpd5HGx5EBw8QTuXbotNW3I5KjlnWw7mDUjc DVx6f4l9vOkbe1k8olW2+COXl9WPn5zomEK2h0lFnd20fXjkanr0twv+yUwKSqk0VMuVGU57NDEu LxXGSksPfbWwSnmHzqW6dxF3oFpYyrHSxiwj3lLcVMOMGaL2nMD42uUo/m5LFw0K56sBtKD3wgze QNPx9kbUaDXa59nfz/45Go8JxHJ4220vegzFYgyyFdjDlgEvPMTwGXHr93eV+aSoFVg/cLAo1Ryn vKAxTBgHmCbfekVz+FxBDUwfefBy425fqD5mIGrKe0AuLPRGtnQRf5nonb/4zdF1c8NEW59YtJWe 1NeKej4fK16R2IGSeaUjTfOpL7BNzerqXe/22H0yvecHnXe4vg4GchkPe+z814Rjb6gWhwH3fXGj yMQBko7vkabKmLM1IvHY1i2U7Bto+DD/X8CJKW+oN1rQl3s/+M2pMouvTNe6VF1EVCfgIEcWKpP5 9+1UteSit1CLJoVXPo1ZwhdmKDlP9VZW9Sm5vxa2aT+kH03kt13cMRlM07lftgHsyIFaUlCkyjD1 GCwp9T1FpG7M9tqMsBlyvbomFxdNbT+c2X+HJtrgXyoqVUyz0Ds2Rwfp+PEJH4mOaZ97U6dmqlc6 8diy+JaXEYJdNM7nHLE2PwJU2AE99Gu+uv5YpwGpeZuxyqK+9E4PoKmKBiIwhi+7x7g/Kg2P5F9X PCZd0exLdi5K8byh5eS+RQ6WB8yK4pqx74U3m/D1Ba9Emk7BMhtq/uBurQS1teYjRATzN2rDk1bT 2LfY5WY4bSxmDQNa9a/Wxoh+p8YQPbT03T47a2PVgfgS2nkV97fTlmvRlEvM2W74LJ9ZB2PCRpMP 5wj8LTa98s/urTradQpVWuYuoMkb6obH6Un17pYZKplhTw2UWKdezi3Idu365HKSMGcl3OpJ4479 L9UlkL4JrK6MVzRBrxeBJMSaJmf6Dg6Qq80j7yLtvzp9qTDQakUqzZ4/6bbdM/DQx6y0o5OfxQDq TpRtA8qf5EtpQrQt3U7+P4pVkiuvsgrfmUsR61m/H0UY8dv30dfctaaScfmRw0HBvWTe/lXbTvFh d1oyyg/Q1/SCyQjXPzx08DxLfrCQEtBfF8VTwDJ/aJmoiswzJrkwzX/kXmxV7vsPuujbBIN+ATLj 3i4q0tm32SqrjKruUqmRWbxJjJIMK5ereIjr1H8UDM4S4NxgJve5dJ12tYJqNNJTSASdhgQ3Xs9R oe92gzXs1gzBqc5XWFCXQhoz0YQWv/vZ8gICUCwocBRJ5uARHwbRAlPXHnYJmaCySUMvQ8Mq0+af pdjpQ6l7lIJCH8AoXM9aiDxVPt8CwMasuq/ATci7tMoiHxy3PDa7KXZ35dAqCcMUV8h0b7/FBfnX YusSiyE3stSTbKVBS1rSKIZGbAbM1HrtjcYNTaZndENCzr6Lmy17xiaZgK1g5tSTCg06aQ98mWam IXyCQVZAfBGj+doCHepklRarW292LU/gpQBiJ11gORBof1L/gqIFZPGNmWlaraJ8jidbuQS2MQr7 rQttfb5n6DDobt+h5WOiGAuwQK6F494Wh7GUtDtnKqQKdBxfvBG8pa6jU1mo/1HFYIjyQ3NatTEe R/WYvL/j7uTzpqEcn05hLA26MCIMdQpI6PbbmaF1JKog7RKvLakfpRcvkKMpCtmWM71IS7hqkKXD tMXx4cmVax5mvLFhwEXj6UPoEhfXMIaLWuOOweJ0YhK8hKaMjjplBideC0Q3ZOD6DWpRPfe3BMYv VU8yB/js9w/qIEhmo5uWDAww5Tpq2cgTxFV9zvGyIpmIpDTZ3B/5eLXj8hpOri6DWiUjuf4IcPLe pB6H701zeDiHvMtnVri2OgWnoLekYSwLaV2kyBZBaFHIQhW+N8jCkDSWibWY5pJTob5j3kUl+HdD TRTmVaMQ/rC3Av93o3hoB8VpUK9O/3XqqUJsfPXgEXiuyEiM7rioJgsROEgIPTqEfXptCP2LiipO tLPhe3hU5eWSROwsR9/vDJXDQPg9A6R4dEFUoydPHemYdsb3RFfFHeAAZdmPWfzJRszg7bIoC7mE m4cQBpP5TX64Z+Aiha3ZHzb9xGy1HR60lbOslwwc627JF1DQewJjt4b7+cu8TEnF8iuHhbbjCQ7e 4OZD6yrL5jAo9Cu1fCnMjG75ZsqRH0tbvQEHXQZImREel/nfoqlxYT9PXQd8crlN9JWU+HIPpQQm hinO/BVZ2FCVKJdDV903GWAZ+BaCCeC6BsnISYNLO9kx3QFCQH47rluAtOzZ6Pa7FDK2fyWTgX8i tS/vcvGyDuwg1+UoNog4+KfLQPl2dNlr6HUzg10p4r9fmKcZqfIqPr3dLPSY18dVVEmDP/nOtfa5 PcJ0FE0V8EFRShyTTWnKW8hV7Ss6nAg7IlEYCaFZhVVwn9Lc948ntsFrireAWOZsnBiXndS/YzyA FyRJGbd9yJS4Rji2bl06pN5Kf7iqbtnIsMP6Wba9K60ZRaKLaQBzBH1b16ixv66FjYRyZoKDaq3D J4gIr8pXLZy9V4fNG7DVrgxTHYqYof0YwcctZ7C9IclSI1YHlNjTBVS6bKokDU3LHp06cN5kfs+Z 5wEI8euReEmmsZVqE0yi1dGyFYkBWSYLl1UssznInFa58cwaUiwDZdcERCsBmKf92dHHT/WztqCo AkIGgACHgYaMlFlckt+iQQvKYiBV599mazGGBJO+hvLj9osKPNpWLIMcuugi37zn5/edCotWpuA5 A5u6aesABeyqqDG/gOPyG3ybmgxs/DbyYkLPzRnrM4TOL0TPIChKI+ccMgEzjthc6FEdvtjixx6w IykJA3bq53gGUnV70ZEdgPH05DiGHJIBmevY7WpJvl0Jaez1DX2nXBpBsWsBVVmNBSwR+Oq9A74K ZcYdVc4fD42EER8wrLQmksghIpxYBqra6M+PFUjN5IzR6wxQgBQlQxXu+AJEqbcJPqHHNa+gBFfn NkvI2/Fa3GZUsrLbyU8nLJ6rw7M9jpyYMJWv0ajESSnqg3huiJsa0z+8dzFnKxAvZGH0R7xKxRJu QV/83si0jH7TRMFv312OWotcRMad6jEvqyMbUmUhiSYZsi5PJ9cW+g6ARJ6lM1Tlzs7iu2qiFkkb dgN6kvIddpVT3B3Ztho4MMJiUa3ZY0I0Xv9rSgk7jgqmwxQCvOo5Dn1jKL56N01dpLD+hkYILVat reMw3JEdSnzAcJsAWyTtEB8wZBDox7iLER30JHr80Ir0m7EUvp2T4m1P9kHviLfH6xXg9a+YXYvW Xnzpx9+xqY7NXFZd5NvG8TVLPtvBW8dkzK70CidrolgCegrDrTsfgTUjHZHBxoHoapyfL01noc8f JujiQKODpGHw9yIgLwwz14fYswVBhbtKirzAPFN/9AuKUuUdGA/qU9qhkGKtPpZ0kxqHbuDKz4/w yY846etoknTgNJnbfyiU4VrvlBsFIRiABaaEb9RzkeKRpQxdIchNno1v286mrAZQea0pI3kUGxGa VatzBIToKvYRVdHIzj5WeUYDsGv/JlHLshW0sWW72xbbR3Z51zLqjkR9I7EK5EWulkkOCd43vQiZ QgCg4XRxVmh+WXshSP3yAZYUfi+dlNZ+e/iePZmXUGHykxoGKmacR/0UmWzQmcn0jKckCX2h+n6e dPpTFVMLo2W7jZHItPKywI/rNq0hkqvIvj/99BC7QFSwEomzqbYeC6znIXCTCcebAVaob5ZViGzF fQ+Iw0OsQVrkQVPc90IvJv64JEKfFjbMp8U7DtKRTo9AMI3JJ5OPt1zowSqjR/OaIOlHEgVrZ167 T8/RgNAfF7hL07am79/9EmUIfnhSx8FipxMw93Zrr1VFCrDMFxhn9M2HE2zup9XViKioJBn7oedW KYPI4FLKMCQ2XlJnczId0DajKBMQXPIvafY6glJN/hN4JeidwtTJ4qhFXFe8lSO5Rtskd0TFiQRZ XKCYXaCRbe/Mrd4sPXw8O/Ei1A2kym5AEr0hm9GI6T6iq8dD3VTqzYDSwGoNiBGGYN3v1NLGeEdx 7csQLcXBkiH4mvYHSGvXoe239NqbLGh7z1WhZxzPjHPYFPF7/v15OeiQYoYkr83i3VqpdPLTAd5h +SCF9WdS7pFohjeOaU2GwurADtG6zkFBwVtieYztFP+P/PHhUrfa1DyI1slvgQAQavNCyysjkQ+2 Xxx/9ijwSdpv3k5iT40x9JP9rxoWJHsIDwu09JyiAQ1H6b9clfOVd8MfU4Jj30+XFhSg0sEo4xTw G+asfMdBXmMdPJmWdDTG3NHtQGlqq71QLcdMrC7tN1yqRzlXbP4hwI+BmIrn9xshE/laThExJ5gn Qdmrdy06Mdq9Lt35+mu3ZpDTpBtbR4X1WQHXK8XyEBrPQapUZ3qoDQNSGJ4a0VI8KT8vHQEALv8p Lgq4jzxLCPY98IRbOMeUAGKLyLmt4xS0xEIZDPSKriFfUMIfnxk0XYBGmHtk05AqpoqG83hXR3mo tjeY6qnqGUhg5402lZmzF54Yx8mia+QFNomA01irlrH0Cy4X4NE4Yi4Kgl2m4KTZJhzM6gkp4oDo 0AHt1YqxeSWQq176SUsm9ec7IU/l/zPiNau3K9Shl9HCBgngNIugSly8mhrHhjCvaZnfWPxdZTIk zSj0jAhFvuyiFi91BC6fZMDldpTBwUioQPotAXcK22XlOgzqd3ESuR8l8geK4i4s7WdAyJ71QTHb axzzX6cAQcIpEQk8xT4lT1SwDVCdvgo9GD5va9zmAy6s8988mnCFKEFTVtv1udl0gByt2MKd5Q7H 6AbEtcKIxn8SNiR1GLN0Eoeg4KAUJxjkHCnKKSRtm1xEchP2kssSS7AnassMTUyfuoJoXMxbUioU pVbsb8pueMdZcumIMw+oGUAKlDkbzNvIxgGICcOx/1WSmOvy93LQyLviSg+xt6eEK7stIMD5e7Xt /D9hov2opljkGzEcZnE0Foco7frA4Kzt1ynpROtXzkfCiN8UPf+rX3LJmpLJbnA6fSPC1qg/92OO t8/22xIFlEDBDozhwrsJj6jaZzq31Xm1DOGWe+ZrT63qUwZbqGOtCIqTgOTkM2xaAuL2TMfTQksp z81Cu29UYsbXy83D7mUJ7XwQ5/K/FouQOLwoqPgJLPtxkVIiVVRunM++0T2Kx2AfJwOIai6wFgVP HhPW+cAcwmg9o6b+06qZVGnThoxDzhfFtMWZVdFLhl8zZe1zjoUZbO5/1TIr6zdDtZn1yOdTKwQy jOs7dG86anCCwKsq74AozQ9+eln8YdDomFvoaweqnYQW1uJbFC8RxSiFp5tdp31jsvRHkR72iA36 HfHEOKPbrn6dB2nVsJYC8M92yb+mu/BYniDbe981aoFVMUcIHIIunxx337ze1ffh4eHCZ9vclKr4 i07QKd6ynUeh/bYAen9ByueqvOa1iQUWiGabJeUvb993PBX1ixIjd83SafRiXh5MQ1deTbdbLbeb USwypjNMdpfvLozYHqDNkYy6DFnQq6uPD2m4bAGbtGwa13GlKSNsjoI8emwJCe1fQM0LixeefeAN f4U+kVS2XjtNkfkS6flo3M7JBLCn03UvcH74NL2vSkfnU/94wxScXZXVeGLdRLN3lF0pcvcO+JN8 fI4Bi+Txi/ltwnkYvCDH5cXrPro4otdfCSsn81mQR34fzqPUQX0ZlO/2Iyu2REtwshalk/jZPFmI gFOHPvQLJb7UTjqcwyU6MZBM1g8InoRnLDUVEBFgYjTNs2HZ9W3tZF484CZ409Ek9//dliX9US+N mxrXsLQqRCP9AfiylHHuEhZy7vRYI1YR47+6Po2rO3lAj+Jb9ExnyLpVt5IxDzC77nZGOuxhgyBH uTKAdhKDmmahuWHKWfCUMMpgPZGkakk5oV6ooZb1neaLNFItzILlKVZ6j6NDbtkgEyiqzQ/KpxFi jZ/wL9pk8KuVwdyqt+36x/dDY+A7nf2sOir3yhkITHsCwReVFPV8ogJYw6unjnGmgn7s+gjJe+7t /3vEtkHwsxZTObP91dLFAGg6eLak84XoJTdu68lKVCS8c5MkfdBgF2pJVyiTG3ZcjiuVPS9XwH2X tZygs5RwDaMDE7Rk/mvyVYCd3S+YaXKVY1GsgOmO/JUVk8TCV92BF30XkOUt8XHqDCbD4BMkPdu/ snOY+ZwRhEu7fdKjugsez8e4Rs5Rr94iR/nKRKQ83PTr/PlUOcV/t9AvNdMUD55nKaTsibFxO9w8 GuMvHRGKbDdqe9KCrZDyM13ZkwehPXPPytDKRlFYhuCLg68XDQt5F6k8AnEqIPwUfRWNrS6yz0Sv nYryn72GqdGyWg2nrwHoB0I+gpPSkfprNSxPkyptxVYP+x+SNE71uXOZs+I0r/sVgX99Ao6Bjbx0 MGaEY/T0vIKqi7XASTIwVlCCJdGSSTgOI+yVBt5g/xVPzI755nPuBCkLYKr94PBGQNC1+BXsUsbv g76AeQaEL3dChUXZmAKdT74Q7OXptscl7O03tsRArVbP07boz3k6wAKyLY6W+BJulBqU5me1KAAp tv8LbPlQwxkxHYmLXFGj6k1jj2jQLWrpL3fVGHHFPLe8N0FmAyU2BtzS435HxwLHBzFDl2Ukvfl8 HmplzP8eN0OFtfcQz4Yyo06ArkA5di+e1nfyqevA2UyTgHZlvX0i3dXYCBpo+a79i8F4bAyzkEU5 eo6hzFcGD/lk5dAqIFflWCqsc3zWQU3IogmYZDvJyzL9D1EgDUaTLq+85+h3T1FERg/YhElrsIGK Hvg/PDLb9kAph+dlyCCK2TPOASgRhV1QyQ6eMPjBQW4x7qhieoehyKXjG4ZprZSSW7A3OA7U/U4r kq+YfaSchchujVpFyX3piIRLx90DG/li8RUTT4R16afU/itRqfQHVlG3WsMGu8j2N55WcyvexAFU bgw+CM0VLDAufvcx5FTuXh+gLTz4Nw/zaJ6UApQ9U1KdJI1X38emOdX80WyzgYBXmt8VhHtuNtxl 3pCw03W/xjj7Hbb1eYv3MQWSPjxC32q1pjpk8cpx90f4fP/OH+NYCG5v05koF1imicb1W/rTZT8U ZxoOpVja6QAruH7idGNJFUY+K0gQRW9oRUrmDemNulPz3BOpVFVPDPL16A0dOPWwJoPZdiOBZL4I wbP1Aklv1mqAEI9qTaegTJ5F1v6TbpqV+35uPAa9onY9/12oHASoO63ftmhEoLEIXBTpgXebGQB3 urLITBxEksEJQoaCsu+G6BgmLKM1vZgEuSLEoZ00BwFIM0Gv+XhNLqr2Lb2xRTfY4OT2AMYWoRHU MWC+xHX6eio4L8Ucl7rJrwf9wwxXplrJFiUUQ9TGCPRVrpELQhYIuDy94AWK0706mxSUgT21gAG/ 5IyiKJsHX5+lwqgBVH5X6kgyXhVpHMBxcKjv3MN+MBsGgVr2rjrftoYQ/y1T9wTMnIgG8PORz4nG v3DUvum0pslTcLIVSQEWD+jUbWNLEsJSLaCA0X8ahl527YQ6aKvI/MjCtzRY0aBT20ausY6JT6Tb AB+UsIn8NPMbVHkvOCQXr6+EH/nUbN7lwlNkf6aXiZDrsWENniEYyrhKUEvmtT1gDovRmMBT/2We Omkji62NeCy1AApzRfUjcNXaYiTdI+SwLwQAckKJE/yZ9LQG27Cxbra27+rsB/j9JYyh/9UjkYpM lxZGmASYKyp0SAW4OOg2ykpM8PkT7AfdugXG+SVvnQqav08ovmWXZM4fad33nEK9eDafKZrDJLdF yD5EA6lCT0sa5g4r8njQQ8BxCDCIb0JvqmxDEUZTXZbhHEHXvc5T4ANtQ6feWWTOYQmOeIFj0EmH iwVoLqo78c5tV7JC0kTgXoXkN2Cgrucp3tfHvsi2SQ+vEwOmltfow4NsvFucIq5WWE/Dfk01OCST N585iaceLPtOJZUTiPh580mG5CxIF6oppE19Cf6cogNckYXwE+QfMsbeMQ0kWkRMSyE0sSbB21uD 3Q7snKGVSeA9F7QpGimTb0wa1S2vXyg/VP+M4MwtnY1cvFfu3Wv3EUwOs1hYZwE3GfsBREDhfING 7lvXuqQ56ZtBjDQDBwEGiBFcNJR/nKBcl9OYlKz8JIrnazgYkUhhhvBmteSJZfW/if/MpDA2mgsj HD3AGZX07bPwqEqiCo5m0mP5FrvMXrmNMYxqL7AHbzdU0PQ9kmZYBamOKVL+xgSOzRv59iEFMRft 8kmTBQb86+dE2yKVZdff5XmFL9axDwUBuWroNwrfsKV+w3V0I7xktFeO7aLSN2AF+2Zo239abfV6 cDm5RCBu0Iv8f2lE2u5LDfrxcn0F0lZXWSIoJdaTFSRvIyp9azEbPA0O1W9cPWUZSYgNpVhh+2Zf jNwmJGw8ci3eqP6ODmzaKySwkAOuBV6UMm0k/14JMLEvwzwJqsmi43tUu7AK3OqBEqGojorJpymn xGuN334Qzg3qIiow+RiEGeiWwIGNNShZNWIHrZPfvumH8/z6PvvL30s+Wk/6jBj3wPZSKZPEJ6En A9cKzyijE+uoonRksPRDDNqJtplvDSXBc5mAWPrAnDmRj4JuI4uUzrvs8/IJY+KpYz7YiavA4c+d R1WKkcuWIVAQ8I/oFtgbpKvJRGOcg9GdxGYzvMcKAyi7rW8AavXTe5iSxXvVaQVLH7BvKy1s6EfA wqNraHiCsZ5hR6x1y/8/1qQ5VinugBTUCRCd9KIKpXIet1pUfdnTfy3KYjt6ePJVkcFuCEYW9PuQ e+nu94omkcVSY+EsirpQGsEqVsEkpbI8wl7FeDVTfdW38IGroATwh+hUNxc0ZKckWfQSlwZjqRIn AIHhkz4K/MmCyXk7uSKNra5DtbqjGdZTiGowwOQfy4bfuMXQkRZKZuq+w6qDk+8enPCSTKYC46RR rJ0UsfZzmYJKJLQyKUfIMUa95V75y8/W7smJy7f4s843FkaDNYBaJuWXV9jhjIbbQKIVo7lcZ9js JS65fzFM1FG5oWlxH1QobG+yQp4u0kmJ7jM7xqw8/9hwldcPp79ybPxRhqaPUxwS4zUJ1neb1Zwz gKtnDIN6zPxH4iz+7JL4tt8emntsY5SeZLP6NAO+bz1x3pG4M/T5qeqdrQAiYgt6JG7xrqijFeHt WpjX6GuveQyigte7H3d8xeVOFBgoeri/JYRuiTjM+jQZO/6wh1m4LZcAuIQy4PAxJ5zewKEmLF/O z+EyAsWD7oSYB2j2ILwkcEEgAIppKFcV1NUv9zvB4GAWSDaRcuxMfbfSXSFk94A/iyDfFhTu3MX0 uT0qfhPON9C68zRilZEP9DgSVbsEWhLfGOhMuhZ7kfybGh4uesRZ4w4t10GHStql2QCBsqrNWzCH +n1HK218N3CfK26C0WGYk/vSUnDPsnvqSVw57sH3KqjaDIvalog+Ol9dP2ZLK5qdP7vp69HduWvz 5EIAaN7+EP2aFnNICE5WkDQw4324VEA5JhHKYXesNdmIOtjm2VKD9t/c2Dv9rttbZ4Xh92cZpoi8 tX1BcwmgZ4HfhC/huA6s7MmiXOl8tTE5MotSwoihL+v8ZO3Yf9nYeDD2IxQIPyt6zhj4MXtonRW9 AOf5yeHoFpi0fwi+6zEglgtQPPNW0oZTEuILRA0uUvKgN8shQjAZGzFqzzBaqqAbVVMFrbFUHTXf Exzi1/2QY+09+V2Ld/aZkNl+bD8SIOqCJvW5JUNh0U4OlBVJJeaVrdCPB8PC4F1x/qOqObbfhryU lbe05kKLW09ndp64lZk3Xzcnr5X0m4Mrg4nBiXGEaBEZSGoxtAAe3WfUtccjPZqNwT3O93Wzs2Fz rNw15YxSjvrhny4z6EKUTo9aqvO2UuqFlhUeV3SYK92yE+nyMDS57bZkOvNkSyOkzqPW9sb1qI4R JibFL19YHrcAsceNZktShuhfJ5bKehFDYpVOxdlTGCinjkxuTBpRXxh0nzrHTA0o/56XAv471sSb m/r4sENI+VI150IpCBnmHb/A0Q/6s4MkGhLjr7xZZXyPopUD05E5xfJom9UWJ3gF6RE5ZGVa3Lh7 DLVpTyMuBaqr/8OxRvqg/0FonrAWA1WFyrF5jRjuoJIrREI78yAhCF3K+eXM2p/YwCT9tXxIqPq4 g7IQdJQt8Ns8pqugqVz8+0xBsf350lwXRc+onZSEgroIVAiyE++hz8NmrLAH+VwccrWaMy9IJuie dNjcEOrsLJ53oQovS3PjuBDhVLea2SvoCwX81ycYbxNbSfuzsaZ/eT3QNDXtamUB0X/Lkauw94Jt /LELmlc12LBvjT+Rjx6uhpiMoSqwBsxHp33rsFOYfe4Otc1eh5Ur00r5siCL8n/pZJ5ECMXu40WO CEkwkZkloR5v6C6mRL1s9tojh3s3WxLilsMCVp5qT30ijWn4zMbrXUKO9E/2cG2P3iTBbZhR18Sp KuANE6aDbjjmG8tmuvveh11764GdZThan+2fvTP/dGpIKkcl681UJHE+HvZj02blD3rPRS2aLLoX UKmW7v2AWK6Yyw/uKRYwh4jgvkDasvRHWudMrIKkNgin7Cuiw8OBikTGpnb1Txo0DhWOxlBigNHA +nowEDgNkNYvULDYLCw88x4ETZaTMauena5kVHleXiou4RYn0JuXA/hv1JE2Jgg0FoHXg48SPfc4 u8U5KHLfpa7g1DmldW48RQY+DSvUAM2DxuXRdeRLSzUj7OlwG90a6iAkJOaiZldikxbZcN+t3dcY XNetqQHxs9yLG1W0eQK4V+YJtAeAZGPsJyn+iqyu5a/yOgcw1C5icKMHbFZdNw6kvxYNLwY8RlVQ j3I7+lDURhEkfF5o+u8rFZjZ2Y33tEpCHYCrIZ/lMS5q5K7pFlGvfNfhzSIuVOoR8D9KFcjx9Y/+ 0PLcT+gIhPt7GGNP4RQHmeH9f0r3hEIHOfmShbKhpSIBXs/GTNTlbZWlM4zDq5ZKRDUgGz56cPo2 c+Ft4LJ+jcrynSTpyuW6XDm5pRcdDGJQA3kGKBuLM7JDASY0iYcxwLd9uwN10XaswSkX31Zsu6kW 9bPQjAz3rQCEcR0eRwZAEuGZlB5dsL5tNAGwtYda26JDPagqyct8DkW3LbPEbK4aOmV+9PQtWx5f Xv0fu6mRD318HB6+b/Opl0UasHom6obQdw78EWuGlXgODKHdU9IE/fR5V7kzpu1vCPJ63/x/S/fO pr8VEfpevDpCeOnrTX1BN5RoJ0zcH27hfgcfaITfXVksgmRXZPQR5dM9eNa3WN6an8gxD0j4ScgK 2mLLL4qU+GB3LzPq3EpPfDv1XxQrlPG3aWXnpdm7LjgaD5tQekJSizpvxAiSGw71y5Cvg4vHPUY2 CTUDYiQQ7/zcKIFNX10JspgTp6KBhcYNuyZ7zSu1kdr91gV/TJYemhhxiy73+RjlszZ0f1rqrrVE WehP7JWvJo2rlmG3WrTwS7KXaq+t3lSxOJuI+WoOd9HvN6dIu95fWkJMbcevqkkitbMIyTviORbQ 8CkhITHsfXyf+CFEmUcT6JNfvqvRNo0WVABq9ckPmd5deexYAC+S9/bvjotlnzJvQUy/88MiG5nc 8VugtT56CGOm4SwOSmo0DlS1uxrRfe03e71CiTrDzKFRqKEdqrBWafvuMvzegmDYzKGaPMmCUEr5 MwOs6ISABi5fuBWp8SF+1F+q9XzVIG0OefyqGRIV3S8MR4SB6Vr4nZCHeGNBkn6Ujxh4vI7odjkT QUnG/OFTflSp8qSlo9u1zuPjrmgYFr09qRlW4Wd4t5ChFsZH3gKwWdCY5N1b0RHF3swxFr4u8rfZ fL5boGU2k7StSbWGmfDfgDm0wuGu9obwQnRAI+ZEligzooIvt00P5S28DG+FyW7A1yPElDWmFoe6 iEUu90Wi+s4rLl1ZDRuPXtLNMNv3M/F/HGYnAKZoYgmaa2Vf0IBbDPZXL64HpHNtHtqIco5VlyNy 8BhE5AF1Untp3ygt4P25mjlSlYf4nitpAfW240d/hdK0f9+FZeNYxBBJVAYP7R3FkdELdBygscym j772L/YD+KkV9znpuObXp/NJFKPrTlBsma01GvH1HJvMjaMUYOrMQ4w/Snh2FEnSNkRN45rMi7XK fsyoJKkYHiMOR3olabnPYOrJPG7eVWewJz23bmIkI0NFK5OA3ELOjcrnIcibvUE3Vsmpe+sKBKmu N2TF07GoiE5DLOeCzINYE1joRip8Zd9t/wxF39Xj0hqaykxu+C1ysnhZ+p37kYYPfSnk6I7ofKts 0ZSSg1q8C8z0PiaGYN+tPTaH5txLPrT0djR1438D+OXVKVvsUX0jC7bFAlzkSZsYmsSOh5hvKCPS R7E6GueRF8MxtkCcGGoBXh9Kgm8tP4xlKIDEhQP765KsJy5FBLQlIKPgJGpjQOQUJGnLAblPGjCp 3JvTW6nHsiuvQiKZSbYz7nPptg4E8/rO9D7o7B6vaBzQ5IL6ppW/aLLak9D9pZLx/HmCGp3ETvbq 3yfI2Rp7d/OB8qKC9kqeNhv849iLSld65b2Pk3b+8AuPgZK2apVGloXk1CQmfwJKvra1YxNk5nbg nS5/MgsxOPT0/+kfRBqFR+DVEIBrzwZWFBsOgVmKClMWcCWAcV89JkSgYMw7jqVDc7pc41tl/uDt mqYT4QazTss/wsTTZ/U0ZEQoeZIRtMkdpGrFLN9m5UWtqcUSNYmsspPlcHxtS9DfiwF4v6FSbzAn eTeV6PjKI6rD2yQ4ztBBZyYur4e5Bp5pB1rHCkpcGAcB5bVbtOwynF/otodPyAUBAukWqsUsUS8u E+gXPbWcpvE1cO+ukiFQo6JuD/+bbRscW4AzCnTcuWMaxaw8OYwqX68A8Yz1g0iFk4M7bV3K82B4 mxzKXUgWf/LfI1ZYPvO/bEXPOzlJ4MhvcrLM+V1xleXL/Jvw8ww2Tgiz2fFNl7yLbh8jqvSx2Dlh vPStCtA0k9VIsiWGu8eO0Bn5EMHFFKafedOAIb2PsYFN9HhAT5xhzrqrfc3Z64JRphVLAEc7HkM1 lpnAV64SKVpgq0mbh3xjIRDO32dyqbpd/2FJOzDjyrZgSzVCs4pjV/E4HmQslYcScGzQvHMVJt6J 4fnxZVzzav+kUe6ZNt9TOBLeUq36XMD7+ytwpukKdQE5vaOh5JnD97LH8HECV8QxBdBONQ7WCH9W 6qchU47gI2c6NTWedro9EauyjTXdOqLmnKfGOqulKuyAhcFwqPL1XGHT2s/R0PcTjRXUNgsXYHw2 yHp7JRADTQI0IEke9YpOb9a3SsuVkT+1WU3i6D/9y7dPYdu/APwwxBidx5kHUBgUDcgZbuvc5Wsk Gbqi0RYi6zNZLvKzwhBKBTqOIoPk9B2KMrDaZfSOaOzpZKlBSVwPO/UFFb+7e9A02IPziMxvrsXp ApmUEPYRIWHYPM9NSwDmYW6x8+S09kc7cGk33z2lf5XNh/LUF4ViWBoYEQ6JXG98Tc2VMNIWvPFE uwbq6PmW1E8QyhOFfRodVp27bJuWv9uxv8aoL8wVdfC+kaZz//rMGMgJJXQNEgXRiFEehOQlS1fM XsjunIukEbr+pA9C7Ji6Til0EAtpuU3c/MLM2AtlhHq8uFsla64CkElYLML8pBF12AnQAhhQdqHb FlB7oxo2GE8dzMzXTsXmZdwRIvKzBDtzLrC0cWwZVEi9mACbPP7FKw8C8nMw3nymTD1Xy0+IgOHh CtSwITvC2FcOeoCKmIKDoXaQDEw9jYElTcbGSJgvu68YhQ2oGzBMuZH4tKKpcyAULF4bJb1QLRoR zGsrht80t+MZX/HqpVAU/f7uLuHo8kn/iBAuceobcgm3JbSDE2lFoaS0qdLtVxe9Fyc90qIvKNyz +BbusGD2Omz38yWF9VPgXcBLFKeMObf0cWxe9tvlA0W1NJBAv8s+3Aq0EokhG+iAgERjYlqVlKVC LKE2bZZStnzBT/YEQQHMpfWznRuhbNW3Nz6Br4Doj9EKo2UzTKjdM1AtG5eayy3QPVRMNU63Uz77 HjDJbvOPVyYCHqPBenJ48aaGHsx+NiKMdLB+47hOzGeSfSAcqFvJiu/ySAknCTtMGIR+9CYPGPvH 9kJ1U4RUfXWMzUpqUfQtMudykOxnxWaGR9F+2XvxjgB8ew0yK43+itPOu29/1mYw4v6VpBX/+Km5 QpKZggNgwFvZc2rB1XbRpVYjiE8csymOThjbvqblDI9hlFzAH14H31M/HIm7Nj0FlC6kPyzfhmbm OmaXpYhLMUA3puCkXm7wpV8K//u/0b7XrDNLu3lYrOdgY1bw3zMGSYvsytu8cDdL6JHJ3dyvPb2a jivcwTQdGOEo54tF9RfLCqZjmIUSm/whykF/QFSAltgofbSNDFf5FelofYXiuKBhMTIbOt2/gcZ0 0CWTkE+AiEVzaxc+Ct5M/G+2GX23YGHwjPzLTCTlW5noXy+qFsKoyt7uzNwQArZI+2LLM6hfL1I0 HyRhExo/vdhO+YpZyggBaeajAvNeIJvOC1kbKCATy4wYYC0LxwgqvUlo2DbCIPHwUsr53/3iZF1P vbkgebckSyamofsofljLWV76yLIeoBtssbPygZ8bGzjGeEpwYr82iCzUM9SRtLtmA9AgI6l4ebtd xnGH7Z+8p/F87+fZIlsOUXuxag9nFaWPkB0rrs96M4UMtmqXIGr3+zEIS0YpSx88nxMhsjc1REjM y4jz3Xuw3XX4QyGwmrWo2hMb2mDkkU9Ju8gScD47t/KJcCHQbfjBBACq++dZJvbX5r3dBv19NX+W VrZ7skDkYX46AlG9Rphzy59F3lUt+3omsQSwaI6+LUeSf/HeySOT9O8SosdceMHkuDUSYS7ChgaH AIp8xVKvRk6IgKluPpeYQQW7vK1rG38pB/6I5BQS4IN8SSyAc+3+7tqIULx+n+YFpRUtNv7A8ek4 nI2E4eHil3Ghh3VbNR8j52Qi/AAqAchRrvFIGxiq6DhgEPlxuwrwRzwBjwSA44vCy56Ap6gzwZzz /XI3beIYgdEc+nGO1KZ79btrFCz5dzkY7n2jJBUgiJuYdDv2W1dA3ZfuBGzJiDIitAuudSo8OkKf WFl0TarsDABwL+BvT20KnNg8lEb3GdvW2RVaQwPY1Ql9M15yW2I+TC1xlZ6vFIv/Y5UJX7qnsl1i xIJF0Cl+F8GvLvuyu01RAXYl8dcvjItTcbT1YP9csPJLd8ffGiwZLwjCURajGXTMjfq5y2uS3tnS itsCO8uApTVV9EWtgoDhtNi61FVCGXmsak8D/GbWMid83dD7HNxel7XZE0l6yePgkjED6/UyB53K Jn/rH1PbYWPfrHvRWD53EAxZOeWEWP+OwXkGJ1Ppz64XVFzzkYtyo0KcaVrA1XnoUvj7gjifmGFB Rdp9WI6+FvCr1yHNTcCtLskAyLIPTFho0FZQKaMYHT04pRG0EoV16QrDmHppd0o/d4eWN+aVwOYP kwIRjLE5A53HGbexrmxkw+6mcFB8O8KU66ePSfzYZhQYZFCcgeNM+JaW2Smym94fTXnFDHE7uFMu ArkFcNEbCr1cXVNXwHVa8sgiZsZ/JdfkWL0dedWGKs6KHe/8FWPPinn83GIS8Fs6aya0bpkduqvH xcdyeOs4+9T+RYhydCM5rYYxDYctduszdTLRObOgBp1eQKMG/5/UoRlP5s4dTIfwlI5pPzh/uHKy 9XyuxgWIsMCIuoXo0JZY9yQZLlSAxnPqaeTmlyCt1PTWN+Zc+U30kIHiEWgURGYWjUoBYtmFU1W5 lclV9qrZGLCJy35Pn+2LVPbMQso73M9LDjhDPEe6+UWianXwfBGiPwRNpXwOPPDRIizMXMZb0xtS KpMF8Zp5gNyzjg+mo0ocUJmCUla2tbE5O5oSH+2ib20OwvWfl3oNN24K9pWV+IDIjsm8olGjqDdZ JXT67ZC/OloFaAWINqvzPn8jNOr5HNtOrmAu1wNvhvRnHt3A0fZyamjyKn+44Wwu/Jx5UwdvywLj QPfhdHZ81+g8nyAZBNDxq7m7ZAQsFmKtT2dMnKGjY4a0Uiagw5oShyaksJdQbC/x9iOxIQ4x3qpB Si8vH9ciatDgfNsAdiDIc9VZE7TMw72fUG2XKLdX5brFW2WlJdB3U4UZuD4OwPrwFancZOj5QYmR 3RY+CmCoUrzRi+ElbEbH6rCP8GxAKulgHH5YErMCty+ZrWbTT4NGIoTO/vKeFKWJNbxAAC2wYS9y JClejKC2RkcAF7BzG7vp/0fbyza4vvzzZ8jFvoMrXHqZgNb+SSruXghAiB87wsb7QU8anwOLxQTv 6pAAM2ucxr3CVcnVwcIqTIq6EnO4gKDTJ9/UOwxC+OgRJblf+tdQ/6jg6gSzbPBg7/JKQTyaPUXw 1byJsTeJf3WAi0wsLS1shcKLjnofCeQeN1uSDVdbntUV4tO90PYOw/pLCFwGvefh1LJ0tTR3waY4 o8lYNwFTeC8GxEktXZh3jUSPh5N1qKSEw3JxKqJte6svbU6ky62eu0Kg0bQLx+BOSuY1l2B+Xkx7 6ANqHq5K2g5WfCSQ+ac5/9Jlz/Rq3TFlbDGG06JUjIZBJ7CKWy65qMdnPp8CoERRgEma2aOJenMY Wmgn6WJ+sEj5KH+fmvyNvuOGuqCtI/yjQPvswBtkfyRqD7SvcJoovpqcJaXVtPecej4VKEtY2Bp0 u+vWRQVPk1H4s5BCr+qi/GKM7bGnPa/6SVlLs53reOD/nOL/EVZwp22z1RkLgM9phSnMrN6hpUm1 DfPGeO4/eQhPYAYBV9uk7h4m+pU5SKtzGT1m1oUsF/35dVgkY6L37F3aO/CbdhfIY4kGv9+gf2rA XHe9DosZG28XVBOqDijPe+MY8WCgVAXEp7k5pAmhaYt12tcU2Ok0VbG3BerLJ4PhX6F7qqucbJDl 5UEAIIBkYHTYDx6ymQgE+D/iuQ== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/xfft_v9_0/hdl/mux_bus32.vhd
3
13719
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ACaQLrgiAwBqKfO7RcqifjXiE8JUtA6JR9Wxi47RWPcgyMhFXgFpSeXvoy1f+r4I91jTo4Q9Z1YM R05BUszfbw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IdiP9BjaETNfIgCbirRBYMRUqc6s07ihYzlPoUcG1/y2zJoB48KbezAQHqwmTFnp5Q9TqwO0/M7Z vBDiR2sTM9UJ9hWYVgyocd59iQdM+1zIB+WHgEiws+idJkayF/7YBT/nb2nt8QT5jwvByM4kXQn1 gAQ+yThg6V8/B/NDjhE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gYCM3Vlf33miFGU0bjTbnNlrICs4GcyZPr82Xj7vwJ1eCYwaRSLK10Tk2+E2eExnBmVADu0oFySX 800j2uMmoAUEH/FmMzU+1MqDRANaHAVn347LkAr5T9zr72oAFHG0D22Ncr1iL4QVaVI0m7BaeSma kKwQ2AYPX8Xpma6YH9O0OqzQhcbh0CevD9WXZRKnFvx/Rj4OZBIAGdXKPAMPmnjn7QyIimXPDVL2 SH+BCNAMBg354JTpVv8Jjf085H4mnmn7DNMpEoktwrPN8yt+yYpENMsAAoV83zX1J1JksXfSwHlr xtAOFWO2X7tZBQYtAnQhUkzD73nz1eoALkdMEg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block s7glTu9QSgb3p22b2+yA/jINphYZv3EFBBLqcxEdfh4WrDOZJRSzg0sl2n+jWJB+cUFFjFn4iCDY hgNKPg8hbIKwzwvVX3i4OFv81sk6eKweVpcfrlEQqm4XBTMDfpJkbBfqBcaNQViwLZH7XO1CTeXS 9lTXP7WlWqXdeVzYFaY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MoHn4+CnxB7cvzN9gvRderNF0GZaJR09k3ONYCsR3TcHiOYs4dnG0mJN/TSxupzZGSW4o0nMF51Q CjhiaBvlA0UgqUQVpYixsjfen5l0PYG5dL9iftCRDBHmejz5YhuC0q03D6fkMuhTzCoZ1EYaoBeZ KGS28tNhimLybw4i4b+bbbfGRPJXN3woY7aXbZRFmw0uIfXn53eUfQsEqdQH7aWPdyA1siVFz2Cn uqNbak48RKq5D1+EvhY/MYFPTHDkxJ+BniThG1ohNTUTSmbrMHO/s7lEprqM25lAi3BagQhstEOz Nyp0F/AbEjF8l7pXi5UqLxXJcejYnFBa7K94pg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416) `protect data_block y0PRE7XpjOt/+g4ojIhm2hTNtt4CelKv2+RZhss9nuvABCIi6b4mtDWL4y++EcLvIX1Xp23MkxfN Ic+WW7le1KarrDP6yL1kSBrnZlH48MbBwGlmjKfjNB31wvqa14JjhQcKeyDmavkDKrTzoO3qcB5L h19ApK8vrMnTk/fQ5qyazOCNQE590q300Hy9eiK64hI4USVYHltasT1TCfy/Nxj62AzXsnsM0lDD c+ZGhD/RiEISHI/ndFEUUfkbbsYt0Mxt/n6E3rjM7cIe+LO+FdU5j9d2TAd6euc40PkRLdvRU+Kg o6cnDdT4ugHZl/7ILsuXPtWx6KnTC/t+pZ32Nhq3D9ekay6hwSxTMQgw9cdps+YqK/NO5UMdVnZg xoAa4Qo7nyEKIZdqlUnKRN7iBbbQ6oBb6KpNVklU+2rnHB7u3cnHV9+T/sgqcVRS2KpD/eYFED68 JRekVb2mOKp+6nGJfpanIAdwl6VV7RhqYxBm2nUY3NyfesESrHibHqJlNNTJzxoHrbHxac8LDldn VS9Lb29N/uYgpEJtfAwk70UYn0tNx6xCPagFn943CUaughKl2DQJtvE1j4DGfDekKpANdAZp5pJ3 Qz23IhodIgnUeZrMOWiuhMmCsjhEva7/kAeyq15VUP0CrEo9TwDUeeCcRMAM3+9+6vUIdsM0CLit hCxxJN5jUVFoQzW/b1Jfro4VlCDLATaPe5mqbYEjQxtd+jRMl0IhxvvF8sxEQRlLWkLo8rLIETKl +F8H9lLydJao4GKHGTe7u7wyxz+Wdrc2Uy1obSCZAtRIUdnanL/wOWi1ucKvA4BPFtkTKSN0nTB/ 18vRsT9VuiRlhGtdAgLU4nkRX9bDICW84WlhoS2lajIskuM1GOPFDL17MZHCeEEp0zPZyFvMwN1C GTWCjMtBYysue7iLlGirOi1BAMZW8oiao3/nHls/0zQYKYafg9izhLjxp+fyq0QGd56qbTNzQy43 23A6Ugy3gYQ4NF57A8ID+EJ0Txmp8xxa8Ah2YVjyVMZeY9gzlPW2WJS7pNfDjOso7bqz/dm2nsnX Eklh93yC/CiwGHT50l4JdyhnN1vK8iXEowKUDvFKiENuOM2ksYCFLJeYpjZ00mRLVRP2/DB5MvJV tNkdUDGvoPzwihN0pzODASR53559k6gANV4W27txOR05cnULhzAhgtIfcgy1D04whF9e/2/EeOcZ otTy4q3rnFkUpSTBTsLQ+7OvDpmzopEMGH9Fg3iyRxmyAUfsH43LmrYc6wfmHyFTlJJa88U6ivbQ GNT3TudQduY88MgDRUMKI4erzjNa/zRXR5fW8bxOJLdkVFRgDOLuvvczAqUd2y1nYiXV0mjB89JH RjeYM9xFYoVi7LyHWeLhdaXOFoqpC4trpJA02uhggG3ek4bdcUAH+VWOITbclAv6FkGb5X9z5mqY Sk7bNUjLljDTmi5MO9izeJESrV5mw/ZCXRdjKExKdT6eMVziTD76sAKRNo9S3rVBN9uTLYVxo6sc mxWNzUfhW28RhyvqrbojY2O4Tfn2/6KTrUkcZS+hpmbeVQDVODnGMkh2KBfqNuYNqRPa4eYWqG6i ozo9v+DzeNpnZ1IKwbqmNbzEmVuiz9fLiak/jSv9/ZxVC+G8ubP1RdFVZpU1fcIgZ6BWwcvjp/e4 5ANGYTJc2xkRdWZj5xyLxZeKiEuxJLPAuxDPINPS+RXPQPs0MbV7nshjRNCnI3Dp2yrDlLr8NNdN 6r1OfvPEOJApc2V0uReEd9pYb9YE9PtcaAcwj9Zr7YIpsFQR8k3BK2UXYA0w42EKemmg+3UcrJCg Q/N8K+60WDLNf3j1LiUVta6FOEOZiNE+gxujPwBkwIBLTCB3mZ/oz0xlHoSQhU47AD7/xyNubdQa BxToiA4lTMwuJ60pH2QuxaIfp7VsPyfP86YdujPRvcrW9xa5f6smnLVF8viY5j8bTPhFyVjVx5wM GzJOWbCOdq/eue2f7DFlERDc65D5BJQhoFoaneoBZy2Fh/hE0LGOIK6bjX3dJvzoDwvfdh3JxbWN P3zWMgt7g5ISoFc+yHBQolbbpNP50YxqHROnYnflDceWmQHmJw/jC/dg5xZdjP81DolKp5d5RsJE rBHadRYWA5x4AAchEP2AwJsY7kX0l3AbDqCHkGGhP9/b4pcmcO1E7JxqACI7xCDAzHPfNg7dvNUj 9zirXfWm2x8HdjwylzwTmaJhtNIylEFE+G8hirqPhoQ7pHkrfhsuxCvIx/9GmycP2p60a5j05oGW z3mj3eaiA0LdBUvVAm8VlRekXN7KcN8vS5O/UzTKUBwIk1Q12t8cZAs+mKssDii4QIxa0R9jzTcS bAMg7uieZE86frgZcNN0urkBDC8qQywBS+hOdyFWb4hJUEaVRLvUur40ZUqHqDY8daYAMA8Cdxhk IARKCd0CKSiZWDKYV9uxwrB5/tkQycBfWt88xnWOfMk5BDBi7bOWb0i+qY5RQgwMGvSUJcAiqV2P a1DnuvU8KZidKhNfHdqQ5E4yp7ml/SZUXwCFX2atlNfMRforY/M5VmQiekJzxUzN+ZmzEeZOqJBo SfuPwkR6gdpNIwDKIOO1QKkXYo+3B7j4xNUfSsZxHz5BG+SkAzHiG1cwUATOZK8rRsVxu0Ram6VD Bgmiq6Xx5j9ooy7B/QadqSytIeXY8OdamOtSLTrl9eeX6Glm6aU6OR1dJwV8dLkIZTR18us/pt6q fAQBgP8hK73KmH6WrpsYlKb7rz6MxnB8NhZyGBtAf0NvawIWTDa3RmYZR1PIlr2OQXgjEkoyBb0N +5Dq43oJDXWX7TjvX0Sd6rgXN1CCoZ5SDA+q9Kl/egDBlzfjzTsPWuja5VHmOzdaAmQbmnOrinGJ shp0zJhQAVM2BJcanH8b7Pa2xtIPXK8eT7elU8JXu8AtHnyEokbjheprZtLPSi29Z+txpobXR0ZG BTWb5qkTmJjAmQ/6kPfUfyeq31yxIGeoUUoricAI4f4IANLNTGCjVap7/G09fesukIdfSDbh7UIq ExxkQh41Pp5/ieJSUdzakcjq5guxoQjgoxLYvdmsJDkP0tFVe1Lyxx6IukTRaYvIoFeZ8v2YSkls BoA8dSA+N65aZyGwj33VhCWi4uYDB47JB/DpNATrQ1mwH4BUMx/4dEtgC6mYZvF8ceQ7McBuSKbC 8PMpZ6ilDtE7Rj683NAbjDolzxDtMKh0/yS3KAaYJC5VfQBG99Z7TcdLtT/LppMvn0Yek7Fi5NBE r+rB6hgRoahg1DBOFt6WY1XrSQAHyeJ3NIANxvZmsF58ZdUtwLYbH0ODO1bVlJVYlgBuFlzW3Fn7 iDwUAFzSxOI2wywumaYPSucmYzzZhJUjg0ovjgKlYs7PyweZ7lyNDQ0lAdK5YFz/IDzADiyhDq1j X66qp7hYRobzKDtyqujYMGGAnDZiG8XBLszZgB242Db5oaPDxTMAXcuY2MffZjAiB6br5LXZtZaL 9GmYZxfwzGJ+ZCbdZHj6SnTg/55nAqYa8IGe5+u63X1H0lbIpwvG1VquBl9+7ewVlewS7gSAemll axgCuuFt6S/b+GkLZQBl+7jKZWO9245EY5YImGAeU0sNBzJeyv75lIqoJYV228WRCzJqGReiH8hy vNzlziULGfXFXbUEnZInQ8b14d+IFvkNpvkyGbuaDobB9G1fg+PD0CpfSAEWhduhCG59+av7dwrK Dph48vcb1GQU6cZ52gKaO5X7LSHqSNHjpzEIrbjprYoAOb+hizq7qVQi5Z5G6ZQpL7Qnxmu9bh3y ecyGWBu+KeEczJTf6ZH4y01hFDOhzyLD+VMbvd5GZoDY8qWWLeMdfsNXgeFFXF7NcCVirWEijpd3 50Re+F5f/0vikTNdBxhXr5AD1wnAyX7at4RYmIcJurq3/8QkeflWTXh3gIlph5jbFX0t2IRDcpn8 ZZIqCxucAbXFjJ6B6l/LjPkCukuhANSfESFLXM2xcfSmmxIW/HJJ40hs8dnslLfu/w8PzLHAegNv +6Hm/JJ8KJQVLcIEhLQgDi//xEI7s67H+Tr3D3bJ4sMYrBb6mBgiXVlQIBoyxrXKdpLU5QIwTPct xdohPV5vwScg0YkizlEPK0qbEkG5jnkKrgdXagDrVhb44QXNx8cv/8YShp39TbrgD0jS+bgsg45E DlScpd2Q1oEWm8aK+ZjdTP9It0ADnpcE9b6Eib1qVjXzbk09NRW5/F2PZQTeq5Ca+hczrJBLe08E IyjFkGjOZPC9mNTvYDW4szaYimgn5W7N7wksKXEqfkFXXK21rYltjoLyx8GAH1woOgDmOWiZI2gm k0hNASXphYN5cax8zNaTUAfyi3W7Rnm6/m67lO/NFxCROSniLphuFCjihVowwnF4U13aYvrwupJ5 jMfrvrZGo4xVKnzO+VhNZE2ENf9uYE9qAFp6QB2qY7omi+cl+xeqDqbpViWwgokV6j7NZg6NJ6Wi /z/WRsoenuc5UzB4sun6MW9w4St0yYg4yA5YMEKpMfQQO8NEC6ylOjaMvVnioDfLpED8mLPf1Bxe 4ZcI4FIWBZetks4RAhyjmxGts26z8iSy12M4fRX1/US/wZRwQlrGMY8nI7rbe+Jc7N+QthU9AwrQ F48u3O0XweAZhPygOmSUJbD+28cdrmhA6AbtC51x9THDQsI95v9W0DgeE2YDLgA+KzdQlBze2+QV RcIRhdd585OwXO6ZATWhY/ZL60C6L4ZeaZ8oo1Yq3kOX5mdZRxzy/D5VkQmxRfibNIgfeNBlkL7m psy9zLPvGw669VJVDvyDdbhB8+OlG5InHe1A4ez+Ik+Rxfb6QXP12bDSZyRQEZEfUOLoRZqgJUQi GGEHlAoAHfy6JWSs6196T4wVKxLcP//QTtP9IZTBhnOpe6PUD16p2htRahz49jh6YijhWhXbdo/2 Un4b9gW1BBbp45muNprK2blFeU/CSNokaMOIDf76nnHBIqyDK2+McWJ8WI3CWrfikkraqUa58I0t 3E/iP0juVoUPkZj4vkuEs9UgTgXcQi9z+ttmQn25v/udYIBKomJbkyPRfEMlX1cp/yCBYFiwjzV2 x3zt2LzGm9Immo6LBw6zYARW73E/Bmz8SQaGoOAjB/Ga+Xmj4naIlKGLs6hnskH43lSw1uUgJ0uN /pf9cB32FttaLbaGfwKEi/RKBX0CfiNdPdjYRGjDUZie8Zy5LVR8PWW0SaE75KKNR1wgNiYsRmaE vpbueH+YZlIeqwcLriy4A1w9ChMElUPT7sWRLOFaRam+ceVsYvjKVAGetZZXOBMy5Bl6lbqbA8YA FsmQYewccZVS78SmYVGIcsTmddYqrNVA20jNWiJordCJiri9J7ZY+LeGJiBmyYiv3LoWy/eExvKr pEPdoDlVv0YAmwYUX/TABbqnzHcopIvDZ98yqD0yZ06F1Nnf6K9HbT8FoTlxRrxmNRbhNQmGg6uP ecrHT0y0nw9cnqicBoI3Tpo9veRK2iaIxoVK5Qcp1ohuavTdZB7/vksrrfV5URZI7ViezGzlzdRj L9kXtA7ujzqBD3kIWXLuAAqQbUGntCzVzcq2skL33UxygH6m+Qh9ura5U+p1yNPQa+KrS6NNd81u kTtsjv3FIlkUZfiqLMPMNGlnTCuNc7xh27psb+OxRiYy4ROx4J7g8trJkDKoKELndTR9SQOJcsmA N9m7XZ4PDoPZPP+JFX/i0w8Zg1Jpwrc+idqhYnR7hTM5SUUFY6QR4agbNZ8gKhe1fOD5tplopHdL CeBmfmlJrSp3QTOs6qfoz1eQgPxs7ETcP/ggj1lvHwebKxts16U06empAE9LsWrCSriwXjW3ib35 +MZEAYyPLF2aXRQa9m/NvOzVk6fHGyjOqogO/DpVB6SVO9Hh/iH1DnFTz1o0mg1web+Nfjwdm11X tDcMh94z3Bxu6DjTmP7qfBdHAK5fSg2ktKTKHhW4cGU+uLRyYX6k1e4uBoXi31sCBxV1c0tdsP/f rMGdCZ62YxWcxFgL/Cfn1Es5k4IDTTYDn/gZqPGz6vJAYdTmVc4gj5YcTn3xfoXhJ5EVlJGZhNXe 70BUbky/i/Da0VOutSgoY2hrT5YGPXU8H6uyoPtkXinVN85vqwpQok8uQpnv47lZTjCE6/zyMI/K n1OwrrZAalesJvtqxNefnTD0J4r2pKET21b6oS0f74SJy4jaTHL7YmoXfwwW24yuvUXe7ohHWDGP jsAnpPIqdGARRkTtlw3teIe3kBQBR6isb9MVpK7HPULy6+YBZQS9w1f/OtjXiEMPTb2KvFZIP9J1 7GcJNvgEN0E3iF+JQVHVyUNQMmrqGUJ1+9cKxbzWRlilucN5YbSt+SAybrTrsWeB5VhVwCa8+uOr 1Ec2qNUm/GzI7QNlfFVMcyHUi5F/1O39p+iLaELn4ZnnzytlJB+z1/AFUMa0l82oILDH1strvYVS 1kCzFNNJaR+Vjdp8G9sl7Gfx8M7aQrEFEAMMheu9GaPaOYYeVOb20aDkocWTkAKT7m0Xw8hA7mJH EgAFRETt7rXGO2azLfo80LS5zEBt/+rLxhmXYW1pwcaFaTKner09kZ3rjP9iIt3vIhAHdtGihW5c W4b/XfPL62XH2FkrkbCPvH2uMA6QTn7PH8mZpedPrx+qz8qRI+ttLxV9s4g1j7O9jFOnsjyvGH4r y2nj8SxV5iDVFGCKGF6FKPNWjrPMzLjnUFFAa6n7dTUb/1Zs7Bz5YUX4g9EDkeuEE799EQWM/DNd 3U6Ct1lAR0+71rGO0pUwB0BuRgtGTQO3vdfJn38AeSG4w+sbjcYc5+x7TY+LUFKfaxPUgD5NIT+a ajVJAGcD2CpCzpbX2mMzP5Rc4cqikcYUUN4ChhDvAE7gFRoxCBd4rcvgv3miq1hUf5jtwuiZ3QEz r6rh3UFCSZnAzVjCNhZqKozfuEl5hCz7U/iyB6ghDSMUWPwk0QUdy6CI/5hK2l8WdukmfCEpDbyh H9IepPzNT4LPXzII0MUup1wG6fdkOvPYRYRZDDazXHDrt9tXAj92rKTRtUry5M2hYpB0zc8RMKZ+ FrR7EKVTVafDyJGkjkb93Pen3XImAqzXPe0QlSnH7yhJenWsAm/oGv+qcq6bgx9FoLfgicA1S4Ej N+gb49c9atTYelDhLJUkjOchaoeV9SazTJfGkjngXrN58JwAnXF+lq6DxwFpU+LsAIliSyj854vd O2eyR4FcxeQ7NEIsVGPhIgfjaW5UTAdg97ksFAXJFlF4dSNW06Vs7vACYxgbgpDNvL2Yi7UVDxVO 69A4LzPaDSqk/iAjWaiq6524bmP6DHhCCWIlGlBRuGKqIfF6bpVag5xM2F+hr1C+w0D6z+Et2TIi cmWwIzG6L3d09gjlNcFkLRwNc1QrxzPhIxhaubOsSDgJqg4rbMRQoSM2duBcOD1S0EdLsO4MzAWa Zq7Qqqh9emt8c3sV5cPmvVzTZ4MpeUo0o8F8HHc2/z1qkNquE8S7/YfnlQqSpiSJ3ZeB7/gUxXgf VvCJzAfk9BLY6TJBhwleT8SVIOY3pdfWhjMC6oaIwDJC3P1QGMl+xmQHI9AblhaGwwq7p1VnVspl 6rzbh1ik6SfyH7CDoISkEihQT4q1l5E6AfkNtbpVzb8TqneqP6pDQ638+A5Sx/zb3ADSpYiUt20B 1FEW9uZWKP1OOkLHioZe/EJFswAXSGXlOSw33PxBoATOgEM6TinYI0eawFjmo7aTvYoBIZN0ZuNY WFAuXg2CLzZeKdYLEPW0g+4ryzVzvTYM2Qc8n9V6uvgmmDLDK997J6M8SeZfNvWOIJozbNILL4ru IDQqp4tfbIa04jg4VaqMp7x26/RZcIAa4vojvzMqejMvauJwaCV8VBaCLuE173V7TezH1MOAi4IP yrl72ibc8DTL1F/IfKxEinpyFaKnrTrbWV8kutjeI3wAC3IHW31kHbSmjwQjGAX3EfdUt0idWrLe 6AxFWiDgcSVwhOHmUCvwX+uPkMq+jSLQl/RsiJsqzW02kPdDHUy3q9J6pZmGETQuUQVi4nBDabeD S0p0Ir1J/CCCPSsBper9fBPScWidMm22BMQDXqDQrig6VmHmF9uA1csJEvEeCRyOmG4pooVe+2xN mt1cgDsC1UGAYATVxOqf3QuH4Dp3uxtHGyNizUMbNYJuoDOl9Az6XhGSSSq37byu13roE3uHEesy RTny5j9xeqKpQt2vwFlZ+Tze5ySl2nrKbm36dOKEIGGjL4EEkhmCv+skbGQPTAkump0NgV9sbTJ+ Miud1CZQTr2mcQeE6D4ypevMtLM88B+iw+/0UegomvVkM2HrnaMoE+oVFikeulu/YtuRlrXby2eL Sy/0ZHEuwcei7te6ept5PnW4eMp9YC0HsPBWcC0rd2CO3ptjZlH/2HY1kxKSXRx38zCjcyinmbog tLrrGfv6mZWVydxyb58nxUN4YH9kdS2W/6ac4yN0gzh1BqiAYihI4EtUPfmDjoZpKiGtZ6603KxA IYRpHsggzxjzr4v20PS7BhfYR7Li6F0BPqCMmei5/ZZFueFoX/nTmzpr2nueKScnIYKfBwlNWm3Q 30niQU/bZNdRrPEK0eI4plgW/vbNsEKsZsnt9UAWOOghiR/L7F/TEw/Gsya8zJbzL6Iiu4Cb8FI6 8dzZrNV3mpKYVkZ+cEtfB743I1YCSSB0kX0dR6q2M9eJ9HwKo9TlYnsBK9Pph5ioNXTGlfRXIjIj 16Nl/xlLqAlAPSQezbLml1R6daVE3Whm9xgnWk8WQe3AY5VvN73sVUB3U2FcoPmiju7uJ7KBuB1e ttSouRTpcIvDr36Fjb9+A0lOME3QVjGWSNpgHVYkvnyvaBRZcG3GtYOR/71N4aYCiLDXOh2SC7dL xgAlUs26f81Gop5E0B9BhhuAQKE2qT6TiIl4XF1NRKNe1Sc0qeTmAdMAVQByExE7rp/epSo4qcM1 Pc4YDJRRH2yf18WCc1Eb6CM/J6jA1ntQjDljeAw1N/3A7HTma2m/Ma3+8EecH8e8DLGPFSi8/Oc5 Vg52N00e2E2E8asjBkoOSb3XnTT+bgkE1h8+Gby5kHKNTgxkTfsk1kj2Nb+0zvu5hGCBlO1kIEkH IJQe3zaIRn84dIuOy55mioftJkaw8S0qiXrzi+en4X96B3xiDv/9BROsr6Z/RkSeAPzG5Z+EFhiP o4cJWsrLBQ/WzFrF2qmdIod61OZE2fX2fVqytQYyRQAQ7LjBCOZ2tigoOL/h+xaKAbKGv8zH0YoI /8iRfrK71T0GbNdy925b00GEMAE5xtmpQBLhT+Bc0CXrkKpbSOrTXG1ZLZOnczNQ5nVqhjySCUJD 3O35Wtl6tPtDDkf8UQUE1KMBqxcOdJKJ6zeoTXj7N8B8eGYRKy7epU2WSPIdlFKFtcZkItEOaFQZ oWj5tFm2lazorTHalinci3SrUwtZGfnyqj/xheHOSFtxvqgzx/G3FdB69hLvs7NRbSCute0Rs6BQ rIn5BSlx+rnlnBSGWty2XsKs/KKh285PQcIdWGNUfr+pwHMu8WKrqgiac/ozvi4vn2qnNteu3cg/ QiJGyWESwvhhxDaHtbmzR1maiwlThLLcSASLyUwHF1qXwxeMdh2/p1j+N0i/ZejRAJ+jRE+THVSz jtwU6K7jNZXYX+Rtx+IyRPn79gFJLXBqQAdZOOfvCJeLHWy8UVSun+rzUNnYmHlQAIMSEiOclwJ9 kH5WISrM1qnoasqX4i6vGhZNqWekLc9MJlk/XEHF29zPp4w+9j0GDJKzrVVBP5MRJQvYIBAN8/eR 7P6cIt4yzejTjm8Gmyzf04IVli/mhsD9vB+l/SWUfsM7AqTaxQo1asjjSMuNBABg9z2FsN0CR5uF ljhtdG5jo8uRp4hrs4nbcxPqbD3ayiRfBAihLMupm+O+o+ZUgPbKmgbB8CztPcoJnWeYUwIu9m4z VbCSZRykz2P24pMw4Q5T+WBJJ+0Z3qtm5yubarkLkBTh3Dkh75PsD09nogre4sxWMGVaMjBzxEeF d/4floS4cD0/LGrIoLMPy8j+fjGabFzXV6eMGczT12Bx3N+uR5bN5Jt3s8ol1BG/xpFcsbbRV8KR VUrSK3XMyS3nEwwq3IbbQCtDLz4Dm8WjMBYDp4sgZ85kQFSWdYK52/nrrb2T8vYV1oha/uYgv0EQ YGq8JLSUyk+nDIXybULowqX5e/HkfMnJ2gJ/IrfouUknPna/j+cskaZq50OyBGDpSRGacqZL47o+ aabhTknFRSqgZQp9M/OotTAZFdwbGwmUzv0q/Rz/+Qb1tep5tjve1KbTm+oM1DawAQ/HSwgPnZ4w ZKaU733OBSLEt4I/r6P0V/syjbldlOPmRIdbPuRtCMshgrQDwqpw++mljzzr+gTYkUaV0MZpxz8b kLLVUsoIAGKeDagnWWLu3J56/wRM010gt4LXQoH2cDOgUo0F3n4mX/bkcfh0TOdzRS2/mE6XQSSA F16K9OIhDbVuRI0Ki2KCJu+99GhTFW5eSbD169KcGh+CaBdJVhc170npNFRTom5g3Q7QVEZdFr05 +eiv8oQFdorMx1vASYV9cGFs6OabXVV3gJZHPKUECBx6i+oS8TKkwcqzQZENN1HvWeqL9R+D0ET2 0e4tBz82nb6PJzSPJ4czw6DCq+AXqwyACS+Z5gJPjaGxpbdMJCuuI+M6jodnL7P8QhIfo5xIXEyB qRCxSfndgEoZ+BFked0QexwDEo4QbYDI1Oh0KbugyM0YDnusUaawgqGZfjFbJiIi/QINoj/N+lZ5 L3SEe792S5pNGOH6b31tvBku08xq+yTAkQE5erxMHlQm7AEX07SXXkaTke+E3ywVRfGNpkxLy6d0 h/BO/ZEKZeyin2VpllLb6uKEbJOpEQ9bkqgKNamIZt3tb98jcTwfceGvjSvRTd/q6Im9+F3ZE/ce 6qaUhCVQr3Y1766y5r/bZveLC3gB9/uitDzIdinFu6zR2FHKptsIyVCppexJjQu1+u739gC3Ow5F r+zwBQUH3h4cbEb8aFpgHnwfbU0NGxZzCcFoUkKmcp84u+SfbAepcAmjiawzqz6n/BrHrc2DIpev WAvVU1CDEmmyt8AowGKVj855jAODx9tJJ0XNkXdiwfYgyXIoU1ckDC9nDX3XxV4nJs+38rxpRizN qX3ZKrKEGhK5ueIvtb3c/dr5yPZeiMbMTEh7GmnC089UUuCvBg== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/so_ranger.vhd
2
9051
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L/UpzzjJ2827HVIpKbSj31z/lW1So5alZ+ELgyzVf7NZltu1RMkL1kVmyQJY8BBg6LJKwRl1Vl0e k4xoRikwhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GhWpV9M5kfLniqfvvjbB0AJG2/rpd3Ds2b89wx5I0ybkFakKzEksGAqqpuGV7ObCBmr/MnS+CxiV sOyUSNiVMVjMo831GI+mCZDY4betCg2WEexQF7nq3kk5HuCajczZ1fyrF0Ewl1cX9UUeIRCJWnO4 FJdTjsMwHZRPiIIPF24= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3kWgcGM2KDP42dldvcoMFI/e3ptvSdVKl8eMT3n+cVa0Aw95fjrojhZ3DyAL7GteMfR+HuaVNaCJ 8TulLQPV9zWthIA2QDyrhe6rqazGLh1YFupXtLLj6ZqYRcIKhD4gMM0gb1YQmAzK5PiiSbXbhVHs k4AEjZ/R2tJYiNhJuW4x/ggumb/RFuCbih/BkuZ0mS5YANTMAPEyoOdGmwbzu/anmsw4yivgm5Mn BfG6XwjYFVGMgGnp7xmpHPb84E2m8e46xU5N1+bpvx+3so19GtLEOywrExIvKYy3XDpsiZT1kLZf 9xe3TRsbFdN7jmP+Smy04moZrW+39+8Qq35nPw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3I/mDRGBTzMlN8LDQpBRJxNvlZyseXF3ZoebC0N5JiCFsUSg3JDXB6RfMiAGcLCbx71FcC3F8rIN bGwiGcFF1lNI2XhdBdoA5vp4Mgi2P18aBGBVnX9jBjjJNrz0cLPQt42u/uAwM/e26UxpbPOI93y0 9MPTv7DoJ7HrwnVHdz8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NfXjgqiEgUV2Zs+lo3LIEHyoucG6vO7rMhH+lbSSeX0v+JDkqX3j8+TitZXVXIpZSMsUkXOEQ/DJ ShQSsDHnxp5QiQz9sBO6P8DRqv72tRPKw+MeaX7RyYr+h86c6MbwWQonZ4aTlxqyLhScTQ64yym+ PsKQuESGDUy3xmR2syAcNnYGS8xTuMKkZ5On44qsGGCnbh7lK5A0DHRm14B2zkg6/SMOkAccyjXy pcz1daFk2zcgUYwil3T+0pHythFClQr+s6Znz3J6fntuVi2cZLbbUO2YWgqns/t3kS5DC9V7g78O I8pWAesE+q5ANrZLloFd2+xNY9c0PZPyeodLQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4960) `protect data_block IsDPvfwJwsCByKJ+eOQxrkLl7auGua0c5t0OOJrjPP8ytx451y3xfA7YQlp2KY+pxR8U8bTiymN7 0Xl6SrBGkH7QnIlZY2ZF1fXeFQxh1nkHLfLm2LSATV2+q3/aDlVLsyflKjYLiiT7QMQi/aSJhPZ6 HzP6tDHoqqpw1ZbCb80lFR+7AR+lb9RLfL7GM9yzFT9W5ekDS8ZVLJaJir8HffH18yj8IW00P4ht U6MLvGQDnSO5Cv6GD8irv01zA1oprCmOkMAtBAGoGx+yCbREeSX8ONC46wPNETbgpJqcpJXpFxhA R9XaUfXWP/EOQAH8Rxqx5xeQ18tK4kGJM2wS6tslmQkxmU0FNJlDLYWbEnv4zJGCCgtxF/+9hYYH kyGdAfqOa+SQwyEmy6v3nDn3fy5Wwf9SR5Su+HbWHtRFrl4IeaPMjH0ICMGex5A047iXkXOwMNsD jEqoW4z6ayUDxP2kfAQfNiqbOXWoSSYt9b4+oBVbNCU9j2C110/XwOSnftLvgfz3EuDP1ibweESi bIfYNDfvyZNdUFXGNVR4E4m92x2y2t2ZuJ44afrVzxdJorgDJbU8rMRFMtl9DFm18qgUClKpgll/ zn2ptvBg4lm7N3fgvaFQuJ+JtKQmSvGq1cB+l52Vqr4WQ4gE5fbp3ReurKkMfIY6Tv9cESZBjGFF ESNuxb8O5XrukKAuBzi0JakRfQD2dcWNttM8Qx8i5WTRI4ZtXXa/mjgeak91KPctXEY2fGgjveDA rn8rgY3Cqdr6JLaKFE8Z3XXr6v2kRqy0DemFaN2JxQuVh+PowzFuBgZk+n5PF/vl34rxPg9v1ICB sTUezPgz4Cgt7gIJAGEQxc6bkq+iLbtN1jZzUy1v45WvkNkyhx/mMyUGEGsBbeqG0+IEPy9hxvdU Pjd9KvGVx+DYhYIW7kxgg8jb6PALDZZfI/zTWz1iPf1kjmp8wf3XvdA7NzD4kDfjtQDUZQJv/O+r Bbkt/KHUlhejhBlp8D2Cy3fRAp4DR7ggXw02zGnV6uFeERBrSdPPQRPFdjP7/awF9MNG/rvq/SDx FgTuzyN2kt/SlqTc3y9H0advGhMvOCC58iDDKImTsMYUFLILhhEqFcG//NbS93VHSSywH5NsscPm 36ctbsL68ABjAUVZRiHvq+ce5XkOfBq6OHiy5S6QRmOMGkXj5ZPDM/nQ+RXIP8vc/KjqTOncdr9b +Z6v4asU80aGEdaAIoHhf+L0rIAXB6qgF7Pgw2u0s46hLVmUeCuE7M7+Hb7w4s0+99PBrdWTdwn6 0l4dSrCjmEUSmaVPAEu0K+9G0ycL/93hJK+1KagRXVIN9tUzUoCfFIQunFmJpTbleQYYqDfx1xjB 3RJnVmaWBX+gad54JSyAxpIuDB/zVd46NuubWjdkqPy/bBXecCp31S0TGu3wJ9ul9YQPGh6LcKKg NgA3uQ9wAQMLhPhN1/a7aSwPfeh6Ns2fMyR3tKRtFIVF7Kny0MTiIwtSwucQ9Cx166BVzyaLD9Sv +iUK2OeiTon4SYMfgxGF+o4e8vlE2BTRXpqner/8MQ2cCdGbmBPP+GTQVcCpWRDAkXuB5agS+p8b ZrX6GuQeyAhglIv5xEo21ANFwM7p82h/Y2CW/svNn4gLv29hOA5giyCgCKQPYlRJz4FZI0HNFNPn nb9ePxQ3A+fSNTltVc3+FVxNS7Jt2x2e+kQz02thevYvoHXaWyFngKeVtqd0pVgYLUicZKqOPeez puQV+WIs3VYYmUaITNlNY0gCNdEqW69pbm7Sj0GLWsJcmgvN8PmLrAhUjRVnoUiLhjJJP2OC9MtM ublsF5mVHQ1ASOENY0PiVLNzIrSVNe0byny4z5HheMt3LY2c9sr+wOWscDwUma4j32GDEZiXaO19 R5z9eFa6CjHaNyoRyr0UipVS4tfmXtxsA2vHczJsLOl0PMR1Sys2rGNjJW7Xd43JvCV+4ctuiIQt dWVRwini4R5rbEnipgKjjYRnfuxQpoCs5Xv+OD1Nz/gF5lKznYSkuzSECku1skryXxAf3AhVvtWM gEaxVT39/8yX849teC4uOh3gxVC2o1jXa/26qIilX8IYleggcyjtVB31DXFrPzJWZYHbCVlep2Lu 8vt3DJQuQJIZJZlDgHqQr5b+dvKtO7g5bhsQTZcTgF3bwF/N8DonN+RSbR5HTedgw6mfrLipBZzv Yo4ao/PRE9x9SjVIkAHLmge3FPtnLP45Dlfyuc+XrFxmSBARLi0KKeirCX5bIrmeyqyIZH7+uMWn 60L+PZWH/pK59OIADuVdwNqUERhx7QJn2kQCe94K8919yUb7BGyRqiDIeMJUE20jcwju520HXfd7 r1uPr2DtLqjm/WokyDRPIPzXdlDNLvD5OGvDrlGnsHabTm8uy6MOITycpqG9mdbasUpSm+s6S3Cb OpwhHn+uDAl/MQxFvfvRETxFK6RZK0dchA4CwwyA69lLjq3cSdiO3pBhPocHuZtOkRya9M9MINtM ScOZLqdwAbB4BFPI8L9+qHEMOhPsK+z5i/HaPuYbF355Zkdz4hgP/0jUSrjcTiR7XjfdRUaK+Eaj WvWAsaOjd0jveJHjWwPlMln7fqn576jWa/OubfNOHgCpN2axXApBzWevPOZEcxf0JVNV25hCzET+ GJeghvfFR/72ETvRD0qNmMY7eUPlcdVtq/pu+9sUmH2JDbnIlJfMaZF5Xs69WJd+fSfByKaD9nQk jwtPj3CkHSuIGG1Xd8tcHAI7RE/76dntU+JbAvd+VrUd2LPRvdLr+vXZeQDa36/Gzd4MBnmK2RnU Msyk0t9NCvhcc3qvsIxQiMLyo9/eCkxslyar0PFk8FC+CwdDucehVL7Jyz2EKrEKrLUEcCk0Ru59 KeeHvdfAnVVIfL2oRoP4TBdnNOH3L+5aVj8W2U/RETg3pR4TuxpzO+ATV5TfOTajInH//A0BY33g iTwPqIzMRr6oLDjYJww+3HPfnhrrQPmHAI9EIS/a+jiLEgRSutISngvwWU1c+hSMF0rXu45Fg7sb hhKZ0ScWbSQdNqVJUquWZYYR0c3Oop4iOIl5MwmaNjwRb4YamM93FIZjOmsbyKwF63MU2gZJsDQ5 iVDrFtOeAGE43GaC1l8OBWhZDM68sqxSt/8M/C3CWSCOte45wcqk/WHGlJyGGLZSxf5uDnaozxmi A8CYsCJcj8j25+iiynZnIjCv/LSp4KX56NlPYfh+wc28PuS/br/umo1kuzqlsvwRGaEgqaNflLGp xWuDUqhaO1LGtiF8zSx4qkbwnGgeHX5NZszjN8tmCwvJ4fK62AaPi6KyO4B2baClxlbxNmlAlKkD igkzQeRJBrdS3M6OxMW9t4651Omb0AXmyE7Zx5GtDYzMazEc+A8Piosse7EL7NYUq4prY9XnKPQb YnNUBOwCrinouTz5aEWwJzrFkn9AkzJECaIkZ8+6TZ9+Z5aLcrE2xkZmNb5UTvNhRf0dz+1FrLKz i8P/Flg4gXS9D3Eda+W50RDqIMNSaNo698dLZhnkMkOAR41dpDHnRZcTb9kDHDn9zOLVnF0ksnGN LU0254ffzcjITXiyNNXdvweJPoVN0ldoTIlRTFyzVFHgrEKVW4l77JetU8LB0aBWAlvpApZLcruS TEG3Jj4zQk+XrfXornB75x3YT/3cZNI/IsA4XWO5KGVzmO2s8iPTBVxVgPfOP+q+0499pNPRUpIz 5p41dutHVOoEjpOVytVipq4MZiuE9Tex/mi8uD1Wp69LMCi5JVQTGhXUpY5AOTKTXapNQl9VNoY/ i4xmSf5TJud7eEakUGRotPJzR6XUgRFU7mVuHINCDFOv9o4WHqSDaOH4vfSLLEqQe1oUSDBU4n4t 5KPiTZn7lnaj0feQqVdLkSYLm50CqU8tSLtSBQr3EiEV1xCF73A1q9IS9BbggYus2Dm3V5nV4igK 6g2Ens2iuRbN+RsfXutm3PV6bjNhqHlvvYxdrUvHAGSISfUEfVs7Df/RppBlLWfzac6JQorvu/K5 WjOkf+rMvWLBwps7HHTVKVJSNpAPkllVNiwddf6H+eRhqT+dzQwTIjeYwmrDB8BWXhxEygqnF/Xg iGLxlaJyaEnG+VSL9+lSJ83u+mK27koNvLVvMuuwS1mz/YxqC+5AzL8WY8kqdkiaYij0e8p4a3OL B3j3Pfya+5Ad3bhi1rGAOJGWLTAGqLdAnLY+pw4iBHIiES6Bb16kaF214hnhMBALH9Xq5d4CIvXi sqhFjxLBMYwfuedvmByR51m9RJiHm83GBoSDiOJg0V6sodjumqlezESGUC61gFjMpXLjydZKhQjp JOaNTGXSw4gSi8bxNYUANHJAGVoi0NKRPAwZpGEBDjJ2rORj6IMwJaWcjSOXk26r7IBtiRCpa/c2 AsgT/QpGs6AZMuC6HJ/lo8CjtzA7ONFV4cjaAFLUCcGBuF8rWIL3BefWFuldzWrbMQsHBpiuhTtD Zfqs9SGJ8MMY04j99w+2CsJoDkL3ZIZej6qt75zhNJ8tn2g4IH75l/QrPu92ItrLcTQldlC5XO6w x7PE28ks/67y+G5n9IdSe+OWtmF4nVXC4SE162DszBsch+Ymv9EH9gnnPbjsH+t1rF38tyBE1A/J jAk1hA65W5/nG8g1CQeXDA0SKGLjbMVyMIIv9IMuRdFvECWYS5xpAzjdU97P7BJ0THHKSq7V0m4M AHSKM9EWf56RRMIGKyFc+u6r8oUn/SWAiEtqLAOOFnYQKHomPdF6KZgp8nGOlcjoSwh/e+xz2N38 KE4v0iRr08U8+q2TFAR613C3cnejj7tcS6xONFsLf5MOrbxy1RZR4Yd2VLOcxsMGBACbOf+I7WrB cpF340wrxX/oy58QGFe1dpR4nhFlajOhT9VKxyPGqwRqf14wgUEdFs8lCb812WEITNZycyzbvBLJ pciekFpjq31ejYA10Bsw4S+R7/uCTiIAsvzIDdhJkdKI+cBtwa3/otUFrMIMqHPkDt/zx0ugIO4a jmGYWT2MX5Qcp9RcgAEKBnWfXaZZXLR3ODiLsr3Hj2MnOIofDmhIHdkGA3VyWlmodXDEenCX1D4M ZcLjg/xxmy3bN3gNSkBru4G+TiQVIrYg7g3xFP88HmD+BhaRyJtFFg+kvu+FfI6CHinXa2Bghvd0 /uyvlaV37lJgWFlH2b8TxHqEOEg+TAXEcjN4dfjYTY6cxPAmulQVcJouqPNOGUNSD2AGctEdyW1m Yqo0GWfw6QB6yNagSspHEJljQpPzn4eMvkXyA7MFuy/ZP9+FgziUzbQJIt9avpMb7IG/kwrLpyM4 Ef/FMesQrjv2DOManIJ8WlU4kVl6FHyLaAadnzX0b9YbpLfnovy7zJUVsGQSlPwXmOxUU/rQoj1H 2lqifh7wLdesUmHBDceB0Uc5i2xvwZzNt4NtFT8goKuPqXcmPxjxvNfv44iB7+MCuTGifMtpq93b /6LooX9g/CwcUElqOjQgcQHMVJ7Oc8Oa53iEiAFm3AJ2/uimepWdpwY18+552ph7f/Zop9zAnKxU b0Kcc6mnaQiF5DzEed+5GqT7BtiLHl3gwydEX2ni1lxfKZX1jhG4rirKlI26yqMib2mnB8sQCSvg a+Pf1cEJUUyGMUCEiB68/Fkq8hI6HtN/u9f8tLhjxC/z3yWMNKxHsVJIYTbAC+9De0UaQP4ZkMv+ M+OiaACXbFwP2Tj1gAsIqiYmgE+tiainEv0Fvvg0y7nmdUqbLhbYEcZIhY93hs2GDl2E0NJ/cqdU C+NYOnQyuiFaS9JmFkkRP+m4NpOas6/VMhn8Y3e6NQfPIHif40iUfGIGI7kNndzrchU9ZBAVR1k3 k7I/vCNprAWE7T5HmM7hitZhJ8VCMWtvyszE4sCW6KrwWo0+OfxGnNvwZl2c43dEzaUGOX2MQd62 HFGLVjVkRlWu4IC8slLraQ5zTrVVXrMAPZaTkynefNyM0ZaJEGozGQfHIHH5FQkHDNPsz3cm2ALK GyRbQJ6u8TPvYoQfOAPIA9khxcRfXpCrSrFBKFRfTU6I6JZpQBTrW4iH2h/lfKQGNjcxe4+isKUg WouekBGRKLK6CH3SKjTGRRN7guifCa7IMBd+AE1mpGYE/LSbcy6R98c9aUCxPov1nUNEga3IZpJN izfcBJHvO4gTlglh1p5rPRaMN+mKKq1yf9a5ajA047mWgB2G7rpYhS1NuMIizJ4ddaXw/6wqew4g i85xXFCu1by7gCiDhGstctRKdDuFCz913sKnRqz8afD05hN0mrLCEcCkNTYYdrcWn84lhT1a/WGZ sffq4qH9AW5AGuiVLl+Daczzf4UNu0+q7/1S8f33V9WPTGb7Xkl8lrrs/QtOu4EoAUkxYfwYdU8n bbkgr4jWNTqW5IfU0LStAdYryvqI4gDkB4R5CUXUOYHzoahSFyYk8vw06HUhDi7XRmadFTXmAqAp UAeC5EanZx/2kUnaNYd7hG1QLo8zG9M0ZgORM2kNm+SIig6C4PSnVijFJFzjikvZbZixax2+aVvA U5vqOpp/96i7FZhPlFy8uHyyaYxFyjrHbiWuprllU5Tj+f1RFg20nhppbhrDGxxt+4Zq+1W8V3Vj /A== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/xfft_v9_0/hdl/r22_busy.vhd
3
10951
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AwR4svSO6OZn7//Kiv7N2wiYqRDd7D8wSRKq+FAvMQZpsK7aHGaDPhOAobhm8++RvTsbvlkZ5GLi viKupn1Gew== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fvusO4NSKtI7I3WSPBI+yaw3wqcZrPs93bu6t3pvLl5mKeQjhrFrSX2JV2ReFMBS/qk+lEhOK3zq dUBQDsTukTTlPdaue9HG0tfaeZmq+q5zi8rjpWIPHhHy8TxVxyfW5p5E113tZ7ceOHLqRD0wia9z Y1dVljMw1FNnTsP8Bmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ak+roS+0SCgFBf5cz4PylnNWxakTMe/ShsgHD0Mca2NEcrKhOzwkjH3TnpzsDZy8EapqihvLLUGg JxMdUoceRS/fAEToVO6RrcxyNRTPlnI3WP8oU8EzlNuEc5hqqXO1xyciakB8DIIBYJ5t9wbxCG94 Jg4rwGo/9w9VOkGV/6roUCChAkl+yW+sHG/QMEbwYf1auztTSJ3cIK32fqi8P4mHRmT4i8H7sfW5 ou7tMVnQyBGhRNMgVArP4QbzcJzZZ3aJKTkTGxfdkImNyQgryqALYHofO7CJ/aSX4p4mn6J/b/NX VBCAs18/dyGecakjl2Qu661PI9bXn6jjXxwknQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AbeBEm3IFk62BSdFqCNM26BVwQmuoPRdwc2DMdwAm9tuZqoDJLiIbgf0z3FE7/JnqcCusZ+ydY/A c6mxfCsUiQ16X20WcMJXOiLcp8JwKeiQKw4wIcCdj2ApebaKSY6NsRWztBfopgD12wfaXpkSpStx Q1N/DvNhmSdnWbnhJpY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block d3Ghy36ZPUG6T2RO24wgSop6KfGpVIVwacDTXh8AhJSAkxn3zAj2CKilJofR1LdrbGjYvEGw8fdt thfjYJiGEGWqU36mzckWn4kkUAZp8TqOeA15nmyRYiSyzAV53SA4m3m4lbH7zNOl8rskfBgWBUpm oAGTBkmekAsMH/UFjxi8qS9i1va7zV+Efm3Wh81EibVZcqtfYPjF4lfUvvLg+iRAJBfT/j7AA0/b Vx8sG9LPNXofZCoRTWnGIdQD1BAgHk8AW3/Idb/iceDDmUXPNMcU1gD2TVZYYlo+s8d6QHdDLFKO 5burjpMJT+FCd9Th9GJG/wUWUhS7dPD4huoOxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6368) `protect data_block ZjsdsMO0lWlYg7NwAlAOeAEV5A/MYtii7HBuSBmZ4ZFmlj6LMauwjRy2aviR10n6sFmXWyDsu+cF 6vjxsubnk6HCBK/Fx35H+y9qT/noIirTS1JOa+q7psgzYeV/gRc+LMFjvARyx2MjsqsnqY00THc7 Yj72Gx7oXRtL3TrfuhVUMHdsgE8+gIGg9ffvpYaDeaQoUVpR/HAIL+s5sVjYjpEdrqXjwaBwPmpZ ciPV8+1ui9lGye61vRPZWk6g09Y+HlrPpV6i5zPbkPvErTfjR3zJj97mjI9okOGHpnDSrpMkqDjn 9bHEqLBP4t29nHE5EZNhVMfV42jcMSwkLgAINOG0EDTrExyj7jUV1C32+r+kp2YBo9Vc4jklkMzF 9G/14TW1/77HAKye52Bqxf8YckU3DyWhqU8C7t3qSAPJLyrUYyx9bem8uKIJkJpRDPBKi97GyfJb YYCDrHHtq+ei/pBP3ehE5v1087Vew3UeZbxm7j3AuFlUOChJg6KOwfXKLwj74hHLkKRqHAdRbuM/ WDR1vBzVtUswMdblAdSp89vp6U1DGZRQA6eXsn/4xaPAiYdRQXf5Kv/zHdIPbgvKj68yWDgZ/2Mh ofCMKrFmMKB/RsG7mfjZGiQXlc0vhwoK04jU0TlN3yDlT2RFdqczOjEcz4Rg169xyLFSAEohgzT/ zBASvuzIDVJ50dQsgDFD9nfQmMQ+1ZaAWdJf2UrMF9ZS76e04gllpVkAKjLrXwVBpnFsdrKFwTLd x1tAuZ4IJVsUGJO37mdFU10B4j1xd4puxfasV1PbJpmalk/Uq1RcJZD75fKVvYgVOKy9rJ2OhMxs UPR92RMyHySrNdn3onxRX6nr8MP8bsy1HR+IWvgmqDe7XKiNnND4AeXon0OaCp+6DevquBABS1xn BuIBrKRvTw4qLCuWtO3Tn8P4L0WkUw1UWURZi3kb3Jg6wPWVHeYe0IXOSBRY2biEBalQT1xeKT1U 9QqVRfxlITndpddNBfHHdLbMdbja+5Afcx78mYdBImOc7cg0c/JVXXzRMB+tpZ8YcAnyCSRW/mJY CLRFiR2dj+fqHawgccVy5nJVafJBjB4F3CjiG92F1VHzaN6+UZwTVzZ0E12pxAFNbYIqPTyo7Srz zusln79e8+oo/m9lQb/xSeYSPBEAAs7aq3AYbfnbH4JiviCWBxt15bKdG6F+wU2at+OlAGNjK8EV Bt8t1SnVWCqVnn4J9lO8LrDSWZNz3aPjos6FbGgh/vl1LxlAgtfXVQOmiXB7Vrw4P/sonkRxowQx fy1aZianaiys7CMOcc5acdB7v/OmX4h9n7ws8hQfttW1yagE7NcQPhQNsxY2y8DWF+dtQtXLu1rs 0i8asQZoUfTXgOxu6On0wHWe0qYoj1eKLilePwg1xRj5oWX/LTJdaQVXYcWIes89hewOiwoeVoaD oUzu9XDE/7Z+5F6Txk4Gmj+7J6dMkF2+ZDRVzKmeIv/Akzgycmfk3J2g7ao7LTIBIaxnMhs1mJde 0a+MBhToR2SoKOKZFw5ZMTLpV9izSSlA217A45MSIKdjHLpcmOiuPlDL7ioehi4TKQg4JSvOiIiV PadOGtxQXsr1qjdG9dPZGqUr6+Y7hVISuqJy3WnrdK/3Duidzt97gsiXVVEox1J7HujcaOdCsIMI 61xwLIOD+3p061WcvAWnzXTCHqTCJaKnkoxe9Rw+qCjkp9hauE67foKteuFXKZlZLcFgk66Q86hh nUWW3HiCMAuZRb5qbGEAlus4DDiZCXX87GwTpL9Y9nZuqPs0JQxNxDWYfF0dWikpxzsSTGso7UbL xQxrciJ9Xs4JFKj4RyEVhGhZjs+6Hb9BsA0OJuchVmJWLgjhAzTWktENI3Ks6elAwR6UE4K2+iYs 1UUXrLve3BZs2EsyWceyddMvbRSnqS2HZay02Hc6BkbGz+MUmD6YaMfo6nzrZ+0yfaeMn2ug1KQa IM/T2gWiTwi2yz0uxvvO7t66EYeW6xijpKInnTUdbuOyKAM91kZh/cJ0G/wiO5AHlB67EdhRdmlS RiHmcFn4YHgmKytnxdHm/H097kvRKsjPyHaCqkSEPnHoqWTEWfFN9MDKh+fXG6xi2ylLdvPzzOpb KI9Ax+ULcPUMaptWVQ4JA+8Z2v5/ZgdZITH/fTYkikEmyihn2WquyEWL+Qj1QBVuDmMBTbghDBPz ZCpZ8d97co5aH6gz7MMMdk3irKFANP04UV0C1dcZ7PCKl4/TS8YBa3jyNzr0DFjaBCdMbq91ajUk 4m9FPQQ09HsnkBYhgzt5/Byh2yUshgdd/gyy62ptH+ZdVIfGq8+fpcLvrxdf6YdosbhoJDNkoW/k Cue3C6noFpDyehOB/a54g8tgcQfRkS1nWFZs826xwE30ymQusjaKgdzzvuziiWZwWW4N6d+kAkCd QrNF173i9gK6FiXm0zaj8DRCvuwQYtzYfQ0DufrjQHkTldVdolWkBRqigTv4LCHcJ8K+7DAW6xIO 7+30Xj1rj4HR6oTinnOPt21Nm4cxT2rbR2k7y7+iq8gbMGZ413403z/iXIysuR78TkOcLarYxYoS MwsBFETUuxVxa+laOHtYOIcrrUr5XsJeynVN6DBBxm21u/0vCvJ8jM7IaEWfiHUYPub1A9I2gCTh 0gL/g+0YfWb6mY+DcJrn7ZXxNFTyQzBZIM8EYjk2xAxBxtZUygDwfsjvr48weAhfK0DODgjg85rR sjtAknOUCB+jwqIekUpZTE6vEIxq8ujLlRd9FwZ8Cy8+R2zc6WSwDvgKJcyYAKlzqUPKW+dgdOj/ /C8aT/mTtcvPj58rXltDm/ncEBTy7KSv3ZX+6O7kZd1Ay8iIkI0ysaXNIkS/xrYM8qEqsp/wUiwQ NZE2dxxNLgASDBjYMdfZUmKPEEl6EN5OhfqB4BFT8LiTSc9m3e7kw9KDq5MzDXHjyPANlHekHSqd TgZTFhBwTHcxJVbFCtYVf5pggiyJM0dCbyChNsWHOhm/SDFXa/dnc129hQr2Jr1TqgccXG78GVwV fxMsWpH2/sBSnckU+W7bjl/TjK2auq6hwf4d/F3cibVjRLxszH+Owiy0BQvMay57H+AMwpLKcyLL vY2T1xHydOBvEWLCxEv8HVrG9Sewy4aFbJq7V8SJAi7rtmNnjEbwCP/jzgM6ysD5d8Bkfi1ucbhr zreTsFRmhWgejFQo2vYDiHHVg+pQuMrU/nz/5wRfknrNSmX540ZwlIvcjHihacjMZP7dTEwZey87 O5B/L7uWvJ8bZ1I2Q9BFidCRBb7JugVebRmvJ3ZO47DACZF5PXe2McICvzeEHAFNPYbEey2LIIAf XQOlhUZ71E4BgyEwwk5kzsIDHN9f+xu0XuOY/m2+PHhljSrn/lHO1ZTNDeEbCTqN49l26yZacOuP owg0q8LWn2V8Clx831EUq9fw6kJGQH51G5ay3NwTWHRdtn80p/0pbXO0/Ai2nELz9WP5fKcKzICS nYYkHzw3cWUpvhwz293WEa8rWMidewmVZ1eYgSiWdnLwXeDfK24Sqkc2UN5/zCqusNBwIAH9kukg Eg1HtJeQTpReeMwgoV0HxlWrq5tFatqyfWKrtxQ80TgoGArlaT77/hkuvyplOFEyKhuZbRLEBYk7 LJWqKwJt68X4Pxgqkz+UbyvRKYO+KXAD/IpB5L8DN+HrSRGgPionLk0zmDgPtKHL2Fv/nj7ubeY1 esRMvn+A8Fqc+mbES/7lbX+5M+Amjh9KFUXYGkToxS2KQUftEDODld04iw8TMmfwzBsbn6BOitqa j2SNzGQKctxDjlEg56yeYkYvLuODgYoFk0Y53tsJgeMDebxbiv8P7lQk+43SVgDSzQVahcRLhv1T CtdR3hjJkRqm+p+Mc48iV+QXpFWY9TUysHOGWMlacy3DKh2w0JhF3NfCvdXiaRxD3wKuKDko2ew+ xCC5tQBinobdZ1iVxPJZKBsqWlbWr6d1AyB47cxwAB6qX03+KmKoTW6CdtwuE2biJtjA3/ktmKTS CJqj1U9tcbLC69YQgzhAOPeAbWa3ggpOZP3U0mZ0wyhT63FfuR+tacSOd0sPJDLddYfIjoqXRMIR PmObjZKYHHHtbeutRYcwJ+vaPKSbyyTGqSPpkZf3kUZa0qmUvqGdKr+8uLUfoPMoW20ojEeLWyvA dFu664yX/kfNpTkXqM6CjY77ZN7yEDtm0HKw6p93dJVMeHScJi3IQ0HK6DV6y37XJIGHtUWpNk53 L4XDTnPvXiG/pFsTtp/Mz1iNVQi2PbdMJS5/XqpFewL9QpC8tCyO7ieNYvObFSfnkQHFBseZlrA1 JF425ytEdaNNvrPuiVWgeZJsXiT5j9QneTsxVQMBltWsBq7s6a07ci2oPU9MEVJW+TR6S5+MnCqa St4KT/2X5nYAI4P61yaGybJYwDs5/0Hxq0hC49oLSjWw5YAwgvjjC4W6YOd4JchQI37fuUz7oj8/ XPQUJFpyWvHlsIc8pPyKnpeFvn/JX0A2yrb9rPdwFhJt3WZ5ACeA0BOOqIuuH5sn/KIq9Qu9+cYe 1Rv8PiLg0NlMLr8hqQ1PLYuyVaWsV22oyOE11lcpEgcGLgTAdiO8ZU1uLM8juymF6TGtehObsvcI 5MM3PkXjPm1Iy9CES43YokCWmotkOAnFBO9pF+M5suREjh6rVelMwp9ouUM4QNmiexAgCXofG/E4 h76w3kXLsx4mRUv+UCOaPH4GeEfruEVJJ1Uy8DLyEelkwuP5mLfsb7byWLw/3UCVgNpby1xWknkl kg9L2XOJ77NXH5cfpVRTywjGWEg8/d1Sja36F+g86tXna+c7r3+t6pcsMke7cdnLzhrsYJ6XVjX1 mdzOIRwW1nONdYnRS3fHISvFl6BJzhsTv9vBJsy7bRqmHlDfnFQrd1HaylyQ0eXuB1qvI06jSvs6 VHJKn6lrD5ElY7Ay3SCjsFv3nb1qrhfMjqeCeoSl0PgGvQDoSry02I4rLCV8oB7fk91SXJPZ0I+W kUk26Yiw7sMt0cZBZDDNZq3I1NkEBV31ECZ2+n0O4NeE+1AzsrgsjPgUN1YpGMMxsG+5Cl4xDuw9 MBbI8r7OJvX/PiqsksCoIVN+RVoM2+/nKIN2ka+ZEeOTriM4hfREPBAVOKBaGTyCNyObEOCjybXS OsoHHm8rUrZlhWcVRL1mQ0RHn/663/VZCUQT/AVPN+VdUxc6/tV+lKVK8OtD3/jPTZvc6jSSGbGJ xJwQS0lMNohEd5uA1MDlaKTtRkfIWz2bB3KVEv3Hl4WgZqYPq1vqDL66CmtbTqE3wcQkbh5pNtOW cwURmCkBgv2Y2Q2NpVN9angAZpndpJjw0lMJRepDUifDY3mzpK9jdoPaqGOZA7vdlYwfYmNlLG4e bkechRB2D3dvFZ2kbZ1Msyuk3O57lhnsegJqyw5RzPCj69raM3Jzo05ZZzvwPwcERN2lNG66Pie/ F+gxW4lD4154D4JTVSQxDIeNaQ0zdiT/9qcXcCEkz9eGba1opc5a+BbWXdN7vcJ98cYkQI6OFQeh QFg6UqUUftjrSBn+i0KBvcNdY9RkJ1b+8iyfpc/MeonVjReRJ52DGRHd5X2ls3cuOOlkvgy0ChG6 2GFR9rtBc16QJpoSC0PLqjnGnJ5gGzTlt9058JSMaybhIOgVP0Q4oJqrLMK+BW/Xr7EIHajUKP1f PwWo1spcFN4/F3z9atpq7iKqS3EecY935EWo63GUBJmT0/hlDcYMaPY8sKtPTj8Mvnf2Wdf2S5zl Pp8D5+z6Yc+RgdD4GP1mT0hrzz/9lmw427MmzWcfw/jJeEtYqyZdbwCWhCqU5QGWjz2zWcM/9wfq TIftKVdGbiE8LZg3hePwhsOzCyH0C6uugN/gfDoGIKuiypASCmw07MkZ7OZDXo0EZLwl7OncgAAc qeG/5NnQu6FfW0Y9FS3h8vDBaT/JLtcWua8OtzAAYDrIYfHsrdN7vACAitPFRvlEvv5VF34rITM5 TRNBSsziQiQeKJReLCfmVWfgw2dN6NVcJ/nvIdpR5xeIHVzWbdZZM9xnQoRjhgLVu08VZ5+Z+6ti qdErPizUAwLey6HEdjI19G7s0oBOHpXtMQYpN5RoiFL9U58SEgNCRh4IV1RglPzag7+bSPnZGFTT 4vcRWo1PyxomU7RZ6xzDkUgGGSvwmobPp7VbYnQOmjMYzGKzwtZPm628s+TpOzyaYjcOM+UT7PgV 1AgwvNnGcLwWM5QOoribg276X/kgL76S4MKefIoJTzcfh9Z8LBVQrrAvfx/Wz4VKZaEec9Y+TewN sGmmhV2FMANY0xITYz3gtEiWbT5rS8nw5F9tP7LSsyNCp5pSJlhq5jvCPGiKWyASpXz1G/nlGczk lFYbKvxRM00xBRuwZ1Iii4LL0jnvn4JlBDQ4dZfpGumJdamLF5jQzxxG6LiEsfATbH7V4m/YTDr6 w+8u/Budxvd2ixKVMWARxUUEP6w6Bqq1xMzcJkfpGX2uTQOnjC76BqGE3/n3uPn8UU1jPL3bxI+W hjUzp1NANgLNnF8tEODOLa/j/0rNcdRY8ih/9LbURL8e0PSSmpgGIBZd6pkp3TVlnbai5DGlDJwO m3Q6eouM6lpOlr1g0vaprpZ8KOkPOfvojENil1N0n+yAAuKv3evkSG1mjmti0OG2d1qFIAYEtNSZ XlyUvsi3BPvMA+Lt9gnlv+O7kBNjrGSvf4L/iHBeXOBTx7ZFIzQmp/yPeENfrqTW1am08GcjZCKx s5N2y45h8VBEwUUuidxWNktaixJQx3W47GG2cQgmwqEhsdcJcaSy11jkpp1y4jElXuBf8ke+iqC0 OpZpFsDVhpyNbZOEoaV8/VUyAxnw9rbO0vSUwfg441q9sqom4Peoi83FHte0jrlEHtvxGYkUzgGb 61p3HqDpsfzPe4HEd7x4e3VAfuCcuEaW7oSwNBS+dBMYDoYO/bTa2YkvMBSryQMXSWIUq+sUvrVe k1JpbNb2Qx0bNECLlfom78+SHiZdKQbPBfxThdmyTk93BJMbTl3sXPA2djaqYG3It25Ck5qasQ4e tUUoFIFT8KkljAuKBDJlOE1PDtFUn9O7S124v3nAfLpZEmUtNolPOdZmW0mg0tYuSittm8FsT6h2 fM3hOSZdKh/i8PWzTcw40UmFQsZu1E2lFTY8likNVqUwSeeBqFbE/YchaIiQZfX6wcvauUxsLug9 41y3tW9HuFjdPmY4CP3mATXafB8AC42m/gK8rfEB3LFUg0P0yIPGpn+Ilg3pc+W2RFsEJaIZR+3s kRBsxf3nkzOnvL5xKvy4tasGM1PB9ijJymbsvZGkR98d4n9oZ3SM9IdZ6SSo75o64TyLtZxQCpDx 3jf/Vz2Wa6KBc/7GRaCnvAB5kL+3r9TkHFJGLD0W99Tl6Tn+pdpXHQjs7nNxP6M3C+oqWfjXhnKh 1KxQB/wdEESk/7yqp9NxF2vnNnpOkxskE8pg2eLOHEW8zrWvIrFMu1LBan8RJb6MyFpu2HxkdNfx qEsH02D9zW94X0LwNECtRy+R0YlPF6OdFYvN7yOXu3KKYHUmxA8GXB2RQtB69O9VnvqblmkaBais DnIWStSBPnxXPvveQF3/9fYBfIBnkvPEHfWjQ4NWoCFWySOtj5V5IUm5ezFj9Qtigq+RQTpKzvnz ppCdSBJvwp09ztxTIaTYTmDPvfZp8xLwpu/Q/XgDUU7HUuhp8dDwCknqMm60JHtVBxh3sa4a2mhk WoQePDWSEjSEC9ofFneTnjYBS1hLTzb/mVEbftFDlGYeqI9k3pj8bD0K7ewGPAqLeTrL/ZN61piA 4RUIFHW6VUBzs/Ua0tKrsJUd4Wcq4DW9h+iBrxOuE+ACLw36lUbHPaHDBti6SJmVCydYFLMRgaXo yqisC54b15fqxOZNGNuKcnH/f4pSlzga1I34HkPy8nIMo1glAl1wJjR6ef79b4ufWBHTsbOiEfmm 9pxh/nkL1aa3PbcmISVVQvpCU0mA5CvehhR9vFDrZwAcuC1uws8KxAW1p2kAo8jU9UYTYI6dbvoH eS8JSK4t0Jpq2QuyBzBAg7351+0iSoDDxlW6FFoLm7akasTI7fw688zhNzpbx7ZJCVL4OxKc0b4S IjLePz4yT9EJ5zw1NpAwG4LhV7YtC1k7kClZFHfNFagOnQOXvXg/vuCNNsd322UpYUGWRhr1mjB2 Ltxxz2ClFya/w2Mpnsm/xHnQvNHSG+dSmKZoknDCkVAr/oE7pFbGVJjfwlzcshEcSZk/E/xW7sow zhMCfQ3Ak3+4Ao5nm2oZqvOtHNEdMo9WU7Xs9ecKUFMkBsQIsUnNkxWtdmsGnPp8hexfSXatdWg9 +7kJyMql1qz79/LR05CS5gxe9qpVfeqPs5EUfFd9bXjLczqV7Gc/VXVtZoLAdR1nudP6seejUy6/ Wmm1es3kiahTlAyNEMk+zunwNOWWyEscewqqmSTnKxbjk6I07QaYmXI= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_6/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv.vhd
4
99287
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block d8xvwbfVVOwe18UXp6OIppOfMlqR2kjI/C6xX05FTHU8t5J1FuCayg1b8DV73j0+lrSU5NbPke7J wKyKo6vZmQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eHeURXmQty7NeAv3XUoO5qZy5wiWI4KdVxtm2GsoWgcVxvm19Vpj0GV1w7gFqCWnA4FOQTZuRczj Ij8Zgd4djaP+0m+uF1VB+55mfNaKcPG2LmiRY6n1d+6aXiDzlcGYYizcbBz72kRf3eOIqxpeA4D2 3Z2PIkm8MwLtPGSJ/Po= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qH9+GhW8bT+j42lWyvygK5/6l4trt1BCmWOpQcKA/HZx2kAGsb+FDG/Xy6w33wIiMr/qkXwfaeaz zlfzzUtccPjNghsznvMRED7lhG+MVvWZ9dxb/eJgA8z59jDK+8wSykzMrx433vlospEmnUeHAQ+H 4dfYGCJl9cTzNC+uQlFaZQsxHSBPlOlJ0GYkyCUnHQQjAEI62DNG0kEkyaiojOK+3cvYSaF6wa2m I1Cx0Gw1ktdWILhOWUSpxci92nn54fp2GViAZYTlm0DB4uFKOskBdOQytDP2f2b1yNgPb5maNLgm +O1ey7vhDLFg2yHH9hL6wSCP3onvhEE46TJLQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PyfKkUL3/8sDtTLwxhpqedhayaiDS2FNnCfS6sCchY9cwD/PXy3suivOsUKbKwOiyhWnF/tQl4Kq HzosYuk9tWTm2j5KKAjvrbIuKxPEwXnj4hRLEObKTAhKWjc2v2evf+nFlXCB529PJsYPSU+Jmqkr zAHGbiyeXTy5GwBCfYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZF+QB2spbWlec/knTfuPrXaT+v7qNpjfq0lmc40Eofb98i14vOGTUx8PEHILvAb2Z54dFdacNzrB d4Uhl9bKx6JU/AkvN8zsp17drYaDzpZrkmxxlVdox34c9gk1gp4pRBazBCiUTMxBrRL7kEPgnOmk /WE9OP1QAhhZeA5r/HbSVnK/CEigmHINLCFfC2uepHTQbur/n29duc7Tjf6CS4lcmDe7A+tmnKFC Gf1+66fm+kSxjOLSIhPwC80VuQ+EeB0rA/PChtXN4H3x/F44vX92xjZ6F5Sx4Jq0NxXAC/h845YU 20Yd7EW+jvXAgaNCRT5u7w6v8I9bFKrVlDcgmQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71760) `protect data_block kFNKET7R49rIbQXNlCHCWdoERshI97MNSjU/uLsiyT3FAWN+AIPAAg6GEDDJK5ypi+Pxy2y94ezt MD0uwO/sSeuh5xP/w3yoGTnsoEWQrNuTd4Yvyw90cXymZ5xf6buMeAQFOlTv8bNsNr/HkizaoH1x 73Kpnrcwo9Ter9li3AbTTPxqiy0OopZJxDxNqqf4vAMqovWwJwXBPBwvg/8xRR1FCNpGA2hxHSbb Ik0jPdd1/iHp11qUY/A8SWqSmydO3/uR5BqQvaEXY5C4L6bMbUWIjO8vCUudl+/p4trI7I68QhNg HjFfsi4nJnsvujgy1O3DCV0T0lzCYapTYEGE3XN/tsC0Fj9dXS5ur5jwsYB2nIwYyfRSeKNBk4nj Wc9RIKWNssEaLQl2E9D96a37nIzvPooD/idQuGwpeBjJkp8/CghNLvxjVff8r03qcmSU5W1SiJE8 6Ok/lMKjlZIiu1otl/3khCt4KrI/zqi8UE8ooN6PipCsFlT7cAx/52sd0+ccnPVJQiX91Y7W3Ubr wY/sXbVGlyHASHt7+FEbKN1jAmG2m/CR7dKgvr5QQ2l6Fz/Q8yaihd28GV9xBpJ3MpTDUg8NggJR P+jRGKo5ccPRodtOpCXnCrTMuD8wG+ziVCyn8PzYi6yIqVAGOzNaCP0Lt/nPnwAkfvM5Bw/88gOS kBuFE1uwtrsVvGZgfnt1UHFcy41qLzgIJwAzY/iL42clM40g+/4HmQsO9+8yISHC/TXgdMYhY2vj YKptG6njPAJQ/SkTcGOZ/jHGOgMiOYqb08qULJdNBGLeDRIDBnBbxkTLkcAESiclCMIAEOoE7Y9D Sa5CNKZRM+02q1QO44AGX2scHurpYm7RLZ+/pPXy8b/waCrmEzxFVBS7V1c4DtxbYY86Ck8/F73N w4Am/EJRBBAvmUJv8Qy2fWgbQxfN9/utJyzYnaOCQIy+k6ULS+j1QyHsOM3j6bCn9OfjcagtMLFc 7ge5Zu/SkbymfKMWMPnuns6efhpEyFj/OEFgOc14SeU0Udp40kIHXYnP8wSts/DStZIXXLt5NY9o wZmUINDJM4YU9Tlt6kt/6lUUH/27DfjXkNHomUd1HDXMYQk/bKBfR/z36Q664XJNuvKNi0t10iMu /5uSDqf1XS+qb4DdPPIkM2bgBOp/WLnC/Uw1zxjR2mnW0DWc0DXUgiptcb3PJtyxOV9mEJLCundZ l+Cxfm5aL4jZFFnt5IgF+ObQsrYpgmwKHepOAK8seU08IO3m7d86ern+sF+bytQQmcWpS703RagG LSvBsySD4zTx7xNZiGgo45zzaii9BZiAfukqiYoYIyjMHp05T9Y0qVkQsmeEZu3AgM192I7SKbeh BTBYsQa/1x+18/JezJTtUcCRLclDcCTr6UgRXPF2yT4xNwR4zNk3iyTBsQeJxm6YjE8cah1ojEyx cIfxx0IXd0+IKiJWeHp14L2g29IDZ+Q+7WKvRQ1FBGWEDb1ld9CyCMwJ3GB40VXnVgmozwpt9/BO 8e1Jl/lkgHjjk0mMJFdvyJKuJWRRbkysYmOa2B++91hQqdcKfChBlhodnHG3k6OFDL/1UDzXwezg YrrfOo2gmkhl36wU1Xgm9roG6zLxtV1XKdl/6WEaOINecL+KwGaP72wdhnKErslmVZX7upszaIJ9 GJbMb+HW51c3z160WXFycRGA4L7N9cqOtgySfkQAY/eVVS1KUwOQK8qQ1K14WO4ReWEaBJelIVj2 miSO3I4YTngOKdr+EBIQCe5DrFZFX0pg4d+IuONJetOUeqjHTcR0ne2v6yhRfyY/yR3trr7XtzEU G8B7oUHQs8m9748A9gMtptb3DNP64RUl59WVLpS7Cl9midhnwjjN0xMgxdKfLsxjD19KLicxcYAW b5k/W7Ou+iKTNnVeHC/bgVB99Ym6mnCEJFt/5x198p8O/wdl0xDVdR2bM+wjAxGePUlIRvyWeAh2 lbhYN+hlcb/MOPN/9K8RxYV6m5U6SHNSN8R3ynxO37f1+mRoIDMT84KOHn/+OQYH7wJycpBnjr3O NscOPr2EDPO1GnoTgUcvV/TpWwvqYNvXBED9z8GWDsFmAdNkTlO/5z2Zzta6b3r5nXWcxPvY+UBh 5nw4YsL1iC7uMftHRd8g3wifyW6oKJeaQesyPJz8HyJUzznn6wUEsHeqDe9KRLRT6OMOOzfPbc1s /xmA1Ri99ChshmPTl7XgGUFmoPOhpMwtoj23yKvTzIk4W8LPuY7eUQ684za5w0Zp0nYvqDZmczIK OkunmcRyB+2WYeEoxyyI/3UnvQyp8IORJZ6tT+5pwQREhMEqBtG5pzER5BWZgKi0tlWn7uIyRoqE jhPhURFEU2ac57EA0UeRUBpJ+g9200oIw2vOftM81oZkjKLccuJx+zFgGq27+aSCIpXYoaT3Vr3U UBgDoz7pc1YRUa3+knZjMFTA5e5oh8pjs+ARsLKoVmR/0ufnEYg+GqI39gYmo9DGN0E0islJelS/ vpD+PD1m7NZDqTlVomY4BTGM+6rWOylShqshtnpVbII/6MQtTWD2HgYjBKSqlAODcWWZySIuNKtC AJ7/K9sZYLu/xVu2VzecVI5uEmBg8MUIb+9IAmmRe/sps7PPQyvrHXy7EEwvy9DXPC23aSoJaQ9p 8J/ojxo95MU8ESBqUHnFNoAV3anv5ltxiiSNIVngcmVYSX63DxM73ARtah1q6P76QraXoEtYq/M+ tM4PLYRijxWjd4rp/yby3gfeO2jv0vyX43Ki3Gpvddt3dNRjxwNuqFhZKC9+di3uvJoTDiFOvDx1 YD6J1tXgMpVLh794E0WjkeMOjj6aGBs3F6k+6vx0x5Dt9PWgPH4p59/B/XOitvHONtdD/cc9Xbz9 Hy0zBSnbViHTCWjcvAN8ZeBajcvlY4CrSqDzzJa7Uckhfr5dBhgKEyEp9jc9uwnjDjTgcfLEpLSl ZbqFJ6RZauCJ5qX+KZXdl3u/jAD/wRZ+d8i2JZb3EdOlv6bd+Hjx2gBQ3VSV2Z8zJNZZIJYq70b4 IgYEMDq1Z5Gm5ezKv06pt7UV/tcxOBsplyrs7uwD6ybe/xrf8iNCAUF+6PcHXLgXW6zc7inuorRz 1vA4Re/IdcEM75wkD3Fb9usClmIK8F8JJx10jWTl5y3/r65Vrv8ZN6E/Cy5qWdIg8eNojZa5Uupu 0Q+fwi7vOBSfObRXscg30f+6r5BFGju0Xcecfyl//DCnoP6+GB3LfQFb6Bm8vACkvL+rdRd3Cjnc L3/NVtXp/PZzcUASnVeCLAX2vPhX9HlLfZTm8g68huqHJy2sP24ldp4v7bDfRSv0WY5ot9pnfkmV 0jt4WF6UluOGEAzlLZqFujeJiatPGJPINC6zZP4nNRj2zBIwa5quR6rYfBQuJdBKqDNWOZYdZ/Fu teTTU7MPhPg9BVd53WTG16ijAPm7LLbfBXXxyzSb/JPdirdgsiMg6NzC3ObkBN6DSNrAFPiKfpDU B4ZOHPi7wJFDXTh9JFK9cRsVMBnCJSteKULL4HwbpTbpWoXzKitkIwvt0eNnSBM0oKX/op6Tddl8 GzV6JAoP2sApItL+sT0qKrQfhCWYtTFMSLMR8Gv6lRHNrYVgGpvyJDHaTzMtYJjeEZarSJolVWfX gQhOyrWVzHl+Sz2Y7mMN61soHwFR9mV4GtQ07Avd9SgSDKKyBp8FnpGlo2I4fja86xVqX06PCV/v 6kshPQGwQXG6blcQE4kyPqrQmGBMtvlamzSHt3j/PI26+TcK6UVSA9lhY+uBvznFOtbRSqP/bNDM yt/s3nqzNFZgL8kimfZWA9VcWfSBKjK4eMjwUO+eB3tq6syl8v4EleUMi4A4eaAah2v6B9jstiVf mZrLoatNh43bjHtqTZ4hzLCLVsyC+yqaZgNYR8nIcuFtgj/7MUEDjbBfAyccUfCZ3eB8BuIrQqZF 1aUz9ItLa8ZNgmy8Kmnepk0dYcMYE28M5lt0Xfi65zFqvw9nSnjD4OGUxAVHLgXVF5JUJcj23HCq ygJURYWwSDRUmMoh6j8EwEIR/wBUkUm6Q8ko4F4JGpVdc+BJrt+9tLehvtmxiuzTRYY8LhQpiPZQ Ke4Py0mG4GgkJqFq8SzKRwwnd8qABtOR/S7AQIaeVWgFAlzQ7m8gAmG2YkmszG2cssEZIYz+wqxO hmOYyocAAh8bmCAMx3sQGXAkVDEHztjijVAjEmkd4xGnjts9ik/u43ZX7vZCin4rhV71mvanuo6E 0q6f3Gd5YSOpMxn3LwsM9x6b1XeHF58i6ie+Rc0BESEXz/SGy9PKMuzKrLiKIDXcjxfgsmnRpPnt psrA8+Hu+DuVT+wRgt1xyi0XZY5KaByFN28Lr0LGYwkLXD1PnNV6ngLj1vw7HaZNW1dsNhTYZvRg DSz6m/8o0VUul8Ijmiy4lVFojw4ABG/F/oav+iasPzPGnwYifjiI3N5sTaanw5aJFp3cvpI8dvEC wyqsSjdea2qRkQMq93XYM+vMss+b4DXdoqUnoZWY+XZBQnv4vmmxYnO4o2CvqFxInjmAecN/X6Eu akt4HGahe33JcVbPy/xjTbBzy3NJwdCg7wBfKlxsoXw51GJa7OnWxJ0dgnttqFXRSxHsZV9EeMye BnAL7a8ee7yQOHNXsmtKunI0nx/8z12g62AfHFB4YyRkgMoZUu/FrYe1sHxmd2a5ymPU4B4uHahL UrAYG5xMX4Yj9zs2Iv6E4PeECxTBvwOs3I/ViivDQGYTk8pxWsOkQOBiYwBVRK21vXLFs97j6Lht bAJkiC/Mp7ISQ7txgpZt6qGpsHH673wtC0dfaecAAvU1o7rNAFhoy7S+oA/UF0LeLJnrzHEF0qDm qzr2JKDBzOVW6S1/o9UnO40tsr4t9WcXkfvsLlXAoZ2uARsWr83+QdFrJKDHixhiMw7pZNrncmNR pNE2dR50k+n/gl5DiL37+JDXfJydBW43XAxVPR20t5pYfZpU/byKn0K6CGOjDhwjQ3NYB9OOEyT8 Tpd5oQiaeJL+l+IBMEhdC0qPOg8Y19aEg/BalAPtIispqW9o/2+cAFoHN6i41Sya9WNqA1fmKIs8 01DZqK6mdc2M6LdnqJ32J8IhQ7uX1O5NYV1FKwfppmxlRrS95br7824xevx6KaHurpFMBSNn/SX5 hM3j7aKkuiwu39Z/JRuCZOGVOE9vVbv+Ex0LhdWgfpLTwate5A5eQd2zkG2ckdWlaJlJvTGedOQU CmK21XUrZEpie9E5VFjIhwrq21/T7XIxnvv8B0m2TCQNKZldiOwkaWkDk9k6F3TkbeWoo3jKOZin 1eKb9B0ia6i2Oa2P74pneHqZJq9k2bALy/iShS8xT+wM7Eo7g8W0s+Tthld3DlnBXO1wPEMeGUBA 9mnK9sxmVfDAfDofGUj37ctU+8PbjjFR/glpxNUVapKUkWgSh+7cxnuukmmS8ue7QLFjoPUpjlSn zJ2NU6FuMywAF1T1ZfzNrf+QWO+QZOH5JxGuNybb4cJ3HC1IEk3GQSqY/IgQtaRxcaqH5OZDDr3B emz58cZ5eaenV/sNbbxqne0CAFFF8nYhMSFlFcyrGOAohp+ayIyDerZ2xvLGW5E0v977WyJ7CTqt ZJJJecjqRqdPKY9BMe21d6I1eA8xaFPhW7f1gYWV9QT/KTLv9n51zUj4QHXoPOVv4ICcSx4xWyBH wpLl7QA83xVsNC9ukPFyskRdL9kit7omp8+MRqkQ/I3tNQNN8wYUkCOpAQFm7yzo4fkIzlYZo4Bu T14++Bx4LK+aQCxm3oh2BcYSUqrJIGwLCI1Jv1yQx/SWqt+iu2RMoN+vcYJ2F+q3lIHzWd+ZwlDz nkns3w0Q38DBnLGlpZcI51pRq14zhjNCFGNhU8tHP4NIokaiIOEBUSedmaOozY9Gb3BRZsC4eMn5 IiFKBxx9OAYelroNCovyEz9qYfj64pnuzRH8DII2ThERkjgKYFZcyP2kT/FCyD63lldL8K9DOdkD zNXOYB4G5ZYbJiIq1fUQNhUue+bdM48ykV7qJwiSFIr60iWNvXtc1WxMovrt1Fk3DclsDR/uabGg 1eM3QzlGZV8AklTZVkRBamS0C/RpJatC+LPjnobtn5F/ZoWsUmBaX1jdJcAx6FDEcax2zP2bFbWl JmZLFI8sm1r8tqggE0dKeMDgR89Jocnh3ivFb6r3sQq2xJLGYxwdqJ4LLBGi62IBdIZuG/FtJyvd 5aazsFy1V/Sy24wH+37oeL6WPpcwgGceclPXnwQcNzVArUDCAD4fbaByXGxgs6LZzL0izXu8USKU EQfQUR1aN85HuPM8YKPu75b0uxEttdRJT7kJf6zYcU7qF7/hCsDnm8XvzXQmDysR48IGnFd/rvQQ xSmVTpV6HqGD3hXaduqYrZ2tBvG7sgG74Y+r5YRBcNtl/7vtWNClkZ9sCcFmXcg0/sKxz71G/JMa rmQ2oFcwxyMjQBKZgpYnNAJeApNgKQkvY6gWoS/KW/uJVdhlGcZ7GLYJHYed2qSkppWNcsFtABW+ xitGNnc4t4LFr9bouXfQFqDRMJuNmVKbsV8kD6+YENtcltYPbBzPa+3Y4ldRtxuMCxzl7kjIC3R7 dXXCiSIr+x/r+sf7sss1iu3pCQ/kztIrh8AY+uOzNOhMa6EZ4jU8yBb0ivjpqNJ88epviBDU0fok os5n16UgJm/fHlkhSg/4eayumV0ua6E9MtMntlgc9ENcBnOBz6/gDd3PGi210vHID7JtYttiXYQc 61OYUnKWBrV44Z5PfyvA6xoFatW0AK1uZqR6DxIMJzMtXCAbcvY7RzwJjVKxVbtPmLb2AwcRdzhX zXFYtp8rWSYwRk70o7Y3hfRM2OE4NinJa+E03l4cfbuJ4gRtYU9l3A+TTEQFNXfPG3Uw08hS60Mv 7D5ivlWMxtk/OMRzkO3ja6lF3JTF6jasuhG/t4mHaBlXkkRmvfOqYG1sBBvFtUU6Q9QJTbOo73l6 dFdKLnxjNLX5I4nWqlHf7ieSDwtZ/sfnZ9G26aQGGKNn3kyjrybmUfk3Z/Lq0er1L0wCB2vpjbaJ LsuyUvutgcCMpZSlGmwF+1oYWhjwlyUkisMGVFK66brD6mnXDkefZZ+dGF2BjmBg6TLhpCvrmPtC A8l9dqmgqPbAdu7WTYApWOEHE7euV7h11G3RiHdjWWkzmYCKoMnMY4OnSz6R/AYCb0UBZu4wZJEY A/MRnKfCZ+VkrOko3jQmVtOWXKg+cZlPMGCjP/jxEW+tzid7gAFZxY22JTcBvTa4nT6TNwz1oO9U KrNvWFLUNYmRAIR2b6G8OoUEr0GsPVsBFVvWOv4+aiohYMfHOzeujQc4eEHJZxO4IC5HSiwNP48i GpfXWlNhg1HumygxP9dK3Eg66RtVLGARJ+UlTz6Af6uwqfSg/LL51fnnCM4UV5Ui/1AjQ3V/pWg8 W7DAz3f4RNxUCmRQH98razhZZKC3sZtIWX9tdwbqE7RxTz4kxdL+i8XshkDRLuEBNzu3qdCGPRBL jAHAsVtU8aS8RX1Sxmf4aRzgkuFd1ujOCuMttYxhcD1KdSe4FhsD3jnj/5p/B+Sk04vy7+Z9y723 aePom6XwNR49qJJfzNQDp3OETZcW7yUlOKkEKN+LltXxIkb7nHQmB1b56aANOBgXcWpo0DuuIkNY b1gMmPU8M0sctJB163VexlsLsMYms+me4u970IGwnNtoJmU6WasR/+TkAmddX1p3QUOoKwdpOcz/ +HVT72nDksSOh7jTxpZ1IlDjn7IIbVmcSOcTFhGB9DslWsTlpWturH8COba5LoohGVmUdMiSx3ZN o2rMsAcoNykx4YtsuOyEED4bQaYtRHwCyf+qJum1AZN/VLt7uP7t4Lgp41MDejwpDgHWtwRsDZs7 pnc4BqlznFkVKUNKwLoLYDXq7qMtOY9xhQdz4nnCwrHLYmKIJi6s+FCpt6z/V9uswe/ZNcOU+tKi FHFiamuhv6vvA3M0YoECFsS20+pjFO4z6DXuIfGGEqTecaF5l0JU58BF/6dfEPfhQN6Eq6hR1Bxy 9fPwlt/mSnAXmgAKZyoGeWVwSflzQByCone+CP1Kic4sFhphIZmqsQwM6SUJvPLsc4OpsN7D7zB/ ziYoQqPnWRJ7FpXP0H6cbKECkkKJzQ2kuEwIpDf/ssnu615+1aLn4Rg1v2sKQfUfiftkhsYwwb7v 9rbTVRJHJjonEzv95Zda78UnKgLygjMNGEl84vCm13OMtsYMLo4hblMorTgGdumHFX8j1Gl+la2u zaHrmyZQasO17hsr/lD7RZX5IbagRc2IA7nQzSQfNksqe15tXQ2dwUh71watkauop522PuyCgfW9 TEhBNLlBdg5AbsAdB3NfxVOO8QZgYnbT8WhvLlMuhNhfbVpdOl1qehkAtdRsa41Owe8c/G2gU0SF A4DKkngZtn1vswlqjNkd1ZqEQ5raOEI6FC48Aj/xFD+0BM5f4ufT8Z95xrgYcIE53ehQqVIXGR4u Cgf5fEk5j2VSfzgJztJ29ZWmn4UvSq8yMYkVC4vPFd211JScconO8CCu86gwQpt9ENnvkFJR4YIb vLOKg4BIqzHGYsfdX5dvOkRbhD6DhW9tJpKbzP8qOEhY0BIK+Bnx7jfN2AoPu4GUqsX1xSDFngoU bSqabYVfDjrC8ujVdf1VImOaLSOlOHReDYhr3XYDZAfMw1Ol3OrWR+YAx5gxd9dPqggjJvPeCe32 SMgDTGYpjWzlosp6sC908VLSKpaVA3DDvkmOUW42adlH/8y6gK9JmuvaTOhbp6495pz65FNbSnzo h4Dq61qC9p+w2BjkUbygtzLfbK3/A+Cx/CJLrUl7pQ+ZUsLzH67tjZDL5Tdvq4XrDu2wm8dMjhVb IpY9Ri2Mqy7m2ygvtYSPbP19XxQPj6k+AquS+xnhGuObSLqSVmEFJ4/X5CVUdeIpz78kFVKB5j4C Qi03TCN7RwFpybAPBfh4zvhxjdV4GDasvbC44UMefLwyjHzhZT+Kp1lUu0Swe9fyGq3rp0BNnaJ/ 5miNE/TCJkCrpweFcCVCLjd3jpMqtGWaHcnCWavitw+stJSLDpjv3BNaPxxbqhLixCjxc/3Ydj1o 1FYA19pJXiQzhZQpGMD7LSKkYqaPWnPpd4F6uKN3NT1d/V134tUD7WVNqRcm+UG6ddgu+3cXpaxE /z4sJauo7dRQMrbC83MupAWHco8x5eRifWITn+yZmOQRhAcDwdhNMvgbeFHMt0cs2EizDipFJTkv +8wQdc2eeIZdgFe6pG31MnwNHIodNWB7MYK1ebHjGPLgEsVlQaxIMQYDseu3uTEeUBiYOGP/088d 46jJ610dxDQEIxHtmujlFDt3a1SsMLkNqCkuCUfIpg1XhgSOAQUP2Xg40RHmf/XdEaVIyYVNaWSG gNO/eGcT9pubgOpwoMfUeCwL4JBOXCrWoqjvrT3LbjenbAs/m0QwJGiqkowcnhhCVF3hDNPlebIA MvIFLUgC28rxga4qM7dWpe6umUuKvr4Gi65VTRxE1vtBnxzTSCxoZFyfnmWQP4hy38gkye8lLjnQ JsUViZI+2X8vKdMCxVWzw08M+AeCW0ujq0tf7nTKMv5pCVaIAdVCvCw9MmoNdXYhBqgVOswcLxdy eMyOLx7M6RSf/OLkgrUxFV2T94IgMjDgEEh0EP2vuqQuRYy3FUn3y+jVgKrAQls8UjrTe9y+eldC EFS90rbT0+/satsypOjR2xSDP1+nmaqjkizC+uDfjOqoxuKB8pmeEwOxkNbcGXRmb+7SVGuUnnSb AguuUqDo1OtlLmjc4Y1se2SwI1+Ibh0rCKgU/jn9A2C3P8aLkS023lhX7ov5EmszkU/UPJssapT2 wCqpJXFimwVsKav6KtjAJ7MUD98AbH7B/qVWZavz4qp+wjDwJs9iAujIBHdNx2N++LXHH2RqH8dC vSLaccpKOcZerefEeKrrfX3HM4ZBWyv1M27lcYA0bx0F6EqYWcpLprepWqYnh07wOvkoJyJ1sQ21 knGrAIJhN8Rb6Eiz7efv2wkskaW7Gp9nq6LSBoWf6IHrUnD7hDwKA2T5AsOWddem2/mi2yQZuPtU noVLMcvShbz9NhtyF0Y8bl3+cpceaLaPMmLCoFt7Uw/g7jHqaNZtblhnUqoN87RZ+g+bl3A7V62A 25HbH4BtYYhdMjCdVobny2CctErjndNZ7jRJZBw83wPmsZRku/vFO2My9I1UqCWK7yim3EFToBsB +93GHDbgeki9hONOlp1y5lHDJbXbpJQE5kPqW15pkzTLjY6A/va30EopWqeUpEaWHG9uJFUkdbKd 3ga8Hd3psKl6yDxVMxUpOCuiAZQaFpf94w1E+HY5t4Nq7RKbbFJRNC2lRFge8L8fjYEnlRcFDfPv eu5Vg9xtF0M1JHmmDH/9NntP96QxFvYIncQCR5Q4CMW5F+Ap6f5sqAAMjkegRPPgMC4XL7X92RM/ DZTXC5+INDhAXBNgDiFw1Ras2L4lUex6b+wF4xhj1KmGemmd1ZpYXeEvhCzWw63B2cTsTBqLuKkO o8HMTQ8wU7QBUjaIRsMkhLqh1BQ1ZsPV1RFxqkbkrpK7e8hXXP3ZuQAG+OdxVbf928AxRjU/0ple /MzeMnc0XZka+g95GRbzvLc4DAWRvqlSB1sGTn2aPPhIPsar/vgHj95OeCh+LFi3z9L43Sp/y5Cp wuOeGjoq0/RAieBQv7V3WleIiRA0PlwVRPQbUV7vqlbzwZT54ZrZKEfaco7T6JTTbjW2Xo/YLS3f vIEaLY6gT7X+OiJIrW3PAwmjXhXevRLeWkT+s/V/amzTJXoj4cgRy5LaogSFHJtPEDA2k/7tElgu yk9NiqUzLUP+f/ZF/LjqClq76xy44objMDfRmrGqC/IqrZB8DfUrwl0WRi1ihXqmUVKnF/kdHjDm +YrLkkUZgdGaHLyMJRwpRvUHaDABWHJEHxe1k/rf3F/7JvaxV5hc8gSXcs8w61AQklUCHloTb/2B cDkdG5jWUXUoNNhlJ3e9YAr7gTkc8KviuwoSIMQ1JhK94B1rK8PgMqV9NlySDmpY4EcqfR9qXS9C zKV3q8G4WHft36h4gytvdm/rjuQrCA1FTPsXlGaRPIuBMw0nEI5cFwc6ExTxjrNy/SHrsiY1KAGf 0hzBjCFe+Q5eO2gz6RCyTZWp1rFW6aZ0w8/iC0pGJxPenrJ1WXvwkMWO1ouHza+unkUy1OSYzBK0 yg87bSrLk37P+jU/hF+tjn9SV2D8sSrNKZ/eo1gew2+ZvlmoF/MKVfzL4LhAMZEdY7eqLkFPy3xk MDYfnCkIb13n8jOdqQXtzOaOMqqCdKFRABGnBtLwYv/ycSx6sKe8NY9bezMqoiQJ5ZkgLnH6In2O uUicT38+aeQQuBqpEBjj7Wgpi1v6m1sR4Pb/c1i2w99NdjTnv34vvJ3Ma0qqX6+aORdZBU9Eja3K gc/gx1QEQOWRlUoNCL+mjg/HoydzJ0aup2Fqcd/v9NPDw/ewc0cb7p41F4DuKgqkiWlMhHL97Ekv FRqR0nCRrLeGzDmfIOQsyD/D7Zz4mszbtpIz2+81D5KIeP3L1YZxJlWIAY8LtgeOFytm6EFU6xoV 7lWbJJZf+eeXFboztmrG57QGVtQKmT3ybuePVGFbx5WZ+kXHiyrMaYMb/ldpfa9rvD/QVhlXzJZO NI4fGiVnkuBLqVvPMx7jP/vJK3bb3pf6BFWZrQLdXxQq9sPuFjDtlk8bVZdCS/Pnl/Lg1b6yWWy5 25NsxKH6sBZCKdWWRifMZrsHla98G36ew+wzRLy+sT3nxY+W/gFlMl7jjEG8CB/8fVCgCWIaPDQU Jx5wzuXjGLxzyd6cMAQG3cuH7TD7ctGtWtwuNVfla50tbd41C4S246QF9cl0eBVpHaPAczRznWDp OYDS8a8wXS6Vc4g2r89fn0niVARe6IJL3SO3H4C9lmj8PO49XL6q3+5mK3/VKyILMP9u6TnkcuLo i/WfIqxWqo24XURdvzik4L8CE4F/VkQYs7rYewKj2kV2LmzUkE/a4YTZbmN9jNJTpHWpvEX9nFG/ PahyjSH69M6PaUrl9KCedXoxAHGj0O+KdFFzlckKJZ74P4KYgO89hlMvdVblu5wuuVd05j3xbXOL a3cLQR/ndHk8dWFB3DoVHjG/eNLTn8ghesjJDTiMSlNaiOHTFLkaxP5mf2+p0juIaMSfkSdtLicp rkHUrVM5hd2u8cYAjJiKEBeDM5vIsYOEEVOFagmwhlB78hXsY6WJiO3xZuv4rV8Ieelg/bwHkZRK 6+n5sUvn+yJjl2F0FwEaSikY5UOF77ZjjhYXPesfRqwfsNGxQ39g97QyegBMFWaBqIdSUqLanL9h CwILt4/PX2O5Y9fgBnAaQGE9m70q27tBSradqGiEY6OZQ6zUzwAzGVQN8ZjCyj+0SCVhfafW7fKu KQvbFKRS/IdaUPlr3k5bEEVUe1GQETrC5zpiOhnUSYHxeXvORuTNYaH80uAKpCuW0O0+l2PGA5XP x6Lp8wuJelxdCyP0XTRm2clWxIfLKeB2mTXorVSbyswL7BXYSgWJKPLmIF0xvosSO+u0dG2CVwAP 0eZAf/38Kbe/xp5kdDNej5DVj7rtgy8JhSZXsIPvtwBZehUrOgbM8fkNhdRv613W2T1REkYQvTEM Cz/yIHD4jS8Ezy7cNYLDxhwTpUKFWQHmqBCXEBxJpBxDscuez4/3uf+GrflBhBK9h2oxEHKMKyOr fYk6c5mMEszR7EexTIRODEFuwNDdEFmkdCJfJ2xVKqve2sQOJdATD+csT7MbAVrtuerUDwBc34QL ZBPST9Nm6zUqldjzb1WXPgYjWzVv2QjzX2sl8VY/DeyYj8KWFAHq6Qtu2z3mhjpEOL38bmNa0sTQ iJE+DNtviFtwoTVtQWb8a4N5d/uBzRkfCNC5vgXtA9zgMPaZUlOHLOTmjvil8+OqOCzCovbRyCpG 9KssfMg8Q1J7CoqBK0trbelW6TzKahyNGcP0BYbYAYZNVR+3elSNkZJV233DwteNxjhClzBGZQz/ XAb+CGDw34zfBaBSTC+jvj5CX223e6v2Hnv9KrwvJGtelQEbs66KVtN6H3M+qZ2E70fZUR1Pkdvr tRjWAySPabDmkDZ1ylIpeBFdfBAQo9cIylI2EONEorfJjCgmOKt5RxrE3xiJyKzh2ym3mY5FC2eS O0xW9nyvZuWN2tMrC/cuHSd1stpztkJGx7fXOX8FzMbHe+sAI96nTLTiEuHmgltAWRxMDTRRFsZv 9/ZlJmsqUluxZ3/et4DDYB10Ai8xOqnWd2D7cXAM03pfymXjeNaaS/6DXsyMh5SYaVqGrQt+mdYk MxKGmRSwbB+tGXq5e2USVC61Co+aql7C/ZOsGxNB/xlaxTvOOmDxusYK9LqmNlBoWwpZTHWrzao4 YKzXvjBSY+SX2f7/eqMSahmy3V1OGMrM2TcJDYyE9E9erEa8A8KMv2LHQILjB3OYd7bPwbHmnbZL hR/s7iWSbJsYf8ZIRzz6Pj4zwEJx7qwi3IPuUMsHqwQ5cQOsy0bRpeeA+fgclTnhGy1M7P8oldam DJHDJ9f0r7JVeW+uLc9eizHlvftkJSn2lSSZnJ6sZan0krTMmbP17jG9klEUXJOCupspBnC57KhD prWp6jtzERxXqr2jhXT0ilFZoUd2TGlCDumfxl15aqyPsqHciENi2//5UzeYMLbT0taViIZzhyME NwodFmYWsG5+dtdwkrQ8WZ+y7LwTPLhGAXKcTibvF6ewjOn8dsSS5eY6/QtFpmow3VHghdep9ElX 4Ci6SqUN2cDamTluA2Mndakr0s1S7qnDI0McOr+kyvDAUn0i6v9TQtkZtBSdf3iHN7AxxqCrSov1 Svgc+KtydZMLXIxvu86iXKlvcaRr21nAob/FlfJAdYlOv/bfSoT2naMzE9i93NgRapmpiEw7jLqv AZeGIV9SHOoUsaLAAMh0Ot7z3PHNiE3cfx3bKqa9nAu+lc3e6BUpN21tBntowNZrqZ0ylwE8KDOi nFZ1MOvcIsR9lxue/F26PZM2WAQ9uRkk8Pi2TaeQ5emtsRuZq5dQkbAfmBbBqTed8W+FtF21V6kl FQCMtd2Eqc8kJN0pacumSN+w2k/H/veZyP5isFL8Ko05D3kNUjIloxYrkqdcteyyjT2uDvAGHXd/ HdnRNFmihy9MhLbnU81IhgigfxfE1HpGNFF/Xvw1Dh6IYMfZ/YO1LHABJySTRo/P7tHTdQYC60mJ QDv0IohRtsHj/bsPTQtSTLE9fHkgEXxIIKvtDiUEt2cvwOYhu7ev9++TtskSIApM5wl44wSmUcO/ eo0NrhHvkSdZR8JluVLgzhRogVcbeDDyGFIDnLCyvAWLejz6BWQlgcr4d7q7jpClkC6M+qDAenMX NxkAfrAbEUfAwc2O86krKeonVfObgthivZQqpaMjH5ZnypuGyWK+4zrJcy/X+KAJqaEqI/2/7tyo jpSWLmebZwXf/381jsH1qfiyACw9ztBmK3VURAWDYoo/ZCtqXDZXJQuE1cqgHWQIXwDcMR3qOg+v s+kdDxoUEwuXTS0OA2AKtCtlltm1LfHPSp5HyUqzu7vGakvXP0ESJsBsPvqgfyoeSyQZZajBVjp4 fwGFL//t8gBDjU6cgwzh5a7bansflgDFecxRJaRyV1fJ0Tbyyd2gdgF5ijUv9QzfXWxmAXFobNHj Jr7goK434lxPhCt/aIms00efS7eFlsvTqpx833Q+yga1g8am7Vd6h5ARLISigBtod/MMsW0mmaUa 5Ir0mPBVGLh/z56xBBWFd4Q2e2ndpn/+QXxupmU33MqZNFUWnPKxD5gdRB+uGSuhETcdlSS2RhAN hIA7Zr3MJV/BbdnzUJZ8aCelGtQL+DsN7n/rIIrlo28CvRMwjN6N2mFm6tmoXd2eOEGhPl84+3ky LzRO88Vj2AnBx8aCI8dQwO5ZXTTC1es1qPHoaIW5bDgyH0er7kIrcZxAY13uCHXgnz0QNigwPy8W cppcRI2TFVRAyncMNg6F7hewSRNX+ebPVzSEt1SOiaugndHdGn7PYS+qqEWXWID3JHnNovliAcFc hDRQ5W1XW7/m7rLew1lWIW6DzzHWUuCz23fP8EdIcpWY9p4bX+NgRUXrA3p57ssHiSoMXX+zQul0 7EmJ+cRdj9bGlPuGnCDnoNxu+Jh0IWzs/Ioc2ozYVCgBe5H2CLqboMqaWL68ebgOgCSa6U+efQc4 StvzZtcjNO8xIab7FyV2kzyKgeH1nzM75oGpBoJ/IALInBJn+Jn28YFGy1LSbp0MLCdrrXwoUylt iAPALSAu+H+DE4kcwI2WugtZqvdYen/ZKUGqDkYPy2vY614hOMROLsBRCiZiSJI5YFUv1lbxl3+i m9RV37IRHyiwtxrT/A7FbOyUDoHo8njP9ApXQo79tJsHKMghKuSci9QgH4zGgNXaCCRUd4ik4EBj UV48hvIY2m9qg/gaTGmhuCJn5hhLUbhEnxmV4LwPX/cI5MeXUgKdvJmnWfLy/knhZTpfWm13K0PS 8VrVDa96dwzd4LoEeLkRjngU326KPI+MaEqlVoKDft0wMlVjLKHpraADrxHqgZk68IymuxXRuJgN tkkxal6trWhItnVk+sXRRS1iajqVGMk2FIxilr7sfomdrGRbdSfeKSUcOzO3ngNm/k0BVACZY9kI 8WqGKWPQHJwng/HJmEH6jPxOPFfP8YG1QA4WTVvOS/uMhgnu+3RuEQGbVLlatv8L3kcdXNAqedmn JkJjRqz2MoBRPWtWNf9+Uwgnno9Ze5Ya9lbcDQgMp63i2IqG5TBYfaAI8x0jXuXHk5ANircgSKQL sQvtajlc1D8wgEGu06MCYlp90s+qnK6DqhSt8ELqSvXLf22C397A7f9wTzLfm55tKBo6hvLHJSzj 9cjuaNV4S80GvdLsWla9X1cXtvkhnop8GeDH5TP4KIAap8GnQcxNYHAUWTOAbT03cU5UXAI2G2RW sWp3i/tPXTY4u56mjyKhj4/4hINaa6/p28wjHcGgGV3Zz9I++B3r7K/T0QqHT5w2Z+Uqkp0be5kn nlmQCzByEwAkylNNFyHqXqXfP53kP43I2naJYKFvY0zxl46KQPsuF8asE6UKPaQfpCvX0hCaLXkD Rcp8P2QxZMqUugQJ6dirDaL4+gSKUvK9v4jep/t6cVhq6Z3dRHxKZifF3Jpwnj0RtAbnwQF99tga HDgI8hDyxvFC6PdIXBaw7kzH19IrFu5moXQu28KBy8lNT7Q558Paiz99SLS80VFXDk9HmyIICbAm Ebs7Hfl77Jr+Fs5qItpTTpK65RxbMwmedjSqvBixCA//AQARfYsABs40Svrux5QtSXfXv42rn75G kmZCI/1d/khN6j5Y5skNBuFhM8yNo/A4PacygqEpBp24JaQ+BFuGmVQKjcGGNoULuCmwNTDvt1Lo L78rf9reU+FST2vvh8XOliQPKdfbS7sx6jmsY+iYOMHPQSENG/PKsx8nKGUfO8yEwJUenxIRpxCO tKwcTrDtRQSx7HyLXz3najK/+13NaUWnVhjcYQ1fUmgEAVbgY+biZe2BQ8+MrkomV+wgMJEnrTMo mnn7N+mRm/NZkJ9zjP4/gyfUGttCQufruvssw/vgIXZvPtrCOi41JSkWiHEenu2bsMnOepoZNQZS OTRgQSyiSyb2p38trxivgL4kWHDH5VvFg4/JanZ1fV4hxky/yFauZMB/7W7vAk0cmlu6kyu4zrIt BWMHscFqqa5mlCce11TCUuB+fjqx+oXl0hKTvPcF3Fz8lK8op95t+nLImwlaAPmNHMQs5wXvXbik R6rP86PqqDA3UdNUE2sio7sYIc0+NdODEi0MTFUyhXa5kz2lZs0gl86cljWm84DxWP0cg/BY3AR3 gIfFZ5XVegAA1nqACKCA6ssxckjr/z83A6UcMtic7ZXbziGjMsW/sZd2DdSirqGf6ZxQAx8rICxh fx2RDE7TFNPeTavS7c27d3BmY0oDkNDStcb3Gq+D3tpAr1mHLH3395fxDj8A6TQgzsIyAEK4xqjd T9eMd/9My2yVo8S9AmkilCTlrS9Xy2diMazKn+IEOcmxC9UT/u3BuGyG21089cAYH6XreFGi2P/V dqgAutH6ZIMDuPy/r3oGPfAvvvTA7nZzvs+wgmyb1OpErqhnnPdiTuoaWHq3n275W9QLSn/8wgw+ pX9kheZ47qsQipCt1CB3rQ24WJF+BOIQbhpDHr49Wo52npNax+rVXS0uJFI8/jZZ2w4m+S/pUGJa 42EXOoacJYEgRNBAbcC2OnV3PWOLADT+7nXftd54pdsphagMz8V3QAWMUCzETq5hW7khdhAh4iEH djJK3pjScUgwdMiudsDpnx8eB8s8IiXDvEdNCf/VYE5EeOszXF/ZuB4URwXUFYJGONpyz6Y5L+Db xqHaq3q9iMggtn7gfrHmv8V66y5HHJstmJdIw/0gtwN5/MZl4nCMOhA0p8t9l8twulOKiX7H0eVs EHIKXUr1nCMars6ye49VV/D1FSF20L/MEu6hbLEfEFkbJQqM41VPuzLZ3jVo7BQ3XqXNRp4fizwG EBr2u0qIjK9n9RIlpk3rfD8v/5wKILEibSqP1oLV+diKMOhGzgS/PFmxWvH+HytREgYg1FIAXOan tmJt2YfaHn8as2e/c6F+ulDOL/GwhrgzuEgA97tCsgmfkTRSC2DbaaqbNGJqpnl0n7VyaVyPM53l ckC9Tc0AqxudTfsWWCd0wHP2k0+YOcKaHslt/P56g6og3fAMBU7M7QFRc3eL2Y5Zf72dRsi0QpYW Y8u6RVochifcWQAJkfsrje+sc5RssCoTMMF/Y0HVHa0VWxYZB8hBBctdTYUIlN4Q8hFPknbiFi59 36CJv/HDRe6WmQIab0zVq04E11QWI2008OA2EmHQEZUTCzcSxp3nkIPdkiRFip1ELP4/4rm53c8k kFBIymXWghHGjLHWNZAvVM9m1rULmz2BCZR53nI/91alDh1q/VweU0K34HE9XkAdXNF6A/cJO7/d MDCW5nIG3N8wxQ+jrJ4IHd3otaYq9+N5Xda5KTsz/mUVGJpiQRuWTq/70VlpJq4IsYvPQQvabf2J W4CZbBbhc/AH+DsPJiRlCdNq3h0PLO188jLAVpRb6SROJIZnrXH+nC0c99nODa/2OmHc1bX26+wg idTt+TJoyBuXLqOK3dWy+Va1Hh+HQFq7X+HNPPJGTc8YQmOeee5VNSAHr+uqC4+hsR+Hw09EHvXr yaoIFRXU4aDrX0wqoG3SAtmvVv4WB3aOOQO6aQTE7f6BGPvJd4nhakdFqKdcnE81NF0rRp+Nq57b mmAfVRgZtoQ4gjICb/GYgeZ4Jm9NSwctJ1vrmREWtL24WC2YpdpqzIgRjaIVU9lwImGFkNchGkDJ adaIiUKmrdRdDzPVvI9hWJZBqjqEGJTL2gB/XEksWnFOdQYbvgC/dkDdZjYi4akmFbFdv4Cx4Czy Bl48+viC63TNfdCXsQOuvMQKgXAoLlIt2zbyuvJQqnOtu//6ksC+WpUo2ZVrx17QZZxgxgRV7MPp A/ZVISt1nKKsV9FiwYwMNDpAG7UQAiC42ONqudQ3/4eI3txMaCtREtcjuYGNthJDczVatB9JUqrw Km60fVqkiFyrMzunmTZRuyebXrzD69d1dEs7tZQSziHvchtGxL7Vltd3eEHLLkHM/9fCGk9KMp8s 1OoYgWlR16GtJa8rFfKQ4BUGBBEsGpPZUQIY5PH0B/QQD5jrUYwhNB9pws9pLj6FF/1CXpL5Vm+d u7EFScvjn3DOa+fcLwHc6OHBPwycwyKlj7Be1BREB7EiWaxV4T3YS7xxJylQV0VAmbn1+TrH65De uu4TlOsStrU7MNE3Nd9bpMpo4DIvlZlC8jJ3vlGBr1fmZ8eKIc8GYLDzbigbu65ktyBTkib13vop wDdhACdX8AiOjQxaxM25khqamktAokuakplCvEyBgwgMHhjqT3Jgu97CJuCMyyjdhjh6esCWTrx5 E4L2iennk54q2jZZmlySydruZoGaESrf7bwxywebaDPNqliGXpA+a60aQQuHu3RGt+fOyyqXrTDE ydJqlrqCY2p5H5PA1j6uvy2mWvld1h8fNsbfklh8ulajyqBfCz2+it+mWfmproTcISMWQLAyugKi LofNJ48HFaibHOg7ZQEgUwvBAzBSA1E5871XEPfGFz29pR86GgOZHJW728DNfvLRGzuYC816S9zW W4FR8WTIguSD5vlZ9a6hJnPp5DsIHwBsW+4KgVZHGNJyoy7xmIQ+EHZcYlf6EtL/RwwRogRDB+BI MXgnoNT+/LfGHo4WpO/B+j6qqgwiqTV4IcdD4XutOkGs3De2lf6ijNBtplQnQDodAdEUdcqSYTXh dOUxwB0YUX1HntVxfU9pOQqH5dBEtTE/slKCQLdAH7EG0UCzwY5PTsh6ZRiXnLQu5vIYLEq7gFQ4 HKHlQX0z1pH/CVRv13KOBjp7Vfn1pwKPXHRims/bNb9lccVf32bhw4ZH3VdCJQpIeNqUTOjxuIVr cxhuWU3GY58vOW12A3qhNnBieqXn1HUER7Q0eTU58um1ff1ahoQ+OmNLCPh+cluuRYyuXcfuNIzI OZz/XUbWRyQeLk8MTwkCqe0QC2oJtAzYdWBC9EwWPwCt4yIk8m6NHLEx1BKCuccnfX74l7YagIOL u5DJNIcCHXuuzj7sAZmAZjQBhqNG8kzBD/WEZuUJW5kBNff/H6UuOUFa0GTHWl2/0b4yqfT9tTIf 5X4cy9a0DpxD8uV1s2JmkgYgPKXvyreROErMl1+xnpSEcuHYp7UiT6ZRksPYcF8BsqLBJ317WY0J 7JufZ68sC/DJtK/VaEJuybF/OjywYYoj1aoYo+F6fY4uCmoo8cSb4sHDlgT1NZNW2f96NNVLuLD5 yMLnpwCbcOrPXqFwoWIrtwGvNEukycD/WIA1phT+iiKH6hhO3UQvTrSOkAzU7yBLSIbkkOLo97vD /s4+heddDJpyvXCQYa5sue+tIgwQ3FlR03wk7PxZbOxMBuCB+C888S/0Yy9+BI3NbNFAYHCXPCG7 0wGwMjMvyuTAJ08apl/28giV+x8uJah0ISzlmgG97g98TdsqovBNyIQ5WhduG29XpfczIW5XLxDX 9bY2Biw12L14H8xYawgPGARqsvFLqSN9NQX/jmoex2lI2uV/CaIti/5KA2UxN/6D8KFw3k4lq0Vk YHok1PY3oJljPdvKg0jnQycvKl6kvlcwfcTQ8ZoSdqqYLKExlu0/yh/AW1HBTWMSPRA6x5sKKh1p LssG1WnmqJgYo4m3XZUW/2oTUGA8/RunoGFS9IoOZGfvfXGNULOJ1NfSraTD8ftnVcHGcS8+I/Js fv8zsKihTtzK3QGUES4OGVaiZc1KzVFFpAr3aMkoHnflYnV90428FQu5h6C0MHY6jLVOxYLoFeWU +xq3W/n/UHm0LiYXLliXVi66B+uu+gjdATtIjbF6qJghaL9YWx9ATnkhHp5zwtM3UlQPSP7JDkGA hDUOL13FvJ5QPR19VH1Aawlt0mbzsxArAVUj0vu0TcsUEWW2Q2LJbw+2fazk4HgIAask9sjuEzFf om9rojHpdiY852gwegK2jXDyyJEwqeRDU6xMSXOFu/+JePtEI761R8LPKzq03hck9X5qBfXNWgPt Wmdgo8gfyrARkGA6fiY8t2DidOVjrnoYMB9ETYktMvUlazg5scf9H2Vy573mYpeE3+wgju7EgTLK cjM6KKWwzH+uJKIy+5Ce3YN/+RfG1LrNiw0U2Y6EunnZfsdTt6tcZkP8Ts45PGNRolvA9+MEkcJn 0SX6GSM10iXc/4DfbxFcKiULzZsLtUl4g+3UlOv1SacEPJjbJ7y4Z60K6y4pFvhIYSGqvhxX5q9z 410AOmNI/G4OmGK6jW+2z2hYXnDzyiAzIHfJeM+C5A7qaQStiybD9ytbzbXmp4AI+WdcyFKnItNI kAhgZ1ZB0RtV2N8O9R7VFwJQa+DLK+ZKVrNsoPfwv6WyYwii1lrc4fMWBVoKANaVefhKKY1uwwZV vILvG9zrPA8mcVmahU9wn2kEBxa/XOTonYYbSBgvXjqe2XfLRYwWc9EeqZC3XCo3/3aU831g8UJ5 3gcyTPWg/ihbjuC4QDqwhPtReCqj/bsoHB0QP0HjVM9PRzKx0FfmJia7S/09YOdQ27m7tfCeASW3 M4HETeHaAzanEq+3jJlJfMajLypg4ZSid7+u51ydjjTU7TJYn8VOJ6d/6Fjv5dGh2sH/wEkP+0Q4 Ktzx24fQ9TEAnAwO/XAPf+HgyYJOI0jwQdYaMsLyyXbqvgDyYsFAu6PHZFiIdg8P8aDTObRr9z9H MmFTG0TzdSZLmbeLfIi2sUZM82HABv+oHUbtsVVUgJjSPxOh5POupVsuFMtHWNUhSud95Ltsf7XV mtWBQlwa1Ps5XUTHPRzkIBE5dMGaWkHIFWOkmmVAqf+DsACbXxbK/OlYJuqXdJYdtCsiubDagfUB ekDSIwvP8dwvJqJ0NT204gOOfRa+eif2N5yA9UtRFM8iw7jf0sE/vvRdo0M48ORDAAWbrwl99s88 /ZJQ2Gc4ceJuz2H3YRXAuJI/m4VK7Hoab7GXzegduxgOUE84d6P6FphAB5PY83fT7PwKWx30xJMT 78BWLv6y/TutaTO5K3I29HCe1hsXoA8OKXy2hafsQFyNHX1EdQ8SXh3oMCzmkbOk5IHamYimIUFN Hr5kHcmLNDoQUVC6cUPNZyCkfGxjl9i2oRW1JTYzEu1i7KitWwyc/40GcntyIru5KZ97E6uxRvV3 /Mkixb63LLTYPv9QDOvsEIzBsLCLT4+bH1KDEc6QEdWdRTX6quyFY+hk2ie/LeberY+cvQwjbdqV doIOj3WtI9s4pN1lDGGMkKlbpdqmKtgv+hJSVr3udtcH+4yflGQRAmzTEdYMUlZYM/s5QbNz7Iht lDWq4qV+RK0tosyJZbEQDhEXOKvRx1JMLxoHXjzh3vCfWKz/g3+8VekEpUk9Xd6QXDKu9HJ3PATJ YHblO8o+oTiIoXG7lq80DKQgMaL8v2gL3UffOTps9DVB/RsBq3/y2LLa+SZK7jKeX6FhBsB5/5tC DTO4mbxAHxp7RxpmzrQcgBHYSEfX0OoGbV0BgkvAGdof48JlsoKaG76iTMTEFzDZ9XKDVIuHFYId 3GKTVf42Ih+X9apgPYHrBogUnIuzLhg4rbEGVzfYnCoV3vSeGLIJpwj+b/ZilEEdZPckzUlAzK9u 8GRqz1qKefYwDaqEKCIdN5FMeL7cq4m7/Oxkih0fujZPEet2fBdwuX4rLxHENFKY0MxZXvrfww43 0mGOsiVeg3WztP6JkRh4HhqUvege3hrXAQU0HOLXwvQcLLbzoLrJ6Z0P654rK1lE8n0mKvav2dOI O9M7VpfXIXr3O3EgkvranP4sb7FKGdsUCxWJ59pW3wbeg8r1wk5Z4ae1qtQ5RgYgWmjI7ZNbIddI zh5dFJlEqjWi0eQlD79V1qsQdp5CUUl2s+crTgsG6kMngP+wRd2DZ6UV95PJJsCHUW/0RKW67eW8 4NYCrtmAoce/3wuk+WZ5wAhFxLL30CtLJF3ZvHQOdmxBTHapgc3AscBzAGBxjfAnBBZKj+Daj6FV jzbxd6HPUyZI5LOU1yE8S0QSz2+TnXHnMB1BJzK9VCSJCbCRSojYQFyERwFRJpIy7fko+Uubzd4c uDsF+Y7yC83fB7k5L7Vf4CwIxeYQbJb3ZWAbI00avTD0QjRMCPUx73z01Hy/QDSF2YUIa1YHt0L6 mteEmNYhBt10x2atHSW46uuO4o3TYts+Dewl3KNpmhe9/GKUhvjKgQzXm2UwKhCNIMwPFUmaoLJ5 4AN8k9e3RtTGP7p2fjfjMRPjpdl8DLUDOEcsCxhAzhS0tawCQofZxumxZ4gm1FWf6r+IUDWvCMFW eXr4T1C6DZB/frNa4xHxXIh4O6/M/divVygQBwF2wbKjD8GTKCCYezYJB+iVbW3QY7ptoBqBVp43 gtjWpmrRrLOyMrBt4h/AJWWDpIqSu0UjkadHNS4VzvZ8U4sRjePADPoXENoOIiIfj57p/onUCVw+ PhNoPHLlVGKeZDTsFbRtxlZ3acrh5z1uiUdJBHl2hve9flqO8KLB5CfaPrFGydof7BqDMwVocgVM FCgZ5XbXEVgFi0VpYyJPPfLo16BtLOXdCw2LbxBPJEvy7yh5jz/o7NujS1tJ6oWGHxDmNB7pR3o4 jYLzrlGSfmCEYstA8Kuss5BRWISL/WdIDNR3HSuMNNhIgE/EF3jsOfy4xh6fAdP21IP9PXCU55mU FD25kwebcRdIR3c9H6mjBpssAki0sFOah0MQRrZWX7+3Bv+XX1oGaTiDONG27kzpWn8A/mxs5GH7 5J6lGHVUbbZ/NsNZi9ZvQ0zZQu9YXalqwAoBoIANVivbzvUyTqcIxBGS2ZJDCEsIb+aojj5V/Ms1 zjpRddv+etWtCOUt5HuHWgCquoEbnIVrTmqzFlbnIMqfVdY/WpqkJQDmt8vfklbuEuI1tz2vjVXv mD/y9s5E3wek8LRYq9DkCSRYmvEMZ5xNOtp3oFg9IFDdhaHsm0wjOtjUt8YCuilBMlZ0QqQPP/Rs 31ENDzPdI7jweFmzgi93Vur8OUftdKOxMJ1FA6vtDs2BPlfmZawwaYLvTUee6gonkUPteMvxWpmA oaenDoX4ddSE7t3cVEobhzxeTey4p5pk6nMz+Os5DAGWhExqmdbcSoXL2gLTWlcKJ0o38jyt/VOD IbMGzcbMLuWbYbU8pttfXDECzd3f8+90Kc2OX2LyJHrfksF2iyTsjDl3KkW7vSR8jMHoliH5JS93 PqfmcJa5XMoucGf0Mb4MHn5oTSqWRZFWZioe+kuQLhV2P22cJoGLrixgKEkJF9NHXHOEyLbi1D6o kdGVxoH7wG9x/0i5M1YAHK3ZlQ+1di4vPT4zAY6SmApm+e3puwr9z1rSb+MNiZi+819FKPAsQIGS ww1rE2lr2J3s9dUTt1uoecNQ32iIud4zdxwUSKpXQwmbdp39eOlBN9b/+PWBMJaKxeDZtC8p3yUT vTt/p3exZlHhZTAALSNsHYNNGyuwMm5WqPRkSfGR4AlVj59/vBc//jGgPGa6Ww8XbX57MoWkTWC9 bfWmxT0M16awnm4B6Tm4/LVjgtW7dP20DdR6pXuzikOgWHeK0f3QCXC0OisteuouWK2Oji8b2gNW n6lCMgstw3h1/QaUQ/eSZ80fNlJ0xPa6lZc2rAizeG+PZE+eBsJUZ6TqwDqzlCVcOvTYNRmZegVl UjW6fb+LWFzUWQHEh1XJ8+1JmX6ie7yrlkjowyk6RLy7sMLvfpxxsal/jyol+VixUh0t4f8SbHBJ HkCyPAlNnEhpV5lJfamRrjDVBG9FjoXEJWPr46tV4xSfmuYaqZtagEuW+QDfaf9xzZOgUxxFzw8o HcZDnu0vlk904b2eDQo2NM0pziRueBR+VTr7a9lXNTY9nYBVvVunYXME9F1OrKOQ46m7IDCcBuKk IIYgYbtZVqikeEkwSd9twg4PRAzIL7J4BjS47r2hp6LZMTjSeP0n4MRtGltJgzrVnnyE9m0EHZRS 4E5tEhpy83lrby60G7KKic+8oFLVXTQb8BuFmDnnwS/MJ6fTTRqSZ7dr9TuNGBda/H4teZNpBgdv GuComAQWejaY0FIGNOZqY6BHV70/yVjLcpckggIOwG2dZtJJOVbnDd7KxOc4QBVJYM92Of0nq7uL ONucelAH8+ILltfsIuiPSpugE9GDasl2ZW0BzPsI9o3Cu417mFjlBYhYk+fjNFU/7X9mu7sepjzr bA9VnV1BGRVRMQvSj545WTY5qiQq8K5Z0Jh7DqNL9IYSvoc9jFkOKmOAO63z/jnJYEG2r7PjYv2K ccdQTwnYReHxeLqSVJuJgXdp8mcQC83fz3kC43+6y/pakCCDKhIQ5mqZph3n3L25F/fkQJCMEg+h 6RGRSG+H8ZJUsim7dNHusUZLGmLSplUa/AfNdq1xqiWfrMPEwtmym8i5Gc7SXa5y8DC1AIph5gjv M22Xfb5U84rV58XDZOgyuXifIlYKrokliAZqn4m43Y9KWEzjhLpV3k21vzRnsqcUO4S6A67WzXVK GA+BLz/zRSwVDR47HAFIdvu79QI0aIJCh/myUu4eqA9QZuVg45l+oHfHj1Z2HDuqzMyi6bRs09iO UaJ2kJY2KheOn0jvM+2lZIX1HCoPNRqUUJEH2dZ2V1l+G7klex79I527ovvbnsWzU/JskFJPShZw DKgYIlGUAaPKikg+TUNo9D2f2hr5D3dvm5jgYgVGPotcY3rFSJ55BOZcI2WvWjFSL9Qc73TzmB9R RUnW4K3C1ava1xwnCeiAuIl00tMuTiOLcQhYJghNu8z1MYm0XQ5Y3+DmpuBJ5cscHlMsq7sDFpvI 0wscGv07XzLc9JlUL2uRwSRSVaaF/F9MIXST9NQt5NSsM5Yd4xWuc5QX8jzmKcBa1mC1z21VTJHG Gn/fscAKRXpIeIBPAuqHJeQMWXCRjjFDxIJBcZkE+Zt+dm0+hDyV0Z83qlUjppNgykPkec9fakZC Y3TYdcrzsERKPQM2fcPqqgZxtLAX2fCwL0delj9Ed0AQJXeFCgH4ve1QpbeQKdIEjcl4VtSSzfQG R9XxDEKi1sMWRb2300H9HYMimbBkX0Tut7mjSEltSYeO7pAAsC/N0GhXJvjpNxsjvuMVetjMUNkm BgGmd8sJA1xuSXXPfwuzV6jiqti2aN4XA8maKtSnG/UE0irdcI48rVrHVPo/gh/loqmyX6n2OKIS UMWKsQibGqzvuuvdz3+PzMFCfvrlDO8qeGqVBchiNbt0p0TDOLE++Ed6LphHzIfBCKuiGLWXTUhg 2zAbFK+CGfim3SIT/jiBlXv2x0FXZnWt/3AG/ADAiUKWIHAF6b/CPwTpY6OaL/+TKK/Cu6HySuzQ HO6uAXY/Cj+oFsaKbjTj14WASEb7bhw6VVa8fQD++RYE2DQ7PeTCJr0wwz4JwFFWKg2YWi+/tIGe t02DltdTbDygjGibe36oBdQRAFezr+hGMfPjw9Ac13foOxo+SRU94XV5NNojsUgILwA7FOkn9y+w OKoTO5aaYvAsmUmUxUIvhUPBc9/MNT6NLMSTpn7Mz/d+oROiVbzk1AFKgL+D9wqZsqjKlDhI22SU 1KielavTtFM/5byK8CHTtOmzQQ8ykeuysxwrt55vegkn+6ZU0QRoOnhZUJji4b4kR5G5j1cXaD9c +ywijJuBpZWCzY3zWVrXD17o68H7Qs48WBJoQMx9GMFxg1R41NW6FmdjKf9IkDQOGgrzJMtm0n0M 2oXZbkQY33Ma4XOU7KGS4P19QREwMbo64VnmlHjvExI/PQrnY4KDlDaq5qJAXk3d0bB5wQ0fvubE RFa8v9flxUP2KeWNxGSzT1VAk+6kp3H/rCe/AU8A7j7GHenUI1Afeib+ttQnU/3WcyJddUahfOmr q4mJ37oQOTqLGvkGVDD/HxlhQqivSGu3Z5qN75+kBlwEP4hmpvz+Yj8J3KdoN3tbpT7T/IvGkKn8 ZWCn0rjlj0K5ZCb7kTVFhewi44iM7n1aQg/zyIT3oTPYeHzZPdcOq0oQhCH3PZ/Xidi+jMDWOb3C rCLlc+RZ+Vb8Z9AsgAbez9XwqEVP3GHRN38jRW84MfuAzrYkndaosC+CfmdjsQs8cBKzUDFFlTNU BylXe+3uUwabBFl9EJqyWfKct5DcZwx9ZkI2xUk43LP5aNVlmzYsP41TQjWYR3b6tkxsqsjPkgte M8SSewjkNx//vaB4mjITUYwl/G+75qXNhkhx4uJrj2JHG2x+Kezg9csENuMfi2B0ja7HvZxL6432 /GusFkYTOrjeDwhXWEiuvMau6QUS8IMTaM7G3c2wPPLvHpFnblfEPXWvbk8xAE0TgUMEQxdnWvUf GQ53Mru0Huh+d5r1rzFhAVxF8/F0D+ilv5keYsz/LHCWooTqySmCgSnQTI4/SzDs18Y+XvCUzK9H +rp+XHN6GRFNxla6EHxOdEbAEG3T7IeA+IuP85p2FdAvapYjONcilM79ppOZ2tMKZwxvWnhFhwFE 4UEnlXQIND6quydQOWPRc+4BbqIa2E4/kpKcP5yY6wBa3HiFmlSSembPS9Awvq+qmrXq+ovu/Ub4 mLHisFt67UhxfXyqNVUn4IPIdg89ftQOFoZzHpC/TzVFfpmbAF4eAwtDhJIuFbhfj73K/uYEC9vM g9ShLzF4v5aldpOjSBQC1LImnf2uRm67qJ0Xsp2Di/ys/rpGRvP5ivZWqFz4ac0t+OhqybTBVmaM KbC8QIPHqqfUmkfaA+FB+aDEl5KLOh1x0669KAasw5HPjvvGKAspRfT5tvUleKMLuK+TgzJRfMVP JFsdFtZURgOk305f94Zb+X015+ZZabwpdOArWfg68kOK5RkGvRRCj7rz8ELKo7cu+NUZS/Ma6nMz 1hvzJFyhx8ONePA//HZKmxNuR0ONTU2+XZU2fOgzdkrOjNyrod9CJabJwLIoqtA2ELEdjQs8ZFhK mDFm9Zk3OnbYGBv33AA/3ndqKHHfLh3u2TUb37R735QDzRv4Pv3F4dHmOqR0ThzDDAu18kI76jRh FDua7/dVRlD1A9OmD5V2XGIX9ylLnrKhTr7fc/PRJR+2P0Ugf1l/tnWPh4UdGOs+O2mn/ggmx8EA 2n7T4/DXThdK2+4KzT+SL4akEXMfmkr+JMVfH2Lv/WZ4qCTw0sxeAuGmC+BCwRZzRoAEaG/+Ju1X 72bPXCmDJ7ZU+O5sHL1CInpaNEPZuKMqXOW8mH9RTEjllGO/Xhe2qsVqDnkT8KwfJJMLNKUZ8rmp FM3yLX5ptgPSJIskE2Fc3XSxG3sb8oc0fK7QXCOZFWfjgilO1ALlsRgUump2Qr8fvKi4PwRIBWEL 3VWfjjDlnBMEE/LnMgFdzQSRXbJFkDKCmkg61KOtX5WkDwEU8a3Ge3EGoSyAwhuCGh+sKdfGSBJy YIS2N3wssCiJFv50g8I/yLI/zp97C2CR1fT54RAkgpTZ3Nxpg6caa1i9rQjLggO3jQuN35j3aoKv nAfsHoszJoTIhLRPJFVeRQz4y2Qa6XeiZWwPO71OG8Yy/RCQZv2EcptoferYOuEuPdOTluCSD9aV oYMue1ecJlmfvPtEHiIFHVoviYKoJuqgYAdhoulKrp4seITGcoqqtrmKFO7vjWbD3NtQyjzBade2 /auDa9lD+z241+yos+pP0BzYVQt3b0Dkfn34czX56vzrmwyZ9FTFNaAgZw7G5ML7zPj6DA8gOKpJ KWFIRhIcDS1jlaOLl/MT3gGywKpZ8JvGCVpXx8CiOgTB1a6SzYO+sBsZu1O/DF+e22ftWQKpo7VF hfyNUJSPf6zRWCZmUDqpsoIAqFsnRGWXbTADWjs3JhelBIOtOOaiVBPjbid6YZEus72+T4hytuao 6nTIlPFadeAwDM96pN5Tahx5uR3vDnrVAnpb1H7gsuHslsw/CB7FYep8uO7vIIiMf/xZrTxJM8+P jaFvihNrqt/jzwB4AtYA88W9QFwmshIm9UKv9UmkDWiXRrM/2l0L2nsn9y+41jgU8nYPBMR/mxka KhQ0xGaamgtp+fRjJyg4Pqat1Blb1asPna+75/KcTncvENGVDtAoeruM9vGZR18cVNWmINqj+0IY eepVu7xS2WZQtt/RRXr1nM9nSCwkhiRnO1NfQ6KrMfj/udd372RFAF6ZfAuM3vSF1RYhFz1/APoz An+B7Z4Il4KfvE5KtPfpEuMa4csWXIWUuP4HjleFa6C/n5NkEABt3fmpweGmnka1RaEr+ejYFs2I pCeAVTR5XpIvif/aTQjx3fPofiGOfGcYhNBsao+Ye8uDDgiKNg7jtnxKh1zPg5nEDA+stwih3XRu /t+RhYVSWi/qlqIsJRH/RCqDMYl/Y8BpqVTZ8N22Q2tkwQdjp+y8tKTZ+LLGo3mujOnsHVwwz+8/ QgaYQX+t0ctrzuzhYwzGF+ONzpbZA46+3C7+rXoBvCXtivGTL5LkzS0TGzN/MFm+XQdBs0CLUXVo D3BpMywCuRQJGT9gUZBIY/i8GryL8tywPJi3o0WBVZ4OhKJP54XjA/x2BdwLGNnyWhwc5PenBk7K hY0TX7UoRS21THwoyHXU7R2Ba3NIhrewc/Fq8Rcdgwf935y8ZLUy4xLFIbwSJpvT5bs64X2EJ8GA vlZ+fD0VVX+6w2Vb2M8fH+V5N6ssVYhKawaIi1JF9Rvo3ADa9CbjIZJ2RptTOfGvquvzLiz/QJPo i+BJXYhg44D3O3xKVK0qbaykeRJ7xAL8i9ylh1ytKSHQa5K6lUrZjk99fhsISXyA3ANTA9N2G5KB 4gvOLg3rqThjm3xcSfSmUevaPuz9MONXyyjgIlNXH1l2g4/j9prViQXUeotR5WT3YIsOxqGM5vNB 8LYvuyVEp9cjgU1bYIsndbT9fDnTlgyM/53xIDTn1CGLj7sDD66FmhaezzbFvN5+x51yn0Zlxz5N gJRuSJQKUeoHAfvOOESaEiwoy57Ihih4ZhMcogFn8QJfZQUE5zZWx3MrSPYcnLqaL+6N+9qTWmOo uQQ85BQ3JU7SDt6MFahSme5OVXNzq1i4lsNjFZFOrqhCkUEsKVXHrCYBp2RB7+Ax3APYoIhvkEVX zQjzyj7o+Te/0v5fTy/dNi5EKVtY3ai4gWwJ5czpIJMGaRSVG8SMvwUU1Al/OtRMut+fNA2zPZtK s39ooXWOQzZTXdApeNZVK0OwfG2STTpJE3gdaRtssrFandb/GGNqRNnJ5mTJmEzhpy4LmdIIrjh8 +GzDNvRX/dNzc/u+DxRgIbElQ4SBJp9SLz5JiROtl+jZ1q+DR5jtalVr7I9B16ZND0mpzqv+oe+/ PZ7txWL5HWndZXL2gIeD6LcsEWB1ym0nzEH3aAk7dlk3/L26Yc72LvOeIyknsjXAm08heZSwynui vkiLm2BluphbebMgo8VLTgHRYsPIMFVXQqzxPe7irr+gpLgL1IzFkTqPny3Q2DuHwqVL1sw7chSb He5TlHRCAd5GajSAtPG0liWjwB/ha43bVm+NhuGRMWxBFQOvU36EHV7GN9F8kVIyQzQkSy4gCicl K3dKf0VSdP7ovAlkKgpaQa2qjclhu6VgZi2capcdBnQOa80X85t+Q3907+64eHq/TxTuE2cUsRwC FSHmRI50AWb7VQQwzF9SLRFk7ykCVhNqrTeqPwYnhwzQdfucshW7IoRKthn++jYBs/SQt0f38nKD XNajoO12ZtUPztF58rDGwYIDpV+OHseGdch9cT5kU+5ru2STEEVlhUd18rrY2CrVj2s/+FSDXjTe CF+2/MtDyTd1SM3YHJKttpeHF3IZTIXbxT5Wmpg6cT/tsp3eiCXKrguHWETwJIgIwauUdFBCwZNG bI4mAaHVuifhaaWBGgQ5XgdYTwvpnbUb004LhaPcPONj5wkdgBwKQr/STAR59l7lr0UqZ4nzbVEH p2o9WluMzZyPmESWwoV2sRh9c7opSvMVx7Js+0DAmbNaSJCyftR7lKNXNVYqDKbQxSuFsBtyl0FC f2Vb9yBUgPop8B0PoXEmA+S6Ws1rHM2xIIOaDJ5oYmLYnIl3DJ/LC3N8O8QM+hz8RZ0ai59OV+x+ QQTD5pDcOMOaFjmViLzMSkcbDXPQ5fYkTR8RTWU61h76qy/r0pUBVuKO8GA7RPbx+NVpnxZqEOd3 UrM+H3Q3aKjdPfThLYLaRsa5uEZLY/0Vnq+q72bDH7sper1pdMztdy6ajKlpLrtIym7349nIQQK7 zKTSrtk7YmIOB/XhYHe+X5Lz5LL0FugZOWA9HFcueAGKBhzT0rjf6b7RvlW69fdwbRY3W1H725gd bYeBZ2Hh242oDw/ElbqQ0nhDZdV/Wep56H+oNuZ1fBvmFzrFNxMXLebibNKyslr2pyqcN6P99tIq xLMQUS1ckZHk30kC8n63luH8mfMrvF06f2NgKB7/PmFUFaGlugCCCqpFywhu3IBovi7ziJZB7Y0s M0C8j2ZGNrSHl5mqvD1KhrE/f78fB1SlSbML86gC/ihEqJ0TDdA85GOIF2Xgs4Jy5A15o0Dn1tpR 3Fa6IKJ+qNPC9p4S3HHJa6qYeqw9SArvXiz6j0jBJBQszZuBtsw0ExE9Nr5TeB+r7tdso0nKBAr4 sdQYmUWwHid86ZbI3hRLy8zGKm9gqKyi/IeB+NFFdG8FjQ7iIGrdaF4vGpAW+W0UqM+IxHsr22AE ZBNO+6ewn608Uap8iOgRkxSMzRs8BcUr0J6DW2ePhMnHW72aj/IEczLE7IE8bBH6tGT74KQk9Yfa 6kNrKIWUZ19tHixa/dl2VAXT5fZxO6xoyKubiFdI1+4lJZAMoo7ch7iIm+vNoG7tzy6sWU5G9CIs 8vmRmlbtIuzd4OEj0kEICcU7XkJHI5FvveMXQenZDKaditFUvtqrtKJVXPVzI9x8nLBkFNtS3Kce BEqxg5VmtwH0rsZYapQxfJX7xOnmQwxpM+d/RZ16gorwAxPOEGzU++77oqk8kCchUHINK6aq1qGy Zeb75kSr42ye4fi1aNjo2VquS8haiMXdmnUEoGrPuN/aAJE/EFKh6DAoCY78Glr+fvx6PNWUCGtI DaO73fhZCFyWanNUuKPSqZ4JSH5EDeNNAiWE/2uydILmsf/2TGChXSb3VqvhBPlDW2Y+fqAgfMus utTWxJn2VqisBVgpT2El9PHf21sw5xXdEvCYRSZOTV8wJcVb4p6QvTA9wpIY1XsPbXfa5ovJhR+/ Za5CnfZOu+Z6wh4V6rgzvmCrA8Q4r6giReF1T5w8HBDG44fqZdeOukeeWVQHc8gJj+X74ngpu8qb 9MM5hh4BpNkt0YmrTesP6OjuavJ2foonVKGtsKl4fMpC5++HsobGjGg66/HBJqD8vWHLZ8yUs01Z 5iiuWwZNpu69kcFsmJOwWYCKiwtXGinsCYP6/SjArSZT78lLR/Gfu1fPvN5ZwsLIkyPmK2w1G9C9 vRShNu93HPV8LtN0GeouAYOxOzNGXkQmBmu9DY/2zj8twLpMGaDY8WOWwO2Nmk7+xZYvzFQibKas s+nwGr7aI6nB+4wsbznYSzQ8WlEhsiRJUJeUqdn+tZTdUeQfJx+AJ1PQc4gRbIKWTO33xOKrc0vc QnUp0PDBLV7MJOrJpKuBb9Hsc/IBnjYkEohiLdYWs7xg2Ic/udQbef4B5rYEcRIvyilBt/+SdEb+ Jiy4veEMe3CBV2rAHhhCepkoohEyUiG+SGrOYHYH5faPHMcobWqbiIbzzGLqGY1HEIiqZOuh5OjC MxFvFArTVxZrCph/o96YGooKxcfaywtTpcil+80RxY3sOHPyVM8ZqDF40mxbmjK3Qo69nfKC4d4R fukvWO2yb3QM73rzwqNs1vWaJYjva5p6r8p95LesA0Qxw25nfnP6OfJsxbVbiGAYcsru79xA2asO J1zCoNAWAs32ioRZTRPEs7U6yDtWDxqmpTfRgE6Gk1kLYu7IhSWW0x6vgQ3B6BX/bR8PcFB/PYa3 5D4HO5uUFyyy/HYEeVOrf4Umi9eZX9RGwi9oERwIiZLR2/UTWGPSjNX8sVwY9FZarGJ4rNdeluBe Dgfsx3ydqUn1W4v9/i1crqpsk8oS1Ldtx1lbcpNCQc2e6bbDIixpP1iIOevWy2owwHedzSP/QUk1 biYbYHcbLICiaT8kcsRuDZ14+X1A8VOrUdiZ7z6qfNXcIcBj4JeYyozmIz4CM5T1XX4spsDMhxVT UqREbbLHOMHryYitWQfhI3Ff2PzNGDCiXeh57g9S87BGwq0VhIOKc+ZIAwYIXeXtqsb5t+ghiFoH h/82RjQuGZA+Pw53+2fGrey5dKsIawaoweo/DgRk2xth6hXetvOnRCrFB81jM394KZTre7L+QV2x O3kPqOSx/AGzn0j29lvHS4IVAS7edAFZB/p8b33vBjRzXBi4GpfulJjs0ZvfGS6Nn2SiqV7EtvIM eN6RpZOHolVHM9CsoxkK53GT1FWz8mRCDw3xkLUpV8FNQGk9IZhxX540WbsDa38DK1NnnXygAXhS y2Emx/9U+CSCffFX6e26RYYl0SMKaWBh9ZelYvIAAQKhxClT+VFu9RDe4VvXIbeBnycw12XwGwPZ 08Aoj6CPSlnx+/lXh7DcTu4K4itzqqazA0KgpAHjX+g4TYjqexXlSz7lMf6Al0cG00VeB+y3sxZl tHPB/3PDrtysHSCU6Lb3AHfC1PkbRPe1GNSPkhNHfUMqO7SZR5wTliUNtVlcCl22hWuYa1phgz0q 4rFhFdyqHXCTlFN+NNlFWJSN9N0cHpYPRh3Pif2fjx2a8z8bY3D1eDpBgPoGYNBdJ3ORCHfDRHbe e8ZYhJzCa17Kc5/DYGShi0ZBqr3spf1XNAqn3ZqTrdbhn/ITINuLH2JnBJ+n8Ti760UN+Uoyvs2d ctORjmDu4v/YdDHOjndsg8lOOMzyMi1m7AYub+tTZ5CYCqAxlslz7ykInoP2yrp/Ua+0N4HIeKfC TX20zgrDrubhDapvwr0Tt/q8gupHTNYuUPFMZfHM8Y+U9Dp5Ac9vfri5iKxBesPC6mY1OUPKFD9X GPPoyWf4lUMmAms32csxA7gW85nXxShgv/p2Tvuwqr5oNlg6KDFql9Q1ft9nP6YNXw3TGjqf6/SK VeqUhLzc778hP3t9Aau9CtTpsmCBTXRKtLE6DTy8VxO5Ip61Q9qiMCLZaDT8ehloOjC/M3GKRQNv 5088X7/8L3yRc1rPkRKb1M54nMceb0NCdn13IMx4wbu5NGmqwvXWIDO+5MApMNMrAsGaLQOjxMog nxCRTw4WEAtEzrXr/sMmAm5Exs/RecCvbD6fTWzQ6hvHNrCOlvWnUzYeWzU/xMgKazYyunl6iNOv MnCpJdc18YxPUqQIXkC/byNSNhL5mc5S3pJHLtTe0CJUJQTDtVdkXYf4ocx/lgJuoj5dtLctIMqt W5jLWZiRmaChApDTDDTJpO9nO9EC52t0kEMnWFy+7gZVierrhp/jeDvaFbHA3U39UDh0HlBNSF76 hq4hu7mMxKijrlYtq109AeUfkjEqgdMWUdT5OSDBa29y0UNFyfJNqIzpfk9I/qNepQDBXPt2dmdB cCLPdSh7Q5NEHYgysyPCdT7+2ewU/H80eZaxt1bETY1zGEBt6FJKEOpu8xEyngtXmbrVSZ67J0aQ bexV5KXj9zDXFZnYyuQWu6uNSaGaeK2byqel6G2PWVi2sL59yAEy1U1M3VFLVk5w+iooao7FW1HS ELUkPpPT0nU7/Uq+YzDZfMP83fIj61IhvT+gBY6zgTSyTDaV8M51g/c4jdXg7KViiMylcHVg6B8K Jtes4BWnI9xNwfHuITwwB9ZdPFd2co6GTmXwD9En8ie9jLlZVMx24mSIjDDZOS7ZrwO9bbPC2UzQ VQDXoVlCoGGgQYY1qcgXP5wy7CernyF8aRWDE0FnuY89K3Fkl2WGhZS1RWWDQftS/+10nnEtqLeU a1MLMfnOkkdKJq1ptFwYe8VTnw2N0lnjljRudsSyNW/onTbOORvD8855FBFeaJuBGCUEBUDqFj3J CmmGO6t9tuvp98yz2NRzBrKxV7rMQ+DL+LBFbLntNJ96NdjXy8cQGcgYAvMbC9XeLCzG5YLxcrg4 xnYsqJ72ev+v66CwnXnQFYZwhWjgrgsQl5dpNSakKYwZpDyzYGRg+brvFqaEN5vAAE7Jdd570aCF GdeD/0YmhpPDdz8I2YfKso/7xaUJN3NiONp6pUsyVnKzQ1xK/590blNdG0Yc/AMAxnkgpoP3YzKr /cbOuXXUcM8IcMGwIEfKA2v7LNji4UiFudVaubyjgvY/Kb8yGvToQX4saZI3ViyKhARZ3UP1rnXN gZSs0QDKdX4KQO8y0uyusjxvyds3zjBPLZmwOGe/y006xDxc0M7d0mYmNhASYHlKpwLy6DZgeRTw rtqfGlq72BzN56ACMEe3/6vYW1hllcL6H25SHUJFWB51qnOKkClFdw0OMEEVfy5LuejWQR5H4CyC YdpKFlgAruQGxbGWKiANVrT1O2cwLiJoDv5kCNRtN/lT8WMVdea6KcxiqmTCLzIcc5YKqLYdsZAD LsuQc/la6Lm3DO1cRMqNkLpqZXdmTCbsQynkqHcFK48VcTMs2MzrSOJNWTsczskNEDgXHkLlcxyO cMbBO6S6wkQcEplmWLSuVOgoUeYB1EwCb1JfnF1X5Up6k86uGSM82HAzyfQqqxeIluJTE+xfCdse gkLUr8Fpd7iDUkNvO7hCFeP8MSPCRmjov0dN0em466oZcyydc6S56BdSoXXPs/3Z3Pw9nxbJpUHu 2e+N/68v/bSn6AdrlSTQp5RYkw3nmFKqSq8W297BylPB6SD3O9sO7hBMAsT2hMaS6kZAEr6YQuZA eEqWBsUE+XgqYrpG8aLFy5jFCqV2L5f+o1eTARCRAQshF9rzmX5dD5Liw2VGngWqC5vcO3ogX3d8 gta48z70AfZ9m2VFeFWmonfB2d+Z9PO+LN4RKw+op5QdQ3d8r9Z7M8XDRLG/prHgKz/PLfjNrGYT qJRG8ERxA4oanHnaqbVN5RrsHmQbGUBkIBkyo8bSnHoGK+tnfGDonpHj1CjXh9LG8tU4NVkLJ7ho 3xYu0yFNhYOHPWxQdrx34dagWW2adhPkWJ0oDO8C7WZarmexu9OnZfGsKu62PE4rzsby+IOwHMdq OMpDIoRPPBVIVczcrPYRMwmIaxSawzI1QcMExRy7euB8EfIJo/q8GOC5Pj8BLmfSUMXJBbAKexv7 e3G6BpdmWS4YIDKZxR7Qxm6JOljKBt0N0uuNFzz+gZpdjVwZAQk+415PpEDnl69oKByaRwM6YQXx 5uy74hxgW5gRIYF1alry+nuyS6PrUkffD4j/mu2EwIwSf/o4SlX2wRGzaPvHmN5HMC4ASXiuzamE 5SgUgl7VzvmsctukYDSLLe/vsJ7VedL6NIQr0zAiXleHVB1Lon5dKtmNEr66ObMOzU6ikWloMprz VLt/RjOTlEmPykB1Gtw7w/d5d5BpY+XMELHpSJUSRSE+uB8/zQvGZ0PzvFHt+wUGjCtziPs2IExa njSoF1dilcNkPxb8cgL38puVsWsprhReG+AVJedl8L8D5XGGZ5Yla18AYYbx62cikk9D0NbAT7Tb /woRPq0aBtWSnm7OJ16eDu4dISGwEpj78kL7efiEnDIMfSGH26yDvwM6iolG6wi6OOv31PmsgjS0 8HMRqFlMAtb7bXgrWraVRfTmR80POeS9vYpuhMbxUH9HIV21dBKyH40FLiOwD/HPBB1r9S6Io23r Ukxny6k70FSW/znTUghlG7iDu9vF4C8JuwBVOHZ+AZX0LKAr6eMEZ0Bv/iVAL146c6FJTuLHo99B 8ovhswGZzq1hLcd5HwbyJXuHEnzC1Vscap1X9ajR1RoFOX18HDt9/rOkoq63cjA8X1FfHjZGVMvE YA6JoxFcz6CR/E50Rsvl3az53fx93PertoOk2MtPaSPtQwgeB75T/FO8DRLyWlTlNR11SAPITHMY XLGN5/dSSzixLxL/8qPFuv2dxu0AvyEnpOHxOHBb64fbN1FlUzuIYdklCC/lg8hdNVbfV0z3StxR DeLxD5ujQBA6X8SnfmH7v4ILEqxXCKwW2EL4ntuFVzDXI2wu0LeQHXOmlesH8/T6N+GJ2m/Bc6gY 0P9WpB0N7O1LIfXQE98DD49XlyVMvo+6iFcImlo+t20a6PSyMZN+N06L5BtlSJfXMIVXbxvzFhMl +HQH5dxTp7rUzQjuJTkPBLz/GPHFRkvzwKmViwEkpWI4sewRCy/VZQEuG2Za7jzy2rnINc/XJoQx E0Pwc2nClZcOS9RmaFkm0BL1oyFcNtHAyc5S1OrlUIlJUJ4Rl8/THARyN3ODYaA6wg+/JkoaUl2Y KEOkSx1B5iE25Y+2hQPvNkC1whvGngQq+hagt96OCc1jFTj6T6hWmJWCkWsDmwFUNIpY6V3FK0zX xFS6WivczifUd1zL71wHiihLnrFxI6vn3u9QuY0Q7lHbtHToa/iny07DijxPemjbgD7rkiVgu3zK xOQQ73XhTVVGHFzitpTuINwSQ7qc0PV3F2tchGaW2g7eRQLkr6DVR8ayevrpb6zpsOt3VM1tIBp7 Rpxth+21uJZkI8wBiuFgi7cLsUcKHo6+tFzRABJRk8uCw738gOTEhC94tayg2C4tNbxfVlT6eOJQ VuX6S6TN5PKZrqPoYyqzdyiuyYFbd0c7fhjfkHgKGvldLPtWyyJiqRYKv+7JTaQJderRkHJgiArJ y96gvacyXMbFXAQcRqePa+Lu5BZDIP5lXzkizxmP5Em6dMwMcj8RJUq7M1Itb6XIoSsyk8w1pZL3 byabQB2TKCGA1Qsu8O2hPVT+9moJkr4ni59zoh32MwnzV3DsU4n4JuQY35v9ICEcWbX+oZZ1wPfU 3bpQpgKQgTrBU8rvEjsNKLmwpR/0duGOTRwoyRzV1qYb7gg/1JFxGC4rWYSralT/EC0jegJoAe5H k9nnhRWtC/0M4Fb4lBdPDdfWbHSd4wqy36ICvJhO3Ka4dcdmcyEvi7HYGQpfH2GpDyLxyjzHjzQp Lf8G/kqet8IbneDpBjHjwLwIOmq8mfQ9MjVXwuSa8MD6elK2hiindF70WnakISGlPP7IdPbPc9je 1RLyG1XSjUYS4JbbIRGHTQwv+d2UD7wedEeP9ZRd0Kt04V2ekNVTcgXNZ60Z03UGzqSUhL68/4fe wCE8smXXv3fvimEgYs26N6BBCYDXB49GgAYohon4LWrFnUX7yFopIcFJYqLQLjgWNgHG2h9ejjxz rTL1YOS4B68mvKSL1w92ORVE30Q9eQYTnH6XTqMHe4znpLdTJbFm8u8iUpJ/rA9BjXNcXwAykc5c yIsevUUsTO2RwC5vlcwzUXL58MTay4mB9FgEjaGBKInrx07de7xd0IkhZSP0bODDfw8WX0e/zCiu 2qHmcU2XlWgjEtO9PGfcEHWry/iYfgI10LiY+zlwQ5zq43VZu3MWgnNPCtpnvZZ9Kj7VILhKtshg DLaFrRLozB/aDnYGs0ej79lClT9U6sarnA5Gwv/qdkbJDlt4yg4VvNL82BK1TvNnQlMTAPWaRTwG WqZQQ9OG/PuVPMf0Be5FKzxfw2Ypk1koP/eJz/fC+q0QbPor0wCySjcp0es1bP4uSvodx1zyWhCd UKsqVpeEgwX3vnrDLVxfbqCjHAl421xrRzHt281/gxwf9YeU+eJY5w9gDGnVOjvawkiqCGgnGZtf fEugH+OJXbP/o5a7DQ1UannnlArZr61t30C3fjnbh9V3ExuH2c25+qa20s/NiKweUQaf3aPRNfIz nJYPK/7VvzFe1N+62h01Jx9efzpvBZniq85c/uWni3TK2voCwyYx9a31DSiS7VXzWM01ycsSICqH MHZlzTn5N1kDlpcLrELC4Hx2StEvb1cR3kiHDks2BS2Qcmq+H4zNleX+fYbVOj3LUHrMG6QK7UNJ jqw7WA9vAWRT/8eRTgjtrpZE1Qt3VtgnXQXBZgK87kmwOiYFAzkU0UlPjrCpgm6tIdRJUwxlNSQM m+/GXLYK5XETnY0gSjk8KHjpig0rz2idptySKwAGx47qqTqa8yvgFB9QtIVClTV1m3W53g/z/9oL MZAjO6eEyHBwcBR0jANVn0XcHM0O3ywRA7mP1MV5vbtf1JHi8L42ruzt4h5UotRnQaXGuyuPB9Nj nIx/4ycnFJAJhEguZqYy5ilENJUgUgian6dqKRv6lfiQquTQxxBTQfBu+d1i5HIDvuwpWuJG0ewG 4ydKqg/uxqEru0c4dGbCV6+w+Z8Z1q/b1kiWqh5KGXbxoKHcjVqf3NIFwRlSJaH+Pi+EpfdCGTJs DCz5+IcJ5IUJioKHd4vt9RTAT737Yvl7yXsF5V17hLj+8fsXKLunyUpPyeTxKcV2D6oeNALG5BSz RbgUb5LDovqGSL2H6Jv3wuztdU7e5nm5lGvobXhPm+62KI4DulHuGxTgT8g48KPAlfsXt18a2Smi 5AEk+r33ab5yqk5CzD9M2slxbUu8OLshKAkjaPqxCgfDhN7nJ0KY3Wzu7fL068xdenrVIJyoX5kf eOE03X/XCjeD0u+h+tiq3esojyQt6eWnJEC4+XCxa2UNeExG9aDRX5ZCLGWhnc6JQuPFpq58nXwB 5VuAzGPuoTRtsvUIJI5W2HvCBNf7b8quqbXl+5zKLE2SCNzGMY24KMffjIOvMXg0F6/orf46QJlg 7XJOlz726E2AWDbHnS2Oelvm4H92cCLlMb+mIYxla4rOZCTxGfFqi0t7f/4tHh3pSzBwWHsq0o0D pVg8llLeIbKHdlgFYKJ4mk+LU898rZUwG8BIw4yetppkm+Qg9jXbvS03tNqnruVIjAH+iDvjJnLw njM8TX4JL3lL754fUpleLGPR8HUEvVLQTNkMS4jLHU0B9YCe5rsVj0+g1cxVLaQ/99rOwZWaJ2QQ H7N5geIeniWD/KM30RKY0PwU2fboFPpmYrp8td6V7XjwOEiLvQQJXdo9SkZbswdcxtKcdfUYwCwH i9b0RKLg0Pd6ljO8PXf0NFYA0LCpFWraEvqUWL2IyxNqeWcR/Fnys2nmd6k/rhGjdZ3kbnF/uEgo 4ftx7JzDXXzGxtqhwskmxS2ECiusXkHYLktZICQa1ka2CDxF4AacjvpFbDmXdH+Dctglb/Hf4EKf Tu4M4FEyVMjbGnc7qXPiAFZJtIyCCt1zFSO/p2cALZxJI5MA0eN11ZTK+gKbM4maO7KgGHFJr46G rTvdjqxc/CoO6oZOE1XoaLBsQmqRQlcb2pphRvfim4xZl5fx9ZoLDhMjqG3mjnKvusu+VR7Y5Q6z rg9LnNQ6WD6GtvUQyS0ro/j7HhJV8lHmfgd4sClw+HP59i4CADMBO/PnyUVSkD+v7NTLfpr1jNCN VsfnvH6hlDWtSXsNq7NCiwqtnFa/JY0G3kitj533CoU8Rk3Lt/eNu0dMReA780OAJKg6qrCKBLuf RgAqfcLnu0mbSx/dWedbJ7sbeWRs00ttn+Ath5U3rD7VRsJqSOjRw8HOif3851lOLcGRx5z7dGLy zltwsB7Eh2c1JiXGM1FridCe7PncIr1X489/aOhJZsmwgEDCjQK6Ols6qBoQF6Iq/vzcEqN9eWD5 Ip1iw9wgXxX/sLNaRo/ZMboA/mL5XtOtFcCbNhS02fdn/8R5vW16ZfNIib8d2cBdrasTTHhrK3X1 P7ssnAntYYoiAYoiar4QtlGJoYIwmjisFqC/FM/A9r01/p2aYq52yd/nGNW1egvZ5WWROuNJS9hL 1b0G3svUIkLfeqvXUulRO5IF/xgwwPTAk9ASDtvxcfMwMmHs1wmBI32gWSepBZrkC+ulZtx/+2d6 FMBEnN23sWMPam6vDz5UCU6RW8snBiJM0NqcPwZy6k43M6gF77/hjJ/C794pKq1/OohKDwqNk1Vn 5tdp8P6gfKxk/1k1azwPE8VUq6XQQgcV8045/wL+HuHJr3GYdyYjMsHQm6NA0+mhZ0UGuOq3ttZL 4PoTP6eAiavVaDB28yXKfzqWmfjLv3xis4zE6GA12zjWzaoLUoX/v71oek5w2e7qcJ1h9PTbRhj7 3vPZVB811E5ZguLAHmdvf+yU/5MmmREZc8K0ZcvMiR+owu6foeqA8g0u9i94+Q3uvy76crhQ7j7O enmg/geRgUHdEa0yPSfSzTdzKbIu+M8P1jeURfVmS+lkb8gdRri9mU6xdKqoJIWrDxz+1rPEQTmC NGnJCjT4B3ApPY6USWqJFvEMlIatDrV2cfqjGb5VVkrBTJkGZNuQ6V/tEnVXGZKY6WvrIeYf2UJM KaglkwML4BK8gQxjfFZwTD/NmmH7GegHwlXIAfItoFF3PHTgl9Ce01M4eIxhD/w1x7nja90V+gch sZtZYFa5x10lYjXu6vrFlM/c4H5aEQ+oEz6Fv2jRKtxxVbDA77DaqtHm7pNCjuDh4OaG7H/LsNVx Ht5ISaDV6jIoBvhgT6G4JQbsbd0AESTFYypGwwz7sUX32Z2MMuJvaYyiI7UhfJSfdVxCMzVIYyvY ePhOqma7YW04oYlynG/bQge/Puq7S9WHiur5B3WUYI2ZDxDgfft6xqAW01l36U/+v1EQqgnGfJi5 sVEJaatjpasgDWHpBktewsFOtCLgLwmEVNqGxe0rnsURHUkEHqStHkYhyYdlNI2p0e8wBgTt0vLv lqo3Y5T/q1Y/NMtNWNwi13Sh1y4AS+4CjhwSiq7om+yrWU8O3Qkr9/tJkDcO00XfECq++Fs8/a13 /ffze2DsEvIRBLJ2x3zJDmsTMa0NPC7QthUex7U+8fjD3Ymm1L3CKz0wYFsahMo/5ZEygIQX1CMz C3evSg6Xcnyx8+/lAh2z7/EIi5N2xzf4iV7XpZuZp9lv4sk236aoH3FuaTj9z4Is/FYXr9A/pxZl deocmKYkBsrG0Qu0Ot8RU5U9eyHT+42vscF4Z6AnnTE8WPiB1ZViBXOWk/zIwpz8Si5MCZVpPOph u4o55QZD5quTMOR6RaNAQteucEJY3nbo+zLawV9TaXaK/vNw0FaYXFKoTkwjI+s34P5VKgpvn9Jw YIukcttWMSGRpfMUvtnzJuILdnC2YcE92G8UC+9GHXTJELcJDzZrN6zIP9oXUlQAcbtbD6zFnML6 xXY589st66VxzWWIde3/kNcjZtRcBcCMDd0XTGgAYF9Ye8cXayQQryMU9gqNZ43/HuI67pyvVqo2 kftPysBA7MnvphFuo1F5TqVxdTvXvOu6XNSAgYMK2dDKrXdZoV1UEuEwqAhjFwANUrvTuXUdJdbe JTWZyazrR5TQyaqDHiHK1NYDNIajrdLopEUDDl8zUUSRFaIEO83IDxNsxf11usAxXD8qblRFkpi5 IvNt6VbkjU1iqC7jzuIs3ySkCgPDd6tOudbldEu4f/ZKEXV2A7aJW8cZX9bHEvLIuIbNeYRo/wFf /nHgXTYHcOD85qhURTdmW81A90vqEmeZIuv61JTlu0ofb/J8kiNm9Et6Wm3MT8XTT5tU+SyZgXkb i6a0bneRPVybzjJ0dppgezVaSql7cE7sSKlqBef1YbFwh1bGGOOCDdVFGZ5w7c9yRnQpWjOUGL4s GjqQywaJonjmnYEnHX6bQY8C00o+DjKOH5Og6VdvmGaeSuVS2DIfXGl8be454+nZwBqul2Ci2wNa ymmIarFL7rIcg1qmBkFRAKdCWpVKQNmnSILlzpAs4vAqKbeppWKPljeD2pxRksjWuTs+USgcUEuh Q/DCQzZS827ftx5rYkNmwTjoqiF1mmF0Oqhze9Pl0D6N17x7LIXhybja1ZvVkKewpZOWrQF8OTbK qqQBLXW6EsPwgpEG2K47C8+HUVIvYzjjuYZ2Lr0CXrv3WLo44eCyC/gAquSvl2Um9BT0hJ+QkyQq 5NLVo6xHBJrBBEzAJRrFEZdXSPdHtoXft5sCTAwsJA2YtRNixksT9ruRLGYn3oahFYik/mMz9kja kBKkG0ZTjRSx8nPTKn2jaPzCMTXTtLtI7AUl8Br5I6n0uZlCFXSdBcvogp/5ATRj9ebHuzaO8JYl Ytg5Z7SQbQn0sM+aKoLCjFaAwbTUu0uZHOLgxEedDQqk3yZGDWsGX8EEqIoUNUCVzZTHcs4gUNVj YAhZjnexeQiDyfmTSosMvSWY1dCy9/7cSe2ZqOY4NX2KlWRg86rYcqrwLg7JCpj1QJIdhcICX1PT xlcqJj+3NMkR3QNW2c2u4/vQVvTzFN+zjCMYhAR8XnWttx1tSO1YXtJzEHleRVZTC+4lTambfVGy Th4l8p1KN6etunJ+rQePwhwYkF4PtNkC+Q6kTqjBJd3AtLSOLoqwmLq/J8FSAt9iHYIX/1rW2Ng/ ZcwoJud+gIgRcKqZZYkudIcIvxpJ2hBc+0ni9ab0HsqRxHXhiLvA59sM9jgDefWiGFmSHWC0U7hm AlYqowzBxHSF8ukPNhDwv6zIWSaHjTPTm6rvVfGc8KRvKzet8iCl5np8+2soAlVtp7mNMdqJv8u3 yYEP8cgQ00t+6OIIWSGHKFfZMc2Bx8qsPrO5Ti8vmbcs4DJ1hA9AN208UMDvlzshicv9Tr81FVh+ ZulswnuUqVhFnZvFxW1rIkISzXFDWMZUPSGkoFi7irBHpQhHzp2GfUJPiWdKfwfcFdfI1M3+OB2I nFkcqDffe9c3FaGJ80msl26BI7GyZHg59yILiZfC+ipqay124v0EOewqR4V9Zbj8u+j6UnFgUhah fUxqdNhCIq2nXa5YDHtQ2g22qnRekMUkdt80ZqN9qLpwWGeMfRMckEa8acUCoI5MfErJwgoVI1mc TTEhzYg3WrMgLLSL7kVubh0D7/zfZDr7sDiH4e+ZtRmJHDiKlRgVknqukaQvyTvVzg0J2QWBlb4/ qovGnYkaMrlsulSVBaQFWTZDQnL9dmwU3pxgiiBeiZ3/Y//RdPhRGWaHoqO668QHXYL8/kk4ULyZ je7E6Uw2eyhoop7lJvunFsTSDlu+/Lw77uXMUEqML5Sex6BmtnalMgprRJG+1SA7Sb7Y9NQhl2Bt Z7KGSYrYaN/VZXwYxMEZmEXerVOb5s/Pmy9IRbaLv4gW6V9D/rg3OnNp4dSeE7ejMgCdEElqmBaY JOC3eFAfB3w6IaUjSTEacgqZVKuoSfIlAAWADTDkJBuACq8HrZX+5OvahGO95C6z4tO+JmgtPDel US0nI5+zd4r8JpU2UZH69qsFuGXmxq/7x4MeWtqCQcMXoJE08V8gVTEmunSbe+OEZTssdG8FHuxs hn0szxVg6jocnemIrDI5yC0vxfzL56IAeHW64l+jsDJd87FrTWHZQXTxEWmr51zyW0Eh/y984Uhg enMmsMI0cAEqrHf1SRFoWtBPUKzJ9MxYR9yOtKJtd+bDPpwqwCmZczAUCQj1q07n3gfUv4DkXvVF utNq//OQf18oCCiOaB9bFL1Nl7IN0uJhCzBibS03hvnKKvXXBVG11A88fHjgj1+C7q2FARyR4B7E T/eso9nc5q2ovapKqn7+1bmrn+RWmYz7yOXztTsaU59ba6lp6L2iat+Bgw2OPYUpTYs95cSHo8gT JVsjv/TfZLsFTqiGEtNbEKtTcQGZND1MlCzNgGjGFuxuMQEEZXK0XojeCbAGMsLfwAAaSQi4NgY4 qHkeyHBOVIT32J09meqA1FIgVV9nOBx9lbuSx9aIgkRn52u4UPdcuPxCqSLuvnpi/f/uFhdNZXC7 zNnPgccOwqMSj+XBUDlqPFKAaV8eqVvxkgquohF3syBMQP6Ytwl2fgPpZ+ef5/agdlSVwo/+WsoJ RdwdIRU+H9wTw/WkWejKOU9p577Axf8n5JPBlehmGERM2yvGaAlqeZLTAonYPhBvMxkKqpsRg76E SIWkYsPVJBKl93ah0nnsEt1E6iV+T3olrp7btrj4ZQzZDPgYzvmcyUsnuOw+5ky4u4wXJ8LlQuN2 zaPIP5LiEJy31CmoGsJULqRakJJrfN+5PjHvj0z5dkDqWmclC/FO/2jiv5G3RpEoXwr63SIbYmqq f7t5t5WUxy6m+c2WdTpbGCquwMX4gr9fQb5Sq1TlH7h8N2v9yd2x0ymjQG2+6U/a2lCneVB4l+Dy ZYz78EGzOkD64w9URJbO2OYBWjVp78ot0Eu9X1AgkPOtSkRIHqlLx/F5ktwYIuajYm3VKZlOeSWm Xu7zPc5R4JXw67XT2b5BMCmNKkZahsr1V062HDGbmqusFItOSQUtsbp0n0fPkV/ZXQM1kLM751Fl F7/K6kXMytXYRS4ru172MpyZQw8goSjsjSWGh5kHa1GqKHn53S/wLQ8fPRQlhGYdSIZhCKnyBRkf kjb3DlTJFyIee0baOTXdN5eT4gqRxYkY3oJiGUoQI1UVA0w+aq9T0ZhVNP1G7oxUdDtNMq8UjH1n n/FYt/D8trz3YBNklE5rgOCV5+l8+0piIhZM4dMIEvFz4XX3V9EJrAC3IOpYqRyd1uZ3ZF6rbjO8 0aiju2BsBiVIkgiwFMVgmmi893NM4X06Yuu4kc1GpfySQwbWAzCB6JYrbK+kx2cNMa6mHoCIcqb4 kUQJq1SqWHOW3p07K2LLCGbc8unwKeDsy27Eh/TXi/z1PanrRVyB4xJo9/z3xb3JCXh2Ib76j4V5 UCYaJ8g4bGZ6ux3ftG48KNIJLmsa5GUYBDMI4g1Lvjr4VCT4AUiIPbo56Nanf/LFzTcOs3lVkAly dqqdxD2gQUgJH351jci/B3Pk8v0D3VVt+c+YYO+3DrfKBYpLl44qcrgJejciDYzhNpMlC7JAZz40 /c8I3G/JYrw0n+J2G0qfZLrZLg0eLj5vW6UV5fcIfKjmxj7X+cvwTsYNzcQzDnbCvGn7eC3OnCsc Eopx1wASWsbzJ57fTQJJSEUIAOjkm8A4GkPx/QGwbAJavYP890gN41i+MizjDK1Bgdpvn51mMW6t IbSh6fOO96dV3kz5CuNZNpc2Nlnrl/0nahzJ8xFAjaJcszLdym32094y117WqlBj2k0qfeJoCnii mIENM/8Pl250sCpe+5cgMAfXjlkm6PTjaDP+yv1jLy/FKnH8QN0bBJTH4lbKPqMoGw3/0aGnrtk/ r/VPKfyIr1vmhCl56L/njXFbdauwX2bBQFsmhnNwy4xxydpvztKdG/Etd4P3th3GHCNEcJECLyTk 0W8Im7eocmMyfV0i4YTaj6fPV/DfbuaTsUN9y5WsXE+B7OPDI4GA+D5A0JPrXiq+Jn7DCFNoVs67 fsfwe/Uk+wi2Kief3hMFxSe4Koia2/O7mcqBU7ujtqYcvGe6LVuLnXMZchkw56v8Cmu4fCYUiVR3 N9dVvuPjHa94nhTNBhVLVkJ8kaH/524fUlo2R57FZnnne9kWD/M61enIrDdAyYY1HRnb+FmYXnGr 2UWOHY+qvfuYNcO6ay+segBt5OYWOTYYjQwYLMkGXKRYBV0nPUqWDB/oKeRIaXWoI2snq2BWcJpw 7Y2kok2WyD+Bb+OzidmhjHn+P/cvlhqzkVuXIpa7detdM6Hl+Mw5cmhQyfoLGzNq3TgdF0LmSO2K yHhKN2EFZcRcTt7Noq5Q2BhgSzExnZZ/6pf/iSdz5raIhmY5gQUIhucxeeiiHJzhs6xTmMg5I6fp buaM4jwJ/8lwiuMkh5g5Y82YjcpKzWbptr+ygWPxwlGmWnUe3jwnqZrMeZOKZKZIqw1inlNJxfFa SZgv5iT/A+XMXKd2T+LIizqmSpJYPjfNCm0+z9ig+9l4R+3MX1u6MmzlfstPJG06wCyidFhr3JlA PMOh+5+HiqUshM6dlF6TkN66cyf/+C95suRscphr18OwuXjLkDrl2iYraS6ER+MIgQQhA+Z/MWqP dm9NkwnroIGPG1cZudF6gKGW/R1h0OzYDdKntXOhQJRSgjw7GijqSuhtzRoPOEX+iEC+1g8pncyt UBcHrNJrnSSxd4sEqp2qO4JbE65TBIPwLJ103VnMa3Trs47yRdCQXYS4S5b8F1Cp74rVEm+VOg8m bGGcgi0GERkZn2IO7iC1VH7MAkcY5VzayV8/fdogmC2oRRdh0Hpfye304LKUair+DTFV3NtubKqp fppjMi7JkTfxpzPBsFbkzabQfSf4HTBk14XmK6pEs0rc7oIgISeq8LI16r25ofnJp81gvi2iTzoI HUCfTCrhaI7433MdswWiLya8hH5R40y1Ob7IKR11j7laUYoirVWvPB5utdYuqS6yxBFeOTLaQdHp TSj6UyXxHgDoNRri5fQac22qdfnyM0ga0YS8WXqQtZHHTurs+lRE9QRwfrYVAAMPDiKx1a2BBj92 dhPvFm3X+UbDDfyFfvWYvR5hFPpwxL17rQBBPPoy5VpU8d2hFhiIIqqIuSPqbBbkRH9hsUB0gTgu 45O6sicg4KDfNeTp3Z6X8ZOS0ZxpkYCneaZ3LmmZ6XZcwL4GX+ncWSMmQp1M2fFLiak0bBUjOt+G 08aLpvIvH88EGSeJoJxXb3wyxM6N9QWfijPhobGWGUkYcgnRqc9yPMqVfFOQLTuRjuhksKvSXVAl Mr1FM/DrnDlvpEIrhL9QNw7UCprfeX0UTCdW7VUgZ8P3dCrQni7Cng3cOGD99gxXiQNjAgaVu9zb vvJJilHk5r0f6bvJ06R5cASWg+GNEpG/R9q+/pKfPKLyHmNGT+NMOWWtO095m+WWe/bb8zSRVqCh RJYkjdOwq6WiXZBY+KoRTnskjF6NG6DlJngXjX9v26z3SfYZ5Z/1DKgQACCxKeZkiakSeIexRRwl 70dw5By7y5jxZU764eolYkYUU/fgA0yZNhleF8Rg4dspraw0VdVp3gBgTe/WLujLtYfGKf/uAFlF BEU4VYAwRnUy801ZGZ1gJx4DRIZD5bZl2suvzuEj9cXqi+Ut3WlINwi21AtLZkKczl+J0yeWaoC3 8Hf61ccs1+o7gbHrvmJN1jVe3+1LuFUhDofba3LmohcRqVUC+49QGgdPLtXYTY2gnpYpZ8MDHSCk G4yBA2vFwTw51jnIhmJz3p0xmEhIhJgOIIyAg9q0QGtAHsRH6DFD8e/udVwX1Kv9TgqAaIHASfxN 4kHbxl80BoJ5S3wd138yCT2bQEkq8cssdf0glx/6NlINmvIz6b/uehX3UiSHNuRkJaEr6rAddUOl rUI92ExqSSbRyJEwk3UVxffEXiQCRpUQ3Vt+nR+Hcb0qNaP2gSfzpvnBoeX1gxhPhfcZzZGXfz1k YW1LT/uYGb26mWARu4PQ6DSFeRT/usokvOhT32AK6BBqvYfoyBPI3LUkykzXTsOwfUdMEZ2WAa2H 8oi4fDYum62F1r7oRWcJ4nk2+gMWJHodu3GV95O5CywAvIvrvW9yVQTG+WRYFdA5fPCekFjZJFdR I3X385P8jhMHyrtAhEmg+GGFinqaSDcDtJYBiU7VbvWGFmWBgv6xz9/1+RFS6bKKzXVItulKQ3SH p9qRcNA2vE7NqgMcW1We20iLxYnHLu3vz/TgbwBQgM8mg6opBGF/iifecrxOvPI1/Rb06e3cf5iL msyGGtiGFunLftrhiLT6Ngptx5dIoLqks95BeQ3fOweRIGUF1FIJZVejOUt+0HnJKsHNnNiPWzFm PJlSXzK0qo4NzZsiF/Csl2qnSiOEjp6IWtfNJcjLt9BBEgAN00OcutWEKxE6RXGn7Ryit//cN5TM dzayTUN8MNgecYR0gNIh6GfeBcjYXmTPFLlQJZfFKwZAnPhXYGypH/VjiD4ZoIR81xd7LcdZYtWm 0FmvfQkXV1HNFpH9QEcoQGQuTOhtT7P0JDOBjAN7txwAZWSwAAD0G5GZfNY2MF2zqdoxQumrh4O1 WQ/tbe59R6TldDgct5dog8KhUY1kiulQZD6aLxNuw9Eiap3Ej/47UUF19jEH5BTHUn/yMDXYBHlp 1lO++thXF8xPte5p44jfsu/rCWOuCUY/ZlNaiP43WXu0Y+c/2Dn6C4iMV0Lr8lFitSI2HQ/zh0GW IyVlwqLZ/P5uRXOIlgtdWur7NcrsTGWYlU3Gfn85NlSHzPdekhXsxWjEhqDmFeeFXejFWQr3mt55 ZtPDIBEIYlWCCAmQWRBR5OZLHHBoukAGSSIsJdyQaNEyAowdN2br97/RuzkBAuGluY4AF47LFKFS GTbKTKavFWRcmSODjYecSi3+8RQABQFluNNpVw2ZA5lXtZQ/WCzxlLjI+5GHP9PVfR0qfIYdsZoC RDangOBOMS9O94ncZPiGJ9bR5vsf/hOm6Qmr8udLQRa4UyQlG41OFSxV/mky+rexoaw0pOClWxyW aFEKNf/eKN3iPisM0MAuiQ1DrxZbJUONfe2A034anlUBzAVghQ8F88Y9qTjbnJBW5wKBRFu5nfTc 6XmPpax/Wop/hZ5QCZBS5+1V9F1RQ98YvF/cpYU+3c+wuvRuNVQQ9nxOeq/RzF5izI6R+wSnqCn3 c8K9EQpVnwqfW37Sq/+f5Q0Sy5Vdef3VOgPNWd1+QvHkos6UoZm5P+CACzJMrABtiOaHSpN8iZpx JuLQQnbogI15KEe58vHTgx+UwkkDp7PdfGIDZ4bjskqa45RmKn744IzYJatXHYC/g7ip/Evu/y8Y a4tKOduQZQXXSS21sOIYZKB9ciEfHynxbvT1ZgKHUJ5kACpI5tlfQ8Qkrc4u32x23t0ZYt6MA6JT adbqPOLX+/3lSkU3kVqBSDGRrzBrbeqqO+nsdrBi7U20wD8uFTf7xVr1sfeP1QVvdm52nCiJQTej W1R7xeHXEBVPBmNmffTnvaNBnNBWQg2fzF2z7mjnXDxPBF68bAz5MeFPjs1NlS2EChvR7o/7QN62 wR235Qf4b0exSKpIjjuqkaGbC3ev2jbLwRcBjwLwyRvzKQqDUoU43TGmoDmZGsxKsLmmMgUMr5tL O41kZK5X9RcDu+AN6oz+sfwWPafWKyU+RDxeytRUTu9RmIGd3e3/lb5Wok7hmmagy/rFabZPp7pT a4ZW+wE96loPfMHo6KuU5d1xmYRzngU8lmf0KJAARId+iLvniNS6o8KIKF66FFo46j7m9BNmwhsU Ox+b3N5jazoB4cHQ93C1my0NhxqoEgcTHLWCCvHT6mrlCHc8X6HIaN8iVuUbzO/T8frng5y9QNpd o6j9WAgdCJGdfNKc/9/HKoLo25RGdtycNlBBWq8kyPiRySBNVj3o/c1iPMRiAIHR7cKi3D31HAL8 aoBHgc0tvfhvd7XDw/EsJEgFi+eqI23nnFuiGcmqawlRNhJJku6c17BhrK6mywsv+c1xu8LIRsDE Pc0wbCli7xRMvZbnXpPGEEfkjPW5e+NuiauF+eMz4aXcaM2SKTnmvBp/YLgyPluvauipPwFMeTn5 k5+zlu9bkOPB5OXuu7zm/hSjKl21nVTteNQK9aWq6itq0ctWVGugp/70uRoio3At8PnMRh0Z7lMY J6saKGi4lBytdIIhOm1DOVX3m6Ud9lynonkgbbyvFashiEyvvh2JmQ9oiNJmRH6s3ypC/XRZuZ4o QAjkbz5bUHgThodNWbchbkfQkA2VrdxX+NL9A4/A27iZ8+mLf0H0IF35uM1qiMJk4+AZBvjXIX52 Pka1n0UBkBlsZzxZIQ1vFbsO/G9DnuY9V+9GY2CaAg/5pR7/8KRrGxxb3VBTM/8ZoD3IlPsYRPE9 49LWEZhDmPYdemd1aZg3mP7mgleAJbkMYSQxnxMwEJ4Nr6icKDGEgzrLc0y0dHi8E7r0kmKPpEgM MJOdTWhElajIsXk8qLYvTWa3V4Rig4EwfgRSvFEb0t71UoPT4bsm9VkIq7jp45zb8BbM4AV4twfb wOF5lx/EvNOPxUC6XoeGqJUhWaXrnWEZ6ilIlzN+rJxZMu8OwhVv0jpgNV75/HF6aqpMPp8JuzuJ dChdg7msq6O/JQkQ0/47BtNbriUu4S8WrqlhDuzLYnCTI1bBzWiMoZRWj4sc1sb9UMuz8SDx64dE epM9E7cGZLkEvUypCt0uSq9cd7Q72nkTZwr+oDt9E5GNCvgGVHPDlnaRXqrRry1rRF6fTU10k7ME fSgF5rE4iRAaun4BggxijYihM8vQLtZKd0dVcnRIl6raq8QqnPfUgp3XHLMYmYn9nLq6BA/aKbyt fTAKTucLdcW+fkA1DZq3gnVV3tAeZ1potxJtKmlmmgs8OUjj7EN59yarN0aaNHG0NzoNzJNwjvyU PonNnITDnkCq3UxceuFdRx5CijO6nIJWeS332mUrCBjV50qroBFiPDHcY9mi2/n1Ws68J/gyVs16 dWw7yTwEiQjynhuH4vURaKxUaP2CC8xGBWLHSSM8YBl9tMTxmY8cfe5bgQulymeE4PUHnsvC1LK+ Xpli5YcLFzdwHzV0xWxPbZBwweMxRjkhAvgnzvn7yDll6lIkcH0839lRZEdFGu0U8ccoVqUoXan9 GIRn3rCorXonXCO8LjPrG2aLMXsJWxHp0D7pI9ptvihMQm4fgXOFRY787k3FftjfdwHgEQSlsaX9 24OzAS5j/dBa0gjOJzIqNfh6C3AB9p6CFluF7mxkrPwIUSO7a+IarXPJDMSiuu/6zrTBHz+zGp1n f5saY7AuCclhWFlwy62XrSIdojJYzqbl/G8b3LeEzdJ6SKVD9npHQPWnnibbTa4YZU2sMgJjE9Ls McAYuWz2gqB+FDJmOhHgserLpPsH75DkoW2poWLIx8fUfm42iPCLcyl5J4lQ8gyq4ESn9W4aAznX QKg8Z5oehntk53F3u9EOswfMUQJWh15NYxzakKqnhqcjtLqkJXkTSP2B3LEd3BtwZGoU5DfdK8/z ircZK9tS8hdIBN7XxOKuKK2q2yZpAXAv9bexPAzG+rTWpwADECInKKTefgcuFefNyVJLwVLwtYBm DNSSpWOMOeVaO6Dlq30DmrQoNmyj71hR1KSGNm2DbeEKzls0hniKCpHG5zG3BPuWTN0SUc2b5dHu QSEeMmIokQj3/BzEmdJVv7bpH7c+7uuRV5nT6kIaMcgHKZYkI5eRPClRVa6DeWGRdDfi5F4nSMwD YKREwAybKrBmyE6SLf5wM2+bXS2vNkJoaDd1Np7zU0bN0HzyGC/wfO5WOCOAP3OHwFDpOOk3B6OZ PdVuuGhs7GygrnFOT9JJ9yHWMdEifn2GN6QEJJMJm3+G6nHzHhON72IQk7uKtZ7Fj875tMz7vbKg 2dHX1PmOwbAKC6IaTgJpU1KhXR+SSI0uEOTpncG6dv43vHlxCKzIZDPfyhw84dr47gnOqOK3ORaP RC15KBX0L30U4D+GzD4IB0TQXRh41uAzAmm9ckLw+G1jpdJ2hV+XYgNwDHoMa33MCKQCM0lPqZQe GXXR5a+I4Nl9l6WL+7rv5ZouUGVZrC/0MABInVHfJpxBFvPg1ohJhb00wT0bpdum+mxlqf8czPMO AWv1GdZhJ4UzcBoWTokB7/ei0SMgp+aCsderGWczfpbksTYsvNh9+5/Ic+1sQh0Ortn7hvPFh0II TzXt2SLzvm5/dgoxpK1jlLFdl4bAGr/QGMCpT/EYQHhM+ZMtkN7NZL2ZJQ5Qt+dacgIc87laJk9r URRG5U0/QjgpIeJ7KBvrTJxhiEED7vGy1xnBMpxW8kR/xxL+kI9YKfmbpuioxt2EnfX7ZV7CsvCv QOPMm5GLu11jN9JFixTPcE3B4mKi1n0q0wSFuVz5Z0XH3FapRC1ng2e/wTyEh8dVuruZQycMOn19 0wHxEnse7BQCiPxXB0uo+lMAwGqok7iHl7drTbr7q+RkgahPznT+9/1bP5MuMLGdl+C9uCuSjbAu M4aiKAgpK8UxX9JP1xZ9fGEXGGTNWOp1J4Hrn8wyt/C2PnPiexjDkk5Xy4t9eOjve0U9cRRs3Q17 BYdHUd9gzaTCgU6E09chI2VKEBJNvsNX0qkn06aWDerBaGCJ0Nk8tA1aKs6Klhswy0/vetccwD5L jtvR2TggcefokVrwYJoAYWxsF5GVdAHAz/4Haf4es6qlgQZVehYOEw+83NQkQ40V1CKu3XGd1Mi5 w6GKDRsibaY1TEw6edtyY8ientgedAjUh3WeGOafUVaZGSS1QbR3o3JomaPUhNcExGzt8WS5usxn 9gIwLUecQ1ExW3JFE9MWpPaWNrMzZ82+mXzFFhQw4CqhnSytdfJdrlX+lnlD+G30mzuFbKqhsBOr IMoyqhnysWQXB2bia+XuimWMoFJMQO+b40DL1mbl3o+HPVq3p/oRtqaKt/Mi0TbELgvRhZG54dZp k9CZYep7Aa/wxb2LB4ocsTD9O1pGJ2X4WW/tQEZ7xsaIld/cq8IH9pEsJ52gSM/Dg5W8cbxt/E1C 2kEh8mle0E0tzzrO5fn8BsCClWhiOBQ2nlPb1DvLTkqdqRDCc/Vl6VqzReCp6X++SNGrYUvFt5v0 1wo/kBBfXxcjJDHVdpdWcUWAYrs9dKwiWNL/ZIKuFjz+S+1QLUIVOtBc8pbewMbD+lUkOBwWdZBK MHYIPifSvOl1QOWcMWUuaDYWKN8vTg0ituhRaVEyCKDIhsVxa6brhPiBt1+4xKAeCvqdL3t4Cud3 scdZ4vdH9Wt61G7mx7Pd9P3xlzrKX+2B6Li7tY0SkMM9X+mpd0wfZ/200x7Hgf4L1fAworc+7R/k XA7NB5PFL+grzX4sk+FNWaPL0eqaWpbgKAmsh58Drs0mLbCQ1Punp7kPzuda24xZTx0jQ2V9e6qv oxVMZ2qqln9w2O0wAq9BXOyX26K69wttZnKJPoszClmW6MHc21tuW/TDdp5mRjRVihTQk2x+WC7Q lUNT1pguwLlq6nC2BRBJGqw1zgbtA3feXys8loD3ER8rZrzYmGJ3RCyfO7UX/9yuERCCRSfE4ZaT 6ALDo2p1+NGB3IYXnP9kKvrjdBsIykvQlXcbGtDZCetKv0ckoxEWYVYJ68HgX7EFsAVp2qAT3VmN iFSR4tpwpxGxiaUTTozqtIIRfUKBzTNQrRDgwfyLXfe0cB4pf9dBSKxqADrTTsaYvSXUJTpkUhkF T3Kflh4UjZImGoQG856rZeOck1oIM6STPlZsJPJof6tuy2ENMMH8weihHRXztNz9gM7zbOl7Ornx b3QddFq1LT8PcykQvP6DfQ4vCOSt3b+7CFWbSIBfvNLzgRij1Jg1wx0EhV6HdRbe7QS5kVI+5My5 fDQ11FoRu9D7cW51rz3e4KeRNRF3L41QnEV6GjIJDpeJbR0fDLr2/gHYmfgHLh3MSyShzmMWarOm oN5oiRJaaNGZ8h3xSfBVpddI3fH873UJAEf3KalI7lylXndIRgSgU3Kc1cZO9n5dllFUgHuwdijR YjsgmnBoLtcnKm+/N8ZGfTCsIoXoFTAynftiSjP7Dc4WlTnNk/GiRYHfNxvhMzxCPdKhF0sFgumv TbgCAJWVfZPeieMXcNCV+HIx0ZXlgg57QSjI+Eubl0/Zw7fU2ZvHRnfl5P88jSgN/VwI/JFJOxPf zq+gpjkM4r52UF68bLI8C7E8y0zy1io2v5c/Mvc24sSqwYr6ALO5zTfhQCT2kJrkCNKHrw3tRTtp hHmM5LizmNIn6JyGlAbsGmcvmqXLj/mjgyW5CzKVZ8cKLQAvGSoNCLVvHnMjOukGIrj+tmnE2D3p RYA5wFoLODtEHzHt3iarVYhxGhUXwWeKiJGayRaRFa3zyiIP+MGxREZKgUpIyRC0U/55Zl5blmKr UnxTqX1jkPaAC88RHq8sZi3gpPs4IQIpL4NaGw1odAw1IhUg/eiCZeJtqoV65xZb305b2xuZpFte 0+hJVI9VWtrXHOwfyfIPBlDNYJC7/mMlJVEo73MY/a+t715xFL2FHggWDsldynrmfSxTlsaieJLE WelAsO1AoWY8k87Oc7CYe+PVXAhI9oxo3I61/oQVsRQQC5lGtldqbWPRYBzbObyko4gMzOIBD8Be CfuT7j2IzAE39WBf7HmJAwn65sDVEC5t/dNMtr0wAv5AcUCzYNtaXC8oeXWJrVmZPxTAv56NKC9X edkemMem5rYCWdImZQD2AZI4uxCfzJHcDqEoOYMnrRKcBR6/SuNore4Rsp8VHGQYAD0xI3QzjIaf v4peh5YFXIdApRhcFurOOpamm03j+g4b4C4VILz/1v4UI6Bgv8FuGJm9EZTYtvhwGnRij2ZIXOOO qD9Vcvc3iebHmY2xOblKqTP83zYwjudzvZi8qf9XM8ky3PxKinGbx9TZpD638qFIGSyCoeDsatpJ DDyCPre+2xFIa1HUFe7PDK5R2TH+aCiNn+opbu247A2X3rF0bdUXE3LlPdDlWujYIgCMQ+99jZ+K zmDStvoLRsloVcuJgrXGNiNKuQlbTXIjvmxPV2RUPprzmFBDxxhzZGosRAqRWgE9b1X9pZUpX/7F RTGhj0bEt194fgsVX4IShN5QfxlijAiy+M8nL7KOdh21RwQrC/fZl2uMrhOWcoTDDRBsibSOK/Ic n1va8hLQx3JhsOfSh3uGrma5l56cKiuqQhiqx5Vjx3HR+eAkUQuHrF6x+CzFW8vFtmDZOE9CaaR5 TEYo4PHpl1vGnIjeC1ngj4NI2qxO9Y4WCqKZ5Okc723ZYQGhb52D9h/OrMBtcn25sJo47fWXHKCy ElqIbi8wMKDVLvVZVemRh6ZwlT25qw/myyCe7bHaYLPD50pH914BpQP+iEjaD7e9GuPAODtla45b pnY2MWadjbTeMoNErgOszrxNUZDrBY8ki+s744ayH8LDjoKEIHdaGZ4u//qusPHlTyFHADw49kN4 nyaKyQ6tn3J6DtDdPYceqtjvITdVgPsyALJs9Hq8CHfI2HqRRcuR4wPqxVAd4Zz/WVtEmFlfvrHF 6KlwFxVLonME0KGyTF/MlM9iZh1cpFKosH415n9uAjrsSoXhfEcQvkzam4aI/PRqkAiu+rYGzj7I uCr2PGtXdIdhamF/VVuZhJsXhBZRAmJPqWgu/9ySp0QZr/dJlnOvOvhQH8kBo0mFv5Dj42vnLcUV 0AoSBEmo6eC2trLt5NvUvV8uuKXPgZbFnN/K2f8iyR1h13wH+yuAiBEHlNSV1/Ulxg8N3FSQqg6r lMDF5j8rubpUCn/gGyclvZ4pS43j2ITjYSqfziKRmcawr2M4xItGCkMMtMuussmQVCpQZEUqB81A 1Ds6RtjD65P0SyPE70uq0gxEYLpsfPG0xs8BdZL4xpDqtTgBVBMWsv9FYlzGuLlEFnptLC3KOfXL HQ7VPsum8e6HzIOZ8w9Jik0tHsMJ+Wq2mw/U35Sk7nnhBqRyo7QXxRbtmhHtAFncTuYAEbEx4JGr ZK+l0MUl170XW8inFPf6POjhtaPsogbCv6sJw/qZjoQ0A8JXOWxpM+6mnRjqsyWHowYahMUIcmFD MqMTRJwrAZDvbEiOi692QP9wTgfe4Se/N0g5qC6LdC0oOeGJH8opWpToXwjEKo0SRvJ9WU2wfVlB +KAFJe+Vwvtnr2mXAZzFh+42uTzAsksn+fY2U8Cvz0zZJuavj5fyU3vhap5mq6WgYahkCcFhaX/8 2lQbYPDBf4im62w8ikb5irKc4GboMiE+lL76UCHbEpCWbg1tKLHKzP8JHutSy64eXHhHLEKaKJKw oDMMHd/7O3K9TXZFB0jGPU2X2nv0Sfq7TvKA+QegPsQt33FS6j2lysSEVo7EJUikqSZNwq5Gde2R utwKJe2EpsVh6HVl9sCrT1rklCNNY0cH7EE1bbARC47I8+enqKlzlqWEk2X0tpaMammdnOULxWy8 OtpppUGFQjGAau3EUjnaTpny5iZ5zAQAVYr3njkcz9f2MpJTyGA0awz6YDevydu63LQ9+B30EzXM VXsrX+3zLZqtyl1+AmxAvmsljnmO63bRKd1NQCWREn250ONrvLRaaVzSJ9Z1UlzrNIbIuRwN0Sn8 OpaL37wqt0YQTFQzjdXdi6MxS8vfaG/4YVtRF4gUGuXFWzGdih+Zco06bO8Eu+lhw2Te/PN326NO dFHxmON1m+dF4lXPdSMJ6DHmaBv7070NTYSPxc1L/hooAgWPEHsZMQZJukj+5PWFPPdAK3FYqxB9 YdYn86dIgi7TU4xRiV1JXkGq04zv40/5e+Z/vrV+0P+aN1LY3VzX365YeuU+YkmUUSCgluPT86Rn /GIwixozCYTiRvKI60zKPR2ap21/bqunXnbRKHDFLXB8huhnbyr9rQRA0wqO+8Inp5mwNQQVJgJh F4cF9wNhRMNPxaLuNUV1WE3gPUGtoFc212kpUKu29OUtZBeH1rJf3JkJ9usQhkX1Iyv+7K8WNg3c RHuVacYLWn6PT7gpn00KWmtGBlA0M41YKAlCOQ0rphgH/vmW5k0dScLjTtFpAp0QBsTI6rFGO2do EptUonIoN5s8GJV/qtK2GYNXGH4UGzdu/fbf7+ZqK6xf+JAd0+FlgGf1815Kgu6pWthGEJW/FZtp WtijP64W1rvECB9AWAwpprN9SPd3kN1jbtG4eZtSG0oW7Y4wZ7tOUotooot3gtDZvKMpBV/jpOYi VAIZTqBNgMkUwD+v3Is5JFlPJ4Ky3dknlbp8xpxclbMRjqVNOMS/rfXsqgBZtZaj1sQ5NFYWN8+a TlTVbcdoLnrzTaxFYUD5KMzl/d6bfFDnymBE4uqhSU4S+H8qfksFyuY2WHobncW+kqgHhofkOioA /PmdgJbLjGgS/Uld4g6cPcqmBSCuuJfdy/DDi9HLu3GSmkm/gE0BglvNfFE3+bAbcX1UAWUoT89U dz64sgUCpYTpQDkQzKyccR9RO0BEXob95VBUT99jVKC/WRl1E1e+rrfRd/6lU75Dx5Lh+Z9E/J8a T1zfBGlzWIOIrXTcG+UjSMnyw+WekDnybZfadbB3Ejg/GgBNqxrv3wjUb00L5EBu0etJxaibDfei Pv+ZDV/6DDKXadQ0eODRISMrW9WgKOf/9NDoTxXWllERenZHV50HERJMfIc4J3hpksG4Kbhbx5xX 3LNKS4+BoJ5JA13ntJz7v/P3P3/mSRd7mrCgaQ8vtvpCj04pa9mGUOvRFiyMZkw2OoirPGNqGSwC TjWJ6MZHOTRUZ8WusNmt7qH93N2wi/ecpOMB1DpcV4sD+FfAVUDM+H66q1oXWEHXn/s5qGkmD18d IsiUoXeEV3h7a43Zv4Y8EKEom1WbTNuUSBgcMfkWwQPbAt8kBH3g5D9fqvnCDtDFoEGyfn5CJaqe FNBOnvwurC4SCbEp1miAdGtULcDk7517adB9B0cEl3FrGbLz4uAkdbOqdwCAoJWty9XItKCXTFMg pF0JVQbHgCK5j6dbnhheNIzBLI8IdPf6dNjwxL+CZSaHLcCoA81bZsxO/K2a4HVHLvpVkVtfOlCO 8lsEwon+eds4IngSIjS3yqSBdKXY3D0zIres8iHYCjF0RzfszMv1hLuSrnH+LpBR60cuG8NLtkke 0sO49VeiWGgw2YC6wyuP6YXJ5agpeLpqJz8dXXtVfFXG3qwRYZv+2GiTXCZcPGdLZp6YAVKnZQce nvjk4j3R0ftWwavsTStxxWP50fHSzznT6kikLr1/dtypLt4qlXRUaOElU0/3S/KRjIR3AX7XbZaY 2X57HeoZVK4zEjJyxmE6Rb77/5Ziw5it8r1U1bcVYWBXRYMZzKwXM1sCKlBnboNQHNGInQ5WXcfm ys82nJforHvYWj8o+x9DtkvLR0nHf4LOiDiauxYfEB/mFy/bNXWHccR2ENwSgNOANrn+ZBoIPhVY /vj3XNUejUq2DShGPT3xGPjkvRF/LKW49dPusVG/tIhiT1tUKtHJOj+Gd4FFnorK+j0xe9LhZwmH yxYSpLpX2AafpDjXqW8/mTkPhLhZSRI0Z8064lorXrpvGIofEHlRVVnILtx6E8a0ePYCWLMpoKQO Vz8vo9ZhPT2TAJ3OT61wc/FEXulr4zAQd9mz0tddVeI/QSq+EfuVEPMu8oUxsYOZOoPaAUsakCFG jXZ+rJH49Jkz/zwMZD1Iszg2UvLbG67TCKmC/sZmv2hFmRmTg8A5ypDi0aV0CT/uWXDzvOt8vw6Q 483D4KbAUt1qNCXCQXWZa4PEYUa9R3L3Mo+cDYGHZCOUxWX0ofQvzdBH0sff1gdTvojVcjP2QeMh l+Vj/xzxWre2w4O2YUXvpNY/2dpmxZ5shs+6CNw0bcbudCUs0ptiWKhzWoTSr2eOINtHcL868lHQ Mf6ICeNdJfLDf1LqVQB38HbpvV9+LGtyKK8PCgUsQEoENmrB/oQ9IU2977iCTCz5uTPo4n5wZeb7 YFIuPDN8ltwN3oUFT580hrUbX0iL34vrY2PK2UahBQBU8heiTSgzmuoxSDc8e1TsxOuOOn7uvfWM j/wmrbmNvRxyk45qsqXoZfQbEIskmZhOzTP/V9K1gjIS2f0EmD/CctwFGei2f5H26kEpqUwLhEqF Yu6miiaofEE7wc3YA5/azQYw5vTt4OuKFTZT8iTZGTj9WzbE6uqSDiYJcyY27tAd6VUlQWXr6rdy InwIFVCQ410UxCDff1ODU4OmcChja4QifrIT9RMON/NBxi0dcetzdvrStJ9Zs1AEMpHSp3nwd97V Lljxwi8Ukkg+KcW0odP76eT1zU91b/S5G/vaZP6a+gE77ZnN+yDuYQYfUUs1WARsDFdXvBu/N+V9 B9GUeZNqJ89odO1tzgSXCRqJ3MKWkLhWYcKeirFU4bKU0/0jJVjwwzwD6R3CF7eMb8DpSRbjgiYy y9wIOmei6OuEt84gdNNokUqD3SNgRIhInLDfpoqUQVibvMPrnIki+Q1Ga9uPmHK+w723XPOL8Bxn fZ+qGrXRqoWNBUNkH7IdP3kmDlOhAfTVT6RbpYaKRPa2gTNNb0u291W7RmDCCsIK3NfWQWJkWck9 Hr3w6oPtNNYl6watnn0sp6fEADkGxgUrN1/09DZbBYRbw6aGNtXv2O5txXmH7K+wkiPvlUlRl0HT z6VDUuLvAtiPNgyCuQU5gBhJ5jsAgr6uAKBHbGk48+FtwzZe2pxVTQUZOiSAxwJjXv+/Yi2GBMV0 zg6unG5GoY/Imo+va101ENIFCGXyd2T8CJUIX895IafNDHBhtqhAOjjYe8oDM4uh9e5AJ2UVhvg2 EaSWDKiG2ZPI3Kjqg1gJNPelSoXRRgRfojVJraiZHAhxqFbXuMsQvtd1+/2r2oJrROUKqpVNDYMP 2prFa85aah8p6opRfeI+kohsTr2Bqdouu7A4g3fEg9oOIcARBw/zMdguzU+9UWiFOljhEDnJj0Sd VU3IKJmvYj6sckh8s6QkZhkjDIpKI9zKFegQqt1hQenelNvU+HjaYVHZsd2w24G/23yJ1hgSw1jG AJAefIU6TMQ1BkIwq8WQ+O4pXTYNBneU5sl4FsmW5Bhk6LTSA3pPWq6VBXjddC9Uexrw+Yl29h/k q1Sufgz6oFLMOwg4/SylAjzDsvm3l/FCvtWam9dlJr/wp8tk2mbFF6KdDlb9t2+7fy/Rd7DA/yel 32KBOx6ShJFj7MwRTQBI5Uejxseoz+8jtIE8KTl19F3da2307F71kKUFBVeiks2Uw5LAg7MGu687 Up+2ZYGuOexbln5sb9+SFu+0aT3apPqq/pzUfkJ/TiOyklVDJdNpvyOTYpKumhyqXpkSDnROIWWC PtF7OlPkNKmJZPmVnfcX+BslNy5JMhGLuF7SLRP4Bh9zt/fXdfd1rbNo3IULM7CPPkFh1GNTp84Q SgsVrutBvfvz+7cLbu/atnGsqzw7MkcBNxs6WPPr7leZoY5heVrZxvhwcgikClyl0lWIJKIthEW3 gfa1qWiRRqQdWoHtiqri5F+IqS/2TLlrcK9n0VLgn4yVjoFGjontrC/an7/Ju18xEjSAuNxLiG91 tAijGUh9gWdVI9bKc9OxIiKP6oxLICLa9Oa1bfs4dpzAF47WmWyDFF9MrzGXZy1Rw84cajhR+Muo jLMctSEjHMRhZvcLZwH2Obk4dE6ZazKoLEb62T+FTea23fgdIb501NW6716M2lLaExjgnYNIDjc8 OG8Pcw4htLJl68RAbAbcbcJFiao9Zhpe+0XHYYSe++h3z+312rUjtTDDAgRcRJPVuncp4lFf0dgW PkwwZ76iqAPmwo/oGGxUW8JEtKIClELSILOEgp59pw2ritH+z97c19zFzK0NNW68qs1x84Ev2T4v 2rvGl4NmyH1L7pkOfaStrL6JLF4MsMBGooCP25K5EC1JM9JfvyPzMtvYSQ14d3WvkT2iVZIsul+f PIQaOwEQ7+4VRzbWkmm2Asm7/70CiJL2G2Bf0nT2jQEMFSHRn1a/LGnoeDjtlLdCrfLdVHKNbBlO zMmkZW0L5YisDrlVtkFTZh+dRXj53AKDB8K8cj0MtHIh0HQRbkXyxrPhOtCrNfzGAPzyrLfVIeUc qz6wiU/kL4828pLkFOdv/pWhJrrlcL09ZIrznq70fRLTeYQtNydSxM48dENRRxlQzWQ3LLKGyENG PjsimOUoppX4DTu4UsEyvfSuWsVWIxxH/YcerQu+4WINOh/fiRZJUae5COP5zC4xoDtrmb4Rmf66 CdZ1CZHrhaOPDmbMPDHdXpVeI9fApzmdf5TqMFHnJ1q6CwxlwDEhFJ+ewwXa4YucPhnUin30zJkS ut+a0LfBM3C+RTt/nYHH61cs+P8RRnshEkuuCT7N/FDhUIQj6ON8lS+kvU2/zGj+SVrO3sGJddPg rpuEXPm0wDpy+E+5MGQ5h3x99OjELFqH7+1ywuC4USGu4nlQkk+vNmbS5k03KQz/dSMbxNcVQey6 mxleMURoO+OXlp6FarEGJ89dMHtpPsx4SW7fau9mWcN/Qa+nP8mhwS8gTTEdITyIA2FJf9myKXLo fJsWKeWNqTcHbLu9qpuWx44kASIPHvNLAC7C4UC6JtBdVZeJWV8UJUKUWet5deWRQvOgic34WMYo T6YjN0a0lmBhnqMSspW20rIt9G1KIx+SFGoKEioUOHP12VuoG3fLyLBnIzCxWeL0RBGPy98wIZP0 OBtZSUjoTY8VA/ZftB6ROUoU3pmBKRMfbM+WCbRtO7LtT4vGXiKsLcFXMLoTZlZNFJ3XHLI3Oa0Q C4Q8S7nV3tCV4HUNkxxFTWhMrfAZiXzoASxze8ADb74M8FfkbTJiSXewolqk1DoHL7oEeFIHlGik 3Bi6B7c4IXU5Mm5p8+ZqYoeQayx0dnCbTqjRgqsrLYcdrF/oUW/SbFBeMcBYksOIUPG9a5RDrnHv QiY5ubS5VR3g16C3HR9uGEtGBsKaPp2gl1X34eG6lPQjusGcj02fZQt2pFCzbbdsGXPuTNHIA4Ld MNwDeAe4SQURU6QrUDOkKMrhWpfIRlBmzFn4bcCYzn2kQzo8TZVUU9CMQ2L2QDS/iLRzRW70xTAG xxpMIYDiu89aFzcSNMm2nmkq9aiaWcMbju0MOUgoK6VOJSoDiWl+RGdtdcYECZkmnxnecuRjkp6T sepqNUIXwrwIrKNtZmqi90fRqVYof9VE7m79HiWHsEqC7W1yhqbEKWcXium8l2cN46U0HSwfjp6z W0ke/gKeG5dB29KpI1nP9/2iJN3AcMSXzBh7RyBQHB+fxfnpev0TgzZRcx/SpaQ3Y8V/TOeVhpLT AdYvrKyQRdtuS5pz79CpN6+TIIvRskNC6UuiMPA0TPlzCCpN0PlapoOhekzEg9D3dUfgm33inT0w 1r+kcSItBC7GfhgjUOzHXARkifc2zvW/EwQx0UpcqFLH1vioJz9Kw2/G+SL4hsPLyIEnCWUlqtIP Q+89xyzkZ/GJS+joasq7kbDjHlZQWOuFxSbNRqKVIsQlh6VVg5n3JbmQEAZsL5NWf2qA/gYBWYcU nx6rilk8vbRfH704hhO7H6Ja8C7j59dGhT5eQXBHIDpd7ijPhWNovvjfGd2xbOMHt3k4dkGofA0G Ou0h6dbfOtnQ6mXJ5v2W8h6r5/Ka8vtBOmIJhtxB+sWbsvNapQsC7KerRi+mwuCqNiLRbsFN5qry v8mgqwLaYlj3b0mXTzTCEdFYyUF77LJTAx/sxdMdlLHdf4Ic78iPp1OFrDh08ftL9WuxeaZBjEe9 5Y+GW1km0xjysafjOeBI3GNcLThezqIcjhpDeY7wBkw2R7y7pmRerpm8k5a/NSIwgFNYKu9BytGt 8QzWiJuZqr6RQM2inll6qPdJ5IsTA1wuhCux6gX0b3qfl170MERpHiq4Q+zHTIO5h7W5W7Q9vicG QGjnvuwrOTkK5ELwmlbVM5xulUg7D44cEjP2Jz/Lc7OPQPOcp9zM1ZPfCzLqeNhkIXmAxeGW8O/f yqkqTLt2vrHIrsxCE8l/FbrFKpgLE/IS9t6SmjPDBAWt2xGkASmJ0nwbVX/++NnL7Y5CHaYJArgN 4rmmUAJzBVZEVmIqMaqZixpyd/IxBlHzhSd1DiKEJ+dirYLtigjXl2p6YQRlUjh0vXdIta9ODB0O tgLIuIFRtfDRY+HJnz7oVFPQWwKhKWzXDRz9hTOA19WXcKWiMymPE+vWe+5aQlD0ubicbSEv18fp piOT0fh5qZKKonaqA3xnZwkq1YV4n0oKFfnhsDOn4C6oaiiwuCj2bs+WDkB5gkFDLyAB5pGfle2D 0+cQeTXzdT2iYKFwdwl3e92hjpy2RaDJpw/ARuf4Md/1acYINg/98XmYwU8gCXmCv+Pt7UOu0ziT 6y7lbsAK7VWpvp0Q4qOJmY0nbemswNs0jBOCIc7UyBVFvjn/6V/OiFgcJ9cXV7J70lcv6XXz7MD6 GN4kkLdMdcpEX+NNt2c8KX6rI3fdQ+6sdtJ+tT5XbcEYEw99OJO98P+uXhEi3OgcE+MCq1SsIE1o pWEhX4BLCgDg2RDnN6M8p1/4GBM96O6MFJYQHMhCUzenQ+gujI5bFnoQhvA5Fa7zkvq+W5MfnHaB VR+APQjgloDo5+s1A99VpN1HE+TUDuSJ3CdHdo2JZqqNO9bgcXb94JH84sv64l1HGz3lTyBaNnSe u3AKYszgJ9WVqr6rK91J7gIlRJ7hTm2iw6t+QaQ7KeJFIncCeUjyY3nrFJHAwXvCno+Ik4BGJQp7 RBfUBu62Ne5Dyc7/CP+ZrjjcFmqXdBDZRDKUL7OxdYDCh3xK2mTMQ31+AHFZcN2GLLddUNqQ7k34 0d/70Zz4kP3uUbdDo/Tcdr/uuxYDQASwIypn/4cL1saQ6EcNfj7QuDlIGqILWiL9LgpzBrBILOgd WwQQvUBE2yrBcqJJRGHelTBg3fRgowfjrD2Lf5dFW2gNtKnMof/RC7V6FUmOdGIMBXSubyklntLQ UxYMtydP/wHJQVL8VpjdTTJrk/D7TVAkX7s0V3UmSpG1IcfdfIZpttJLv731R0Y2iCCwEaS0Cz36 zPYU/fSSXxRv+51NgqD1OIiKHKhJA2s2w6Ohgtx7/yc3C+t1QjBdM8EEmJnyBtkczOHBTTLbxKuw oTJJEImsjq05+hFHh0P963qV2IikmaeWhx+1j1kBFZ58oMsYfG+1TXBGMs6W3uwHpLBx24EyIWZh hwG3qFTuXp6naWDr0PqvYqpWpSCWcDBwGtMgYDAimMnQYhNqPZ/RYArVi+XK29Cw3Q0Nbal7edFw Fx60umHGF2xtUZlS7RZCdCIaT0VL+qS+uqlmsne7JzRbbGdSShQrXRoU8hGOy1cQwlsQdeAjoTln 0TxSZuGNjLZmyaCOBlqxD5XNXHr8bn+TogWsvBfqlnHIB4ojAKodU922BjIXRiWPGHVsgj57FiO2 xsHdlOhUm7laXnhadvKGPU3K4XPQPz+kihbQBc3G9jYx4Ljq3Eg5IHSX+0654RKAsXpFRv0Dji0v 0DKRFevepG+fB1U3soAa2DttnZ21yu61XHkRT3wRBXcJqqg9XurbEBV5tHgAMnXUI8KRhMjZDD1C Zg+89sUPQEFPyu7cQCoGYB/pR89VIWwXKZjBpVSKqMsCTIKqrRcZUT3FbQQBV0bmoye7mVqcNrNT FAhfomT0LtZRHuVx9kvhQwDmgKg6ki+ZW+RfEwP71xQaHlLUeVgKQKLXuTBSqQQ+2A3E+qxQhNQC 84TLt84FteifNdAFN3E0yBr45M8O1ze411odvUfFuNmfeM5IIvZG7m/4rHiIAc0SpU9cOtjyNYfC OHiT70ZBI8pORD/p6bIsgAdih7pX7iKYIJg5ohVVbVOY9O7YzCVzgj5USK70kf4zOLhjqI/r6q1Q px/ASj9s5J94X1jBQOdMWWre1wOrSgINsIXmRm0fAO0UcqSOYp2PKKUaPiHEHdU68WNpTS+PzUNb deS3nQb7P81MyYcEAKf9Nu+nRA1kulcBxS38fPkk6KRx+dNF/dYCO/IvUEKW+6fjui5HO0lQbEcs E/DEdo1Ne+2bFrkAszGZ0J3M+uxSs+MsMfApHZbJFLa2w85lXTq5TVP/C8uAUnixm3qofaG5tYEe T4gtbBzCz2SMX+oedEZfox/Y4X4BrA8iFUtpW582n5b9neGwsJtCt6SDHMMh2PgyPxto1b2bPcup 10QFGL93gd6KoqaO8bK+WCKCJgbbWOPItMzux/wEKgH2TIwVpTozHZDKinUSZ+XplrAQMBJ0TwLi ROnwsag0QpzKVH1iMlI3YX5Xki2rvlnOWk1E56c5eysJ1NjxKrLX38vc1/KH7c1O4zsCrIPN2Mi2 ElmzHwzBdSs77gU9BXc0ENYGR/9WsRJMLzjpLD1muQyaF+GMnoFAGdyQdKm2Z/duYIFnqueS6HWM mscGXSmZ1O1SyHviMwun0NnGIO7Fx3YR2Ns1eaq7F9nogH4cn4eR3HbmLs+aeB6+R3aqjsef1f4r 6HT8f6vKHQ9Q8XkwI61ADwL5Fekhv5CwAmN0IlcFqrbz/hFgi33dd3Vc+wIQrqsSnSTqJvDSmPbG rnrzyOSgQ4F5ILpFYCH8sNUBWkGK9yVJMPe9w5Kl3UOg/55BAVnlLr5QL9dBcoAEs9rsxnzeNWet dF4eADtByggKOEBNu2NT+TW6kkzooWN+1iklKCPi6Gtp68Z7AWhed19Uj+4g3c88qB8GkilyH+uX W2io95nOSFWOSA8LtU6yokuNNfHrF28FvJa6MHLf6KRlgEIYc59tEfOIc4qEisCB0QBHKbMs6jmq X/9hN5as2vbHNhIawOvOw54EjpxsABM7GbFZEJj0a0tfhmQky+iHgHd3XL9LtIwyQTuHm9AsfoDI dpNYA4qupM9b1wI592cUGinjbZKyKrw/AP3hdpCM0EAS12aJ2YABiAqR7nLxV7rq2kmoZ6Hgf7dE Qh8tY/qPoUizOS/PUbGnCLj+gPENoqSsCZOYltsdIRnDwkzXQ6jm11LoVz302E2MFeAP+1byrSin fOnt3U/Z9lJktHOBNqNdJ15hBDRBoilWe7SezGqfm2ytXQAPdS/6DyaLEXhB26Hhf0Ntze7MAm+9 T5Md7kEZWVe+dCRpn3sgg3tEXRVTcpN+LCdy+PQZkz0RC2NrnnRUmNw5awMbEAhjzHBFjjgtUP4+ e3jLfFbAujjdTHA/Oj9C36whdt/3QkBAQZhbOb4pxEdoy/xTaKG4Eod3I1MZ08T82TrC6pTEP2IC /YnAfU/+XGZnU/ZR+1z2LnmpBVGX00OgHlMy0N6ETf2yUDj5HVRXjjE51p4Y6y9fTbuasJIIEkvJ bUs7R0ixcO+tntLWRohiY2ocd27Z+JL5FVYfLKHh1UOVDQO0b5NeL9H9nJu6a1n7nBK0jPcfG+rG PzZbw510yXl6qd4EgWwV7UxUKnpYgXgbaBBTTYSU5ZTenbuwGsWcYpT30pPBHUIXofsbSKeRagPB kSlqTfLNQQQQkbB53KcmtcmDDulID3bftX47PGVuQiCgWVtVh2Fg08UWMi8q0X+uWz6zZUmF6GE8 O2UoHd2CmqUNaE5zk3wmTlJ7x68TLbRT8x+nmv94dZldjUVm/SYYFaj+cC86VTa2VSKkTFt6wocb s9Jb18ST9/16bTAiNE8Bx0sl5jW0ZmDJz0JRSecb0F2ppXLX3b/Dtrzvg2ehrJm9Y18rtOnVPy1x szsRYEyw/SIH/hRKUToWXDd9WpTQNvAbUFUTvtT7xlY9/oMNGuIMUUjx+PP948ZHc0nuDeZXQFgl o1gdYrTsgOFR1r4myq9Uznf7Dyu/VHDVqR+3MFnsq4WzUjOma+si5D4ebaFuKayn0ypzr+VdgofN mnWolf7RQRLWSuf3Els9G5a/En2nNMwYkIKJHh8TVuZQGDHEyWzV3muXYtcj0p8LtFwc+fPzvp/z Y8rd/Qc74HyZHUDBn2KGrwMPDKLvhbiUc5kBHTRVtuhbVoYPXhh3Xs0UeRIRvZXBzLBz7xgukbbF pKyIMDpMGj/ryxdTL7g4YG2hcLat6xjqogXz8n/jdsB3AOcQR8jf8BAjvgn+i4mkZ6V9RzPl/q4m k3Rxm0kx6YY/TE80O5/W5+1qqwRK99BohHQIzHHy7FBThexjiiDWfI4wJ9HNYinge7AnluUgLYJ8 d1eYUWWKuXAtQ91Th/UVBZnVZM5gMJhWaoOiC9OOHoHb5yrcECxywqoB0lgKy1Bf1JB6ZiXHMG4S rBakeHTT37OcP2nkd9BmR4Pwu8Ys10eD0HkyBODIFXExuT5+1cEFY7vXSUcYQ+zP5XCB5sqCiHXV zqc6o9wi6tDrPgBsm39euPrEOa7FGap7h00+i/XfGv6T0vvzhk6MAT/oaN20XlYk29dTCRLO+e1d UmcWpxE1IuFuGByGZ1nG1tLi02LtNe+wwDIiCkTgXbPa1vAJpTdNQDcWyfmrqcwT5/GsLqILr4Mt oqkb+4xK5N+ETgkaKrN/Iju+5n4cD4lI7UBiLk+TIp9gcnjCW/1BEUo+BKuTBMAOeC/mLyv3rSdm BIn8xzKBgLRr3khCDYyQtDXoS/35Tn3gMDC6b6Z2qadtFhtLVVup7m3d7x8A7alyBaX3qnPB+7Uq 33Yq/eseWWUGnKzvBd6gBPRl28rlj1e1rwobTz3QHQuhuKDDl8QRC9A3WeTp/vHzQx5TJ0ZDq+9Z 25nvVs/FRPFP0q7Vh6T3arq7iXbJwjRUiUhPyHLJhM+NP1T70f+GRlDEdYTI3GVS1Jh+yzFPYZPt BO8xTgGhOT+HzfoCVFNV4stnWrCa4I0SJKOzMzbvuyU8nfKZ7KO8gstHOuCD9fWruiB3P2UUXLr2 eKevifY+GJzxjr2Ine4/fFNyii/6wma8Ddi7f4r1gYRBBQNDgOfdiz611mu3ONdkPNFmsFfIk3II gWx6kjWgYeatxdiz07pAlcazTTLuW1YUT2Q/ozxContXEsoqNYOZjg0f3421KrC3YdsnJF+5z+hJ cWOeyRn8KkP5diZDDBDZqQunrNCvNxs2EH5BwWIobMET0qxraxHl4s7GmWMrGpoRxDrBGVSdwkus lIqsscZSIeZ0vXZP8YLxNTqEuJtLB0+ysyej61Mz4ndEw0FWmQlyxEpJn8tPEjkDcbQlatQocsJW H9QkSOY8oKJ0E+U0csXuHWtoKmdyo7kMw72mg0kjjZy5RezY7ol5PanDNNMxfjiB9OLK2261P6tV vfWyPcDn8TOo58mzdWIzTfmhN/5baioD9IpwS7so2YOzcuPbAujq1mRh5jjjaoqB6+t0k3aJk5Ky IfTPEnJv3WJqALv47xb3oe/ZHrWnd5jE18Vz9bkwPrYKGtyvdTwJ3kmaS71Wjt7Lx7zVyZ2O2AdA 5Nb/ZT9xq9GztctdIrS7A7Bfsv4H9OJ8WMm6qby2EP6ijQ23gEt69aay3yJL8+ove/v8UpsBUclT XD+w7AEug0jbTR3bSHHdT41M5Qxds4ifK6CGe71nW5FUH33ifaQlQ8E4w9ox5S7yF1dWXUFJ+U+K ypv4RJdaWfU1I3UpXFJFWuuCYndfrxTMcFljplJQXCl/aXJFrYL9LDKVP7J0o0SfrsAdtcRqTd2g WTyxS7UoszeApk+kUVi5tDQHwA+EMwGq5t/m2Vwhh1HG2htTGrGKQt3d51V8rNwRJGyQfks+rXBm YVTUus6nOEqqL3wNyfeNpO3GMDIgY8+tkT+KhKvoEvzHSryh3Jj/FyXUwVreNcHj45cSYLdoP7Tk hdi/ZxR3l2lp9mPBQLdIKFbwVAz6R6VaYRB8v4SrNi9VJV2eIShggAXmswHziE9totvtiS9f1ZzK kixDwONOEyO7L8mR7Z0ytkXEHu2hP0+3f2svBW6n7qvEoDezyYrfho5daapBizhmtbegt4A3A2g/ yGA/pJSUIG5PuNGrPbsO1XO26FdZG/1Sgx1b6Hzz1fQeemgCv7kaTrC78qM4uqTHIw2WDMnVh20D 8Zn38slVUTqfIklRPXH5c6bRbniNRA10xroM3OQCh5+vKOjOfZA82gu1c4PNCiPMubo2le8m6AYc CxvYgz0mwX0J/p0NaRM70u8MuUZS5dmTmpybrvfmhEd5PDPC91Sl6wiZfogZhIXwVm80+hvdAq44 mstm5r/RM+fxkUjpe6purtLySrmNqYoB2/FikN9cwW7hOH+zq4GjPD+LZkcHrClI+RmS0hpkB2at XUV0qM3OOgwNLQLwAQ9xwt0drKB4EnN4YJufsYnScIinWqxIHnnkRmIh9JmCdkaax8lfP2Ktz0UI /cBPJc6ue8QAQgWSDhLn9aBdaKU2mLIl0y4t678ipkH576y0v1e4/4KEapcWBO/mNe9ThFTdt3yp Qm6T6bV1K0GxY6EojdtRC6fd531ncfdmnY9p8qIqqoWkUWRDLOT1mohmiYJlPMRepqr56J5h8ZmU kCLExhOq4pShjpd8piAL5XclR4wyVPsp4IDjpeJ1HxEhlnFjebHxZ6SHt5MpTERYCcBQyr9I+u0n Mcqh6gahxAzAP52tCQj1BAH9pWiYnUYpTCuM4Re7ftepBv/xjJpU2nZjrBvK7bwP9yCQV2GlKF8P Yx6x6iEmn3bE0UNh2WA5/m3w5jhEH6wiIREE1IaO+bRmVVeO06t5ZmG9fR0fC2wAhpd2wZOMJz5R 4KODZ1DRDwhflWtPmBN/aDGdKute6affXPouTbPJbm6D5h9HxnRnN8+TBzq72ZDpvtQ6DL+xN/yn UUs9VnkoND/YLNVTkogtJY9hzE3udwYERUq5U9o0IwD8bRMPUQoSTbNCLXrh53JvMys5UQ3fOmTR 1YNgcEFzWy7BxdwHMi8NfgCFTb0ilkGpJZHRpqRuunlzvWEriaRB9yra7uiFwLC3hmLZPTkynAnP kez/lo6GCmLHpSaQhM9jjFYspGifjN6Ury4x25NbePz9G8lOXrVTnl/n5USdN4P38G4pEKCULrOn Kt8ddqyv7lJfHWL6FL3Gi83GGY415zRGzrZ7TiLGNKCyQj+E0FaXeHHCKD5KOFa/SFskP3N+joU/ sgO0Zg1U6l/lNz5mbbf47UZ7j8OZJxLBzsm3MUdARh4nIOZl4luhUjCMmVAbNS63rRnOJ47k9daS E9m5+bT7lVHx6aGFmQuG6HrU4DcYkm+vtBdaBwBlz1mI+wB5DS1Y8PHVEk9zT2CVpOXfFfakhdbg I74slOpZU6pWFoazD4RHEpxYdTEyx4Kq/i70QxclAV9Xx52Tg8eWRH+mH1loyJhlrPe0rmImDWJ7 IDb4/sAkMuKvOZcVi4aq2ZR16Qvhs6YfwFq5DEzJ7J88S2Gw/pY30mnVhv6eaixIyIOlqwryShBd GA7zG1lEGv7cy31wsLOQD5ru1XzJkRXphJrRdVvmXOIUdvPDFheiLoD0BePaCMf52XFbJT1YXT+P cez3aU4ujTlv/4wbhVlrkovBOUvwld5vRdNj274uAgKiePX6RBaZQWiCBdG/9oXafMhNk9gB2jlV w1zP4Zvb7ab3YxsefQ8vuQF0cdu00WoEukCLGpdZ9jvkqbNemeUBlazRP6J7e4rxHpe6HRFufBMO PXR+xE37Dr7dkjRP7894VzTl2930RInQER5Xy3iKEm1KCWqRifEQWp3X6frYrSjAm2pP4d+kbiDI pFEnWWd72kZvGLn6Ad9YIHlzDOWjS4Cxe9wugUopu2shw+ERFI0er57N/zu571Lr4xUwxLU7A508 8Ys+Lj4TQ/5QBo8eT2OGKZwrGqcVdS1Aoo192HcCd5rEWHAzZPNjGp6Slwh1e2ELnTfCHru0Bd0S eHU3wFb0Fsmh5AujdxQA9AiTwpw8VXDwuOFLEwNaMjSQ5+6FdGNSczOcwSXYhaZUWNfgX2UVRj3j SEjEFHjVVU+r6C1ftp9yhT1mO2jD+L3RWQSaoW6uFdBbYAZL0bxxtV9dhz19JDSd1sulMWzjlnm0 dWpvsMRQMOyInoeoonScWjojIO244qgs1tdbqaSounP11l9ybMcZVecLsTjPqVWjEEqXcHw/1MHX BXUqscp7f9vlWe9wna1b6UZywEdAsy5RROHD5oHuogVBz90tiASVBVF/J9l0GBUxdg5MaWkHHyOm sXmlkBM6ALVVmLxdekQwBC4iNFjxrjK1FojD1k8PpHQg1C5uzx01xUwzQKhGQxxZWwzw1Xe0owUn Rf55C7QkbYfJGSY4vdbQqVSK+L3Ou9NhLPiSuQqOoZ1dZDUpg3iTMJ1UddAHITEy/M+HeNs1BR5V yuexJu64/gnNZn2p8oEhQV4GhXis8M0LJuV0MLqP4gNgvq6dZhbLotKgA+C3QaDnDyYX+FhkPU0f 5q8hkZCizktTOGJX/gCQ8q/Wyj8WeJT+01J/AQhWKKJy5nGtPiPsSgpJqD6q3foFTrvUAL0tW8Dt KeN3XzV59B8Pz9H8TiAXuqUtPNUGC5Tb7eryjLLMZ7YRbvoa65v7LiHiaQS/549tERr3/EAnWPV5 e0u7HNfFi7kQfTSadtKvrMvOLAXNzr4t+BhCRCOR7rvF6lvKHuu3Rj3V+l3TrcwWS2kdhY+Uo7j4 vGZnfN4oF320oyoa10ktzM6yBzCZqrwFzHGhzwbY4xGxmrhSA0fuFtTEQYByVJ+lyA1PATc/R5DB SPkNmHme3CTsdrtVm+I3he4NbUA1LUEZsU00PZTbJUpTxJnPBVWwBaE49Rl0Gu6VNg4UECucDGEZ W43g5s+ViTEqH4eIz3VoDRi+tRLYIhPNOG2dQmt7SkbO/6xl0oOrBZkh2fadFUaIrPVfR9T/loF2 tTBi3MM16ZXT7Flner1K+tpN9xtVfjiD308K2WGsiUlqWvVQ+MU0EqgB9L589Rhmyqm/mvHoBv6Y RuSNsyG6wAH/GjvG5VncI5orBy7o7XRu4FyI8EXYRVhQhSJ6osYSLEEFVyFT9phxcpUYJEgxxFhb F2pQyyZKChAieI8WMbNTff6C9DWailIaN0ltaPT2OXGFL1H9LYScbPt2+TWAfSyN5siM4nhynQ/1 uZeJQ6s5raVRt5R8ex7YMkIyGDpESCmI8hDTajjaRZ2OkBSSlUZI3CxwAeuV6i+o3CEEdQ15F9+s VbHRNpUA9Ji5FarJ4n+X0t+QN6VGTFUIjkYUwORLRD9+OLbIHGSxojr793yguPL132swk5cKz+2I W4eaUUNWZgjpyDZG9GU3cSaVNOLTKBW6OApAPGMrCKzVa7FoPz+nADUR4oNgNruxCeJ3RGYo17xd iNoa7nq474eWd8OFCYROxVaO0avQhQOiQ20lc9Qtx7F2orM8IrLyIb8mR3L2srVOK1M/j1/gZTfc f6OnnzNL8yig8XDFs2TQ4o9NhUGg+/QAfG01odTBcYdOrKDDYaLyR6edxTSRtD0I9oYe4T8zQdYq rTQtq8d7vysPS33gPv2Fye6nJpTEn6HmZzjIKG4ANEacOvBpTbJq3fJ1u0K4X0LByVaLpYI3XTiA YDKkGCP6JFcck+4mXwp3BQVwM2eivElBZ2lLtOZJeyBWv8YZSpY2tMpyqw694qIPYnW39Qir8YpB CY77Zsp0qzxyLoD2j6obPU5TBYnCf1PrfOrNx3svoGG0ARBI3182/6ODO9zk6EVA3HNBVnBImgdz k4EChdNyJxFjsOGbFYfo9Yj7D/iTOgyokWwIqMwJ1zgad6tYBc4AfKx+36/WoPBnNJB8a5zOZ04v XC2ofwoNFJsj0V/3lO8o8GtdsoZABD6ogNRqtDzXYDx/V3VQ9PECjNmQ6YQpbJwOG0ZR+H7vZHh/ 5Bv5YR4gDljNJk+/UfWoT9n+/6TsvMw2Q5vrJO8+TLPoSGuXY//ogxCDwLgKqZvDz/fXdqilj9iI hYzUJEL4hZeSoa3Od2DiYVsvrmeRoBWcZSo/tGbVk/QLqZweZxTX1ik4DCgHjaDNPpYUYVlFlTT+ zYV/iQbfRwsn5F/jN8CGLzUgQqbrAh0tNauATwYZWV37GueYqRw2DVbLB8SQm85czFXlx5NGhAj8 8XXF8UxQcIGu6C+t/X25Z1WWtR66T1BCU1LZtflcS0roaMdOZaLEG8M0z/6m4hNpbMlsyQfmrXlz FZCNJ5KTydIjYaky4ezt6C67H1w8Muv8wklFInqyac5bkSwGfe9xMl2Miepex2as+eKe5W3BWcBn Ti3mUrY9TjNTa+iTx6F7nbBXOljjz7Fc8meZOEH3sSEpKolr/1EUdGHmrj/FV2F92/Xu5WVrGbyn YVv62wOKMRClE2urbiuI6NI0nsFhNCdZR3i+p6fYauqdvGif++70y7P3lC7/EELS0MNyjN6UA+9P 7IIHkcMxLVZxO6LxwOTCRUk0AseejnHPIPlSRSAM+VmbscGxBx0ppB63qKSW06SbnjIA/JhTxK0h McZGFaICXtsMgUDh8/YnrbzJfuVILs7mEo5sZ1ixJveOQ0jCU2H9sB6srrgZEIuhrZQx4X+F39Kp Ih+c4BQ/qsrAbg8IUhoPK9jrqIOMDh0vd4Dsz958Gb0WiosbmocmhJKqCvfZuvTuT1qEVUYi6l3O lyH+SAv/WiA75B+eGbUGZMRDRrQpXES/6DDem9zWV7KAlWwnZF+YA/IMSgWf1CF5TrkL2fkRXMcm yBUw2NL717o3+3Iu4/wcV8coqZ092LonGqVH2AIgHDeuLwNfdmQJOFAbTqbmKRCU8+l/bCFwzVoX SN/8oUzJmxQYOcJJfwFQ10EL+Ktsd27ANGDGXhqLd06fvqQXCWd/GzAlJn3Xe5+Ce8WQJsJaHLqf XM1IkQaEmIwjvH1M5Wd25Z0X41k88pW9AsiRN7yrYhI7S/hLWK0ZSl7hJJ+gvUGeoof1rtqfSR+O AeVKqJ2LnRs6A2ERNdS1JBPbMybGkPfoOcqGp4NMEA8voIkc6O8CwEGZONdjPhZpo48H8VBQQR7U IvpT/NoBGSUb5NbBCNFBrnJ5lepdaz/ZzGX29iNmXk8zWAuvhb5JNoQy7K5KhIYLGQo65Jg6I/Y9 u6O7oAsCiSqls2CVQ5Zx1Kk7gvmj1HFa6TBGi4pKTAlv992bVJ4WCM9yOvOBocBpaHe0/YCEnSuy CAyWGBOUUUUqJcBXtKELH4XireLKtq3P0mGgN00rrUkaKroDtrnHyLhucAAhvkrMpdWZ+CAhpMOr DZRM738hKjwFm68S2UfPWqDbUIm4jPA/dM0rMJbaN5sO5k/b6g/oswXgsDtjxrm61tB1pZcGCmw/ 4U/lRI/s1VjMxmAFlju/o7HVbsrO/4AXyI0Xm0THORhSXm5a+rVE52bYJ0RiRclQwhYQWCYX6rOl dpP7FGFZseeuMVquXAA1N3XQkXQlylLZo3fnWorv/YqETkg6q11SqxyEtwnDrR2cQDeFzCcvtHYt rhJiEqutky/VpvWoMQL8sQJX1YPCeYmp8LoVkO2nLs6VHaIR8Uatbrqt9zzTPZ5lAve1Kuc4lNa3 yjvfDAm6AN6VOVdn+8lte0TEZfLnROzAcH01upC0mn+poXel3kcx72xMcpVTwmPcq4YuYkiPNuDU PZu3QRCCEK5Gtn3c6AjQW+JBXj5teJbLdAQlx4nsXRpkGii++jqOa4Va9bpjQ1PIxVmpGF3x2Vd9 UmT60RA+uW1euFWfo+dPVzWGyb8lMPsNjVUwhF+BkJ/GMAP6zeFEd58UREEk96ae3ct9DGH0hquA U4Tk5TYwuoMcQ3zSNrP05QsJB9kuf/E9haTf+/jYp7A3SdFzs99EFLy5n5ganVUunkMeeUMwBBaw M+ulnLcowWdvl/UhAiM2AHoJ33vPXyZZwIs+ZYkGYTYAhGr5IcMEVnYA9wrymVePA58f15t624ZG RGPVCZyy+PSVYp9gFHsi30EQhN3gtm2MBOI9D0OcXCEtfTPcu1dXOz5x1eYGclqf7OqyBUavPvVK qBxmosYm+EGZV6zTkUf62/CLZSni6hdnjlhfrN+ddlfw4vVpes0n/Mf/ue4ZknheSsTinxWUQPUy lb7mYPCCntk5mmfasUpeV5iqYZS+hm+7MXYArCGaAK5xWXkSpOgqzd8Hx6YutgObx+5yGA7xk3Qj 3PzM6iDiItbNJj70Ye2P84yC/Ar1HRZca1WJFuySKFcJoyjzelxgdGbLOxXA/1AYlXKJwTg1i1Yl cDwlg84xdLe/dO3Q3hlSKF3INbLcPRLyAIAbtPwovlvMSWp0P9bLiR0cdNeh/12uJXk89VHEkqIE aOYxNwHeQb7M8dIkt9wOBgX65TJ9iOkJoItNyWlHL/vC3XqCf0EB0Acyu3oWHKhAcylFD121kPgc u8g6F0ERkmjIrZkfuUnzn6OrGqJUjGOsHvARxHX8Qp/ueocrXufuPSksm4TjTzTngmrekGwjt10e YItimrRjZ66EHVLYr9XvF9tPDrUZUBggHMlc778QidTytj7gDLOUzW79dastF6eOy+jO4DclB60l KjzCEhMJYiSJKxbh3L5BSNEpjpunYMyBzTFTY/h9Hx/TSUQ7xovu/YvZfOz8Ply5s1V9NRfIHrNq WP1XOr3TYJK0Uk3u6CuBI5lglY+onIemN9ZD4fouD1EdXZfwtgKJ0VFjklfTbrBy8esiX0zgwc8x DoJDcphS29vdxc3SdCEAvJwBesQwoh8T3U7fAVzvBizHAMLBfrsVE3AkCE2lVnJ1C9t1Da2nz9p/ L21hIvDCyzADn58Og/13fSNAiqSqgmPlcx331xAfQI6bHuag9PvTbTchwfT//Ovs9K+BbdlIW06S dJpDTDoSifKLSTaRqlJicybjvUKvAbO2JB/tNqemoRqFUSwcmVadyChDHUSwSOe3ydf7bdKO3gCy ZsGFdor8tNkxVdycIh8gptXeHpQSDSGmStyH1a6oQE/4oA7i4bWDRfK8RhcJva1Z6SifAp3htBDd PFkSALjawrvkQbqPrHaC832hAANdYuikiFsF0DwAWOJhxX5b2IX+7T/I9aoU7mg5QAhb/N/RGk4c pM2w1TKMRiy4+Tx5NC04PUBwXehVR4mdHhzpOpLKPh6Mio3C7s10TRSW2QTuHKb7SxMKmfe+H1xL WWQSHGYCC09h9J/6vTK+sc/BPlH481kaqTTonDk/njMJ7v4D+ZkWq9gb14wY/daKZKXcUDcPWkRz GND159sMW2PytV1tTpw3CgB1h03lUWrAJmSiFHEYyRmaQHRbogoNjf2aZvPccsHHpCAqXro/NAiL DL7HZfqA0Z1BVR2T75G9zy1wXJTrQ0xF6CKl0jmeNar1IMllgnZdw/szy/5d4kZlkuWgMH7eHqOF kR9JxvtUS57WNGySFg6ucw3Z91n1wpgv8tKAqKr/CSRp4l/9WXRHANnTadQcrED+aSLEtX/1Zd9K 6F5tOHer2wd2DZH2XpHtmfq/DqP16H87MDrO/354mzv5QTOVx9p0aRrDQYzMi+xPhEGZ0Cps0br6 /8c5yDPuPH0y8zdgkfiSFoimuncdB62nWh/G81Cpak7PWiIz+1Uudmd/FGnJP/jstVluL8FJMvpg /L6yywORF32S1EyG/6aHX/lLmLobONhQeUOm73srIWqTwn21HM5OriJUzDBt/HXk3JbiQsE866Ao 4wynEZ8fKQGR6fbwXEANz88vDy5guPLyZZAX2/iA0bwIaHJ6GcMhjoB/sSq7CpqcZR3+N9z4ZA1w XXRhz7OuIRWcowla+6sDKsQtgN/IUpCMI2/pd4R+rOaW63MSiNef+0R6dVIa7onnmxcVYk7GZCmJ Ey3APLr6dSO86qdBaFM0UewFQDiqkJ42d8nos7Abm2rfoiZNyiayhfe8nqXfWZU3m6PN/k+U18J1 01ALAFA3PbEud+lsrn0fBStCHMxiS4dNeh7+ui0Z1UbG8hQSeYP/jSENc/L40e1rVW60drZG3C9A UTAbL501KckH2ciPQJjurHu/sNbCnwiBP4zLKXu/vneZJHn91iVkos6Q6MVDKFQucO1XyICGleMu /jKqqdNPTZECUo5zeepofrsuuyw35nRKgvxHJzcDwfeif9m901rJotxqH9cdU+Mz0WUYsJblp2Ma U3p2bUKJ3aja/60agYtnMN5Ul2sEInhBtB0koYXIY8KW87aNHH3uJB9cqPGNoUC8eAFtc/pVAJro vcoH/vvnZtazRNEv/NFu96EMDTniXDgvfXmTn7YXvJV9icpfJgRQ+aZ6+yJH0g44otYuqz2lCKhO Mmo/VMqSIidmKb3CC6BJu56u9L0DGE+xPtnuqgbE6j+oeF7Jo2W0zWS48G5a7D0lNVQ1vkIzQXeM SmjMOG1p9F4IyeSXSu5nLbQyxwmXX+OPbEzclI4mypwgny0ycsrlQvfS3+MrxcnCaR6bNSKNq7AJ Aoq8+EpuUq8iYgznccLDzutqGJbszjXGPftyHH4Oytcedeq1fvPdCV6HWBPsoFTwHDgIa93AndNx D9QhHBk3Tlmtti8SpuceMSbqcScexWHz+u7U/Zhys8rKOcWTKGDx4YgeH/olH9YHkoTI3ECqRU/E VCSfCZqiduC0OHimiUEeHjPVWUCJFi4USpzGc1uBKDn+2njm2WvC+SVyWcLFjcebaVHyR+zBfXTK HSLIpH+0yCoxnAsDAF9ckgwhGCSbjvmuRfSXa4Ygql8FAnbhzM7Uun9wHkui+LnDAX1MFXPzKmfW rXrhvjWoPq2HyPDCzfMtYetUM71ZsCCj8Z+ZgLHZRSXxrqU+Gu7tsu0EWkn3kReXWPo95q2k1IP7 b3LHUatGiOMfyf4snW37KmNWVPby3z44fJTHlo40F+9vPzKPsy3wFf6+YS816UHD6OgLz/YoZD13 qyfbAqk5zCkm3+fnPqYcCF+JNjswLeU5YxJvu/PI/o/EhV49L2OFd04XGufu2WGy8JjaJf/QIToV XHpaAODEqx7yqdq/2Pj2HYmuQagUN2njk8eGgd8/vyk6Tp/rQPMfKfEfBKKbZo3jyyWAS7nL9cYk 5Bmn1ERoQuLzOEqlcoCSXrtvmpTlbz+fOJTPRfQjWnvFOjebVgl7d3oki5NSy5SZD/NGKLH9PDR8 0zVy7GydmymoA/DYpf1dDV1qk5m3Hq1ym9wLmUEdIJTbf0LOKvGPSgeRnsmVS0rEpDPGXH8oPXU/ WUVv3p2IdW6WWrWL+MTgZXRwX/jacqeMvpJ4fUWmhbb/ijxDW8cLRQWW/dsZO4Mfw+PlG/KAXfQi vyKKVlG2y8SNWxhsBZgyxZ8POp4QFdk+QtlyFybYJlDWsScvFnL2vc1SNBHYBGLhFtQW8LBGAwb/ S+p5QPZgJUjg3/Oja5B8+2FQ81ZbBHrjzZxVCLrstxZuG398clHA6xWKRmOrg38ysreoCrvhKjhv VqfMDDMkZmxDyUUmHuR0QbsWSjZuCAS2qOsAiq4vzVhqCX82xn0bwSjFU3esg12290npZbN0OJJ/ C4tV8258GtzabmikthkUdBxW7kQ8m+DJhdXtlq02FhpHl1v+LnK4BCQFmjpevJ0FQ0dfycmlrV1x mbGpzj6dOw2dSLmd4fXEqqEMrvP03d406GrF2Tw0O1vxQf4kQd+gJ/XNnT6LfFrSM6CEeru0b1o8 R2sEt/Dl45iwLjpmvA174UWOkLx3VPQe5qXrxACHlaognuPFNAQ0jrV1kq9MKbYlGbpS8CDoCy0z ZLntsI38ClfGaL46yw8MXdm4/A5NgBhybSySwCdpGgc6moAhgu5oOaWIHH0OjfyMyMulfSgwiUcR e9NQoog7S9Pv3tog5+mgw+TXmWf34P234hBt8wKcXaMFdeqpD1lieUwLHLY+dZRY/wZCoQpA7LSB 9qV26s8XwuflJJhed64nuEoJd3isEvh7tF04Ei6JNbe13TJOB8RCeLXFQPjfL2cTKVfwFAXUQoN+ lNDkAkrD0PidHxyCn5UzO1c03BZfdxDGieh0F+KI5JGu1xNr2oGIQF1c/3m6M2TXXE0cXs49KDY6 RsJOxnFNUbDpkL3W4CdkrzIRP+oKht0xvT4t16VE+PA5BExmM9qlU/maSm44SKvcxMF/ahfubA55 FQp7oiffOyVSzUoqnIcpTjTvEHlXGCPVivGuZOg2FKVcdcMKyJe/UJ3lE/GjBHhqqt9RrvIzluL+ QpXCTkJKYQVtrDqYT+Ov870VQZpMQklBm7v4DZZu+JWnxkXPJ69/9Onh4AKDN1V3EeogBuUdAkrr V8rP72thgLlbhVvYtQsESvbeo0LObP+KGwkVqOC3EgQnfp1VzKn4fTD6wH8bNMJho2gDGwHeEOBr 6C41mkQnn3pYFtN8I+/97JEyXZNEjLgrX95JAKLr/qxYzbKeuufZ1bt7w7SBpIWgk3+GUAnP0Eyr QTtsBLaQc+cmGxg+mJSMCib3tk0eh9hoeeuAXOZEzZwY+9Wj77j3agSfJQ/qRPJQV21xdd00LcE0 tySsalRk3BA6FbS8IfDwFubYX0+MUK22R7f87yzEwqYjkAYGotXLZmWM7ishlFaQpptMXrVXHGB+ LfbL+7/z6dD3e6E+9ASGRdhyBtmcW1F8yuBEw1T0aauhWck/oy6eeqk4QoXklKa8IIZgEtEA3gcx 1XovVlZuhu1OU0yqlPmCWfW9CURemBGIVCYnVWin+XLnvyXDtFmmxSE5Jf3jJ2oxq+DyJrks+ROP hEDFfUhzRbIchP6fDP0kq2OU7aQtRhe57g1fZB0SK8DgoZ3MJA5ykXSQlt4RUSGhw2VHdSt4NpoJ I2oSJAkjQkVeMByV6Ghe3PX/+gC4iJnDKiIiD8O17qQqfQsPz15o6YN7X7zAhuFqmQZwcXAOpiFR 6PaLtKfWJGvooRvyOff/sHSydm3sCMamhsF5Zm6J49vbrWBtgfimY6G6QWyCvANjOfu0YCD6rNr7 nbTDQ6De/gi9wAYk3E9W9vruJd0Vz/KsFNpn0NtkkHHSonLidJR2yvUaRWAPef05fo5ysuJahE6a uCjSKpuCFelCSyjHV5D3QA1HGhyHOel/eaZJJNw/EcIHNHoc98zCrenVF/x/wUtMk3SVVHCocK0m kdUyhAi6u9dvr+UUaLrpeEmokILWoVc7TyJ60oQD5S/G0g9bYHuiERB1g0NiCcwzPPi6g8OjYPZj /CVRP36yQOVGXniOnEN3vl5M49M8E0RAChmpN8PaojKyOX8On6mZHVtxO2POekgDi608TSJQ2CKI Tm5ivQ3svZd4ADfTmsOqsRvwt7IpHakbifajMMHn7wux/3thqn9GU5KDKa4I+ehkE8fxYlqGjFnZ E9eQ88/pfB3snWssJV3YwNF1DlXzIFqRv9wBe2K94iop5bOlzpLlyVDkGV56j0mgwHLvBuVbT07a 9PBrgP/0TB03kUfTNKsWtuKbi+LNGMwJX6ZzLQR0a9XiHEDGlnObIAavi9TxtfbXH1eNm/y4gf27 Yo768/cTEt7BX5lDSyPIFniuRJZBY5ECwNIzgGf4ps/ntr6Z+JdnuEaWO4VdpHNntcWoSd98ds9O fXtNlTZIwzFr4UTTmXrI6M8nkf0W+oRqaEqdU7mEq1DwfReXShr3RSRLUeFQTcDo6uhm4p/vl4AN 6FvVGo7vQWBL7rcAd+9WrATcNHa0eIj/aCqmTSdr/SucwSq30Gk2gYVIy+2VM2G4uexl6wQC3w7X RDAKkX0Fy2wxufbOyxHInPUh4RWPv+koBCJJv7/KJF3HgM7xrl0JmP4HoVOhCrXF51NuX2ayj3Bq NIHJMzE0wkYtGnn+fcE59CgyZTktbrtPuv82E7JGgva4DDlXdnp7yxDzdfsIftHy2WyBRtcdK+OJ kYFfM35R9JsVQuPMJOkR0JlzJmU/Zc5ISmfIRQ6Z/6e5vxKxtnEfJUwtqDy5MZHEhLVnX2JVgR/J a+t9faGpd0s9ozjR9oc49nwQfJhMaqvdSVLfg6bVB943wPvR6Aw+2qeU/hNaIvCPgqAkbIMom/Li jbIlyBW12gpZuki1hB1BpW2HHogOGRCfEx5Iexk/mM143cN2caJGLkdJyAf2smq18NdqnxEtOj/o /rxSk7cnUTkCV0O6ABO1oNHTvHzTOx+mT7gV8SLGD4c4o7gpU307sKeF//K4t4Hkr9TZz6iNVgxg NxRQm+DSYZLakfb8PA8NFDmKJPdKCtjJpQdjcV8PJzIHwgFbtLOoAg4pFYhz9ImvhzQYNTZa5QCh 1WGlN5NNt8zHhLBsZqtOSti+IXWCU5XAJxGxT033E8AwizXjvTNv1VEAudZU6ecAoTQjOT7gj636 8a5sUzdPLNspsTCdH0sGXTwcmzNCnPU4iKvEB1M5oBOzFLuVx8s8x6BRoQuEaQrPWkqLquZF9Wx/ QWbx198arxD6v/GBwfVWt8VMpoh5YPa6RhpcNJgI50qqGeq9wLQSG7oP4K+uQl4+5+ydTVm9Tc9y pDxKUxouxe9iJkm8sFe4pJdG6D1PQOHQOCqQW9JeLelg6Fy5Sb8JkJGADCLnLpvwt2yglL4VlfWN D/f1a40Ga68CyWw1jDVVgS9r6pGbodWYoQXS7BEU8WztpDxg/vxsa83DdRgvt3aPzrc5MrpGJ3um pwOmtLmB1i/1Xa/HcfmyBcLiqaAHTeA5/HcCAkBb0nNQXa+5g3TbENhK5Bq/s+RMsn9qWbFKzj12 5gTEAkhsPWNCDj4+dbvW1diuf8EjuA3H5XZE+LnrD6N8qL7l0C7BnyXKCnBxcprNj5J4ixbXv88e QFH+8+rfa/2VfTFu2T4y//VqynSQnt5gQSzr9qMifGdiq/eMTngmcPOFGJuerTeBoMZQqOKXQwxO epc7fWyqwVo1YeN/Pj1QrFaXZ2MlIsPJrNpTh5i2REAK31lJ7hLcBlGjoJ3Q80yDPfqrUeDabSmp yDRWSGLgfGVO8yn+jYvJg2pTi6zaGwoYEwsisYbE+1pHCcpLJ0ArQmiTv9kQB3uobTW54Tc1isBX 2qio19+LrRS1DYh9tGy7yxeSplt8bhDnOIgE7dLgCrVtn3sVne7azdw8jl0lkPxkMWiVyu7KZ11Q oVpjCSrITiCbFba+VIezMRO2QH14hfBsQi/eIuuREldrbZav5WTOn8iZx1NLYHe4bscr30TdARvd JsDagHIshDFqyeb0Ib0bPKMGMH3gUfynUPVgDSO80BM+Mr72zAqIgCbjouun4qtVmHADn7xYDiex fV80fA0LFaoUCiM/y4Nxxa+3xhbwUcHLcOoXtENONHtfnROTCQ7isd6RD9NG/Jln3klDG7FY+5cH ptBOMkgwl6359MxRTX3N1awa11TIToWCcsX7ghK2ntqsdq0GY0keAsCwB+oIjGOke0L8KDH9JwTR 3kJ3Lql6arUUZaQaXanC/a2wQkVU8Ug0pmCEBxzQVV3KuH+Josfyq4qPT5zOoIRyLNaCqN0CoY1K Q2Tu+jlv7GcBUK7ebHgmSBgTDQAOL5vTWQUS5/0qPGwcdzheL0gPtFZCtcWD4EAAhaZpS1LVf+Ii Jmb+U4Ojl6pPr/SLoCKiXQpAACU1Eg3ehDw+RHSLACFS9ijBNyTFyXWYGKGOIMewrBCHPCeIBYQJ My9N9vVXGMKFqGIe9nONXJ5opoI5/diHkQ8ZVx2Q5zI4FFTVB5FlRcEk9viENrH/N3hjtv6e+ivJ /oMV9YEBBBiLRiQZpRMUFiHWvIIVG4cVG0XorsgpJ75WE+guNehp/NJ7ycCOc2g8B8PxK8Ed2VGL 7KBhCDFuk15+NwJfdZjedi5NMJR5gcDcdXpyjSJy0m9Wt0TEvwxESPF3/M4mBobtIs+vDUkeehj2 +KXQDBE0EKfBd1VXSUJWuvpHkVNuwo6vZzIWp/rfUFQGBIuSS+3m8hch8JSRsqXwKHIsJ7vLoc/8 065ZwlfdJc/AFYayY1FrGqBgG5T+cNAGji/HV1pMTK//+4Fz1OsZSBGOGOTfolZE7+3Vvy4Z6e7X YKnIpVm46XJ1xB5C8T53rkQiyqCHQvIOpD9qd6+qpJ2x+XKmezJ6VOK9dZShlxtr/3x9LyLHAFFq +lS4W9SWy4FSZFM8GFTD4+IPKcJRNvzqtu2h93hn6sUBs0yzik3MzZLn66xXk6DpW6pNsfOzI8BN 7wlUGNm5SJhYvmATG2sbGLIvJobJwKIUwMxkwybrorCdKcaBsXT4dgfENFibH4InHv70rgGUD6dd HR8LlKXVDNmwRJJxCb8Mg9T2kyIkZCa2W2zEK/cTzYHbvBajo8tpA0MIyYCAWI4Jf+ngyTP6RR8W XpvYO4jLJwQprS5slDTDOKvddxtmQw1t10dldZmiTJ/tK9buAHFCPxtZsHLEKku0CBpfCXnXcQfa f4CLbRdGVA1SF+RwIEXRJ0udmYcuOGmtvwgOhkji5yzAvsLpb8HwnfvlR6qvy1tHMQ6uuraicXv1 5/ne8hiAlCxheA3TkczVPfcFwssRm88WG01fzDgWdQP21pCnDlY0VFVleBMOEsgPmxsqv2xxZxFr SXsm+fXcSVwJjbzP1NLpjqK7Sj1FiJdZWwmq/jSibgIRDpP+QBoBvy+auXZmfpxaPoFNvA1D4GlE ihsjWl562pV/PSeHMaWApgnrB7fNsCanipf/nix90kXadkNnJRikBVmQmRAAGJ/meqQMRkZPiYSG 7yWNDvDPjcfyKAfS+oMLm34CCwoFTf86jogRHFl5go9uglb3bm8Gt7TK0gAD3Fz9zwzlB1uetYht ca6JIhaZr0RHF1u1U0JzDYHCXRW2hl9llvzG22qVboZEITxRX9o8+1ueMa+BcG7DDrhbbyA9Lju3 dnJDtw+j+8wafEoDJfrZgnrJRr1d6feWyuVLD4xHHf4w4ezdG3+DtAs4RiZAihK8Bb7UsPcayJBF jvCk+ctnOsFDdDC+XEE50kvVg/4MTQoSj+uTCCX6m4DQTC6AD+ecw56yLpB3abZHJdgQpD5F4vQ6 v4ZjtrsEbtPFWjU63+anFdWFNeVoWOLMXF8e3LQTVlJkdiAxwlx8QEttJJeNKV0sh+28wZiPmgbe /20Q4TxUvmzPBihZc4MjpnuZVxLIYhnDQAAZFqSqsNmjvXouLUFn8cZjnArm34H09XBVkA08gdRM pSg1Q03SPH/ngEIl1bh4j4ztHovEdGJRR32x5/OwkPN+/yEw+Avn0WQgBe0RV//DfOvBrsTpabPA hy7L+GQ3yYySEun8KgxvxPPX6ax5UsOdCtHwSo7h+9L94MkDpe5lBSTCj7DqEH9QmfuxwYfC7s/W MQrA5OfhlPPKe/azKNsQajuNnY4RnQXOqy5QERr+JKkY7F3eQ9wyNO/bhTRcZZ6mS18M/iLCaydp bZBi2rFR2h2kOe0W38Oy6CZwkoAnSXyeCVha65mEOYMxgESBVZ1os7px/LvZEslAfETE/ADk6Gk3 uO9yofelFYqV+fGBzvACyskMmvVzhzeot1LDd80WXc0+1RUwdiQdDQ9GYlWyCRXvHmGK78lYEvZ+ bZjAqZ18XGBardKO5gbKG61mbtnFG4uSBlwvVvnd6z9uHQ0aXJBfMmdQtdTrs6FXbxRs5woXqI1j HBZEQBiKEzekKueb8OG/B3G32Okd7GQXjur3Bj11E6kzqaAzKot5eV9yiht7oh/FyS2UHndCdNm8 tkAWVxUp2TDdSst/llXKctaiC3FOw7Yx9LpCRzYC+KaqIj+2kT4Jcq13GAY6oCVqvSyHAe73jpLC jtFlfspJn05lU+2FmrCtSjzLlblNfm7h9rA1sIDGgMK10FQ8TFR/mK9b9OvSEUDAI8MA+5t5kYXh CRtks92QCqbgyU5fvvFjnkGuhqrq4oHFAYjuGgYpF3fAF1BgBEGrlDWokFPxad/pcnQ8i+54VBMU XjarMqPA1FBo9zNCaIkpp+hMU/n/Lkk+Wn+ecJFFMEJFIAWUzPs5bWbY927nmYO3dii2jjX9sDql CEOgZBhHToeOJxDbAORhJj9bXtCXSTOgVTqhE5BauWg7GqBJj6LBW2sCJS4yji8hG4V3dZmpPlN5 YaqEhFuuBjg2JaCcAPuhGt5ELBBCvu1RGDS4oZSWvaY3t4kU9a2xqPtatNbQ75523OFMbEY7KS5e Ev3J02T8h/s6TiSaomP+QXujcZayQw1JyduNC5yy0dACvi8XzyYEc5G67zqavKv6hWE185Wlcnx7 qK1H3fUV0CGC7j92SYhMyVx8uOPMInE55iKWMUkvrpN01x7saNOiwho/qB3h1aL6akqGQG0twONG AEY/Be6TXzHM3LGPVyn75U5b1vu84cIzK9F9NJRhA9oKhNrR98QL7ifSxwekXX8/2s5hH+7huiqs u9j6yr5f3YZ+I6Robykuvh3XckMxgwhWgD6jK+8HWZxdx7lyv0pE2WeuOcGgA/Wcimt23rw7dL7N wE511tkUDpf7kPA9ptf9nYFMqTdhIM42uwsUQqn+jX9YKQ8iNa0rsgt+WO/MoXT8y3GuvorMVkEr C5vXxQIHGamPoL0uCwSyQGGDlwlW/2Wat+z4wjK0SE7LiDgn83l1xxh1rNlzJaFkSPu5HlouM6Mo hi9F2JmYN4GXLCB7+yHHInhhu3IFI8eihduXalUtNdprUlyTAzw2dM4BdiHVoIsdYQijnT6/mU46 dIfzDguhRReWqgunoZDuKaAbFsNy/fNKgM13iTOd+cr7j/vdqCoJGdz7vxK+lNJmHjnC41PL8S6I /NRefkjtVcdKg9//WL7aoKDh7zHMFekURhXcS3vLRhWu3zGH6qMLQFu5/FtnXtkMcNx43t1GUcY7 8zOawRpM4ejsqlA+Qndk5eSKuOKM1Alb4y77uzLeKAF8BZIn2TGfcMKLVATT/7pFBYcTvUVumVV+ LDZgIjuCPx2ftKzKUYa9C63ctHruvnw3+CvJPNThkI/9Cx+3H0/mAVX+TyI54vSn509zifpW32+A 5dCy61Bx+V3PJO3toAiXX4aDPDqgdF4iKGpd8qXuNd2m8gfryFijOwzipIlc3dTpkNEpd7bgERym ZMumcS4fgvMJmbBh7qlDEkYuQtUy4CJRhf72eCBE5ukMl6Zs0/txjMRZoCiVjTKg1mXZd4By9hvR zSNdbunupsND8kQ6KMNVLz5jMtRXfMG0Az2B3GS066MPdYAS/4xSE9IyXt35Eyy3lCxI8G8YB9yG NJqITGxkI534hYeUUnUYJjpLYHsFNOavcqKiBEcCrhoA5JW0a1hfEnFrrrRWZ3IrXBQeXeclp6do zzRmaSCB8kGdeekarTJZd6bcGV1eV9tDNOMFH385pHT+RPgeyZB1XnWOviH0+64yBt8BgAeoKDja 1zSDRBDSfGEn7Mk1EEdY5UGcW35t/Fdd6DNhlmMSY5C31w4WN+0KBDliuxHCZlJT+pbBeWKPSHtD HAk4NOZ3AQYHp2W+oAs+0W9du1T9OrCStxYQpNJeNBUfRQJ1jseo20W6XdHJdIzBubWN0YXY6heb pahQtGA5wQx60tE7R2x+v4OB0w/ndk6RJl7vnoBhpprmDX2mzVwl3jhzK1DUmXrtjvXtlhZslQdt F7W5XdXvOlYmeRwQNo0OvA+uRmyPEHSeKpCgZelbG53p3DcSaZ93BB3D895hS0QW9UKST42LFRMB +mmcI/4KdG3pdX1EROHLOUh4OoLgWw1zLKmQZ7jO7DRTlRJvUEdJ4IlIoPmAyyNuIx4oscKFjnvT x6LjBdZBrZIptisnV4Id3agzsd0OX23Wk7Bqxo5pYRZhzuS1wRitpl65i2knfeYzbGsP7AgSilOQ 65zl3Z/QYHinKvWY2B0IADg86UStMe1Z4unErF8MUZ4j9QmDmxerb4HTnMn9iwkR6TKQDlk7Fgyt N9ew9gcsT7nQOTYknlN2txtQD6MQjzNXjoupIHqwNI+jLxj4QBGMYvqtC9X55n857j6Mwzvl23m8 LlHPF09jrBDiP/6l+VqM6CHDG1q+wwCkV7qXd4taAs1NV1+jlQl/XzGTpKDvrtcHqDa0QMHy8Xnu 2+t/2GdCcmU2c8Mo0SU9N6Z/umA1UacmgxBpFn6aYAL5w2xIN99SPNHtsXbLzFchYhUw5bPzqORQ 1Xnjy6JKGExg87YxwJw/G442Yu5hPfec5WNlWLBV+oW1dLbpLwHWccHi2C1lKhw7wRTX//SFHv6M JKe4vfV59bczJtsrjFCj+haJiQEeG0gTfwJiZFIniS5btykO60QwjAB4AiK6iGm3FxhHZlKcw0Qr ziRq4H/3InoM8VRm2cP/l+a1/AaUUcrc4tzZuZ5lTPHgS/ONrx7Yw3RnwNRAgGgDq2Eqguf66n2z C7QWBEL758hNhYPy9LGm3I6NfV+VyvWIhQoti0ftsaHkx5UMd9DmlxsSta1ddZMlENoIZ0YbpDQX DgJNK2FRD1vVUBBMKDgUPWINiFobag0UuxGrUtBDTiOEFFvqClclR/OZbafYG7oXh6i4xP9B9Cl3 yAFqaAimGcKrzxXTcEt0aWHUpIPevEAr6LMLtucEm5AUY+L86td6Wq0g9JrsBonN3/T1KlSZZHni rtelfTAZFfIFj+EYSkqvtUspZ8sEUtZdPoAnAATb+jSqEOiR3bLZjJEVaqNAVs3twd2uUpZ9eG8K 9ABKsUFOkLpq+k8nn1CXsVpsuVXN+0cqyi4VlX/oPKbyX/XWNeXaK+4D5x8pQyx3dMAhaNT6fh7A OFvFI8UdWklzGLIqNBVjzu1xiEra4Y7w7B4tohDZz9KM3My/xWFU0b2LGX+QkzS/ZljJvcwN/7bE dgtV6kjvXFxBM57LAofP/XZ1fIClyDzqVx3SWhJ0X7nNSLdyhRc1PB05UWzRwumXJ32Uyftunf7N VbDE10mJ3TzFonTWJCvGtdMm8rBtEMNtrdXancn9Nwy7uHCpzWuIHgCVnhLmhp3b+x1U3zrSgIxC bkMt5oYor6OzPVSOi35AGa+sefRb9wQhAAeKeBowsUiyk2hgX4xiLB3Q+2MJBujzvkEonMUhAUQG AGfyyil/AJcn909OEtIlhOZxQdSHx9G6BeJxTQv7yviE59PWKQZnuqsDIV4CN3ap8UM7YMEd4KlU D3zxgqkDbpgeAKw8ml6hFyPTtuZqMeeoGr2NHZhUcZjKF4qpjOurCcWlCyMP/jXRokjbg7JTy+aH XQz636be64GhOS4g8MMJ9PYSCTqCBpa83Y/kTFrefIztXHv7F0qzVvgMXoKjEWUTfo4kTiH10TCD WWx/vEmN3BxCAviszhezd2ycs3LA/gLCixcocYXoNM7duUND9q8ORY8r2udbTJu6PoJxOUwfmIdM caAOcsGBmZ2HFOxapx3Dwv/+BYIdtrtEtLfCwuTmNgN7N+82vE/8A91aTj/zsaCL1WDZTWtqcmEb 5PdgguqnhAS7cumsg7ncYFIyJK46DuOhyts/Wz19vl+urPVgYRpCYcwd14d0+lIWA0LCrQyFAHIU dk/RU6s2UyP0G8OUAq6XS9PR2DN8zN2DEmi1nC47wzkdNSsEUqMeF9K5xWrr+i9uAC6xv+9DkOEG cEHAqCbe+C/DH9rkHwgaGPCn8OP45mZWKfkV9qYgRG17qMXzGdHE/dV3Mt5iidN+80eAiAZYdNcx P0o/z8qI0kBqJUWpz0aV5TORW+I8ZXOE4FkZk1E6z9iXx0ShXsLpewbYbAvAQvYLY2bJHeMHilKq 79o7gRb9r0J7fA805GWmw8AHWHCcrG94D7wtjOkzq2FwxRI+GAPsy07dpHvO4RThvM0pUompj/Kn eGGxBXrpuxhk+icAlETGfJsR5y98IeP2YgvqASnFzhmaG6HdmYdiwOrywE7e6xggbKqsL8jAERah U/WYRtl5RC5jO5JDJTJjZ9n5xbAobNizjwIGhUicElowuIszA0VjDQTymjU2zqIhmqTGBARRrdKR bLAX8d7NPFK1u34AeXmDW+MagBlG/zNNmzecuDj8Jrb3VV+/jHrpFbPV2ioYD8VR5DfU1drc5K/v EGcgBU8yo9nv5aK2nDgbOlZsT20LykG7QOBB1Bk45o/0RoOPFWdtlbvH7AAlXbL7yx1ir5qszfzC hmrk7ifM1wezqbmzg0H1FjGDatxhq+cMeqaz0WUR3N9TsEgwA81LaTbQwchfDTWZht6muxlv50u3 auVfuhXRFUxErkzcbMZk9gg3IiH/xOqi8Jeb5SAJIVRpXt5dTfg9Cx3/ZI/z3KGUiO9aQP2SYDa0 FTryJ2fFWf2hYyWu5qz+r9oX/DRsKb07P1vJ8Vu3153mXFSrHg8qnq5iTS8+wZ40rQO8giE4h5Hd 1gikSosrGqWNXXcFqNOTh8qYJTMXLgdrbOl4H+Q1b1o17c0OYkb3p0Vhxewe501oew2zC9+FBbf8 CUwFp82etItTBcCu0QVdPE2zeZiiZ0Zg0k147wyQfpVL2QuEbh0ylZZ4zfR199vaY1XrKBRGbXNx d+0G95XfjRzalFieiltAfGzgAi47Khfn8vq0ttqXbXKsOb8t4pwDw+Ke49G1GB3x1tksm/k5hnIU fYFc2N4QKPIVDh0edR1ghu5f7Ci0luj4nXr0gs07xRVKxvz7eifJ9TnJzDoH2Z2Ma2ixuMw38bIG vPzLZMEIs7K/lZk2swci/1Xmga9gQyepJjMrl9b7Wjp03nefaWYmzBMcQvjA9/Kq4laMcr27vA0r Xiv4aoWp8IluZtRMqNCwRbLgEXlhRWuSs5qHNNzz8nwDPzjzScQNqzwHyy3rp6eW8bH8wGX1hX+d 1rGItq1cjLwP9GTBvhDug8n0RMQoT1hKr6n75MvRVNf9ICyosyNIt0a7j5BWw534v4aBpx177/vb RVoENFsKsdbcb7kwTs3kqCI0rRwh1Tyqzle57jwvsoVHTrMAxKAGuXcUGqBZIjUZmTT5F5adiGv3 b8cJTUXxTYGnOTkpcWLMtpQ7eO9+DWizJY1ykXDkE68PojzBZcLy5uSjIZ53fyhOKVGUVWsll7Ab vXKjGDDnhSRrKf06oCMUO0Yr4i7jnE5/IwFUXQrTlBT9zFmoMsoby+GhcDgp7KP4rf06h91TUPM1 3obDoG3TYsYhgLteTh0I34REhK3Kgc2Bi71/aTKix+Uvkoin8+ZUUppyjO8LuFQt1Hmr3/zIum2k 6Hr3G4RWWwZ4F4MMAgfxQ2IaS/IDrDkcJ1akirCj4+5Pf9lYeIGf3qQ9cxh9MS2FeFmmI0SjcebI zvzbOj6dedkBRZIPrKU2UyGpuS9Zb8ssezjqewxymM0NpPG5Cu71U+kLBmYO4nwrMtxzv531Lg8S wOM6kwxaLLEjgYwj/b77CbF225QPlOGqkKPOpjCvSH+jP/M5mtRVDgVqCvvIBdCgn3SD2qvFfXZg dhBc/nphz3JI9Cxmh54LhxBmhIlKVmWowhUEUuk195lJQAtJsnhn3UCBVgYtpWGyI6Ox2Z+LcZLF erZn0iMoS+j4sCTS6SVCUBPQ/ZDQNI/4sLS0EAKTmI6e3GiPR4kB8/dHv23vo3dm1cxdtQHPG7h6 Gr2LZOCaUuhzQT44haBsMpn2WB8DYZKcn/vA3+zEHJB1fuOmV9DS2Dghs6Ykjv9NBxtNk1tAZ+YJ HOQ+O6Rhve5cl5Yv1VCtwcuVZq93sl3k7BGM/4kiCA3QY5koKFjt0P7sGEGKe1rdvFHkgPlqNkxJ MPWKG4D5K6JWl5As3jEcf6LnS4T6DtN4jnvc5fxkWPxR9vybXdDpwm6qEzcsU9Cd9cbRrOhuSthj 2qjGsZrBdbvHMwW2IJvwoMQ8yfZo1PodOZEvEn1kVU2kWz18CPfIkdrrRhKd09ZPGJbv2bzMrM9f l0IsBSqq/zHbW7S+C/Bnr/o8dMx/Ta6/GLkBg8+3rdYZkZbFeBB15T2Eo85jtGpaC8Jfy/NS3rlm uTYJ7V6hyz3srJi0r8q3gsDl63IPkrQslK5//93k9v5FHkFpW4H0cWqEMuKzjgVGDB5+3sNQ8xJZ ERZQzx76uBvJslDhGDXE69fI3gPxKaDV06ZhZK2yxpUoqN1LDl9G3J4opcqS5leOcu2s87WHqq94 J0e+SE/o31bWq4GY++yk/o65jFij1MUfmnSH/LcOhp2LPfV1jct1HJFDp9cBaARntD9O7C3pDLq6 kYCfIHX3vqpnkXrzhlQDhpKcri7N8w7Wb6riCcCjrqpQczwOLUi9+YTC4w58Qe3jcj64azOUDdnV Z/g69PA6Lv5/LpTC2Hu0bD0f8Q7Vcl0G+FmLnAjtg9lrNo6iGFCtcwCihgJwVO/mS1Yff7H+IHCU 6ivOai3u//uqeVCEFVhav9WKo7di1ZggCDONCEwfWdAmuZUSrlOnawTsb9DzVFkcWUfp2fa9bf1O +/NA/T2MGBamxnN/rx14O13D5V5pNvsB8fBuCzFY9It0KMoyyDOqtHoSru9+KV4xr5Xc5sXwzDPq 8snwIuImKeC/PRAhjCKXgJd4sA/HyU+HkZCuwahjSdUDLahJFykTCPrBCNllCyQm2s0HYT2lGFrr gaBNGmH9L1nrz6CnEyrtNkyvm9M4lLWYdQ8GyiQWlMTX5YpW3xzSqZBObOiZbe+xeOdFUd3MY80n b5C+BwvZjvItUqApgToVt6Pj39hzihYjczenXWh7/zz1G0f4c/d7X8tzYvKkETolopxc/0dTwLGq bS2HlkcFHimqpILPgCR3uLksVZmthW/PdcsYVz9WCQALSXZ6CoR6MZ9Te+ma724DZlbbwaYSSl+I p8rjfa2y9JV+wvyyWg0w3D30qY0xdLeRdn/Q1M8/f97upA3RLzeBJx4uKLeQyx+ysYgiGEWrB972 QMyr9bL556WArcMeXGVyDuGBzndz0FDa6FkSCXY9s/B3ggfjfisW/6TipgL04HEv7Fz0VW1BdUPG 5RlctXK76FIxf6hb7KP0k+Diman8s5HvyfJCusCauaXdeH4jYR65HvJB7HPEqNQ1bbKTyzgYzfPz 5MsX1jRIFbSb4Gy44gabyFNCNFsbs8eM7F1a4d2/sfeu65QeD+X945IIIejtkNP8hTFEvQle3cGi Vv1pjFimB/RQHB1/QXKg9eVDX1nV4TDSEyAe3VHIQqSuxvPEhFXi7JSyrzMN7o+8vjomMqwJMdJM IaKk/Ko6hrWD7J7bT04p2M4N/KF6icg1cvO7J9UZ+A80lPbJ+z0EN7NjhC6ybZ/lC0OOLJ38sWny TvPwKAfcmcQKKy4NsfGuHhxoc53xkWsY0vpROIM5YP2o3kyT0qUxafuBFXQCvabGcaKQ+dwGTlpf yl++FthsfKhjh6lFXCukuw0o9OQ0AvI8H99MDLz9VOP7AdiJowSjG0/OPdtOckYqfMK17H4rSE3D kjCkEmUTFcE3mB0Dycqd7oI8AjZ4I7tfjfi3mv/ssSa3DqS5c/fNUQjwi7LBicxVoKfmP/syjwbi Hjjs6/gn5/dUfxTYxqP7x8XU1nYBRUJeBTRmLIlyIu7m0+z/uNKI5FGzugopKq2p+APnKC1P+lq4 DnHsvBM4zSMj0gpUMebUGoeopWXq75l2+c/DCjel8ejwZIc6D5+0d+CZEFXPJl5p/K8zf77vNzDe WD+upke5rNajW0bnc9BPxo2zPx14+9t362Exayw9NxnyRrhiLG/E3PPq6Yye+VKxVJEckmWrwaaB 7onhePwUJmnSk+FqKGFQn7XFXa4SImm/EJMPL9+Gm+dAs8v1GAgMsvmLiQqzWwLxNNVHX0+oMXm0 0UK58IS6Ur7CdCCvO9Xfg3Ku+yIcJPLXqA9kCAP6mxuG3C5r44POtesVFpgND4UiyQzm5vcub6/l gCdLkIKV1b9vwbBdATKhnx/4/2U3l/V9PkQQXYQw608LyFS/H2HoAaCgomgy/tVJKipZbqkDX8a6 irTIVgUDuRTnZnucDC8QzR141/oFYlZAjlVoS5/B8L5a9xnVnCNgDkrEwH3wvgxPqsshcemO2fWy StAoGOlXYsi/acUEIJiUW4WBKbaXU8K4gMQ5s8N7K2SPi02RGBWkwU+iQX1xT+6hjwoICIoiosy4 EMH0muCg4vljte0K0S9ICKaWKBgTNQZOyDpwEhStsHkyIWeXM55qpoB1H/y64OYkZYsGifamhb9D h1Pn0hrmPVP89Hd9Yy3qmMojnu21POmBnAttSrAYYv1Lohw0sdkQ/AQEfQet7myV5y5cf7PN92bA nB1BCVerNVlUmIRDDhxyH2IMsA+QlTE5zcGcp2hc3xz7dBx3PoEKy/Az2Z/l5oJm9BmmwF0ZcnpL EnrZo3/ntKzuk91+xIkqEY+KPRgB2/mgKZOlF2uA515jkT5G6jScnv4EIqo8VS11OoTy0B08jvx+ vateVmSS6smHIM3JY7SXh9gjyuWd0mqlx+YExpgAkPY22nEw67BULNwgLQFP0HtAFYXA8DpZsiHD e/wiFKcxU1kctDK1AcPvaqoKPpDvjymlD8/VPe1IkB2JEKS2F1wa0GkLB0T82eTyc+0X6dnqCH4g uu34lTWf+GyFRgdbLbcoencaL+tXvrNW7OGxDYC3NBNazoHzRWza5UVeM2UGk6zzbB01BOns+UmW 7CpVrKseDQRG+W2fKYuadUhkIgLbeMLXUKZwWikgUc9Ca8BNXi8DsTJYUkvELCM8N2MKwB+JOTRS Uhxk0k7UNfcLJ22cHg2DqRwg9koh+hvYgSy6So2HtbhcECr+p3VfF4JhUK6l8uyCcR6nboX03TqP Uxj/Z/x29lL+TK1sPOV5LpATpXGfFTJdjBDtTZ8Jbuupu1QhX1lXuTVvq3J8Vaj0w2DMvTg3xT9l P5sICmTVjY+XzbmINuJzeIi8tBgNaijPGuINlWTxxbnYZRqMphzQT22nTHOpcPPDfaLj7YHOu6dc cOmyfWoFpbeM9jmDzPrhpu47a61jJJ0UBXFvtRCpxVwnuQRdy0DPqelDsx0HC/vU2SBL1KLIPpbh 8gTuiwQBQTafwtyyY2KgRipswict9uLHCKobThKvD3hYsMG2Jc0JR7vegdmw13CaFfDEgHu/UB/a SdyUlNpRCgEbLGI19ECyCNKWG/MUzSty1jUKaxsiRhuAOkVlPyrSL8v9h77I8ghHj5hYzRAuGUyX RYF0fsmNUVZMr3iCCP61NgFj0l+/dJHxHvaUW/B+vOu3Zvgepvr7h5Rj0NiX5dCmoBS7s7KOwa2f +CwGbhska/RYwV3i7MIH1IK2b800AgbgYPqPKAt+2zVwy971R3qCOy6J+VccQ5xZ+hhMk2DaeYd8 QLwjqHIsQojQnpjHSyYsVg0SctiCROJ7ofi/Wq+EhfT+zGM9k+aemnrSre8zb71CchMXeorbE3w8 cf53KkdVG7nkNqH+/IArBm6FCoSwvWjUrAD2aBps7dCihQnN5f0nWoojLU6ql73OsM0pItLBLVvF jsaWyDxvNbSZkesdzvWfZ4WmhpfB3xApPOK/JT0eFD1cmBSwKj1AEocC+x9vHI/tcvnftfrrq5ze 0BeN/Yv1WSFOhFrg6ZcZpShnXMCjvjWiYkgiiUAg0YX5M8FIc2b99fHB8DBadbEy8ZjMXrvGVaHG 8DmL4jxpoRJrvUjNCCHpO9KpqaVnch7aKxYJerUC3OBRXoOP/Kd2AQKAnPRAnPV5dMsUIoF2R7FD cR8WopkaUxQDj28Cwz8nZq52idCkuL2vk/4ooj3FkzjFq7Qt97LPY/sYYmAlcmfrpMrgPsM3zpP1 dX9mz+c9jXbo6LAGzfq8g9jwStv4/ldtTbt/nqZZKn083W2L1gMtQCJaAp/R3rz3QQxW7EONyh77 j8eiZmTVY/Sd6PTkEKMaoqilque8T84GJovBZSbl/HdJwJpm39qA9wIIGUB4noLDw149KZGj5b/j Ex/q1iNgnfA5q6N1TiSLDIPDdslYRs8znykZ8mLThMgOwce6jrjcl3uGrsd3pWqpIVkrFnZIEDPe swH5HgEDnC0lU7hv8V2mEexknbBXKIAhiwI6llGAJR6sP+xYUmvREorSAWUVVVSGRNOlPqbxGl+o IEk4L1u6TdV6FRn8AnHBHDglp87cRt0ZEgHGEQj/efjauNBuEU4lw1gAz+USYTzQz643uhYCQ6ul xzqlCKn3UTqdT3BYowEXbVGnKbk3sTZsGxLthd1HhpI3IvZUoXewTeX4ZClwDss0+jl1DwakSnpl ReasIbP6UEGouNsSaxOFG20Lg57pniy5al1Y2Tmk8NsnI1R8ivPjDZ6MorwBcbFZvk/UBdXNX46R atHPD46PC1MBbHJ1w7KzwoTV6Fql4J6R7PVCM8Ob4M0pLPDpybVS7LG18GavSEonhXYh7p83HRya QZQmuVxpwYu7qFfhi+XMz7KZIg8wF9GwADQU2QPBeOCfUOWxW8dcZTlyH65wae8jaNLM0H3+013k 4chJnWTzmOb3KS3wYfY4EtawzZ4dKI3sks1TzX4/UFposM9VvAM2NjPE5Ja/1Ebi77B0gcePRAdO QyDMRon+9IlFR4wlNk8XX95sUbjnxjU9yPDynU2T1xYiMN1GHNpO/Vrcf/X9iNXSxjpYCMt7jvr4 nM0s9MixP0nWA+zSUSBBAWfBR0YtkPN4qsET7gCtCmTAH0grqLGyj4RAWe0sAS/dkqpwNSClp+ni cDFc4gmlDlQ9wyn+UyA4E/dnyU8uoumDe7N7Dtmq5ZggR6ELsxfEAllCUg3IhtB3it1YkMHdMOkb Tzf+yMmRS6mzxzu3LUjE81lZmRTZ7PjPQAw5yfio0TdcYuBiuIKRxzv3e3VL12Cu9NOC7uU1 `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/sim/xfft.vhd
3
10204
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xfft:9.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY xfft_v9_0; USE xfft_v9_0.xfft_v9_0; ENTITY xfft IS PORT ( aclk : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END xfft; ARCHITECTURE xfft_arch OF xfft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF xfft_arch: ARCHITECTURE IS "yes"; COMPONENT xfft_v9_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER; C_S_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TUSER_WIDTH : INTEGER; C_M_AXIS_STATUS_TDATA_WIDTH : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_CHANNELS : INTEGER; C_NFFT_MAX : INTEGER; C_ARCH : INTEGER; C_HAS_NFFT : INTEGER; C_USE_FLT_PT : INTEGER; C_INPUT_WIDTH : INTEGER; C_TWIDDLE_WIDTH : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_HAS_SCALING : INTEGER; C_HAS_BFP : INTEGER; C_HAS_ROUNDING : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_HAS_OVFLO : INTEGER; C_HAS_NATURAL_INPUT : INTEGER; C_HAS_NATURAL_OUTPUT : INTEGER; C_HAS_CYCLIC_PREFIX : INTEGER; C_HAS_XK_INDEX : INTEGER; C_DATA_MEM_TYPE : INTEGER; C_TWIDDLE_MEM_TYPE : INTEGER; C_BRAM_STAGES : INTEGER; C_REORDER_MEM_TYPE : INTEGER; C_USE_HYBRID_RAM : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_CMPY_TYPE : INTEGER; C_BFLY_TYPE : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_status_tdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_status_tvalid : OUT STD_LOGIC; m_axis_status_tready : IN STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_fft_overflow : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END COMPONENT xfft_v9_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF event_frame_started: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_frame_started_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_unexpected: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_unexpected_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_missing: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_missing_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_status_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_status_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_in_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_in_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_out_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_out_channel_halt_intf INTERRUPT"; BEGIN U0 : xfft_v9_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_S_AXIS_CONFIG_TDATA_WIDTH => 8, C_S_AXIS_DATA_TDATA_WIDTH => 32, C_M_AXIS_DATA_TDATA_WIDTH => 64, C_M_AXIS_DATA_TUSER_WIDTH => 16, C_M_AXIS_STATUS_TDATA_WIDTH => 1, C_THROTTLE_SCHEME => 1, C_CHANNELS => 1, C_NFFT_MAX => 12, C_ARCH => 4, C_HAS_NFFT => 0, C_USE_FLT_PT => 0, C_INPUT_WIDTH => 16, C_TWIDDLE_WIDTH => 18, C_OUTPUT_WIDTH => 29, C_HAS_SCALING => 0, C_HAS_BFP => 0, C_HAS_ROUNDING => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_HAS_OVFLO => 0, C_HAS_NATURAL_INPUT => 1, C_HAS_NATURAL_OUTPUT => 1, C_HAS_CYCLIC_PREFIX => 0, C_HAS_XK_INDEX => 1, C_DATA_MEM_TYPE => 1, C_TWIDDLE_MEM_TYPE => 1, C_BRAM_STAGES => 0, C_REORDER_MEM_TYPE => 1, C_USE_HYBRID_RAM => 0, C_OPTIMIZE_GOAL => 0, C_CMPY_TYPE => 1, C_BFLY_TYPE => 0 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_config_tdata => s_axis_config_tdata, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_config_tready => s_axis_config_tready, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => m_axis_data_tready, m_axis_data_tlast => m_axis_data_tlast, m_axis_status_tready => '1', event_frame_started => event_frame_started, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing, event_status_channel_halt => event_status_channel_halt, event_data_in_channel_halt => event_data_in_channel_halt, event_data_out_channel_halt => event_data_out_channel_halt ); END xfft_arch;
gpl-2.0
keith-epidev/VHDL-lib
top/lab_3/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv_comp.vhd
6
19297
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X9k9kMjUfSr7WyoqWFsWsDNcEiuLtqHTCUAGwDZLSKE207a75WonvNNUDmhBO1uz+XULTxinDmmZ GT643eOIIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fdnXczTF7qIbl5SijJBkB154JKQr02vtVlHSy9DNdjdjXT7gGI7iVyunOxkDela6yvkq8Cx/2G0n E0e28FZrRvaCEgIzO0L7EaMLzF6OlVupowu5EXneSrN+R8Nc4c7Vyz+thZByoAfPqk5i0XkYJKmd XGKp7hQQAl3w6oimNxU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0jUieClEs2kiDDJ7Jsra850e/3VLFIvNPjyAdFywILXGvkfJ/Hsc6WG68GNMAswNl/pNodIuC2f/ kxwjHK+i0zjOCuv6Th2jBcFfHhsGlq55Zq9/pmcFhddg/XqrBo6nEZ08d9ExO3LuQLohdFsMVY5Z WVi9OEQ8PtWUNmHj7H8YgBtq1sdKwrUAzcEegFEFaamWZ8cRLH+p6Q8JyelxqcH1mOvbYspjSqOn XYI+E647FJ0I59mCsMTj7UrbGvveT3M9JWrJWEFf2igu9YQnsYnNMoYEIyI0u7vClxcnjkERtDoI Qzg/YV+QfY2buN5Vo2FidHhaVUO4vKadHsK0jQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P9/DoPFONGyZrv7atEKjrpkdVAn/ceBdIHR8IzNkA8pgkGpM8tu2bn4JV1JL5imFKxR2hvSQIX05 PGgBOrUOs+t7XxYgrrw+SUdKcJwKsJuosPRse/3QjyvW+DgoyBIGHCMYeh8JLluPsjOvJL8nnduY uXr4YPgP66QaJxpRpWs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KpQrKeDvYGCfqYHg+HTMJiaAMJ9JMuGupLPHlsGeN0FJ2O9sJ1YA50vBVxPKOsP1JEQWrnt/wUpG U4hWuEzwY1TFyWPixee9WYksDWkNpnBcEJ7/rOMiFi/XweYFqOKPMbpEg56Zan/MUIZXcZ9v2+pd A02UnXbm0DucQB5QDXc8mz5ots63awblijVl5km+cwraN1PB1zKK59S16mZKmmz2zwgbAy+QrZFJ 4j5SczSKF4HiGpvXEPGeecRkZbMSl9W7Pr7nQt5aRgXAOg3x51WMkzv82Tn7lMvZTxZvOZzpWzHz iVRjjnIgOJEurBgu1rZTSXwPDisFPE7D46zuXA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12544) `protect data_block 4AFlUxC1Pv4zcDzG5BSmmyIN6eSPx8qGKwrcolMQzDkgejRGmOHcmdLdmY43qxSKvX6Eo26mH6ze lWrhZinu3L+/U5FRNfLIuIfZdZhdgkih2vgXSdKf3NsCbJ5KcfVTQjfOqjQmUWOPKwPwUv/kxDRm PyoXyYVRdZbbWV3O/ngk2Ml6Ptzf0qCXfO11kAXjBew2qLzLCClYKUxIjz46v+2QnHLB2Wz/OIz7 D2bxh9ClnRNuMJhYoJzyaKwNc5pVtfIRdPeBUK+yP9c1JeYsrpyye2cGRxiNBuZeXs/CbsTZ8+ki uxkg2kvRvXFY/4vHpCqG2zv6D5RlEfVVzSAwzl4w+RmWuxiQwSkqQK1B8/0oFgcge4sipexMm970 +z7W62Ff/JYfexgsyS3oFoFnCDQDVRuM4M/6fGWUTkbAbNYOTYrfm2aEDEpGPda+hylqR5DIAwkv zzSR4hMZXWJz19nMnPtQZcFZDSSimkQ/geNOoUsOPJ5mKotJg/JuIp1+crMq9hQoY8ZQcjwA/vvQ J7ksyHIgWTXGz7o8vcFb+UFeRLC/mKSxUTNvYLEwpJc27X4AAh0wAVg0FQ5LXNS+qqBebSGPpaWi +P1DF9hgXEhLu4O3kXz1SZHFYe+sf+8xdmYItw6HzFUteQbFvemGeF3fq1c8UwCZ2EfQWC7NP6Ey ecpCkZ4XSJWJ/Hgh4NFkXReDDB2VODHgmtZz9eZutTKsBltLCuLIi6LLlxzzrUM7YXxtSneUyPSA pBS1b/MR0dSGEsleEo/9HMmmNVVbqsLFF6S2kus16YgqUwrm8J1Kw/p216bArR/G5eVc9jc4Z1yy 8AynQU25zfx0lhgaebITEKX4C811l7PBEyZ7r874vxaBZodZocoQzXxm5jVCMU9olQhE8N4CkpPf ZAMH3jsam0kVamUbdVVKmPDv6qRW+dr0ryUlTs5h0t97aGacCMIVb0GyFwe3YhyCwYx8qbHB42ZZ czDd6QOOj798eLIZzkHmi6E4mFG6ovf5ZwhPpmAJ1RgNbo/K68Dz6PfQHErj52lAHvsebYxaEyP2 tNZAbNsCGkanyWDCHlM1NaeZGmZN9RxjlClwdVL5breNO5qLcLCdPXMK4chQ3iYS0pt8aWvOwiIj GtS/aBhpODh81cV/itFA5uaYtNcc+5L/B0J9SYQAqvDEPpw8u98LKfzAQ5fpCjXUi8gx6sUJeX5l 6t/NB1PWcPYuo5bT80Yn/+MrLMzRP5ihs9tjChrjDOfbaHGXlM2NSl9kzkqMSFkr86ZILCO6TOfd Bz/j5u8R4Vdx3F2FsF397G5AffeSn/PrNqpX1EZDjbWUjin+ZzvgfkPgIXYIqNTbQLymGQtenZK9 Z2qw5Ek9mPt9N0w3Dy1dylKXIUkfiDrwGgpURtnbZ8Dnvo0gTJtIotWIfqrfyp/688c1nHBcNnxd gKz+k5QFcDQG4pPelLQ8/OuqFfoDhgxRiRmCDf4Dk2m+4WQLYyde2etMs8kGrQ8BfEW/Sa2+hYdi LiH81Iv2plKGGWIE8REE9R42vB9FFyxn17dHkKX6HY4qpePGrKuqfykm5Ea+QDLOqT3DmIRkauUG iJEqFiGPWoy8Z66IBr/SRfWQ+ZW60FTz6nzxVDnZ3qxplC8ATPNU36RcvxAVJU0bRsc50hEbFiOa eghZcKU4fdU2cNnMjUyZxfCUQ8IPR3oTeXIZ6Zd/TxWqAdQEQg9L+TjXgu6N1MSirpcFWDv5NGak N2JV20JXLlpH03+lF52redbp7c22Ge30qABL3KXzNMfnvy6oH8bYPAyRzN9D+UdAsuhfkaKo6Hw/ ItZ4eE4aYNiNLfIVmc7jKG+m63k88a60GAi/pTndBb7NmDgYCjBCnU8BWuTZnK05W/AxbzBpn4Zl +2q0CMo16jG/SAq+xOuKXt2bk3O8P5uIr+fQ9WUxDPtIhAQS0fMLzWNx+suDMosN6MTpmeO4Z5DB 2+rcKy/MgdqSSxtANMS+5LEqWBn1JE5IAAqy5iokLo1xi6aSuaOud0AR83ei0XfjkhrAEEWtUhfU DlKaaXsTNEKEn4qKKoyf7NtzOzG1wdhSKGiYqg4R8pKex6GSuj4VR6LkgXOPLfAzjLkUHa4KujPm CL0zI+goyMAwzhNMFMjIs07YjI/xvoKETBkdxFBMwo85ayQo7ZoOjrGuom9qhXxHeTWELvIchf4/ riBG41tRlOgJevctpWuMdSOi52tXaNW8qQosUa7Wo+30j/x/bTEmc7kW/QZ86a3iR9x4W8fR8GMP kbH43YH2mZHDbqKwxJmRcZH8yL9TfwDZLwhID5P1Cx7KupM1v/pHP4doLfRXLE+8RfQZ00nyL8iM Q5iCl2MiF/p0iACe1cBtUwCTA007Mo0J/c3ch2MSh2b8QorPjs6gZce8l7gEG7rTHJcrXIJ0uyu2 wxhgcPldhXvfNgpPos3LiFul0zNVRbCJBMLnQNZAGMNWQ1kGHGJLWmSXxDfil1/PYsd/wJI/Z+gJ uaSk8rKLaTOEEe9C7Z9OUHS4SEXLFuhjzK7C0BAM2CR2+1uXv/vLWchjKjx+0eU7Tmn9+/IA5u15 L9QihZCJFTeyA7buiUeBPyZI/YIw4ltGGchG9T3BC+n83Ir/cwNghIVborZ2LEFrWb9ggkddNc7Z KJinxjL0lxiK3ewkRdF+JUtkuHRxr+WjLpWzInELjxt25xIQGEMbCSGsvlG9tDHSsE1uAayMlVFR 3w+NvG6mn8r+kghhHtR+XMU0asFIeHD0fJ1yAov6x99hPA9wPNNwT9Ld095S57VOeQiIgBz1RJfD TofMebRynPRDoohhvjL5vAfDLXkgpYounN3ALjDksfJ03xqRjLcavEBAKGNYY+nEpt7ilwvNFArX wQbJlbra9+3UwQ4T92ncJnNXROg6fKu6Ktb0uskSsuXWHpkP15yc0gN319YzzeebReY1gxovwIds latLAytYunGkueIEFJ/L5YI/q3qwJG6PZ+ih4H3kNHNJ/iJRfbxTt2rh8okap74s5/TzrLV4cLcn cTtrHl3rOMR5ZXb5aNRBDzQ99fFjRcv7ihpcIKNtcDE4F/Tn3rI8n57t4zSdA6op/yeDI5qp+KMD IcbA6+yObu2apUkDOW7qxOlStxM8U5tyAUvjwqGfD8EOk+1vrxjxEmQvuXGftjQ1MIKCLk/HBQRA zsizIOIDhOaRPw/bib+3L0NwQWM71sb0rTDwcq0tSh8Rm/82pZ1XA1vX1fYKFnjH0JLIxNpbgrRR cDWIgQ58pzSC43DPU9z5oXMl8Iw8ymqOukk/TiY1Au2l18ilC/Z6M7VSMztSg+MgODJqdkpcVW6W qp81JZFsHcoWFeI4GKVB/tSFr7pt/+ByS2lxC434/c9ypIAasMTMiRrdCxwSCRBk35hPgaZIxAAm rjKQ/xQHurAsgBtv24v01ZLejYXhAItLs45GaU9E+dxubFxBAT0zwDgPAkpjBjDirl+iOC+jI3wg 0tKUYAvq7cmTdJjENtEyes+FdUZbWNR7z2CkPqsiZqcdq4R6YsoCj9DQud3H08rKwbFyPjU/C+iw RYr0b0IQ1lQqw3IzrZmreI986cbi3Kpe3mXJk52CCvDrRJ5hWR4CeR2enA3ifMYA0l7RBstwO0// FaBemPTrlqxsyXlYali+BFowNmvAjAYo6mvaGJjZV9Qr5GCUaZ+ADKn+yt1v15h9gvxrkauuSGYw /OH8PCpL6GjPdVoDsp4637CQ5tWez++vZKdxmlg3K2qOJFevKHWRgdlgvQJ3W9KHyKI3v/WyCap/ QCm1EbMl6jVN9Z6oUZ+KmHeC2LMXr1s4LRh+wCcBx+s3nIFl0C7V0cUJqyOe+5mAQSUoou69GqOm /8qAtkRJOXSKf3YjQlueg+z5WwUa1y50QWNmpcENt/16lgIvJfI6NJkILSJQ3r5DawljAV23aK6a uY8BdQCLqBWFSUb5DZuOTwrMTux7Kgoia+N8+Ke1spIfebMeILpyJJcz6T8O8OFD4ElaWcHVNmq6 77pfU4YVi+nZYEA/bP1+nwtZJe73+/P3+wffV9Tvy5Ux0EARavpZd0OZJqKL5p2W/Do9BN2FE7q/ l7oCSC4a+qoPgPa6OInZYlRGYaQRnIM3KrvlZoJ4BDBHQc4qeV1+63qCvdUXkomXVVzKPqfHRvVf +rpykSXOw76aCsvF+5ZorZCPkLyUxOeGlSYTckievijUlq9S8jtNIXHPg82HLyuRTlD1d4QTtiay bJzJqGlqG7RPlPJLXjb9tL11JNSXeR2dcXHbXbGFCW0p9l/gw4K080mN8uT8gXnhFRsWJznKlcwk 48U4Gw9GNmIHcrwK9tx98P5X1oEgvXvf/YR93ReMKKB6ua5ZnKOnLr5YnPH09/V4/tNL6/rM1JbC 0V4CU6ZYTH518aES4LBcOdKv7MwdAeGVIcGtZfVMN0bbu1j+vxKN8oxEpJrVWWARyCCyuFLM++rC OXWU1BVQUQxs8zEC5wztlISD+eCGTGFhQ6FmUgEYuDixxxPsSNtMIweTyrIadaebC9FGZXHxyCMm UlrxXt5aPqPaT1hHpEnGTQtaOE3a5IzSQXahmJEPj7KWenKYlXIQ+3YurS/o04nWixxEPvcpcAPZ 66H1edMHJqg2pKMDIWHT2DY6Sz250Ya/J18YcVl1IwTGYiusQlTweWOkGL0jPjQZwSAyp0/2lDW+ yiqNvzLziYsBNUifG63Wd2ggQq5mDX4F3e5om2mY+mfqvc861zJg1lOaFRZt9auOI/KICRT3JSMO mK507svnXYDfphOTPY2PlzoCcpEjOOfDf3LnIDDXiHnhL0zMA/sbRezbjZFAFMK+fQxOu0rHT2o5 q/Y03GeAc0yM6SkCtqAhjgIKpJBHelJ87gOj92vZAP1bNvBypdvJO5diHuoNIEJgmjqfgblATde6 jrJsVCCV1cbvW2iL9kJZ29+x3FoODV7H2xU0G8/kMQ9Gq3ddTdchRDsCNPMvT3Zf+l1uSNKWAf3t 0LOYRBbNz9EC2bLiilQcn9rOHHp25GAu4lnUWsN/a1G1seGxZHZuqGBmjqNzRNXXWxpf4VtannkZ 1zIw2Oq9q+mtdJ0tGWt9Mtaf8hzO2n/d8MwwzReRdBtrdUYsuGNmwyO8lJQkFt9lcuZamLgMmFq8 StGnB9yCVA4yFeiClZHr7/xnpkf1b/VgPErc3sJ/OW69qb6Aptn8+uqJotOm9mMWxHvbjwi5Y8jG OYdGoqma/5lkHToS2+a08IX8a4Na0TWgzi9fEyUqI04VWZr1tqIwSNWyb2j6tA0hgRkZM00pa9nj uWF1/udLUQyRCj76cYv2Txd0m1UebXLj8wL89UD4hVNj9chKpsuuKHnJciAhEB0zgDiLe+uQUEkU z3Yzbu+OqaxLBXCN3uW9SGB+lnvr4TKV2BzE66CRVqEo5zulh7kSUXaKHwE+PBcG6YVExNiZycyK 0+8c+KCYx9bTVFequ/d86e2sWet7WFo119UOTllDoj+Hdy/eBr6+Yct2cgVEGSTZUsp5Qa9UL2SV aUp139Y+sSTB32NyhNCYW4isShkgWZBCrorIee3R9y0GH1IqDIgcj1OSG4vF/86OiMy7bhhq1cPA kcgSYfrLkvcfQul9+YSmraltcIKXLAnhq76Kjyv/uUhAUZbGYq7A3yxSfmQpzWVuQsPDU6iADJt9 GlPh/WM4+4c9O3zLfjc4xzv5erol5xmk3vAmxCjMw5TeR7IADMhlzPdrCV/OaoWmeaJJ6qM2FFFX h8yKphTOx2IHRJkmDKkkPHmAmbLlvp0ShXw/oMaSIk1gU4py7JsXY60e1rbea4WwCgLeylhfPosf /dHyn7Cwb9OB/EGBC6LE11mwgQnifiUtagxbP21Jnklg5bNn+6WhAcXHEUvHfszniGLhcvDMA0Xw doTtgzZ0i3osSeHC8RM05pPukUuloi00KoAriOKxvNUW86Sv4TIFYzngeeg12T+CBdetye8PAkhm eFmzbQox3G6EIf2XZzNlVtNXi0DZTsLUlLaWsNmIhIaYZV1b3jtr00bHqXypqtL9AxS7qsrc0yAa NCGxUS791xGCONZ5I1b1ETg/Dq8ptCZ0hox/ceF+QvqjbD6E+Apxkr4Ow4bQh5EyEW2+W8hFPyUM QwgEvWPUj6vuVxGSjZzo1cW8qSUYJ41JYvaljxh4FSieOAzI3LEEO16jr4+3lAozMdwRUMJ9Lr83 CNlsfkrznD3G3nKAPPivHeeHDWj6gKpKV2HfeQvk0dBC+015EXcLqkTJJ+V/VXiyaN9V3lnVOyiJ uA7kPDBlZGFJbAiZyMCBxjhxp9OsQmEzgfkzUIyAyGmckQQHEUdcS6rDKNc+0VJWf9+NdBkyer79 gmfWaheAkN+oysExYpAHS8/o8SY9XU9Tu6h1Fcfrup1cqL1lJdCyS/pdYlyyTZGxSZmP/LCct3Mf +nOrd9/bol4/Ow+I3eqVwjD6YCn4EMUpmmwGMMFAyvYZ8YB77LsxAotDOzC9Dx11ZVf9knlpZqdI efZfAKyjPCX8TiWcRT77aN56Poh/fHUr72QxYj/TC7xb/Xs8Nt1IdvoXPralPh2qiZ1oYoDqzkeX nIWxjLTnLqR/6d8b4+Fk+LQwcD3HlXjLq7zTO4jIFPj6CoFVxPj7fngMdFKbgFcDA9wJ9Gt31CXh Q3gGdwd/8oCyM9puIQFH386qgYEdmsT69HqYx4pKGiKWT16AIaYqNzvTBwTx9A1dABZOOBPr7aJ/ /VGXzN/lSSKNNhF8TgBx0uQynrq9fdbXXZ+cMM6jWDOcEuyHB7/LXYksxjDYhRwbfhW+866omGzr kQ0CfvzNP+zYiuL7sq/E7j9SpA5/w+kDBNaOyVnWw3afDjjzbInG2PM4muHtCR28HHSfoJ4/3Hai lzk83VStzIljgCtQjrT4SoS9tnsLMk90FzvncS/URNa25F5Xa/p04e1L/8XF0fNIjb0e9Ua9iXTt klDTazYZfzkAgHFseJ5/Gs7vaDMtTlTkqLaTDmE4HtqZSzoZ9HnqMOYwfmZsly/68iTIWeyalH0E C0cJYtiHmsnCcbT3PXG4+FrUv537ysXvLjo/Ea7G5hBAjBtqCoShSaKIsOYH2sGNZ9gxkUgkHBUs G+h4djtCe506nGidnahe7nU/99h6PawDhwdO8umyKDqxat/3b/3ejpuCK8DqRwgPa0one4y9qL9f bHZ+SEd6n+dBcKBa3HedG2KxjNOJByjcJLJt8UNg3QqAsMKCmDlfQ3Y8Mc2HbPdPP93k6FU2tw7F bu8iSgw9zOB8AFSvKnGilbap1rpDXLfP8xZkKG01qYG0b4ODO7DMMpv0z/CxBVFus0g4vu8Tdjc4 j3eOksBTi6IJKhKLT4p71c4StI7hhRbZdcJt/I3LR7ykvDd1y6xvSMqr5lv1OlWsZlDlEAfw7OMz sqPOPpMXgs1wxKO6+MoVblj8XYFkrr9xruPMrzKS+ZEAVBK4FEO4TJEbKMe1unlQfYMC/hoKt5/r xZsJas5PTvcimk1lgrjZAwMwYUDqjHgQN8dq7oliFu59DHoGLMiYvbqm0NobhG5FBMW7/BnZzpgw D0qKykL9Oq2SlyQJKq4qSF23x2AsZSEmIfYttk/nT33tjUvUOsidLqo1XdoHTEclHSWp/qGIm5oP 22aN4a51WdbXTphtpG9jqj1o8kvsIJHs0QF2xhBp/vK/H/qQ/Lm72atWtX+LNneIDY0qmb6bY4Uk l7cSAi3uwz62IcDC16BQuGvb2Pp/n3FW+4ZI+CNIJejDqk751TiWMWtHS4VtLm9w1vXmtTKD1zVr XgDK90L6inMCTPkOdg7zdxM3ityi4UW7BBr8KTDXViLKfN7Hb798DZGXLrf78P62NdtQJNih8Wks Ja5X+u/eGU6WUpx5mSjcbpSJ+T4D1VX3loK3t3t5QCr58W2Ks2RpugYsg1Ycpr+2aaXe+bW3GKqN w7M2aPrYffQ06UAMmQLKzDcmIUgue9aEi0WTDrEMCtjHhSY4rNuSePpOYnDM7TrmRxs+ouGMTCAF eo3GAZcqCnfiX5NdORfRME03XehD1gCCbvM0nybTEsjTsgON4kUI+pUnMpJRQMjg+4lkP4XWp61J 0gIx3tobDEU7YyBTujTgzR30ryKkWdJCiyRwZEAejCQBQOCCF4DEHDSKw9TyAYxhJ6WWC8t7cqWk 2tLvQ8Uvq3F++eV0Xa0pj1523tMeAgyP75Di8ESWl+Jl8aPuHtZZqsvj3sJ6eLgjraH/q7jfz+Mo SrqowEU9ZTRm7vruLzQi2W46iqbQ7P3irJsrwfLwcMM1VwwaJop4t1yW+xVW85PpSElyT3Xmo57s 25mDDYQP+lcZs2Qh3T+50Re1GXIFuozngA4hDY+WtvNKihS/Vwv0R3LgwW2gb3XQ++HOUTFgJIA5 Q87F5kdAsguxmaldFod3VyX7Bzf1XMPLz/uUC5A2Va9bKbxKTGP3L2agiezJc4dhHRWTPZyKl6jD nq1k3ywSkYlG60i8SulfrQzBx8bROWnZdxdn5K5WT+9OjvUIxvOTpTMRN+Ew6Y8236LYLbkfCBzJ r7jGIgE0EihZPY9m++7LvfCMed+tiQDCWIq9UyJCu4Ep1VYXgLAoEAY1+vMi2tIDbFBgtbMyqfvO +4cYvCL/bWV5spHZSB6Z6Kxh+nZrqg/q4CKXAtOiOWkVNdzG7miLIivvmFXlDiXuB5q2qxVuv6g+ b5+GkVcWG41/+hdoGlCLQkLFVonbN35Wpe5yrDgRukWqxSRaBoTx5tQ3NAFTExDSd9wrW2QiDq79 Fcz7OFiuX6mQVd88vukHgkkiFJW+CbSPgU3ArqPANe6bhwUJ9aRWhKtUeY1wMsTQ5P6IY5EuOWxK CLb5FBpcdagw9ifD6rvlxE3UewnIqiqz/yx/HPd1mgaJvoVtzFPN/GNILsJxLJaE9Bo+4CFY9SwE hYg/Yx2Y4Ic7+xWEMrY+ylNRsFNQGIRXl2v4WLbde6ZJiFbBhqfQt6g2qRxQRYsGBZGXsnS+LRXx HGFiTcgWT6UhUZmHm50wx590cD6ucAfOvJPqxYVp+U7AvCNV5tF/bwprYCTGJZOsSmH+1q0Dmfdl KGhDZPjJNrR19Do7gE0uM2TRcq9nNeeCwXcnMoLuTkQ/9CHFiHGGMYUGqz1/xg+9MyIRCvRkjYmY dnE/SQueZPt6CxbHrLioAf7LVA/IcoeDtPzpCkINAH6ZJ0C7rlkADsNI9et5xp0Qv24Syr6mhZoP /LjbHv08c3w/9wP4Z93KHYrcsEhIiPLMPCayi4FWJ1iL1iO49VwpfENk6kirbNw3+s6jhDhQS/8G BO5ZXI4/9rgHqKe60m2Oo6o9PXYB716kbh/dlD7o3ySGuheK9CFN6FdqAKkvckCLprhecFqQEhLx DXPQMFtCIU5TW6Qdj05YWm841MZ2EtGdFnPT/byltTvfXwPZq9HRhQL/GPZ3ep9XRatEfvK83Aqt wbEW5pJu2na8WyOZqStup4KA3PJmdzw7UehgcvPoiN71Hh5CwJhe6GURiA44hj+64CgSmxPZuVYU HPVL52/ersRZC6NuceVzmQ/c8Vhh49mUAqvow+iRzVV/oE/JDMBzXPTT7sAd76SoceLaWpxD+sQR fAq/TOIT1PUrGp0QZ6wbbDWY/f+h2vVJL312Maj523o+5B/cqrYnnRtogsEtF9JmTKE09z5jO4DV kCT45YKwR4u23k+Y3eZpXFh1Tz1BzrU5unDdWcFUm680tYluCjFas5vra0P+k9WKjuXaTdMZ5T0Q onCJJM9HfA/CaV7IMKHV3JknnwNWdfZdNxA8DudICR+otvFUmckjrnJTlo+iS7K+ANM92mvd1CJf kEpsgCNc7wDyFvyhkaWLAMoLN9epAeVORa/vcFk/QXnI6dXqaqoc73V3/nRFy18C4rqEP0eWtHYF tSif91MSG9VNzgo8vjrxsN8xHefdtFAJ76Zk/dUCTFk/qIG6VIlYhgtMpEEQFYu/wzUUUUxs20Tj gtwDYiN1JYUJFeum325SrR3E3WMgjy+t6BdEwrTe/AJANii5b5BRyyK+EnRVDIlkGW0zo6yXKSoG htWZL61mYr6C7jSC0lv8kbImITKxknY2W3rMvyNSRGYaFD/Oz7O3m+U0NxwgcevWKvVgntuZMy8f Hoq8MCbOvNrH+o0yPZYhJScv5DAZWCjM+kZKX/gx1x8nuNA8kuOZSLW+P2KyE8413ssj+9u/twh9 qYXrBku6FxaypKWzZ/Wvqxz0o/HoKkDJXjrjxUajGoHUl2rbOk9pCoX6ho2ybSQV6hr3VeetZ5cz 4zQHJgn/mZqgWL+rwl0vGP0mDsAwgzYsLXbKAWgKVmA9ZhLHr+ZhGJOc3fTkcHWGyhfFcZGrOTCt wKjHTXTy9Zu8CAVFj0f0tZ941G+qh7Xzz5dMBL+XiUYG8SPlmCYYkjUQgMZlp2/zsJB5WH1Yiy+t gu94L9NeH40tFIv+nRf7Bsm+vRJJjrElykHSAPbwP4leHD6sOIzFM/K4qA6xbNmeNqql51sB6YPv fth5IEZiU7oRN1kDweAD2a7FXawrFD6aJSUVDJtp7V+G7VFHigSKVjWub2wfhzQocx7HYQugCb2N pqWmzSZCeuG/bKHZeeaXBjODfKJdOMt+QKm2MRpZtVVkXWCBdQbNHqxQDPsXE2a7pry2Su87hnZY xK9fJcbVh4Df3Q8ht9FdRzcGI64bTgZIZiG4yuQVPkwHxox8CKSQSxKNhtgp6HJq4j3/ZvtbX+tE gTwaQZVahXKx+knbwJt5VHH06+KmA9lzppR53cMmK0KSQQ8ey7zJqHsVc5jQrGGHDppxP23E27iw 4EMWzXEwo1oIThpqV6wMG4G8DUtnAXBlvfbvqFUAtM4jPjvYomQzEkFHVuz0qvTqgyHdQ8gPDDwA 0kGVdwF3Q29ioQn4hleJiVMj+oa/0j7gTQgW8dam2DEYLJAZN+6kea7HEN1oqFEeT2XYDlyCmvNQ SYxYL4eXNsHka4UXicaEQF4N533jmtAyeUYGFWWBOr0BCetbPFbtkIxstgcdzi81Z/PON/tuhbdo lMa8hGtfd1knuLwdNBm2Jk2dxmPnC3UZDhcVoC71mQeMrlrPdz5lcY/uldla1qK4MPJNfKADyztE wtWhTm2LC8ac+xrq2L+ixK9ttlpo8kmLAts7PyPK+q8qgIlei0T84b4EPseGQ86SUtZTfyyT1SQI ZpQdALHaeacey8dA7pJcUdggo76eRIgYZv4BXe5IiiB2FxJU/2RVF/txC3WhdrNhCoREcKauR+J5 R9kmA4kdk5/qe6hOh6RVB1i3KkuP7PAx2Vp/DnDdrPWn8YWi6hhSlj1MlKIidJnL2sAiJ338eZQM QaxPvFh47nBej6pkxdREEB+RFJ4Qvt82z7eb0fCjrXY8FCYelAMmOjwS5P9zvvsLxL41urT1w+DM GhCWXfXCiW4ORx5TCtXTV8xPEOBi/4pbwUkyd7z+6nORai6J1TM6+WctewKk/27pEekEmBzNyHiB 6VU337dO0xf02jh63OOx2ENq1QnTVfSKbB+VEdTRaRV+YJjKGQI3EIftOF6k9TKofgzdMmgHtKjZ VSAVcV2gKCf0zc1EZmkvs8OAdxSCZwItuxpZ4Rh5LasSgRQeamXxFfjJPsTt3JnWwMNXjaH7Pxd3 FdyCQed5W1RzkY5aHhDOMRiuSiG8PUiO60zLBC1ApsmUmSXepPYgqVmEYNLhgnTd2YhLVlf34y7K 60AZYJ7lc6xVF5Co8N18R3NoOSDEse/FY+vt3lxTGTamzSgEIx3IO0wrJpkBi+Xew+tuQsLayHRj 0Sv49DqiBVyyOc086tO1zY10Ldg+brEFPRlbNmVS9JN8KKGQ1K6gakXwXxysawM+D5U8rTA6BNHC wXGlUk5SVxlc9KStW5x2zwyC/KY5Q7OuhjwsH+nwuXFgSfIUETgUCu5yBTF9Z2XkdksUNTInhdGB n3dqTZ3d2FSsSdogoU81cHgMxhlKHo7nzsIuqXiY/Svx15kUQCdEBonHJlym/vKqimQ00sauKMkv kVcervJcCSgU2EnM2958FqTfvnWygGO8IKZXhLrXOg9gKCuLbK2zfLcPg26SnA3atHyJSjwDcSJm OS1EYIRsNAUSYIIwR4+XswOPcXXSh0FGCdUrHHDC8Ale9ISJdLXZbeG9NAle8x9J14db8EsMwCiM YBSbk5EaKS9AUNIdaQ1LOFYQ61QP0/oOowMAbm48hrWeJEEtchkxbY3kCYnHIdme1tuPfqmG7SBK zMEXY1Rb/FfjDbHV8e+VZrLUPu299FItEmCY4FU4Sgaihe+SnhCFtLQ45+SuKW8Z0mqLl4K4yVoa SOqK/nkldHbm9m0oCGGgFj47EiH8iD/xWwb8x3fXV998OyS/BgqfTLUYoW+vZbfjlHFmnBM+uaaq MXvIalcK9QjgvLwO3Xn7Z89k8OtKYJ0JWUjTPdqqEky+wzlzof11WAG0exy/5FN7IY27jWv+3/ie k+XHncQHT9D92xcyn2mQgSOOKir9xwlNYgl3KxMCdw3NZ+tAyvA4oOsdUGKEKWhCj72meHnqcvWF o++dw7CTK8UjT4a4pkt/leRLbzLl0GOfZRlBEQnVF2UdNcYQIokE8QcCOc6+525NszKAYQgoTcw5 IgADmGvGKhA/Mdqrzsbtm5BZxiq2FFIUeMeY/Og9w93/kMwfQTWqVnqgfUte2G/kcwzRQdCvD7/f HfYWdR5T8ioKKmg3S+U9HzTKIC92Y9xyIJK8Uc1ogkYLkt3GHvOnBFmKzY1eWzYowJ5YTq2nTsZi cq50iq5t5p1pkPSjk8UzqYoerS/YmXI4RNVO/i2dyPAsh3jFpXJvculV+0wKoE9XtQrP7BZEHHkI t3JJJ6edu8rbunUU923l9pfU3uD1pZidvo6DbWlnN+tVQSWs5h9Usmk+w5Nhth/jYyvh8Elm/CqF LuiJgWgrt3nSoBOFCzsp+35xS5D4doDCHCjTGZjgiyhVsO0N5zGNK3HmJsBbDjLz2lUsHsbMbgVT vJyzbbHaeVkcSk0V/NzahcyqrKbgcuiQOdcH6jqZ6shCzzCwAh9JMKkswWMN8oUVlPcZGOmUuuXr 7fC1Hw5eqcR4DixaCeeOB6QUk8b+aySObyS3PoVcY9KFcLADEGgiwL0WOWyE5uAkdu0Il1vq1Ug0 LB5NW6LBmBVPojnR42GGttZObUOCPmRqDJMEo0l0qzVIKgEbbzUi9sqsOf6eajfFD2gjv4ypuevJ G+oYGc7mETiuK2vCJRC/mqO4MtaCFlWDi/fu9Thh7bOnhJmrOHzMzLk3ytbLXDXsMoJChCmWNd1k rM4iuR8JBF0KpE+fpsMWGdp7bf+NehR9AfjW+8Hc2au6WdcDlLbXQU0mvS6MTXiFBobil8tNzec+ 07ex8/pZT+jsjam36r9sEcJ6/y5Kqr+n0Td1JO2DLvaQCO4SmLPlOXUWiTk3hTy2rT4Hf6lg1a4c YV0rUwN8AR/x6nwyAW+AbS9uEEhHtleITw3/z6EoFOE1p4dyHpC540EACBdsq5mwscOYs7pCHBVh I1AAJChQIjdTssN6PwFcPptbcp/d2+Pem01PkGSeEsWVOOf54NyrSRgh83k4O9LVyq+ckl2D8Zzw zCOWhrfP4i9FFo13rRa+6BgO/1YH7fLA8kREYNE5W6dZui68uZZ9vqr/Otdvv3nwH+Gx9UMdEXbx pItDWn7I52T5YRqj0zUrFQRZ2JSHAnmVO3pTdbqwJQYGo11uGq9ldSKmsnv9p5dVuCwoKuX7qDsK mrIBrn/w5TIoQ7sAP2RjFOvgvyvsrHvCmoBVK61RyL5nxyhdsWbS/SwvlvvEbN0ImTnacBKvx+SY dmjJkHQDFTcplWVvk5y0y5vozKIlCVykjnxtGdf2NZskLHCpzLp6i+0oOYK2TopACw2gIl0jQyll R/J7xRQzNYzU8O8mLhmpNSKCx50cgsGP8ne+NsFxeIsUBa0C4X6SSKMbuO6lZp8MeWGxEBpnCHOu HrrzillEdXA4LyfdLwEDQex/pgPULElERQTf7wj6dps47qbTD6WRbBwqzNssCl/S2O3TcZy30aer JCUlcV1KOwfX3VGiYXI3aPNDaFgawOfEnRwk+v86r9vcuQO+Ek/T0XmyWxNkqB/Glj45e8D93Sgf 58SimZ9JZzTFEmWkkZABPdflfd+mVFlI+tz198rLDXjvPPNH/hcqZclYI1TSzRaRnESHsyqdJ0Sc rRvtRskWxrMwT8/13arWPlH1358Cu/+jRDnccMbl0fLz/CDYlIUS/fcjGAyI+TKDnXq5tEvlSaQx e33szpC4tHJcwsDzjW3sad0ZO4shIeK1VFa0LDEUVVhy8TV6iIsVVGsjAjBGbZY3DgOJSdGm5LmZ ggtgE166EzwXb/PES4w8uRciy8Qg5tAHq/Fn/kS6zyxLnhLExzN1QYN5UYBgNgSVRnDz0syBAzfc r19JvhKX8vNX/H9U1sk6uWv7KUiRy4PMv2SYiyp9XFp0xAQnVw64QoGpphHsJz6YBRIaS/Tu7Ifd U+QSJoM5zK38b98oKVCGpjm3BhDsGj7gWZt7VhC3VFADGiJ3FlHx/40ijtJlR0Z4HFupxMRcZT3E /BgMG5yiwYKC8rA3kwpXR4x/1A3LWCuVLup40W6pHAkMo5KOgtiQUhfPVcqI4yzgXJazFeq3g3p5 s4wb38MtqJMYdnFwS9KRN64R8b7g83360J7L+DF8mPEF3mCyd9h2NoW7l79AFe32X2pIxIoiuczv Lhi0YqcQVAyUjynLTwrClo80dnPBIiBiSxJDMUyjmgNtoHag5V9D1d0y/xNU1+iNseaWsG3hay4k QyycooIoO5DOaJ97qjAZPW7Gkoj7DGxMjuYwOMRykO3PCK91kn4xs5qXzvc2YulVnXdbX41s/Hc5 Cp7RXMLFhrMURsZse+3P5Wy41U+kSPgoesWQanMWUdCo8KmvzuzwLUcEknArJmmeP/Kp01wJbrY3 cT5/DiEHE35sQcyyNoRNY3gWTDJqtjzZrbMkQRQvKxzsUaI8VQzyiBn7ihvIraPVbuK0WA+UFWUM 54fZWUmUMgI7XYAf0ZVsL8EKGHax+2hflNjrTuvfZz9wSrwXAtFGl7OvwWaxf4Sy1mg5zBEym6xk yC27zeUMAW37yDMsfGrotGNp6Z0S5tHqTI9UAeLRiDDA5OoNPEgkojY6OsuKHWi8DvagLNVtoVdb sohrXfrCm/IKNiLOeM9VRiWiJjOHUr3fImNipcXInoYILeX/P2UATXI5JqUT/bAXmQDFC1FwAshE MWEHpP+fcgb6PWAU1vRr8zYBde9FSoniOZThEiErZmb4CZaWwp/qZdiOxNV+QI0c4ahCxHAEXSnK ZFWY6fxmJTbinNkA5yDYyooT9eSlhThVOF7hkDCh1w2fYaF/YOG9fFcW2ABWvuUIdQiIDQvGthVE +YktRQ45JDbrPc+U/CUd0xHRoE7at9JUkzgQv57Jatc1z7p19++qCnZ1nf+q0uTx5r5bD7avwrPX fU4W+6d7B1r6Sxt1qsm29gRhahH47F8lKHs9NkuVm125bZZA4VPiyJo360RbWT4j+WuvhmY6GxwW 51oUb/ZFGkCBseoO0MVCqOD+eXqA4gEMageMJaE724P8GpoC+CNM+vedmCMRfZX6kHp3Hgl7otOv Fls5mMh/68JtQAx+4AEXTtaOK/UWEToewUoqqiu0Je/OcEWGQQe7IxLP5azhaL/Cx7h4BXcmVLs4 2JBQ0WYBjPI1yejjMglBttpXpZFQGCtySMpbakT+WYxV4LfcFLHkYYAKYytAYZccn5OXTvq6ExhG 3yryW4eTkZ0869x7LpNNoc7CRk3BWx2EWiIGTMzkxcea26r6qolh5ZxmpJlF2D80TSwME8/Lg6Qe aTN1LAw1SgU6WCVe6TCevGa7c63jVnQ19hBdvaV+l+J1OkbJx+QX9ElH9w7ALLq6FP8F4A0fqgyS YJa2yzTLCI4xa1HcWDAPjyv81eWRAhAWxGkA8NmBejmXxKEsrY3NKHRzruh7Algpmh5iF3inXM+4 x3o9yJTwc+xdHSBq7rfen7CwRiaZNmMA4sM+Gz8PftRFUrmJE/WPx2Lwg/dUwh7DthiVVwPacyxG iEz/KcYh5ZckXPHFwjgRAvpLTxAgW7+fYkLEUAxLlySYUHa4gWzG+N7UA6m2GGfDm+87YY4lfBxh zlbB10bRypsJ4zE12lSslQpaO1aj9j/p6lGXoG5ETCplzdZ+sRiluxfud1EKq6wQYB0ZqMSATBqE 5HuyvgZ5PaF1peDcv8CqolZf9EO+Aqi9Uh3oFCuPw/hGGDT4vQqvC/o2l8x5jVawp2OBx+NgroOU 4/WtslOiNFkQRVWIsoYKk0iekEDE8pbbRyaGkcO4U8emBwpKkdJxDmIunPBA63HqQ//S6ljLvqPt IFLYvg/nC3vj2dg9I/NadSlJ3HUbgNzRDnzKYTpdpfyxA8fM9hirGxM5idqNeSLVltLEOBK4gU/R GXlOwW+5ogCH+zSh3N6Bmk3UowqeCLYnAPy9/AQfxZUYEKMPW9xTyo4oi0AGWuogC5OSffJBlHX7 LgW5uly4hF3NqTLqGfXLdQspTf/RBijOb8jUXIMumFLgn2B2Ip8MXm3jGhRxHO7wWQwLFu/NQRPk LL713A== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_2/part_4/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv_comp.vhd
6
19297
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X9k9kMjUfSr7WyoqWFsWsDNcEiuLtqHTCUAGwDZLSKE207a75WonvNNUDmhBO1uz+XULTxinDmmZ GT643eOIIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fdnXczTF7qIbl5SijJBkB154JKQr02vtVlHSy9DNdjdjXT7gGI7iVyunOxkDela6yvkq8Cx/2G0n E0e28FZrRvaCEgIzO0L7EaMLzF6OlVupowu5EXneSrN+R8Nc4c7Vyz+thZByoAfPqk5i0XkYJKmd XGKp7hQQAl3w6oimNxU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0jUieClEs2kiDDJ7Jsra850e/3VLFIvNPjyAdFywILXGvkfJ/Hsc6WG68GNMAswNl/pNodIuC2f/ kxwjHK+i0zjOCuv6Th2jBcFfHhsGlq55Zq9/pmcFhddg/XqrBo6nEZ08d9ExO3LuQLohdFsMVY5Z WVi9OEQ8PtWUNmHj7H8YgBtq1sdKwrUAzcEegFEFaamWZ8cRLH+p6Q8JyelxqcH1mOvbYspjSqOn XYI+E647FJ0I59mCsMTj7UrbGvveT3M9JWrJWEFf2igu9YQnsYnNMoYEIyI0u7vClxcnjkERtDoI Qzg/YV+QfY2buN5Vo2FidHhaVUO4vKadHsK0jQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P9/DoPFONGyZrv7atEKjrpkdVAn/ceBdIHR8IzNkA8pgkGpM8tu2bn4JV1JL5imFKxR2hvSQIX05 PGgBOrUOs+t7XxYgrrw+SUdKcJwKsJuosPRse/3QjyvW+DgoyBIGHCMYeh8JLluPsjOvJL8nnduY uXr4YPgP66QaJxpRpWs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KpQrKeDvYGCfqYHg+HTMJiaAMJ9JMuGupLPHlsGeN0FJ2O9sJ1YA50vBVxPKOsP1JEQWrnt/wUpG U4hWuEzwY1TFyWPixee9WYksDWkNpnBcEJ7/rOMiFi/XweYFqOKPMbpEg56Zan/MUIZXcZ9v2+pd A02UnXbm0DucQB5QDXc8mz5ots63awblijVl5km+cwraN1PB1zKK59S16mZKmmz2zwgbAy+QrZFJ 4j5SczSKF4HiGpvXEPGeecRkZbMSl9W7Pr7nQt5aRgXAOg3x51WMkzv82Tn7lMvZTxZvOZzpWzHz iVRjjnIgOJEurBgu1rZTSXwPDisFPE7D46zuXA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12544) `protect data_block 4AFlUxC1Pv4zcDzG5BSmmyIN6eSPx8qGKwrcolMQzDkgejRGmOHcmdLdmY43qxSKvX6Eo26mH6ze lWrhZinu3L+/U5FRNfLIuIfZdZhdgkih2vgXSdKf3NsCbJ5KcfVTQjfOqjQmUWOPKwPwUv/kxDRm PyoXyYVRdZbbWV3O/ngk2Ml6Ptzf0qCXfO11kAXjBew2qLzLCClYKUxIjz46v+2QnHLB2Wz/OIz7 D2bxh9ClnRNuMJhYoJzyaKwNc5pVtfIRdPeBUK+yP9c1JeYsrpyye2cGRxiNBuZeXs/CbsTZ8+ki uxkg2kvRvXFY/4vHpCqG2zv6D5RlEfVVzSAwzl4w+RmWuxiQwSkqQK1B8/0oFgcge4sipexMm970 +z7W62Ff/JYfexgsyS3oFoFnCDQDVRuM4M/6fGWUTkbAbNYOTYrfm2aEDEpGPda+hylqR5DIAwkv zzSR4hMZXWJz19nMnPtQZcFZDSSimkQ/geNOoUsOPJ5mKotJg/JuIp1+crMq9hQoY8ZQcjwA/vvQ J7ksyHIgWTXGz7o8vcFb+UFeRLC/mKSxUTNvYLEwpJc27X4AAh0wAVg0FQ5LXNS+qqBebSGPpaWi +P1DF9hgXEhLu4O3kXz1SZHFYe+sf+8xdmYItw6HzFUteQbFvemGeF3fq1c8UwCZ2EfQWC7NP6Ey ecpCkZ4XSJWJ/Hgh4NFkXReDDB2VODHgmtZz9eZutTKsBltLCuLIi6LLlxzzrUM7YXxtSneUyPSA pBS1b/MR0dSGEsleEo/9HMmmNVVbqsLFF6S2kus16YgqUwrm8J1Kw/p216bArR/G5eVc9jc4Z1yy 8AynQU25zfx0lhgaebITEKX4C811l7PBEyZ7r874vxaBZodZocoQzXxm5jVCMU9olQhE8N4CkpPf ZAMH3jsam0kVamUbdVVKmPDv6qRW+dr0ryUlTs5h0t97aGacCMIVb0GyFwe3YhyCwYx8qbHB42ZZ czDd6QOOj798eLIZzkHmi6E4mFG6ovf5ZwhPpmAJ1RgNbo/K68Dz6PfQHErj52lAHvsebYxaEyP2 tNZAbNsCGkanyWDCHlM1NaeZGmZN9RxjlClwdVL5breNO5qLcLCdPXMK4chQ3iYS0pt8aWvOwiIj GtS/aBhpODh81cV/itFA5uaYtNcc+5L/B0J9SYQAqvDEPpw8u98LKfzAQ5fpCjXUi8gx6sUJeX5l 6t/NB1PWcPYuo5bT80Yn/+MrLMzRP5ihs9tjChrjDOfbaHGXlM2NSl9kzkqMSFkr86ZILCO6TOfd Bz/j5u8R4Vdx3F2FsF397G5AffeSn/PrNqpX1EZDjbWUjin+ZzvgfkPgIXYIqNTbQLymGQtenZK9 Z2qw5Ek9mPt9N0w3Dy1dylKXIUkfiDrwGgpURtnbZ8Dnvo0gTJtIotWIfqrfyp/688c1nHBcNnxd gKz+k5QFcDQG4pPelLQ8/OuqFfoDhgxRiRmCDf4Dk2m+4WQLYyde2etMs8kGrQ8BfEW/Sa2+hYdi LiH81Iv2plKGGWIE8REE9R42vB9FFyxn17dHkKX6HY4qpePGrKuqfykm5Ea+QDLOqT3DmIRkauUG iJEqFiGPWoy8Z66IBr/SRfWQ+ZW60FTz6nzxVDnZ3qxplC8ATPNU36RcvxAVJU0bRsc50hEbFiOa eghZcKU4fdU2cNnMjUyZxfCUQ8IPR3oTeXIZ6Zd/TxWqAdQEQg9L+TjXgu6N1MSirpcFWDv5NGak N2JV20JXLlpH03+lF52redbp7c22Ge30qABL3KXzNMfnvy6oH8bYPAyRzN9D+UdAsuhfkaKo6Hw/ ItZ4eE4aYNiNLfIVmc7jKG+m63k88a60GAi/pTndBb7NmDgYCjBCnU8BWuTZnK05W/AxbzBpn4Zl +2q0CMo16jG/SAq+xOuKXt2bk3O8P5uIr+fQ9WUxDPtIhAQS0fMLzWNx+suDMosN6MTpmeO4Z5DB 2+rcKy/MgdqSSxtANMS+5LEqWBn1JE5IAAqy5iokLo1xi6aSuaOud0AR83ei0XfjkhrAEEWtUhfU DlKaaXsTNEKEn4qKKoyf7NtzOzG1wdhSKGiYqg4R8pKex6GSuj4VR6LkgXOPLfAzjLkUHa4KujPm CL0zI+goyMAwzhNMFMjIs07YjI/xvoKETBkdxFBMwo85ayQo7ZoOjrGuom9qhXxHeTWELvIchf4/ riBG41tRlOgJevctpWuMdSOi52tXaNW8qQosUa7Wo+30j/x/bTEmc7kW/QZ86a3iR9x4W8fR8GMP kbH43YH2mZHDbqKwxJmRcZH8yL9TfwDZLwhID5P1Cx7KupM1v/pHP4doLfRXLE+8RfQZ00nyL8iM Q5iCl2MiF/p0iACe1cBtUwCTA007Mo0J/c3ch2MSh2b8QorPjs6gZce8l7gEG7rTHJcrXIJ0uyu2 wxhgcPldhXvfNgpPos3LiFul0zNVRbCJBMLnQNZAGMNWQ1kGHGJLWmSXxDfil1/PYsd/wJI/Z+gJ uaSk8rKLaTOEEe9C7Z9OUHS4SEXLFuhjzK7C0BAM2CR2+1uXv/vLWchjKjx+0eU7Tmn9+/IA5u15 L9QihZCJFTeyA7buiUeBPyZI/YIw4ltGGchG9T3BC+n83Ir/cwNghIVborZ2LEFrWb9ggkddNc7Z KJinxjL0lxiK3ewkRdF+JUtkuHRxr+WjLpWzInELjxt25xIQGEMbCSGsvlG9tDHSsE1uAayMlVFR 3w+NvG6mn8r+kghhHtR+XMU0asFIeHD0fJ1yAov6x99hPA9wPNNwT9Ld095S57VOeQiIgBz1RJfD TofMebRynPRDoohhvjL5vAfDLXkgpYounN3ALjDksfJ03xqRjLcavEBAKGNYY+nEpt7ilwvNFArX wQbJlbra9+3UwQ4T92ncJnNXROg6fKu6Ktb0uskSsuXWHpkP15yc0gN319YzzeebReY1gxovwIds latLAytYunGkueIEFJ/L5YI/q3qwJG6PZ+ih4H3kNHNJ/iJRfbxTt2rh8okap74s5/TzrLV4cLcn cTtrHl3rOMR5ZXb5aNRBDzQ99fFjRcv7ihpcIKNtcDE4F/Tn3rI8n57t4zSdA6op/yeDI5qp+KMD IcbA6+yObu2apUkDOW7qxOlStxM8U5tyAUvjwqGfD8EOk+1vrxjxEmQvuXGftjQ1MIKCLk/HBQRA zsizIOIDhOaRPw/bib+3L0NwQWM71sb0rTDwcq0tSh8Rm/82pZ1XA1vX1fYKFnjH0JLIxNpbgrRR cDWIgQ58pzSC43DPU9z5oXMl8Iw8ymqOukk/TiY1Au2l18ilC/Z6M7VSMztSg+MgODJqdkpcVW6W qp81JZFsHcoWFeI4GKVB/tSFr7pt/+ByS2lxC434/c9ypIAasMTMiRrdCxwSCRBk35hPgaZIxAAm rjKQ/xQHurAsgBtv24v01ZLejYXhAItLs45GaU9E+dxubFxBAT0zwDgPAkpjBjDirl+iOC+jI3wg 0tKUYAvq7cmTdJjENtEyes+FdUZbWNR7z2CkPqsiZqcdq4R6YsoCj9DQud3H08rKwbFyPjU/C+iw RYr0b0IQ1lQqw3IzrZmreI986cbi3Kpe3mXJk52CCvDrRJ5hWR4CeR2enA3ifMYA0l7RBstwO0// FaBemPTrlqxsyXlYali+BFowNmvAjAYo6mvaGJjZV9Qr5GCUaZ+ADKn+yt1v15h9gvxrkauuSGYw /OH8PCpL6GjPdVoDsp4637CQ5tWez++vZKdxmlg3K2qOJFevKHWRgdlgvQJ3W9KHyKI3v/WyCap/ QCm1EbMl6jVN9Z6oUZ+KmHeC2LMXr1s4LRh+wCcBx+s3nIFl0C7V0cUJqyOe+5mAQSUoou69GqOm /8qAtkRJOXSKf3YjQlueg+z5WwUa1y50QWNmpcENt/16lgIvJfI6NJkILSJQ3r5DawljAV23aK6a uY8BdQCLqBWFSUb5DZuOTwrMTux7Kgoia+N8+Ke1spIfebMeILpyJJcz6T8O8OFD4ElaWcHVNmq6 77pfU4YVi+nZYEA/bP1+nwtZJe73+/P3+wffV9Tvy5Ux0EARavpZd0OZJqKL5p2W/Do9BN2FE7q/ l7oCSC4a+qoPgPa6OInZYlRGYaQRnIM3KrvlZoJ4BDBHQc4qeV1+63qCvdUXkomXVVzKPqfHRvVf +rpykSXOw76aCsvF+5ZorZCPkLyUxOeGlSYTckievijUlq9S8jtNIXHPg82HLyuRTlD1d4QTtiay bJzJqGlqG7RPlPJLXjb9tL11JNSXeR2dcXHbXbGFCW0p9l/gw4K080mN8uT8gXnhFRsWJznKlcwk 48U4Gw9GNmIHcrwK9tx98P5X1oEgvXvf/YR93ReMKKB6ua5ZnKOnLr5YnPH09/V4/tNL6/rM1JbC 0V4CU6ZYTH518aES4LBcOdKv7MwdAeGVIcGtZfVMN0bbu1j+vxKN8oxEpJrVWWARyCCyuFLM++rC OXWU1BVQUQxs8zEC5wztlISD+eCGTGFhQ6FmUgEYuDixxxPsSNtMIweTyrIadaebC9FGZXHxyCMm UlrxXt5aPqPaT1hHpEnGTQtaOE3a5IzSQXahmJEPj7KWenKYlXIQ+3YurS/o04nWixxEPvcpcAPZ 66H1edMHJqg2pKMDIWHT2DY6Sz250Ya/J18YcVl1IwTGYiusQlTweWOkGL0jPjQZwSAyp0/2lDW+ yiqNvzLziYsBNUifG63Wd2ggQq5mDX4F3e5om2mY+mfqvc861zJg1lOaFRZt9auOI/KICRT3JSMO mK507svnXYDfphOTPY2PlzoCcpEjOOfDf3LnIDDXiHnhL0zMA/sbRezbjZFAFMK+fQxOu0rHT2o5 q/Y03GeAc0yM6SkCtqAhjgIKpJBHelJ87gOj92vZAP1bNvBypdvJO5diHuoNIEJgmjqfgblATde6 jrJsVCCV1cbvW2iL9kJZ29+x3FoODV7H2xU0G8/kMQ9Gq3ddTdchRDsCNPMvT3Zf+l1uSNKWAf3t 0LOYRBbNz9EC2bLiilQcn9rOHHp25GAu4lnUWsN/a1G1seGxZHZuqGBmjqNzRNXXWxpf4VtannkZ 1zIw2Oq9q+mtdJ0tGWt9Mtaf8hzO2n/d8MwwzReRdBtrdUYsuGNmwyO8lJQkFt9lcuZamLgMmFq8 StGnB9yCVA4yFeiClZHr7/xnpkf1b/VgPErc3sJ/OW69qb6Aptn8+uqJotOm9mMWxHvbjwi5Y8jG OYdGoqma/5lkHToS2+a08IX8a4Na0TWgzi9fEyUqI04VWZr1tqIwSNWyb2j6tA0hgRkZM00pa9nj uWF1/udLUQyRCj76cYv2Txd0m1UebXLj8wL89UD4hVNj9chKpsuuKHnJciAhEB0zgDiLe+uQUEkU z3Yzbu+OqaxLBXCN3uW9SGB+lnvr4TKV2BzE66CRVqEo5zulh7kSUXaKHwE+PBcG6YVExNiZycyK 0+8c+KCYx9bTVFequ/d86e2sWet7WFo119UOTllDoj+Hdy/eBr6+Yct2cgVEGSTZUsp5Qa9UL2SV aUp139Y+sSTB32NyhNCYW4isShkgWZBCrorIee3R9y0GH1IqDIgcj1OSG4vF/86OiMy7bhhq1cPA kcgSYfrLkvcfQul9+YSmraltcIKXLAnhq76Kjyv/uUhAUZbGYq7A3yxSfmQpzWVuQsPDU6iADJt9 GlPh/WM4+4c9O3zLfjc4xzv5erol5xmk3vAmxCjMw5TeR7IADMhlzPdrCV/OaoWmeaJJ6qM2FFFX h8yKphTOx2IHRJkmDKkkPHmAmbLlvp0ShXw/oMaSIk1gU4py7JsXY60e1rbea4WwCgLeylhfPosf /dHyn7Cwb9OB/EGBC6LE11mwgQnifiUtagxbP21Jnklg5bNn+6WhAcXHEUvHfszniGLhcvDMA0Xw doTtgzZ0i3osSeHC8RM05pPukUuloi00KoAriOKxvNUW86Sv4TIFYzngeeg12T+CBdetye8PAkhm eFmzbQox3G6EIf2XZzNlVtNXi0DZTsLUlLaWsNmIhIaYZV1b3jtr00bHqXypqtL9AxS7qsrc0yAa NCGxUS791xGCONZ5I1b1ETg/Dq8ptCZ0hox/ceF+QvqjbD6E+Apxkr4Ow4bQh5EyEW2+W8hFPyUM QwgEvWPUj6vuVxGSjZzo1cW8qSUYJ41JYvaljxh4FSieOAzI3LEEO16jr4+3lAozMdwRUMJ9Lr83 CNlsfkrznD3G3nKAPPivHeeHDWj6gKpKV2HfeQvk0dBC+015EXcLqkTJJ+V/VXiyaN9V3lnVOyiJ uA7kPDBlZGFJbAiZyMCBxjhxp9OsQmEzgfkzUIyAyGmckQQHEUdcS6rDKNc+0VJWf9+NdBkyer79 gmfWaheAkN+oysExYpAHS8/o8SY9XU9Tu6h1Fcfrup1cqL1lJdCyS/pdYlyyTZGxSZmP/LCct3Mf +nOrd9/bol4/Ow+I3eqVwjD6YCn4EMUpmmwGMMFAyvYZ8YB77LsxAotDOzC9Dx11ZVf9knlpZqdI efZfAKyjPCX8TiWcRT77aN56Poh/fHUr72QxYj/TC7xb/Xs8Nt1IdvoXPralPh2qiZ1oYoDqzkeX nIWxjLTnLqR/6d8b4+Fk+LQwcD3HlXjLq7zTO4jIFPj6CoFVxPj7fngMdFKbgFcDA9wJ9Gt31CXh Q3gGdwd/8oCyM9puIQFH386qgYEdmsT69HqYx4pKGiKWT16AIaYqNzvTBwTx9A1dABZOOBPr7aJ/ /VGXzN/lSSKNNhF8TgBx0uQynrq9fdbXXZ+cMM6jWDOcEuyHB7/LXYksxjDYhRwbfhW+866omGzr kQ0CfvzNP+zYiuL7sq/E7j9SpA5/w+kDBNaOyVnWw3afDjjzbInG2PM4muHtCR28HHSfoJ4/3Hai lzk83VStzIljgCtQjrT4SoS9tnsLMk90FzvncS/URNa25F5Xa/p04e1L/8XF0fNIjb0e9Ua9iXTt klDTazYZfzkAgHFseJ5/Gs7vaDMtTlTkqLaTDmE4HtqZSzoZ9HnqMOYwfmZsly/68iTIWeyalH0E C0cJYtiHmsnCcbT3PXG4+FrUv537ysXvLjo/Ea7G5hBAjBtqCoShSaKIsOYH2sGNZ9gxkUgkHBUs G+h4djtCe506nGidnahe7nU/99h6PawDhwdO8umyKDqxat/3b/3ejpuCK8DqRwgPa0one4y9qL9f bHZ+SEd6n+dBcKBa3HedG2KxjNOJByjcJLJt8UNg3QqAsMKCmDlfQ3Y8Mc2HbPdPP93k6FU2tw7F bu8iSgw9zOB8AFSvKnGilbap1rpDXLfP8xZkKG01qYG0b4ODO7DMMpv0z/CxBVFus0g4vu8Tdjc4 j3eOksBTi6IJKhKLT4p71c4StI7hhRbZdcJt/I3LR7ykvDd1y6xvSMqr5lv1OlWsZlDlEAfw7OMz sqPOPpMXgs1wxKO6+MoVblj8XYFkrr9xruPMrzKS+ZEAVBK4FEO4TJEbKMe1unlQfYMC/hoKt5/r xZsJas5PTvcimk1lgrjZAwMwYUDqjHgQN8dq7oliFu59DHoGLMiYvbqm0NobhG5FBMW7/BnZzpgw D0qKykL9Oq2SlyQJKq4qSF23x2AsZSEmIfYttk/nT33tjUvUOsidLqo1XdoHTEclHSWp/qGIm5oP 22aN4a51WdbXTphtpG9jqj1o8kvsIJHs0QF2xhBp/vK/H/qQ/Lm72atWtX+LNneIDY0qmb6bY4Uk l7cSAi3uwz62IcDC16BQuGvb2Pp/n3FW+4ZI+CNIJejDqk751TiWMWtHS4VtLm9w1vXmtTKD1zVr XgDK90L6inMCTPkOdg7zdxM3ityi4UW7BBr8KTDXViLKfN7Hb798DZGXLrf78P62NdtQJNih8Wks Ja5X+u/eGU6WUpx5mSjcbpSJ+T4D1VX3loK3t3t5QCr58W2Ks2RpugYsg1Ycpr+2aaXe+bW3GKqN w7M2aPrYffQ06UAMmQLKzDcmIUgue9aEi0WTDrEMCtjHhSY4rNuSePpOYnDM7TrmRxs+ouGMTCAF eo3GAZcqCnfiX5NdORfRME03XehD1gCCbvM0nybTEsjTsgON4kUI+pUnMpJRQMjg+4lkP4XWp61J 0gIx3tobDEU7YyBTujTgzR30ryKkWdJCiyRwZEAejCQBQOCCF4DEHDSKw9TyAYxhJ6WWC8t7cqWk 2tLvQ8Uvq3F++eV0Xa0pj1523tMeAgyP75Di8ESWl+Jl8aPuHtZZqsvj3sJ6eLgjraH/q7jfz+Mo SrqowEU9ZTRm7vruLzQi2W46iqbQ7P3irJsrwfLwcMM1VwwaJop4t1yW+xVW85PpSElyT3Xmo57s 25mDDYQP+lcZs2Qh3T+50Re1GXIFuozngA4hDY+WtvNKihS/Vwv0R3LgwW2gb3XQ++HOUTFgJIA5 Q87F5kdAsguxmaldFod3VyX7Bzf1XMPLz/uUC5A2Va9bKbxKTGP3L2agiezJc4dhHRWTPZyKl6jD nq1k3ywSkYlG60i8SulfrQzBx8bROWnZdxdn5K5WT+9OjvUIxvOTpTMRN+Ew6Y8236LYLbkfCBzJ r7jGIgE0EihZPY9m++7LvfCMed+tiQDCWIq9UyJCu4Ep1VYXgLAoEAY1+vMi2tIDbFBgtbMyqfvO +4cYvCL/bWV5spHZSB6Z6Kxh+nZrqg/q4CKXAtOiOWkVNdzG7miLIivvmFXlDiXuB5q2qxVuv6g+ b5+GkVcWG41/+hdoGlCLQkLFVonbN35Wpe5yrDgRukWqxSRaBoTx5tQ3NAFTExDSd9wrW2QiDq79 Fcz7OFiuX6mQVd88vukHgkkiFJW+CbSPgU3ArqPANe6bhwUJ9aRWhKtUeY1wMsTQ5P6IY5EuOWxK CLb5FBpcdagw9ifD6rvlxE3UewnIqiqz/yx/HPd1mgaJvoVtzFPN/GNILsJxLJaE9Bo+4CFY9SwE hYg/Yx2Y4Ic7+xWEMrY+ylNRsFNQGIRXl2v4WLbde6ZJiFbBhqfQt6g2qRxQRYsGBZGXsnS+LRXx HGFiTcgWT6UhUZmHm50wx590cD6ucAfOvJPqxYVp+U7AvCNV5tF/bwprYCTGJZOsSmH+1q0Dmfdl KGhDZPjJNrR19Do7gE0uM2TRcq9nNeeCwXcnMoLuTkQ/9CHFiHGGMYUGqz1/xg+9MyIRCvRkjYmY dnE/SQueZPt6CxbHrLioAf7LVA/IcoeDtPzpCkINAH6ZJ0C7rlkADsNI9et5xp0Qv24Syr6mhZoP /LjbHv08c3w/9wP4Z93KHYrcsEhIiPLMPCayi4FWJ1iL1iO49VwpfENk6kirbNw3+s6jhDhQS/8G BO5ZXI4/9rgHqKe60m2Oo6o9PXYB716kbh/dlD7o3ySGuheK9CFN6FdqAKkvckCLprhecFqQEhLx DXPQMFtCIU5TW6Qdj05YWm841MZ2EtGdFnPT/byltTvfXwPZq9HRhQL/GPZ3ep9XRatEfvK83Aqt wbEW5pJu2na8WyOZqStup4KA3PJmdzw7UehgcvPoiN71Hh5CwJhe6GURiA44hj+64CgSmxPZuVYU HPVL52/ersRZC6NuceVzmQ/c8Vhh49mUAqvow+iRzVV/oE/JDMBzXPTT7sAd76SoceLaWpxD+sQR fAq/TOIT1PUrGp0QZ6wbbDWY/f+h2vVJL312Maj523o+5B/cqrYnnRtogsEtF9JmTKE09z5jO4DV kCT45YKwR4u23k+Y3eZpXFh1Tz1BzrU5unDdWcFUm680tYluCjFas5vra0P+k9WKjuXaTdMZ5T0Q onCJJM9HfA/CaV7IMKHV3JknnwNWdfZdNxA8DudICR+otvFUmckjrnJTlo+iS7K+ANM92mvd1CJf kEpsgCNc7wDyFvyhkaWLAMoLN9epAeVORa/vcFk/QXnI6dXqaqoc73V3/nRFy18C4rqEP0eWtHYF tSif91MSG9VNzgo8vjrxsN8xHefdtFAJ76Zk/dUCTFk/qIG6VIlYhgtMpEEQFYu/wzUUUUxs20Tj gtwDYiN1JYUJFeum325SrR3E3WMgjy+t6BdEwrTe/AJANii5b5BRyyK+EnRVDIlkGW0zo6yXKSoG htWZL61mYr6C7jSC0lv8kbImITKxknY2W3rMvyNSRGYaFD/Oz7O3m+U0NxwgcevWKvVgntuZMy8f Hoq8MCbOvNrH+o0yPZYhJScv5DAZWCjM+kZKX/gx1x8nuNA8kuOZSLW+P2KyE8413ssj+9u/twh9 qYXrBku6FxaypKWzZ/Wvqxz0o/HoKkDJXjrjxUajGoHUl2rbOk9pCoX6ho2ybSQV6hr3VeetZ5cz 4zQHJgn/mZqgWL+rwl0vGP0mDsAwgzYsLXbKAWgKVmA9ZhLHr+ZhGJOc3fTkcHWGyhfFcZGrOTCt wKjHTXTy9Zu8CAVFj0f0tZ941G+qh7Xzz5dMBL+XiUYG8SPlmCYYkjUQgMZlp2/zsJB5WH1Yiy+t gu94L9NeH40tFIv+nRf7Bsm+vRJJjrElykHSAPbwP4leHD6sOIzFM/K4qA6xbNmeNqql51sB6YPv fth5IEZiU7oRN1kDweAD2a7FXawrFD6aJSUVDJtp7V+G7VFHigSKVjWub2wfhzQocx7HYQugCb2N pqWmzSZCeuG/bKHZeeaXBjODfKJdOMt+QKm2MRpZtVVkXWCBdQbNHqxQDPsXE2a7pry2Su87hnZY xK9fJcbVh4Df3Q8ht9FdRzcGI64bTgZIZiG4yuQVPkwHxox8CKSQSxKNhtgp6HJq4j3/ZvtbX+tE gTwaQZVahXKx+knbwJt5VHH06+KmA9lzppR53cMmK0KSQQ8ey7zJqHsVc5jQrGGHDppxP23E27iw 4EMWzXEwo1oIThpqV6wMG4G8DUtnAXBlvfbvqFUAtM4jPjvYomQzEkFHVuz0qvTqgyHdQ8gPDDwA 0kGVdwF3Q29ioQn4hleJiVMj+oa/0j7gTQgW8dam2DEYLJAZN+6kea7HEN1oqFEeT2XYDlyCmvNQ SYxYL4eXNsHka4UXicaEQF4N533jmtAyeUYGFWWBOr0BCetbPFbtkIxstgcdzi81Z/PON/tuhbdo lMa8hGtfd1knuLwdNBm2Jk2dxmPnC3UZDhcVoC71mQeMrlrPdz5lcY/uldla1qK4MPJNfKADyztE wtWhTm2LC8ac+xrq2L+ixK9ttlpo8kmLAts7PyPK+q8qgIlei0T84b4EPseGQ86SUtZTfyyT1SQI ZpQdALHaeacey8dA7pJcUdggo76eRIgYZv4BXe5IiiB2FxJU/2RVF/txC3WhdrNhCoREcKauR+J5 R9kmA4kdk5/qe6hOh6RVB1i3KkuP7PAx2Vp/DnDdrPWn8YWi6hhSlj1MlKIidJnL2sAiJ338eZQM QaxPvFh47nBej6pkxdREEB+RFJ4Qvt82z7eb0fCjrXY8FCYelAMmOjwS5P9zvvsLxL41urT1w+DM GhCWXfXCiW4ORx5TCtXTV8xPEOBi/4pbwUkyd7z+6nORai6J1TM6+WctewKk/27pEekEmBzNyHiB 6VU337dO0xf02jh63OOx2ENq1QnTVfSKbB+VEdTRaRV+YJjKGQI3EIftOF6k9TKofgzdMmgHtKjZ VSAVcV2gKCf0zc1EZmkvs8OAdxSCZwItuxpZ4Rh5LasSgRQeamXxFfjJPsTt3JnWwMNXjaH7Pxd3 FdyCQed5W1RzkY5aHhDOMRiuSiG8PUiO60zLBC1ApsmUmSXepPYgqVmEYNLhgnTd2YhLVlf34y7K 60AZYJ7lc6xVF5Co8N18R3NoOSDEse/FY+vt3lxTGTamzSgEIx3IO0wrJpkBi+Xew+tuQsLayHRj 0Sv49DqiBVyyOc086tO1zY10Ldg+brEFPRlbNmVS9JN8KKGQ1K6gakXwXxysawM+D5U8rTA6BNHC wXGlUk5SVxlc9KStW5x2zwyC/KY5Q7OuhjwsH+nwuXFgSfIUETgUCu5yBTF9Z2XkdksUNTInhdGB n3dqTZ3d2FSsSdogoU81cHgMxhlKHo7nzsIuqXiY/Svx15kUQCdEBonHJlym/vKqimQ00sauKMkv kVcervJcCSgU2EnM2958FqTfvnWygGO8IKZXhLrXOg9gKCuLbK2zfLcPg26SnA3atHyJSjwDcSJm OS1EYIRsNAUSYIIwR4+XswOPcXXSh0FGCdUrHHDC8Ale9ISJdLXZbeG9NAle8x9J14db8EsMwCiM YBSbk5EaKS9AUNIdaQ1LOFYQ61QP0/oOowMAbm48hrWeJEEtchkxbY3kCYnHIdme1tuPfqmG7SBK zMEXY1Rb/FfjDbHV8e+VZrLUPu299FItEmCY4FU4Sgaihe+SnhCFtLQ45+SuKW8Z0mqLl4K4yVoa SOqK/nkldHbm9m0oCGGgFj47EiH8iD/xWwb8x3fXV998OyS/BgqfTLUYoW+vZbfjlHFmnBM+uaaq MXvIalcK9QjgvLwO3Xn7Z89k8OtKYJ0JWUjTPdqqEky+wzlzof11WAG0exy/5FN7IY27jWv+3/ie k+XHncQHT9D92xcyn2mQgSOOKir9xwlNYgl3KxMCdw3NZ+tAyvA4oOsdUGKEKWhCj72meHnqcvWF o++dw7CTK8UjT4a4pkt/leRLbzLl0GOfZRlBEQnVF2UdNcYQIokE8QcCOc6+525NszKAYQgoTcw5 IgADmGvGKhA/Mdqrzsbtm5BZxiq2FFIUeMeY/Og9w93/kMwfQTWqVnqgfUte2G/kcwzRQdCvD7/f HfYWdR5T8ioKKmg3S+U9HzTKIC92Y9xyIJK8Uc1ogkYLkt3GHvOnBFmKzY1eWzYowJ5YTq2nTsZi cq50iq5t5p1pkPSjk8UzqYoerS/YmXI4RNVO/i2dyPAsh3jFpXJvculV+0wKoE9XtQrP7BZEHHkI t3JJJ6edu8rbunUU923l9pfU3uD1pZidvo6DbWlnN+tVQSWs5h9Usmk+w5Nhth/jYyvh8Elm/CqF LuiJgWgrt3nSoBOFCzsp+35xS5D4doDCHCjTGZjgiyhVsO0N5zGNK3HmJsBbDjLz2lUsHsbMbgVT vJyzbbHaeVkcSk0V/NzahcyqrKbgcuiQOdcH6jqZ6shCzzCwAh9JMKkswWMN8oUVlPcZGOmUuuXr 7fC1Hw5eqcR4DixaCeeOB6QUk8b+aySObyS3PoVcY9KFcLADEGgiwL0WOWyE5uAkdu0Il1vq1Ug0 LB5NW6LBmBVPojnR42GGttZObUOCPmRqDJMEo0l0qzVIKgEbbzUi9sqsOf6eajfFD2gjv4ypuevJ G+oYGc7mETiuK2vCJRC/mqO4MtaCFlWDi/fu9Thh7bOnhJmrOHzMzLk3ytbLXDXsMoJChCmWNd1k rM4iuR8JBF0KpE+fpsMWGdp7bf+NehR9AfjW+8Hc2au6WdcDlLbXQU0mvS6MTXiFBobil8tNzec+ 07ex8/pZT+jsjam36r9sEcJ6/y5Kqr+n0Td1JO2DLvaQCO4SmLPlOXUWiTk3hTy2rT4Hf6lg1a4c YV0rUwN8AR/x6nwyAW+AbS9uEEhHtleITw3/z6EoFOE1p4dyHpC540EACBdsq5mwscOYs7pCHBVh I1AAJChQIjdTssN6PwFcPptbcp/d2+Pem01PkGSeEsWVOOf54NyrSRgh83k4O9LVyq+ckl2D8Zzw zCOWhrfP4i9FFo13rRa+6BgO/1YH7fLA8kREYNE5W6dZui68uZZ9vqr/Otdvv3nwH+Gx9UMdEXbx pItDWn7I52T5YRqj0zUrFQRZ2JSHAnmVO3pTdbqwJQYGo11uGq9ldSKmsnv9p5dVuCwoKuX7qDsK mrIBrn/w5TIoQ7sAP2RjFOvgvyvsrHvCmoBVK61RyL5nxyhdsWbS/SwvlvvEbN0ImTnacBKvx+SY dmjJkHQDFTcplWVvk5y0y5vozKIlCVykjnxtGdf2NZskLHCpzLp6i+0oOYK2TopACw2gIl0jQyll R/J7xRQzNYzU8O8mLhmpNSKCx50cgsGP8ne+NsFxeIsUBa0C4X6SSKMbuO6lZp8MeWGxEBpnCHOu HrrzillEdXA4LyfdLwEDQex/pgPULElERQTf7wj6dps47qbTD6WRbBwqzNssCl/S2O3TcZy30aer JCUlcV1KOwfX3VGiYXI3aPNDaFgawOfEnRwk+v86r9vcuQO+Ek/T0XmyWxNkqB/Glj45e8D93Sgf 58SimZ9JZzTFEmWkkZABPdflfd+mVFlI+tz198rLDXjvPPNH/hcqZclYI1TSzRaRnESHsyqdJ0Sc rRvtRskWxrMwT8/13arWPlH1358Cu/+jRDnccMbl0fLz/CDYlIUS/fcjGAyI+TKDnXq5tEvlSaQx e33szpC4tHJcwsDzjW3sad0ZO4shIeK1VFa0LDEUVVhy8TV6iIsVVGsjAjBGbZY3DgOJSdGm5LmZ ggtgE166EzwXb/PES4w8uRciy8Qg5tAHq/Fn/kS6zyxLnhLExzN1QYN5UYBgNgSVRnDz0syBAzfc r19JvhKX8vNX/H9U1sk6uWv7KUiRy4PMv2SYiyp9XFp0xAQnVw64QoGpphHsJz6YBRIaS/Tu7Ifd U+QSJoM5zK38b98oKVCGpjm3BhDsGj7gWZt7VhC3VFADGiJ3FlHx/40ijtJlR0Z4HFupxMRcZT3E /BgMG5yiwYKC8rA3kwpXR4x/1A3LWCuVLup40W6pHAkMo5KOgtiQUhfPVcqI4yzgXJazFeq3g3p5 s4wb38MtqJMYdnFwS9KRN64R8b7g83360J7L+DF8mPEF3mCyd9h2NoW7l79AFe32X2pIxIoiuczv Lhi0YqcQVAyUjynLTwrClo80dnPBIiBiSxJDMUyjmgNtoHag5V9D1d0y/xNU1+iNseaWsG3hay4k QyycooIoO5DOaJ97qjAZPW7Gkoj7DGxMjuYwOMRykO3PCK91kn4xs5qXzvc2YulVnXdbX41s/Hc5 Cp7RXMLFhrMURsZse+3P5Wy41U+kSPgoesWQanMWUdCo8KmvzuzwLUcEknArJmmeP/Kp01wJbrY3 cT5/DiEHE35sQcyyNoRNY3gWTDJqtjzZrbMkQRQvKxzsUaI8VQzyiBn7ihvIraPVbuK0WA+UFWUM 54fZWUmUMgI7XYAf0ZVsL8EKGHax+2hflNjrTuvfZz9wSrwXAtFGl7OvwWaxf4Sy1mg5zBEym6xk yC27zeUMAW37yDMsfGrotGNp6Z0S5tHqTI9UAeLRiDDA5OoNPEgkojY6OsuKHWi8DvagLNVtoVdb sohrXfrCm/IKNiLOeM9VRiWiJjOHUr3fImNipcXInoYILeX/P2UATXI5JqUT/bAXmQDFC1FwAshE MWEHpP+fcgb6PWAU1vRr8zYBde9FSoniOZThEiErZmb4CZaWwp/qZdiOxNV+QI0c4ahCxHAEXSnK ZFWY6fxmJTbinNkA5yDYyooT9eSlhThVOF7hkDCh1w2fYaF/YOG9fFcW2ABWvuUIdQiIDQvGthVE +YktRQ45JDbrPc+U/CUd0xHRoE7at9JUkzgQv57Jatc1z7p19++qCnZ1nf+q0uTx5r5bD7avwrPX fU4W+6d7B1r6Sxt1qsm29gRhahH47F8lKHs9NkuVm125bZZA4VPiyJo360RbWT4j+WuvhmY6GxwW 51oUb/ZFGkCBseoO0MVCqOD+eXqA4gEMageMJaE724P8GpoC+CNM+vedmCMRfZX6kHp3Hgl7otOv Fls5mMh/68JtQAx+4AEXTtaOK/UWEToewUoqqiu0Je/OcEWGQQe7IxLP5azhaL/Cx7h4BXcmVLs4 2JBQ0WYBjPI1yejjMglBttpXpZFQGCtySMpbakT+WYxV4LfcFLHkYYAKYytAYZccn5OXTvq6ExhG 3yryW4eTkZ0869x7LpNNoc7CRk3BWx2EWiIGTMzkxcea26r6qolh5ZxmpJlF2D80TSwME8/Lg6Qe aTN1LAw1SgU6WCVe6TCevGa7c63jVnQ19hBdvaV+l+J1OkbJx+QX9ElH9w7ALLq6FP8F4A0fqgyS YJa2yzTLCI4xa1HcWDAPjyv81eWRAhAWxGkA8NmBejmXxKEsrY3NKHRzruh7Algpmh5iF3inXM+4 x3o9yJTwc+xdHSBq7rfen7CwRiaZNmMA4sM+Gz8PftRFUrmJE/WPx2Lwg/dUwh7DthiVVwPacyxG iEz/KcYh5ZckXPHFwjgRAvpLTxAgW7+fYkLEUAxLlySYUHa4gWzG+N7UA6m2GGfDm+87YY4lfBxh zlbB10bRypsJ4zE12lSslQpaO1aj9j/p6lGXoG5ETCplzdZ+sRiluxfud1EKq6wQYB0ZqMSATBqE 5HuyvgZ5PaF1peDcv8CqolZf9EO+Aqi9Uh3oFCuPw/hGGDT4vQqvC/o2l8x5jVawp2OBx+NgroOU 4/WtslOiNFkQRVWIsoYKk0iekEDE8pbbRyaGkcO4U8emBwpKkdJxDmIunPBA63HqQ//S6ljLvqPt IFLYvg/nC3vj2dg9I/NadSlJ3HUbgNzRDnzKYTpdpfyxA8fM9hirGxM5idqNeSLVltLEOBK4gU/R GXlOwW+5ogCH+zSh3N6Bmk3UowqeCLYnAPy9/AQfxZUYEKMPW9xTyo4oi0AGWuogC5OSffJBlHX7 LgW5uly4hF3NqTLqGfXLdQspTf/RBijOb8jUXIMumFLgn2B2Ip8MXm3jGhRxHO7wWQwLFu/NQRPk LL713A== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_rtl.vhd
7
22928
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NFdTejwDVm49L8EGflSeb7XcHI2XkRoEEd32aVmkoceBbRvVvwreGBunFIb4DZwkSDmXt1PHYAVc zKD9afBYjA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FaFSREoc55iiEyiMvcmk1NJWu/bLAmVq0TxEMJlh54PRwPHvX4zQGgRzjzbjCiVjHsy7cwgk1KBi iORR+13ZdDdg3XKc80OmKEZgXtjEUYhGQEvY774ZWSJHzpu/NbUGsvadq/pz0fTedbvpT2tHsQ94 YFM9yn97zYx4Vt4MQNY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OsOaE8n3U5hWu/vKuACZXx7sY+XepaGG5kL0KCdYk1yPhqWe6PgrFEXohPmimrTXmLbLSpo6HQpi GQn5r/Nn8lIHvrFO/JAf6xawCPM/djc9fCKjbDfGdA9vISFs36mLiWBzvheYsZ1DErQaiuQJztEz Dm7/C6GTivt6k371TBj+KsTUt0svqvlBwPaCNE/sre2Zl7AXns39ubV0PeVb6G6BbvWrKb4X5g4o 5sFHg3sD6Ztxd82MJscAy+8TFS2So4pUph6253zMDEY5fcuBRGupjX5oKppfuhhkWi1yNcWUX71J rx9H1fXW9Fc06G4FEnHWBJYSBnB/qW94dz0CKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 09MfAZkDeKzhs6lrm51ICk1D2w0hyZ32gp3fYfj07JmZoKRUW7Vc5j8dF5YTAVGf3MQGKvU0YOba Wurg4L4EYAOoGejThIScude92VeIVWLCB5s0OiSh8h4nzjcKy0ASSzlvPF+HC/8TltQ0odXgdKd/ c+114bX1HNR/zEp94Fg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZsyB/LQoOe5hU/jgz1FbOfv8pehAozorYmeds0WxVJoPmhhKjRJ9rn3cpDwqLylBxMqUzqWLUY2h UQtRO1zZbnOjnBHiNx8AyiJjiHL5yufmOL9IqrNrS8q2TkuxAg3aJ5YguUspeyUcRaDhrA+QxygU v0Xb5y4KWz351xJH8ssQ1vJb/a4wGJo+XQadUVipfW+jRJ7I7vnJs8c/gVKj9gEbDMIiD9KG5ss9 RbAuFcgxcUCXg9k+RDebUAc/kr8XRoCHG2XqUJSFxJNpTxFBwwZOjSb4tB4d37UDjxEsw3cEQgLD gela+Sw7JBwvE4jH79NRIpzlLZ9AtVElQ8rz5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15232) `protect data_block mh6DzwMpDTZ468WxeaxdVbgYGrVHw9OXHkX+U6wgjI36PvJaYKZk9DfNq6cqsnMUnO7d7VUbC2R5 nTPY8LKThXSXjC/dZ8M9sTDvWzk9UH2vYkRpWsJk+ZjJVFYpm+zRYlvRvAkrUvqlhIK5oNzMSxJP r5z1Fo4KGLRw9NDhvc1sa+YTTTWfPBHOHEkMwFNNBXZ/K+i3Gx5bpuFq2G75mBdHlnL8IHjssn4C TamDnat1yO3fRQMmZia+A66bbNjvgC/uOk0qvKqHW/FmrGokVM23/276Obv1tnepAHGUOk1tjVbQ QT8v3y3Ah0QxZScoQ8e34DCuqaH1b+mOTxgI0tyOtFLkUN0NDIgI3tnQOwXml1v1oLl3/nf6Jt6v fiujfxN0kNX2CkBg4AEqveXetmzQ/Puotw37R0AqfdH1dtJ/g0alOhXKyA8RSYddMRXOHMro9vHR D23zRVdgrdBCNrEjetwjRywb7mhDWSz2ahrVLNGvBzGCTmMfNxUgfjGdk0rt5uXZCJDG676V7eWf EdPqo45+fpbBwBerHN2PNafAaaNtx6dzKVjCkHYeQu3wDzu8XtjYC+cICEMicUB+GGaf27268pXX GuBqZE/aX06OKF3nrQwHodi3kQpQYwa37EDEF2R4WvOTWBeAvXt8QB8GRkGUZvKHRjkbH+uyT95Q cxcvCp0RZ6CtCqhruQhv0UxXgjXhxlqTXoZzkrU6Syruh7RKGuPDwuBNJTCab1FrGxAXEtqB/4TP bO+5APYYdRTLSJd/RYNZOhU4zcEubuMc8t/gyuc91g8LxridFQqMF5d8O4H78yCMyNc833dTydPX 2QCYuNiuvVQ3Zen/8UcVewd2Z7ST9kdfhYvyZjOGNs/nLxL1ezhZEXxEcOnL2Bg13U3iHgLjMveP pS1wnXIQRu0i5SMZC3j/pYCqE8x+wjf7C40XVjb+lu/9/3IJFfUEgqpk2Ka0n8pI5mN5COqvqfFC b6yaJQP+MeZlBteAepkb8azwt3V7AdDTVoGsk2jl3s5eZ/hIETFNgp4PCQIzOSj8OaGlLQYYe0Yg TdR5dFe9VK01Zn9wiHP3t0IIL4X3ht4VaMEML82XJwrG5smrxBTiZqQtWuS6O3f4x3zPFoDmSCyz ip1Z5vn+SJ4rS+08+Po74XyIgq6liG6vKERxMdYCGVTGeIhtu8hI6YgsO75s60OIiXTV2Qw8MxBK XIvSZ4pxPxGPmZ9LqHlv7BxQVSI0QqJZGXZylxdmtdCuv/x0TCnS9p05MJGWCD/YPCUQWiAIBmVS UVQblWJ+W/7WG/svDaVdkWEaPOuMtvzvmJLHet1tGrLef39uhv9+INpVMmWCAWJcYLk0HJjuywbe Yvb6vqsRzRoiWtlRpBeUGz7iV1Ufvi/N/l658qJvUkTXARx7SaLkvLPgVMh4R4ipF/P2fWZtu7hb JmlmisDY1wTZLB6JgfKPNOiXzQydzbOvn2YluQtMra1+eFM29C9hJLfaFWq5jj/Vz3M9DtwdZgFD obX5VUy1Aq1oPmIK3HIdsXSnyk+k8cbFAKfzlqprZCgbdlZQLqepklnwDfsW+UwiWoO7FVLGUkFB S3wyEMM/9TlYIIiuld443N6RIP/x0D3uBL/iEW95Y9Yks7aji+U0L0ZYxgO1j3FlpEJ8q9Ey/mF/ 4qPYfwPk4aTQZbTduAKPeTbIaTrJinzfiOuFiznCNQTFp2rFjzheuIXs25Nzj8IhpnWtpP7vIy19 FNWowFzdAG4yR6xw0HZP+1nv0MsiU4nhKFRwf+H3ijy5AH2CfiJZGhzybkGyldOza2FTJg6hdojy jeBO20RVImNwFefIpvnAjpKBePic20OHQlzdDH407ZELS7CQhhN0ErlH4OlCsfav2Q3yCbqwLF8j ogOdFW8O7NMxtG+gBXO6JQ7lanKeokLAREhNUW+lkHq1QtmrUmYBp788C3k/op8f5xPztMXGsjjE nEvwgh6Ztlrd1rmOLp5Y72MaeE/nqCOkdAB69J/QOirNB2OsMQLgdAu3vOuko9VIhpYxAdYF5kvb zeZxT3nnxbDFc15T+VyOTunXWyU1egVgAN4E5JOxf77bSdUCKcWXfScGnKjSpzqo7iACnOrOryvT qIJi/BqdWFuwzrQnZdMsJ+wgcO1euJilONXXr7BVB7j7m9gFSWdaE1UjBN4MQmDjXlNZSRLp4sk8 luUh3dC8A7ow1frPto9d6EPHdogDOJ1wZYwB8ns5frekjoMi9qf1g0T1xc7Zx7kcOCzGkwd5b/FK nkMzLZcKk8jb0i4E0BAMJD/u/Q3XQMkIWn7hNnRAh87xKQpaCpHiB8S5VG97TKxA6uiOJV/2KtCY rMMLs7uy3jm04d5sBUO4FQKa8TuwDG98KoAXgO5QI/rwMTaX4Y3NV68hdSMeKp410I02QMzxwCex JfTH9k+O2diDbN3QlcS07J7bH2tiv6NX7MAvXmS3os2VSRisA9xXfnCE4l3YXOxLwWn+0xt46Jxa NRZYkRTWFJ9WCdIkJKIR6/i2bngaKqDjDnyuCFkhoBrydxAmS6aeKXD4kqW8B1j6AneFPfAzNtOu yl7Z6zo/u6hj3o/m5oGFek9COw1gs8zWNg9vmmxc2z7BE2wGxOJfdH3V4FMMrDvu/T4kk2Cm4PG4 s2pS+IXppTfNJglP+9NO0hXtfdy8VFEwZM+UFoC8bNo68U/FOQsmtHCJYGlf3JTx0x+LugLy9Ams f6MG5RU8y23pKyWBoUrTPTk5eRnije/kLrIu13RsWwck+tE1w/zYOm8ztpSjKRtViNG8kHLU/tVE Nr6tCsSUgJyS5bLXWAhL7NmY3fCkAzE7Y6a9JtTh/6Ew+ngYevXTxFgguqMYVRzc4hiHDxQG6Zw5 Eau2zyd4BVZCt0AoYythxV2hF/n6vZpeigtiA2TBDAq7D//htMD6lmgd1w3T16M0VYYTA2b68X1N XBY5THmC0it6dmuLoLS8RoisEcXiyR4ZWBBirg0/LDt4nv6Qn8Nzjd/QtrSZ9Y8uXLpMncJk2Hua cADke4iDz5Y/xKOPeeRprLXXYZDbz7Iit4P2ZjQbwwr1ARckL/zup3K7Op64YWnaPOSm/JiNOML3 RWtZKm8jSYyjZv6kBNvwMzpFS7h0gzFxiMptcbEbJdW6EtlZSHzpKkBmGlh48E+qogpTUxmCx9eu lgyjQ0c504IpMtqAOBcYkK/7sZ0ERuxk6cTbM8JrQFMaMLrfXWH5m8B8sxkDLsSeDCx/uMWaNO03 xQBsSuWfqz+KIKdzReIYQb6svpJu8x6PhErPceVOen34vzLV95aROxQk+Q2XeWsnuTowRZkEboCO cqbS1+/GeAcUIIUe1760paQhH7JYB5970nlIw1Z20t7gQzb1fAVJyHb+AE1IwyHqojn0mSbF4zcc z3heZvGLI7gNM2LPaRWRPKLypTqBKuHW2l4EH2aCIHs0LKtZJLiG8NoVtnZDGrlQgonYO+mumrdd 7fWdmidUcXHLcIcY1U/lI7m8dPhwMFSy42M7zizqeD7lxaThsdiajgQBZBq0XoUeDLeQoGmYygCy eCcpOgj54L5HGqmiBzkW0CDnGiuCqr4iRD/m5t5O+BFFxYd2Rt4lJ0R/m3hUv1LWa61kfkwR6SJ9 vPSxZ6EoMLhunq8cBCfpUWCc/CYOB7/B274uEEw1kiVe6Iov9RhS2Hk/5SC7MeiFtF0ea9CKDG9E QxnHxWMmcx9qJ4Rt2TMrIFPr82bsfADmzs8+fnBAxpQHQMag5CcF5IYKkgFkeG8h9kSciIPg45KG byCpLC4FnNcarJj2/wh9eSS8XZC23zIOmek1j5+wKET0VCj+gBOHFh5p9n5Q0b3cELS98e98hdFO tHqIimJIK1lrXFZEs0kd2oSNd0G3uAD5w4dVyRGNZkOo9addNgehtnPjFnHtyiILZK9YYtam5cM6 9fQBC9WEcufCAkerZZ7ewNWpOHLgdPHVjW1EWBMU3LK1LA6xDEJgp/JsC/+NyTRv63j/l5tVg6tl UcdvifaFNL7Ov38sEeA3rNjDE2knpjs/SzZE/4GTxaUv4CceZvrAuBkugGz0i4mZxj+8et6UyIfE gyqrI6nKl4cUR44c8UrVkQcT4HmeRfD9KeGWeQ9ESaXEherxkpqqUG2JDHTWLvYeHm/TZFOKIXaj 4uA0TMnXKqxuKR0BGAuv7VmxJR4XgXawMBPi0361YSqzgapki0Ye3mxUCb2BTESxbMrE3DAYTNcB jVdWa3DvsRwA/dZ+X3NJrnJ2l1vZhlEVHQD1UvL46A0xH4YCZvJNGWC3RRkVXFKR9QLLswybp8tU LB4Dzfr+2s7SfuorH2h/IMY1bHZUGitsyRc+g3TLkSYjTXz6kIJpSbXQrS1+ZupiEEL2lfwMlBPR KJLFc5NZU+oLv2emUt/7orutstm0tQqRNZiCDoQQLDlVjwoYPHydw/tXuUNTVoFzRu5SFNFErPKq 8cGFwEBhvTW6x1bTcv58UHMlHyg35s3+QhlN0tyToESp+4wzhZ+qPXuiOID8nm2zxIw/50sYyhLi TYPCft99NsVUoHCcJI1Gsf/I1ilAppAxc4HO2sCJ2Rrpr4cZHs8mIkqiPz4ciY5jdND0SakR5NK/ lrNlDeZbU1s8TdreJySH1eBiNw+BIGlKNCVl8Fat/Q6LXdCnn9Ua3WvaH9wKaLesa7QoUNf7/Tzr DFpIQVokQ3wVdUHcHF+JINK3rQgYzo2GyTJqdjNujCEefyU/CTI1ra/tDiTVWRNgU1c5srkww0SX ojJLn7lMjDYZ+G8CoLyVuV9Of07YdZBdg2+6iSmuWN+Q90HSDBXdTSBUcJCSI0/6FefpDGEbG6ZQ kEFUpG5RITFz8aCU0WwCFGLysAnGjFaNCPcX4kDstcsbT0R9x+ViwvICgS92LUn9MeBL0LFFGRJv sOV8oukZ6VmLztRnbUAmaBy5gXUF04OaJzW7uIvyQAGTNcoHG5ASN/fB2wL4Z3G5Ssy5kVuXX/mT ZZ3GzfQS5ZIbFvqkhJTUhR353C/1WlKwRIUrWVMYeThN9x8s1TJcYb5FETbnw+j2BAslQXJezuQo fKFIgeYKcYVZ2Tji6A74mOSHh90l5CXBSuTtDFdB0fgvsR4uIkF5QO1miyZx3W8XkhhmAj9/UXJZ vEanoAybH+vUU8dyfi3+P6R827fnkrTbSOcjA1Q1af5uFHTGfyxJaR1qMMwuBX/xcrjS8HJG0yIo NQHFUfuN7BoKREdnaBTjGzFO7j9WgyIRO7psMlJq7hOqQwESHZL9fEVIowf9ufcm3ddY0QhtDw/k tDGfFgWOhafWypFfz8KQ5fq5z2iR6vtPt6lcVRmtGkv9NR90dKBxxc55WKuxGRFxhArLOMbaNOcX 6uYcv89kXurROdUY2wat25dwcNgXIaPggw9gGgPgVqG8NVPq2f2UuRX1Z2bf8xhSd/M72EzyzsE2 R0+3ER9fG/0L9jHTMcJDs9sSzJA4LbRow+GK2D0r81mnlvBMWNMM2vnDfQdlbKwutVTs4PWm8ddA 7utqjsacWFVrDo7n0raB6TRNWbUXaIpEXmDY6u4pcbY43fLqBkFbDAA9f2rvekVwGTHzGTQwIaRg t/VnUtdPaVphidBAfFmPIIfshKDbKymJrZywycoVVARtKHe95uJx70gGDXJaDdLfnUPegX0w7gBi BL1PAiS9QQnJnVIEma964PoEBn3CPvEc6h88zWyifOSBW7zwt63lbAaXdjHsKd7jJAgCO/YiZ9J0 gMyoNsTtxRrV2Pn00DY8OEQYdWXTx4C2JYYzudSm2AUssYC3E+jg6mmq+ZP/5atzoXpIcK3ttpj2 S8F5n3xcxZvdsqsFDHU0GWKFPvRKFATDbziuUIeGXhEwwM2oZx4dtgm3IR/Gzbvivu07lr/tXPps 1DpakImNg/vNBFll3WG2yWomb11x8h3v5uiFLika/L8F1KrObv1dDHJas6pKqmtH9lN+8/VvA9gq HV+zjhWuWW0n9GgxZIeHlhNEjsIf1MMUEo/K6AkgsIjHsiBu5flajcNwXcC9pbSB65i1Sd7JfH4z aUopzNZQePA+ItiFYqPIAVI1k90Hk+LFtIPSkZu35P1Z+SiHHPhb8bmpbWK/VDrq2QuFoNY1bEjJ JD5vmhWtDjNMzfjNVoxtzRM6seeUxbNHKxGHF2shCib95TtrTp0R+Ux1EhmGAfrHa3riiuz+M7cr NprxujdkryGUXK140Tr8Rzjd/FG0lygBbkyYNQ1n/MObgE0jYrO1VPmfM2iDA9le2ejFQYQWlFVS JSq5kBYYFrGzIKop9hXRuGdNiclacc9NIOAWaS1ThAolUfUOpt3nLVZrx7TSm2akfYIVl01MZp27 5xbKwDSOCb1NHYEtSBSyyNkeO3spJvw4bQP4vGirvwa+KR+9ntTL5fUApcznJQpTD1w3OIxG3JXj VLTZYb0hB//dI0HxeAqjKJA5XXJ4Lt5CNfn5CZESpptCOeusrhlMwbplEvjgYKiF8X7oY6V7YUfa dCrI3dtpDwXYw5PpRHWZJvD6xDUvJAC4s+X2agTn/R/wuZXNEu0g3wQQg0+1QJWMJN1W4ccyER/s /yoDudxPUMva6zj/QwwPX80CziDgY63w9ogWiLeADlZUALEkTbY2PrCwWS+djYdo96nzF3i/84X5 yOCt2RKI22EiSzAS8mdEUoeVmXlb16ihN/ptSfwbfVb0SNah9yYXEUa8b9X2fHsCSSMtZx/V8JZQ SuiYWGPkSTxUqgnx2U9HjuAhuqqja07v1O3kCO3wgfPNcf5A1Yxy+4kmz/aRWJtGeBfPWQSjJ8Df GiQjkeUTe9MMe8rInrfctaEIRN0OmA4J02omeonGprsosBnlXkQ7tKQ9/oIF11/pkPu89FxdatBk 8o44eJtiQLm3sTaZ0/GnbhCvvkdYB1TBGimnOtQKqMijpJuw520sbWd/h4KRCLOiLBWj/+nja/mA ofsH2Z1PTL/2CqDyTfcMkeEOJes4gX/2xD6Y52RMcVPVkF8YTTjkcCcsQpJKtW+R5UnIKZN1pZoy zQNyDSmI0QN7pAwRx4Y05VYP1+LiHUt4np2KKrWq9p0xAEemtTv4MNBXtqKPbf5AaZuX+GzcdMA7 +bjY7PLQci8k036dlrIFcETy5GTZ4i9z7e0iaEzioOe0AlrANPygHQe6utD9ezJJSktUA90xCtD8 ly96cBkjmwo+9+a83qFM+xhGXlSEFroR7m8kY1seTj+OuaU5CuKu0Ud95rgZDDuAif3U+kjzVRXp XO9ODtGDzNnRun6mGsd2lfFVXhtVZuWp0kt0T6FJtfjY+JD9F+acc+dYad3mri8NpaG56ctaMOGY wGd6l05jJyIDv7v1YnbNDrwbUPGfSRymcNfrhqJb8vLxcAgY2N2/QdInru9BZKlJzIqayeg5S20g CGQI2bd8TfifK/ww92hgTDZlxFHPYPdI/bsGotNBzUeTXhr35MnoPJDT0NbylBmK4Z1pUAq5LQ6r 4shNe34/F9IDFLAwsZGaoRYo2/MUWs6eEObLVw8CW882nRCOQRlXcivCisRV+bYPklwzwsPgDKnk 5epAmpsy9UdnvMM24nN9tkvgBRdScvO6VXdC0dobP5rKyW4emocFme0BBDdppOKlPs5k+jfnJ0HG C/XZVBYKkHUzDwXXgQvUfQ7cCUyH/e6ql2B4WA5RN+g3gQDvIjO2vmy7UgWVpWU8xglZVrTuHD25 9THCc/GMhje1h+qJJ6y4O0JyylCS2F40Mrnp6j9nADMQoIKMKrDo1I+KHlwE+1nGgt7AZ15NUWK/ ie/QiJP4vgNSSNrOuYOjOYnZvvv80BqAdKselHcngSaC5U6Oeb3FaALh9r+NVNstZvH+ljU4DM1q xI6jyUKxs3lC2oXxwVShUjyy8nk5gfoe3st+u/ZJ9mzgBsJoGlMxXpShcQ/TtRXvHHxNggS5s6AN fZQKVTrtR84kKKMceOCUHOR/9QZCGZdoEhCuZ0Mk93vyRshXc1o5sWsoYxFzGfH6ICukqD/vCof1 qn8Gxp/kdn5kxd0K2pCDAwG9N+STgJrTBPgfIYRMzPgfTGaHTddibXtp8cB9/eitiyrkdw8daJob 78HM6f28D5OanlyUgFaxMLqy9YnKiXmMIWORtHmqef1HkTZRC4ssLeo2bX+Y5UAY8X7sUOxfk23T 2lVUh36iD4+XFjYouAxZfD8+jABNleGJQZCwCTMZIpS1zIA+cZzbYYXCurmBIvfb58T3mMQlvM9N RRK7z1egLzT0k//nJ8jWydg9TpRmtm5+s1U3crsQSkbp6JPW8K/l/IbyvfCbtggQ4ABJ1psmoLct uX/DFnTBE7Uea8f58vTGK010tgrSH3pRCA6nH++mpaUH/BUwWefjDev8nNANFDOwhAI9XstzdFIp skT0S0qmYHawK2bVwytwnlKMEY+/Bwb1+j0sPxzK2g2ckRAfFi+NHGPpYvC2vcYseVwLutmRvwXj RO7N16z7glJrWbNBDtu4pFxbhGa7UTsJwfS0yq3PQ49QjrgrgRuxBz2xN4TWFc+AskuUmlwNVOMJ +jEdH6Z2JEKjhz0Cy7Q38w11IWKY1LI3O3msV//llEgksgYRSlUaE3AXdlDugFi8RF3PrP3LGRWX ZcxQn34b8X5bMYbpOCJqjzRi0EB6SR9hp+2GrffgKR4+QLJw7Ag6pZ/83qHE7U+T/BNC/jz6OmPX KvS9/+E+WXZoq8C4EemWzQmfb8Q/ryhvcFDNSBFEMyL1hsD6vKBsOpFqSHHVUqUH/ODbuirnunYm GjAP0u/WAGxzELHC+eUtRAzQaNr+9gG++W0nfDZQwBSL2BWR1NVPAEObklQUyc2CdHIkcykHJz/C b3cg8CwKVY1YblZYGtIa3yu3ynfx8UjR4oUgwe7IOZZ39yK8DtArl5Ek+WxYGXduOVvwIYfIkhmJ nvCgm54G+A/S62sJya1ZzXvCYPT6gKbSgYSfbn0k9f08vNGIlBy1+Zannh6Y6xv7C9J1LsO70asc 7lNieDzdhfFzsSrVKVQDQDSQido+s60ajZcD03PLkIOs9UMHA1kLTJyKyyM1udfUu7L6Dy8tej/0 rbZuRROHrCq3E80NIihLIiS5ZMWh13zJqyQeYhGwssDB6GqDud/R2Al5/odmKzZpQ9hrm5euD5rn 1d+uCo79UpxUSsWC8PndGzbDv+h8mfGVYysRTZB03q1/to4pL/qYFERMJySozw5/UGnUmE6jxmTO gwdj+d/HDZ7BhiIOHWch4z+2u4P7MNQsXHS8M8Nh9HY56EMCTunqInv2KUm+ORYVJer9oINV4OYY smXn4RSuDHMrqbO84g3b1H1cn/JiZPyOKH5nme6xzyrATFlM5GZ5W5RUXhWsHhvbNsm+a+DwM0zY gNRp+EGnQZUBuKEx9b4N4KxfN5p8+6VotCaB4nLIotTpY3QO+UwJqklTKT2XGgmpPdtcdYhbabwd X+I3N/6Nu3JvzaiIR0OAKzEH6lhTLbf+CJWfbgwI/stJfh9YXCn91dOBqJLrHkTuO0A0rwYJrAIs RW33BdP+Uq/LigMQitocf/UdQtMdlOprzMamCyYn/BvGP4j4h7n2X8KJUFtrN2+pOlM8KvdDbwLt PV0EO6pFMHouTYRQjmop1ol71wTdYygyCV4fDzgubxZ8Yu5x4lDtKTgaDKUI+FGU5atiJbGCJP6X cSpw2uCXnVaXpNbi+3cM0OiXbtuy3Jfs5p1JfMw2EzqwYhbQb9IeGuD8I6njP71pxhH5WWHdMK0e Pvr9N8u4gXMI6LLivaI2iesIUC4755aGEVG+4z2JcRdaKRYT8/Zr7uwrOt2HzbkjNlstiMKnCNxk /is8kYXMxEbm4qdm3+SCpUKRPWISnaSP/pQbCzZOF9SQHLcVLk2KELFnZQLpkmDD5ivVuIaR7iDE S8SDxDIqnuGrx8iP3BrL8MHoE/9WB/ZcIJpM9Jf0OosV50xOvctqN94P98YYW8WG0OUYPksTSa/D ZMw2EJDgs2gL60IJhEteSVrvIm835ufZoonBU7OIZcTH1i7GTASjilKflDZWKlg4H7nPsNjpJoBS mfBi1fbLa64jWfKzziOMJHl4arMJA4cyTHN5Wga3dZbTb15P9p9v7bd9+h4pIRdnsMKnu0lGMjgR xLIgt818vdcdBNirx0FOTsoo+fROxI0S6YlLlKZp5ll4aTI/zkzB0VqWsSW5InPVeRT46QlTw0Fi h90KL4x4Kh0/gLm/wk2brz6wZ15uEq51/ygTSxRHI00LU3UYwvo3oBAnfiPJvc6s48868gyAuXnz RuDoRzQYTxq5r2jSYneAxocBQPeP3DHACtZymlMIYyAEDgbBdXwpuDhTiSm+n/qqJL7VLX7k0tUp kUI8Cc5EPndGtWdS9YpTD+NwDIdGY3Rgdgg5v3MjkRMSwh2pitDVbBQ0/mSfCZ5rUZRZ5bYGJrie PjmMTW8osdNZcBogqHqLmzY9t9rpn9RAR+ao9vrNmbm3g5+9AJZTOaCG3pRJYOQvjBY8KV9DU2SA MGmW6qN0Q/hnhFvfeOjs99FMnfzmN6GUwt9Qy4oPjy5PsyeX6pAy5shBCPmRgAzgKdn4hDI0KZLv gGBGdiEvuoNIkGOsgDk5iIMbtdTCXwd/Pi7oUwhrSsqOoZao+eZPEKJKZAKwjRMoYTeYZmRwop2K hZvjiGsUsER+YrRxQlswFiUKBrHi5LjO+MwlKdJtSvFkZEhM/upSy4NaLeYAwO8bjbz6Vx279MEw D2CH3RXgD9wG4mCRa6SlbUgTOW8BYIod1Nk9L40laZl3B2G1fVv3Tj4WNUtSi6Ak4Q6IVsidj5Pu SFRlqtklyLi5hD2n606SlM7OdAd1UgCQ+SpKSR6Q1yTBv9X+FI44qZYef99HZheBZA8hrcOJq8xd GniBo9iYNv20C2JJmIUWC9M6IWOGx1BNF5fiyBJmVqrYrfsnhNCP6tMGojQ+Nvg4Niq+Vi1KwHhJ WxBb8Ewplhv4+EW4H4NTVGOBjt1tNnmHMaUuTPy59zMO75hBGcaL8m5tgZo4dI/9T0SLMfRtOJdk zeq2hcbjWZiZdrXQamdbAxQMJlDVndOS+90b06TAiQ/TFTJgW/7upFhAJ1gP1Mjz3kiIp4jHkd1N ZR8rLY5xONxcj+Ej7ARVnsppJRXf6mJY02mU01CbAwaDKaHwcU+nlWHXzYrweGZDSN4YTKyxmDU2 XBysraJ9mpXL2MctWq42Rrd242DIjpvdNIf3CFVdRnSuusw1t7A8OO2gllXEwgWxDIFyJP7WDJyn 6qDlrCNJIa80rtZQ3FwAKiJYFSQp2HjwaMqz9V3iaK2y8qSK9UtXuTz0kUILemSIKstI610ranTL WDu+oU6For7Khvrb7OGNINbZQ5MkOgtiAtmMD/b54P+7aPftFia4v/KMmb2HRwWBMB3OI2GxvUcv AjQFaROKbFfmoT0X4d9yuxRZShVWQjmfCHU4TgI5xRPo8cMvBx5pree41JR8bTzamvJ6kW2GDQ/e JSyySTXJTNWZsZkUEbL75PTTWQKNjl7lnKjwb/fDFMGbeI7X1hgehf6U68C5znEoMgp8+gX1E578 IJYu2MzcN9fZrh1IbuN1AEDZcILVForyJBtGyD9D/IsQMzWJygJYVZmW5eBEDWprTV6pbrk1Hy+B HENNH3mF9cCFe249j512zCxF8jJheziydw/xKleoGORJV0X+FCd+1VYXXP1iwkprwP+EsbilWLJ3 EkPEmUAgKkm9a0NvGy4wYCWv2Lru9Rj8lV2pploP59ZhhSm4K82oL9d2fsdoqhiFlPGe6G4mewPH SGwzkyZXqZ9xhIz+RXqVDQhHNW0DFnvTqBB4j35M7kSMTgfN3/jHpqZzGQDFAgyFPxCw2dt6AE7I EGvJzLmMo+y4htUGh89oTnu8BdbFE+CG+nj+Rivra7tVzvRaqYfGrAhmCJij7BAG47vdrvJmfi7S T/AVDoBJJ847E+1Y3pnG+AMZPfccq8Sq/9wd+E1BMrSEF7s40/VMiohbBZseQlBYjr5PGy+8nBym JmD+D56uCqDTsiO+UGRE8vsGPVtfAJvaGse0e8wNGoK1IY6Ojb0StY5++kpommG3HPYO7lU4uL2V IoGdZUemiS8OKMjhlMtjerxLKNaYotpDL0ZFXpJVnRaEa8tyqKuuh7gCJkoKx6f5ywgK+t+dIqOo Hh54/bNEh10zlrzBZnl/shlsixEQlfh/eZVLciM5GCYfBjYGldNc7FRPiQBlck0EiA3UxZFlIgbo 6jjpQGXC6ur6SbwMNBmQ/JrOiRypwAbdiVTcr51j3QEJNeadNoVt0f37HmiaiLUe8ycPzoCjMcq0 E+mNmnC/AkE0QRc4d5epOlTLEmcEhtZVFUz3uPDmccFIEpMmW5q4mifl0rMEzzpvhFyQI7QWX1Zr tmC3M3102orUBVBbtCB99NLIlLL9xMwFta9Tbt9K76UQ9DeGp/6MhN7hxAj9wdyEH/I7/bJu5Xvc DEFVPakzzxI0DfTUH8h+LZr9LiQHWz6nAd8akgzhnnD4UoiDaeoUGdD/OIYLa5KMaf0QKtTjg+Zn 6FZQPhaXST/7gD7hJ01kSCY5DVlMOr5U6SxS2X1TvDgOSBMwVsATwcx2445f4BUeicg9R1pKW3+4 NflcznvZxYGeCFTNGtyscOPjjYU3rxznBqJ1D4ZN+DlWftvMhjFp68dzlHtBhbuhUEDbhN9PrGpJ PwlcnRSFPEhzTapWsTq42XYhdfQdgHdEGGC/PRgDOzdcK4Zwtz4wFlkU94gsQ6PSEEne+6oh5h6X o/bUjbrt1jfvjxJCmxQI0qVN+9cXwEwEVRiV0cIWCHpXmMELQvPw52IQj7MqD0VG64u9Z3zRgASi ta0yCqnJPa6A5bnxkSP2TFjoOKJDdXNwqgW/pKEm7yY6xenYsws1Oqm9Qoqur4DB89yTE6tVqhev 0YkXxvYD066YhAGRbbN7kTsvkrpoJFNdRUq9fHOnTYu7RdF1xVbMWyo1O/DnrroGyOQ4g8smo5MQ djP5QrThPTGIfNCFxqIQLZmBwByhkyh/efaSaEHU9Ql857c5E47GbOwIrC5Fggj3hDFFtT3vH/sK GaSifTGS78nund+PMsuXlAd1+XrrgXVtlsEoYUGPcWHiFVLMnimR7GwC10x1muH7vHDtwXIQAUCo DKukl2/URP8sOXyp1eZ8s/T8dPpEcvTT6QpIFZ7l2EgevhLB4+9tr2swqFS+vyJZmaRwQf7rVsw8 jOTxNDEbVfgpzM1nPf8sOp6+XWZ4WE7OPaUBjHhzHhkt1SwTCEf5oUr/2zkSSL7kGqKpMUkayspr eJYaCC/UzF9koUfxw650s2EYBwLodmjMjGpHOiDyZ+8b5xGqcdd8Pzd/gz9kmlPXeS2QQVxuTJs0 v2Gr6nMyqKSJy6Esw8PV4SK6UvMsxY/I3ijgZFNgcmrFcgA1lkaN7OfZ15ReBoEZiZsRtv/3g0YT LoqdivikFWkDXCQUDey1baOcHgT5X2eWA/ijVdKvuI+XXV9S7IqyOMOksyX7HagWiGpoRiuNqy4v Pc5fnz0yGO9+17A00TeMeV3Si/XjBWh4Rlu/0bZ87Nv8bGDaTi30uB4SkgnOWroEO5Q6XH1xYMHU Ifehp+Eq/dtA/OpaIo0PhHjiqZHGVb7txRaC0i6pHfefyRTAiaFMefXED8UycYkL4Gmpq10oBwPt T4Nc3y87X7Ei19zh5U3J9ANjWDeBtvWD/tOG8akQQTZ7Y4UnhwefNQlXcKm4ql66nXdqxl43ce1n 49W3N27hCtMM2IU6bSVwLtpHas81+hoXM+czx58aKlSmtfKvrbQenfAK8afP/+HPaTQGQAO6yYSv vfvl3I2EJdqZMD4fCY3Ns8fMcKvqQ98m9H/P9kR4YlOFSYyKUCEb5pyKh0T368adETTFpRRTGgll V4CC05tVnAuAL1zke1YmXRhemTFCcyiAvNaXZ6QERc0mAkS4vD1J+bS7aq3yFjj3jBAain8SrLT+ ug6WlkkKk+myNQc+z8UhAfqPpFfvCK0D0ALThaAVpXHK5+HTlTwjbF/mUC1Othv1Pg8ZTgI3bqvh ifX/lyP8bhvYUI+pmRs1GPdgWt8IKfZoSDzqrKeHx8Nmfoax8SsmswH8oTeL01tvpL9f1eLC44/5 XGqDEGV6o2fC6vy0yqUpSu4nO1KM+6BON0fezrwFpo0E3hH/UWPTvmuvTIJswunWd8mGWyYe0PuU 213Nx4Vzh5QH1UF045OtdAAWS45BQg/G4tX0m+ZKAF98eNs6ehEU8F4KO+wOFDRgrgfD7bDsdGOw Im7N272s1kLE6RhjdVWloS1MeTQarnXifFe2Wz4sUijJYmRcp6j43dxOuu3yXw3yRjYKXXm+APHL iFBTV6Hf7K2m3l8zYWQSezQjDeqyPmnuubGlAznoLNYUiMV3cY0UUw5WmmBF241F6CnBBEyleoQw zNcWvV1sp/1y7wjioKfmy2LJ4txOasdEsr8f7IFHAXxR1Z+8sVvNzXdeUSizYGXUyLGZAkoAxQLZ yR8Krz1pj0TL8BA4AWW39zIOgaRNxkfdNXOGX67m/Uwzv+tdIyHwN5xDKHsfdGVPIFa5BzVJgD7o 4rfuCNaO4Yx2/bsOVB3t2JqoTVb5qxdQHlRSiq0zAC67/dZtNYRaoT2sIOCsD1DNP2u83SBbj/vA ++1ibLe0X0nR+bh2ZkpYOIFul7FaidnxKImGoVIrW89Gv2o537ySoKAQWWPX5HGHMU3mdksZwaCs mIzMuxqnSIwnMp8R+P1VcHkrWmC6UX/B20OzGO3xILBQaK8PkoDJFdsxO7HZ8VuAAV87ilHnuf9l 1lQxcqVw3JOdmBunrJr05IBdxTYAoIA+Orf650u+1GtPS2OErUe/f0+TXh2apkMFDRIJdr2QZ4uA /0F0ozH2IfQRMh9fPfEM0OBy9pcoLUscvRF8IyhKHPHm2n6fEAgZnuovz+msDoUYdHzxRoWgxZWL PtKtyTVB8nyPsRiSw7fH3q0kxZ6rtO5B4PVYmlP0iJ2PFbKrdFYFED/lJPtSaVa/sfLJ2q0E97O3 h/wCBxbalXZrzUhmjyguGLS5Gf9GLJQzeW6g9gqvZEgluKXmI8oLPVCTk465/doFwZoHEH8qdLt2 wsvBe208G4cgIzqFh8xELg6klz56IcHEF7YfExdc8vEiLGvAKHnpjc5y7Ywk3PcBiF2vKpNRMzxW RvhzClTsGQLvUY6OaG6x9fxWxVYMOTYKfTGivR9GTSenqsnTf2NzKyb8oFw4xVcvQOaQufQZPTQk H9dzx1lYUaoNFrSJpXGJcQ0bfInyezHdzlMnk1EOFcP8p/y1zt+xYhTFNKdkySAFdxh7aP/xcf5q QyYWTigUf/1e2DlRkrH6tZSfN4kp0i+25IPk+MCE2TKUpN1DawxtBN+hQMXbElt1wOHTXzc0q6qb KufRZoGA8P+Wfmi+/IPQvraNZEcxzFSU+Qlh3ErWx0yYAMhslUqQIS6BbJySs4SbXIi8AOpFVGgz BaVhfXLzOgxzJzMvWv605OecmFNGcHRuhyQCSVfVm21IrD2Eeye89Jy5k/mLE3MwFOJANy/ynuS7 a8nt9KVbR2z6cZSKyEJa36yNCvzr59/uX6ZlSHYiZHj9dtP0khfXkNpdjhQTih4vzZa1FdYkHlPH U9L/xWrRAFz6ghjy4Oao2nIw75zfPHNh/+YVHTHgX/j5xbQukcUrpecECnKcZLKlqrDE5OI9nBs+ oRVYjOnRg9nRkH5+6lIyYApX0Efle7XrqTxKV4iMwdYFbYOaHaaF1DYmtYyvrMrCBPT83e5yJG5b EM/S+l90P4ZqhdIbbJ4TbqMCQ1Z5qe4OohvFn1toSxzxDTISHNGihH7QX/LDIfa3lQjvyZaZOuZk 1oA2egNpEoW1hQkaBTGkWMS2aRtPgLSK0iy4iRDQX9WNruulgUyORKJMUlxkWFffmFsujSOCNHma ZBadHxTt9/IehOYrs/MiqVGFBwiLGbwBkdGV12PxggBV6t6qW2MkMNsVoruYIxubmxD1cnUAPh8w VSgUyw+PA6B3eWcp6rQLgXR0lShnE41MRAJIZvvUXRTDAQBHu56xkkd2jvblQ2Nc0WqkHYLyUX5w 0eZuD8OIn5MQweM+vZBjRKtFW3ylP1ZvqQn4vPgq5AFqW1spnATxyIMv04mb7dzCOzzFV1W7KIch IUWabaPOl1yUxLWRCgMqil1yN9dq5K16BHUYOP72scAhEF/UO3ccy+d+9bg+XYDXLgQC+iVljSkT iuF5uEK8uzVIUWsQvGkRT/dpg5xyf6H9JF/braxEMsvsW9HB6Df9OZ0eRNLAFxPOIzp0WUUJdDzX yICdtsu8vqK17PB8kyU7NL1NV/ssulVGhoqg3xpOnvDsB/Yrr+XgoauQmssyt7lvrTQvWuihDq+l 507XXUi0XRx/MSMtiiTx8ugbh6x+qCmaRM5+DK6uiseJiRvpcktaEzOHqh2UZrRvVDwiCHCC0BWO fnYoRaaer8wuGJyfQaME7Iy3gbGzqfmVlnDIBsDoPQveUVUKrLirqJZ3RW+bgCURx6Z/InKM+0Y0 ZKrQzy2m0h/cQmSdvIqNcrULz3CeFWXV5Ir4OzQElDTy5Xe8DRs7BXRynHRBybgQmAg2Fqxo7rrO Ph4BjvYZAkTh0GCphGrk5ZRWQS3iKNl1Svtt4LG6GgpSzhjYvLAs/IEE/0pzdA/obPGVn6Fy+9aJ bwsVKlmD+LeMazctS7rV0xSv4OM8Gpl5KvYbHzURFt+I9DGoqposGJmo4C/tsvEhdt4svO+FoenF 8OQB1gdYYjvKiflSVUyM32SWHofTD+3if1HtTVvj3aOA8TMRaSvq7cjiTlHTBsDRIEuD0z7SeUyb 5+F1SxraJsFluQn4/dBVPy0QmYmHTbhQeyAAzxD/ab2EbKazp2aQ4a4ht/Z1Kub1dYI1CEaNltnW h807rA6h3Xgg/pM2Kw5Amr5iStLioAdRoIXlTsHIkSxmCUExjPE1VpAl0B8Fw+0tyvpCG/55Ic5G Gpi6+JJxclZaaxXKzhYXI0q/g/mOXbZ/2loF5OIieeJwtlARCWN+O267ME/i3mfxHPt/R6SYSmmG AztkDEnwPgqoOW6Po2LMiBhZxmyOtZgwxBkdvxBGJtYypMyIlEP6gTHh34rxo9CvEliRxH9bcXAf 4/FULTIrI9fMKg+ZILzdqHrcLF1llgqdxewHcbCzepjSFXEW1a7PriJAScRt03gNlBU4VBKRAqtg E1jmPqiq0MeTk5ezva0bgLxHoUkWvmZfTUbJVSEMN6ycVQyMZ/p5BjQ26iCZ4Av05Rkbozq6GW2z 6c5ysZ74bC61d3Ed3xnjbMEQCAvvlfBJ/v2MDNIqKkxc4AN9f+OMyUeOy/WUJ/4Dh0UbtZAo+/QO 1lei+F2jHQNUpPwq4EPEEa8lyn9a5UMB/EL0TunOloQm+IPrywKT+b7naXjr4xQk88iSKvZtxU8v 1BddYF9yTIw/Gf5Jq9jUV2DkWniVUZjTkqBIQrg0Y30l4Yxu1iT/shIaw0tK6NSZBHICjk2+4ai6 dmK0huHP6txoN/F5XjlLsVbcvI/l8j9qXGN7ulaa0JcgCHN8zx8bY1JEPOQaQKLvgv2k2eyeFycX U9vT6m3ROvMXWz1czBiM+3qeCPKPt13ZM3DrzRycbP/nmxd9eTIxoAxZBdAbrkNC8eWdvcy9W2RR I2Gb9xKrWnHu6EPzSkw0YbhDcYaIKLYLd53wmt6EzzwNsjcgFBPI2d0akB7ekbjfNoKQRc8NattC 4To1tHXQMnFcfGoCvpsMb+jts2qL7MK3G2SyGI4ZOiBkl8n67aHtQUFw0t2c5GcJuVGuvgd8XcWg 4LXxdPPKi9asn3vOt4mHpUg7pci1e5QLrMIMQZnj3tTBb6lQjRb0upf+PuTqgbHm+gG7DiFKOTCw 1biYatYd9bS+p5PWnDjy1YJryuQmarAqzWxacLUKCB4FZRYy2UzWHKGQ0e6PoScDgEpogM9W3g5F d2pTtAcfA+Ch96niHGwUr772605u2HcrUOjp+YXo24fGOqa0MYRFiJyVkF4gPc/diQV1DmF7Zz0H bBH3o64XJbrfZObAMv5yJfAcZLPK0EJ2rVMZMLAC/SqD8L2DQ9kKCu8QZ+W7B+Z6uXik0/GLltVB 4gCS3NSvE/YrWRhl8RKdOJeDjX9wofJh/cCU1uJZv2lFtxMPUrhIVrz7hvIznGZQvthuXmtg6iO7 wfzq0D9oP6FCMMpp9sXkEEUDY0Kuy3EMQ8MxMUre3HwZQ2OzrpxdPtGk3oTnagu6qb7wJJRkZYsN XUWyMMsbJ7CJ5LIEBW2uyHlPdz2HwFCpn6tLEpoBWScHwfaCDCyUmsTNvmZbRW12XsuqiuYYAAjf /TzirZsmmJXCp/mtO9H8iEEKSm8hVlJPvNWom1KKgexnOFsikdqRgmMILyzMfSw93ulihjj1Upjm EiW6XfC6LQZznbu/0xYDZntpVM8JS3uKSo/weiN3JNZV5bRk7BCYHKccQ+0C/O+GYNgk/gQw16I/ +kXlpKoqoW+4kU4EdYH3uvQXA2N/ikvu3pSQwh272BEZkzWCD/4TWg/kPknA28GOT/Xq+dvqOCze CfG0Ksce1/Ua5zeZK7b0fwPcWp5/dyXOFmeKxzgPZbC760Y/dU8tKoboqy8Qx6uK8bt8YQ+WRHEP b+YJI/F4VCrnZWWpkDtcvB8EuK6yAYqBFQNSu9LJCRVk7edTdOHRzHNL4QRmLyJXjMRnSUG3Cudp JCP7r68/yDu9Jafr+wi/prXT83gVFVwlla56vMSKzkrVaXe9YhSyL/9IKkEkI6uYxpQJ+BZlr2DB K2szOkm7yCb47Azj8Ds42KuIznpn+rs336/fkH0Vgyzzf6drbhRU3DohnV8eO7BjMYyu80VMMcZt SOQkUCPDNGfGqFAFBy2lQx5qsFk4i9L1In5tmxV7pHXkzcz5Y26RJH8YktIyE68W2ykDjM/kx1KJ 37+A6jt8xEMU2JkH6k+MEXEQC4CgoG0Ookc5T9VTLeXYbpozKURCCi15sMBH6X4WN8FmH/fkHBMy HJc7RuaUGAa/cfqLXRylog6tXZ1wUmF0uaQTsoZCqNjhhZFtosqCu2utHTFdkFZuswUhDko/Dyxf rn1O5YzaDG8q43adf/M1SUPPWzaKJNBmP67ESG4soomLZefYXSHk/PP8aG0Ri2LRmCYWNz8qoVXx 2nizhrWgAP/ZzjpHW3SFYuz8vNyYXuIFzkgSlil552emaqlOXPOYD2vUiNWhggrInbkdk6L7Fia/ eixnd9zQhciY83PkuhN9C6mEoWbFtIeJw8Hg2GC1S5G9NtgIZIrrp1nDwo5ZYhoWrxjmpK/IiytK UXpenEpdW/zD8JqkdsDY6YiIw2Mv3VA6mk2ycIZ3Z+wYHeRh1kKSXfQEAFhqokuxd0cKq5vk/hyT +isGwaTH0xDNVblcctkOrnMVgJrjKvjSja3809amPnnNRra25a1IqQYVojoO0UA0iF0gAFqHdi+Q hSJjEQd3/ISP5BThLeYLM43GHV+NWwiOBQkltGdT0J1e7nGqzoZNbaw2+/qQuMr+mz4KLq8yVOVM jzRihQMVvO+q6fcAeB7WVbPnOM2tcEtZV/iPiE/21Xo3lxZ4bZYgq/x8iAT5Zax5Bq77JFGdDNJ5 gZn9si8s4tiYw85WCxg2Cs25Rxy+M3wgao0xgpJPcACATUxATT9ihTOdZvVV16QYHJSj4xWdY0xv 5ciOHPt03GEIbBv6EAdOpEIATE5GsTUfhN3NfKRouooPFEz5mSpTIuvnPCUCUsguka6Jz7geIu1U kvCH4IUZAW6cPPG5CB4t3BZle/cNIimMztfSDML8ZThjXKIb7lBVkVKwThVyxqd7FRN6rl9fv8+G B87DDLQqnjfqEjkowRtYxXmwL5S08YWamtP7KukKVuqyGqeIatZ/cPJ+ZYvAG5KJb05bGjLPtWLl e4IWzroo5PoF0ARbWA5Ugfg+8GMyS5IgONRaWspg7AORdA1XPmn66uovTbdXpT4V9rPInQhqZ+G9 U4J6rVaHQ8akxA5JdhO9b2TBwPcMlQOVnuqjnHU5alJER+9QhWxBF9MwP3zrHvHHIn3DoVp383fN mJ/HfH+P4qSOHScsg2FFsQSRN7zrA4/AAN0SNeTRd8oIwSUy9szjiI+Ln3wJ7TOi2qbzKkVGu4/L PyJopQC00qwAf7RLQA== `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_6/ip/dds/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd
20
7255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ Mb1PZ73EtQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO 2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC Tf/P+9Ef2oth8ALM+AI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3 bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl lOBuZbsX7GpuLWh1lZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632) `protect data_block 8C5dtcIb3q6JZIzM9rHiU4uinfcMIUazJ4Fqi98FzJQVgV0Q5MkmJ6bwMD+5toXBaI4RNXa716eR BoDVIxQvlxEYuKOTsLfKTe4/VmZYtixsukP01yP2QBElmMmk2qeQXaERKVyH4MYfDxYy7pBSHL6u wsj+WJC4zSzvMxt6nzIMXbe0AK24dpegKLfpEDkH+DS3e0F9mpLn+O/6pBdtyosMNa9Q5VXTMoVd G8yyed6X9k+hUv5zxHt4TqbhyQj8xRFX1UF/Zyg0IUqkRlRi7OVJGmwLvQjOiCsgJUBLzcvR7oXZ F9Is37EagYJrJrJ3l7dvNph2RywUmYf6dYec7jAIjyY18drBdExonMYxiNw/J3vbOMeZ2a8EGwbN IishUdD4jIEXZDEYGpDOsO8ie9PGuacFhEioDIBW7xPZnzlRMlcfr67hm852jM5AOF/DIg2zj8Zy cGhmqA5mr5aaxkniFzBlvNP0N05SNwIudO13INdv9Z4ZmG7yFDc/a3FpxX6tF4txbgPQVSENL+/q IWOAbjqjPVvTUCEn+EmQE0zhl/jjat9YHTCKn47blw2R1sYBAxfyjO0RXu43jZMuFCyhAlLG+sAP KxZ72l+iZW8lyao2VZFiX1byXTL+Zx9UFqu11j3YWATVXO87RsCI399PbSR1HuQo3ej6eqwJWypw mISbCGOkfFlAMODFAAm6+gvmWPjnDhh3BzSFm5D2qHdiTHhicyWf3p+OFS31g/8nnUyfFGBtyhaC k5AccUeKzqyAENZo5ekVDZD34NMgUWmJXCaKf90navsLVtA2bPqterrKubrJWetaUtP+GCR02mAE phybMtlFbPOAayOLMNU5cfFOMPoxiLuWIknLb3w4GpM23FrxXaKUDQyuLA9CD3+pdLu/0PlncIwD CZDTtpznYVk3G9JkUKQoDBngZklMc+iGf1mJMJ7efjpri/udrq3VGVeCn4mDokiPhrX+SddAdIUU mQRqRUroHSbEocM/N7mVLbHSppKvalTsyjPfw/M1opH2/WKVCm2K0zlGYSUz49yA3iO9fCW3AmeT 6t4V3FBEZplczw2hr4uraMyoqMzUeDTQ0UXNFfxWtMtmc3GnR4+0xSYAwbLVR4kdKMFZo7IRJlF6 Hz8x9drjKDLLL3BknukNwyM+0f4iyfO2nK8ACmcvwkpGVcbHjRmg4/RCDqllDBmkRatx49ZrM2oC HUtuI7RKR5IyaE2sPZwpJitTuyOQE3o2SdMvM9vb0Bxs5SsqrQeblac8Qk5bqahkeDywwVyfT2PR fSu7ugS7yVsBJh6yixZ3FNQefxnXSpmMK7ssZ5p8p1M6M4x8a4honFS0+I9h1pqdfZEehTq7nV/T TiY+6j8GeemWaYoplKq+T/jwquKrdlaReCgRFlWox2tis/1Wgeoo7v9arxzG275TlwSsi/5mselg Ls1E/nwO/th+NHEwmHpn+seoGX9xCRp8Mt5TftNY2JBW0VJoVGopPb5W2Ia6O+/tOwyv3H0tr4bz kLlZJxhgUrkUvcXetQySNbAx+GO6W5APEYfjKGW/6WTRCrfF9ALeQfGg01zItRnXq748tyzuiLy+ DkBcNvlhBAym+lb/+tGbYu7Bhvzt9GoG3XPbP7PYFnDUmwVpMJJExCcvDsRRHNcetzUFvFeyXvy8 jNCfegY6vN+CPizN50PqwGpo/LOtJdi3jSSx30u9SRjUnp3niGcBlI3E2+IPHVnqCJP8QEdhe2YX taa/n4mQUlbZCneVM29rlDchTLrhc6rl24aAdk0ZkMutVRMkEWKxfgaFv1rBRWmeVLXzs5ntoUFd vC+1bWme6EB3Bmf33zjk+TlbFmScNT5lBzafDa/iHy8Jsu0mA2Q/ESWkQUdqA/r7wG44GfX+h1mN GiaxtgqHxSv3hj/LH+c25bp/X0HiaNSLWtJruBUnExbx4FnyfTEr0IAboY27Nv5ZVYf+5vFz7vzo G0fWDWoy5pTL7nUpxBGjUkeF5nHCslJJ8FE+iymS8ud5nqrBKFQQsjASUiijR6YckwqGXW3ucc3f yJuINzuOchVNDtn7SpaMUsZPG90BjIfyAbqShL7+AB6MsvR/9rtIsmKC95aY/GaYXp+jEwO2m9Jp 46zh5JgivnBrdO+WUZsIFnqNXQLSyxG9+kc5PXMWH1vOGMpKSah95jz6gHT4rfj2vsS/N/ZZbNQu A765wbpcqyduI1gGFrfNHIpclWyY6VeBCOn0Wgv0+eBk0wmYN4lScgMklcUjPfZXvLPr4Qz23B1k hr8n0EkjVNaf8F/hkPXEHjmBRAl026Blc846QUmmouIe6ms9b8okwPa6d8JMaolPRRw+F+dTsu0K CRkn2KRkXkwJCeUp3BTkiloX4YQ9HjHIquPfmZn3ehrl7kWp244TRsZY9oC1Ghr4FLoTJjDU7Lry fezMDtAWy9Z0+uihTNFzWFHiSe6r1XMSj/QuubvESMF1BBAGnyuSK5GA5DioZa5CYq1+hTl+gjhX REO5Yp0jy6d4jACrpc4x73y7+ffnGPmzCxgIQbKtUU3J3fE5iECSdyGktT1m/auUbng6idj9gYRN 2sUbUWgtBv36No1GzOy3JfWxALvHxOLKW7T/LfJbTW0lrJIFSD/LZT54RR87M6SUry+kTJ7tKEXF SVd4KqW/c/uuJzdtxhGaD7AbbaZ3RBfu0o75hWyetpR1Jiu+tCloybcZBnYWEinsN9ydg2OTj8T1 TvFMG44ElbuNO4QzXBMrCYLzysVAUXn7BmmncYviZPpQfaVkasmZ1xG6nfwUCaXjWotTZd7nZM3D yczq9y/iCzpeS0V9tfYbLQ7AcJTd6EilsjSQC9ae3HKx1JUAEhx6yobooXHobpKHGxy06tlTyBXd tYmJLnIZQUNP2l6d1McQdWzimpjDC4keMDppbrEC4CVsPVfgogplxU5X0cr2OYys6+e/aEc14hJT 1Bz76r0cgZGLu7+PJ/SMXCsmjJiA9gMLa6u6htyBpyWWXGbhCyQDBGxfmmgjxHTG6F8M4Y5Voqp/ mkCbxGZqITF8QxRtXV9+OpOhUwfZWS//O1uUNdd4VEKuWyiW1vzJwgKzoQFV8Qb7VoSbIoZCYPn0 DdBsihpljzyXJ8ReH3DJy7+O5Dzs3iUu6gWbLxjyyCIEBsXwk3501lpB7Dala6m8v5BO+Ld1aGzd U76isonROCqCD8gMsPoIChtrXF+95EaUHNgjYLnWwJe1IBx59eVftxNIqQmwvCe37hs0l6AWE6xZ COuI9v2jdc2rmzkEwYHz5VdOW/KwKHJUmUaeeVA4mlb2PbkZ/skohyV/z4F1ThiocHrsHvL3777l CgTdhaIMxBPr2Uvreypv4C/21PpbG9QR1UWi/iEstNuABRZT8rKlGCBghGVMjR2mb4BnhLuAmK9P 7Tieqtn6Y2d8IX+5pM0wSuM6iGjzc/33qY1oFSOiy05ATwdXZsDK6BSif9VJeVBcdIZ7N99a5NDw UeeICd0ewh12N/5rHRA1rzgrGxzhnLfWLHBPj2lvy7ApwP4EBqw3K7luy7rca1IFkjRC3sFSs8nm witGnJRJvykcPckW86wPzcozmtKDp9e4WsCx6nKSqjp8bJlqhm5lrCExnbkpw8RT5khTfOfsjksh lHxiSMOzbZd2E/bTUPX5D+A2MQENUeS1hoqJs+zx1Helcyhlg9OvHbJI+s2gtGYVaI8NtSTqiIL/ QFJ0ZvznAJ+LEPXocPhyAYfYAOwBm6rUmJqB6nDBVZfOqlcafo8ZqLGfNSQS+kJtFj57h59V7vO0 ON8qtFFmZ39FlmlCl3F6Gmt32NjCfm7RW2Nqrgn8Sz4y8iCLbXhEOeAYgdQ4yaTCB4JTnVlwNqpL SG3mhU3Zi+aZCoL5Q+QlEZui+JFRakuEaju7c7CYcAB3wBPUmAn/ZJYyxgWklGm09kaFUKIWjQai rHOyibp0/58HVdXWsEKIY448YD8+lWfkdtYLM1/8vvZBKbwagi7b4H0IEVDiI41J7lqj5dxIXzBw HOOmWytPJphDWpaVJ2YOKBK7SiZ+20dWvDCw6laClam7vkBYzinyciqHp40mrjfRkkeEI+uAy4Q/ HOZjTLfqJWbueMJP4M5UxYOMCYfZZCv5zePre0u5WNZIq6cY0xYEcfEp72ANCAPY8X79CGl3VbTb PY4zJ7GSTlwd2Fln4zvOYRuN8LREDIPY2zFzvVOGYoQbI8gefqEKeSOjbkYDWrfEHdG+enFg8abw D3NnykSKJOqHpWlaGnBBs0dAgDjYupHdTfTKNhM7temkI5S5k4SKI1wwli8v/hyIT3YvC6gMFk8O BGz634tPf+HhwmtTNK4+D7vgkZfai7dRQKwfweirLeY+RbmsgedShvfhT/XzRN0h3Sj3hlEim3Jb zq/ZGua+0O4YgNwyFFa0vqM5pOjUi5qPn58hUmhPNgkSS/DB34ocTFU5ESqqdj1sgLZmy6FuEF7s nrDLzB9ZpmaYk/leGGQguN1LyrJm1M0HteAHB8xFTwOM2GAv++62OtwmsnZhN1btHjGxLKZ4NfC8 d72x22gmNT8D1IPIx6xXbX0QlLRzcDCZfjQVcVWfU+7XRkTsOuM/FRMYFK0NzJ9sOd+nEFQaB1PQ v+oWb+WuaahddQcnmtiTLMiz37RROPa/5DgzR9TElK6znv4SRzYV89Yj9k1wzDbLdpcpGIRKyvuQ hAYyfIHV9Eex/ZHFhLvRo8mNtYkb7ZK/DFc5SV06WzLFG+iky5QMblx5KSoUU3ma3I/KItSCExhn aRXCbj2id6rjaYC6MvYO/CfcjRvhiqP8c1Pmkf7iaA1Cp1ltLUAt/Ic= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd
20
7255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ Mb1PZ73EtQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO 2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC Tf/P+9Ef2oth8ALM+AI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3 bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl lOBuZbsX7GpuLWh1lZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632) `protect data_block 8C5dtcIb3q6JZIzM9rHiU4uinfcMIUazJ4Fqi98FzJQVgV0Q5MkmJ6bwMD+5toXBaI4RNXa716eR BoDVIxQvlxEYuKOTsLfKTe4/VmZYtixsukP01yP2QBElmMmk2qeQXaERKVyH4MYfDxYy7pBSHL6u wsj+WJC4zSzvMxt6nzIMXbe0AK24dpegKLfpEDkH+DS3e0F9mpLn+O/6pBdtyosMNa9Q5VXTMoVd G8yyed6X9k+hUv5zxHt4TqbhyQj8xRFX1UF/Zyg0IUqkRlRi7OVJGmwLvQjOiCsgJUBLzcvR7oXZ F9Is37EagYJrJrJ3l7dvNph2RywUmYf6dYec7jAIjyY18drBdExonMYxiNw/J3vbOMeZ2a8EGwbN IishUdD4jIEXZDEYGpDOsO8ie9PGuacFhEioDIBW7xPZnzlRMlcfr67hm852jM5AOF/DIg2zj8Zy cGhmqA5mr5aaxkniFzBlvNP0N05SNwIudO13INdv9Z4ZmG7yFDc/a3FpxX6tF4txbgPQVSENL+/q IWOAbjqjPVvTUCEn+EmQE0zhl/jjat9YHTCKn47blw2R1sYBAxfyjO0RXu43jZMuFCyhAlLG+sAP KxZ72l+iZW8lyao2VZFiX1byXTL+Zx9UFqu11j3YWATVXO87RsCI399PbSR1HuQo3ej6eqwJWypw mISbCGOkfFlAMODFAAm6+gvmWPjnDhh3BzSFm5D2qHdiTHhicyWf3p+OFS31g/8nnUyfFGBtyhaC k5AccUeKzqyAENZo5ekVDZD34NMgUWmJXCaKf90navsLVtA2bPqterrKubrJWetaUtP+GCR02mAE phybMtlFbPOAayOLMNU5cfFOMPoxiLuWIknLb3w4GpM23FrxXaKUDQyuLA9CD3+pdLu/0PlncIwD CZDTtpznYVk3G9JkUKQoDBngZklMc+iGf1mJMJ7efjpri/udrq3VGVeCn4mDokiPhrX+SddAdIUU mQRqRUroHSbEocM/N7mVLbHSppKvalTsyjPfw/M1opH2/WKVCm2K0zlGYSUz49yA3iO9fCW3AmeT 6t4V3FBEZplczw2hr4uraMyoqMzUeDTQ0UXNFfxWtMtmc3GnR4+0xSYAwbLVR4kdKMFZo7IRJlF6 Hz8x9drjKDLLL3BknukNwyM+0f4iyfO2nK8ACmcvwkpGVcbHjRmg4/RCDqllDBmkRatx49ZrM2oC HUtuI7RKR5IyaE2sPZwpJitTuyOQE3o2SdMvM9vb0Bxs5SsqrQeblac8Qk5bqahkeDywwVyfT2PR fSu7ugS7yVsBJh6yixZ3FNQefxnXSpmMK7ssZ5p8p1M6M4x8a4honFS0+I9h1pqdfZEehTq7nV/T TiY+6j8GeemWaYoplKq+T/jwquKrdlaReCgRFlWox2tis/1Wgeoo7v9arxzG275TlwSsi/5mselg Ls1E/nwO/th+NHEwmHpn+seoGX9xCRp8Mt5TftNY2JBW0VJoVGopPb5W2Ia6O+/tOwyv3H0tr4bz kLlZJxhgUrkUvcXetQySNbAx+GO6W5APEYfjKGW/6WTRCrfF9ALeQfGg01zItRnXq748tyzuiLy+ DkBcNvlhBAym+lb/+tGbYu7Bhvzt9GoG3XPbP7PYFnDUmwVpMJJExCcvDsRRHNcetzUFvFeyXvy8 jNCfegY6vN+CPizN50PqwGpo/LOtJdi3jSSx30u9SRjUnp3niGcBlI3E2+IPHVnqCJP8QEdhe2YX taa/n4mQUlbZCneVM29rlDchTLrhc6rl24aAdk0ZkMutVRMkEWKxfgaFv1rBRWmeVLXzs5ntoUFd vC+1bWme6EB3Bmf33zjk+TlbFmScNT5lBzafDa/iHy8Jsu0mA2Q/ESWkQUdqA/r7wG44GfX+h1mN GiaxtgqHxSv3hj/LH+c25bp/X0HiaNSLWtJruBUnExbx4FnyfTEr0IAboY27Nv5ZVYf+5vFz7vzo G0fWDWoy5pTL7nUpxBGjUkeF5nHCslJJ8FE+iymS8ud5nqrBKFQQsjASUiijR6YckwqGXW3ucc3f yJuINzuOchVNDtn7SpaMUsZPG90BjIfyAbqShL7+AB6MsvR/9rtIsmKC95aY/GaYXp+jEwO2m9Jp 46zh5JgivnBrdO+WUZsIFnqNXQLSyxG9+kc5PXMWH1vOGMpKSah95jz6gHT4rfj2vsS/N/ZZbNQu A765wbpcqyduI1gGFrfNHIpclWyY6VeBCOn0Wgv0+eBk0wmYN4lScgMklcUjPfZXvLPr4Qz23B1k hr8n0EkjVNaf8F/hkPXEHjmBRAl026Blc846QUmmouIe6ms9b8okwPa6d8JMaolPRRw+F+dTsu0K CRkn2KRkXkwJCeUp3BTkiloX4YQ9HjHIquPfmZn3ehrl7kWp244TRsZY9oC1Ghr4FLoTJjDU7Lry fezMDtAWy9Z0+uihTNFzWFHiSe6r1XMSj/QuubvESMF1BBAGnyuSK5GA5DioZa5CYq1+hTl+gjhX REO5Yp0jy6d4jACrpc4x73y7+ffnGPmzCxgIQbKtUU3J3fE5iECSdyGktT1m/auUbng6idj9gYRN 2sUbUWgtBv36No1GzOy3JfWxALvHxOLKW7T/LfJbTW0lrJIFSD/LZT54RR87M6SUry+kTJ7tKEXF SVd4KqW/c/uuJzdtxhGaD7AbbaZ3RBfu0o75hWyetpR1Jiu+tCloybcZBnYWEinsN9ydg2OTj8T1 TvFMG44ElbuNO4QzXBMrCYLzysVAUXn7BmmncYviZPpQfaVkasmZ1xG6nfwUCaXjWotTZd7nZM3D yczq9y/iCzpeS0V9tfYbLQ7AcJTd6EilsjSQC9ae3HKx1JUAEhx6yobooXHobpKHGxy06tlTyBXd tYmJLnIZQUNP2l6d1McQdWzimpjDC4keMDppbrEC4CVsPVfgogplxU5X0cr2OYys6+e/aEc14hJT 1Bz76r0cgZGLu7+PJ/SMXCsmjJiA9gMLa6u6htyBpyWWXGbhCyQDBGxfmmgjxHTG6F8M4Y5Voqp/ mkCbxGZqITF8QxRtXV9+OpOhUwfZWS//O1uUNdd4VEKuWyiW1vzJwgKzoQFV8Qb7VoSbIoZCYPn0 DdBsihpljzyXJ8ReH3DJy7+O5Dzs3iUu6gWbLxjyyCIEBsXwk3501lpB7Dala6m8v5BO+Ld1aGzd U76isonROCqCD8gMsPoIChtrXF+95EaUHNgjYLnWwJe1IBx59eVftxNIqQmwvCe37hs0l6AWE6xZ COuI9v2jdc2rmzkEwYHz5VdOW/KwKHJUmUaeeVA4mlb2PbkZ/skohyV/z4F1ThiocHrsHvL3777l CgTdhaIMxBPr2Uvreypv4C/21PpbG9QR1UWi/iEstNuABRZT8rKlGCBghGVMjR2mb4BnhLuAmK9P 7Tieqtn6Y2d8IX+5pM0wSuM6iGjzc/33qY1oFSOiy05ATwdXZsDK6BSif9VJeVBcdIZ7N99a5NDw UeeICd0ewh12N/5rHRA1rzgrGxzhnLfWLHBPj2lvy7ApwP4EBqw3K7luy7rca1IFkjRC3sFSs8nm witGnJRJvykcPckW86wPzcozmtKDp9e4WsCx6nKSqjp8bJlqhm5lrCExnbkpw8RT5khTfOfsjksh lHxiSMOzbZd2E/bTUPX5D+A2MQENUeS1hoqJs+zx1Helcyhlg9OvHbJI+s2gtGYVaI8NtSTqiIL/ QFJ0ZvznAJ+LEPXocPhyAYfYAOwBm6rUmJqB6nDBVZfOqlcafo8ZqLGfNSQS+kJtFj57h59V7vO0 ON8qtFFmZ39FlmlCl3F6Gmt32NjCfm7RW2Nqrgn8Sz4y8iCLbXhEOeAYgdQ4yaTCB4JTnVlwNqpL SG3mhU3Zi+aZCoL5Q+QlEZui+JFRakuEaju7c7CYcAB3wBPUmAn/ZJYyxgWklGm09kaFUKIWjQai rHOyibp0/58HVdXWsEKIY448YD8+lWfkdtYLM1/8vvZBKbwagi7b4H0IEVDiI41J7lqj5dxIXzBw HOOmWytPJphDWpaVJ2YOKBK7SiZ+20dWvDCw6laClam7vkBYzinyciqHp40mrjfRkkeEI+uAy4Q/ HOZjTLfqJWbueMJP4M5UxYOMCYfZZCv5zePre0u5WNZIq6cY0xYEcfEp72ANCAPY8X79CGl3VbTb PY4zJ7GSTlwd2Fln4zvOYRuN8LREDIPY2zFzvVOGYoQbI8gefqEKeSOjbkYDWrfEHdG+enFg8abw D3NnykSKJOqHpWlaGnBBs0dAgDjYupHdTfTKNhM7temkI5S5k4SKI1wwli8v/hyIT3YvC6gMFk8O BGz634tPf+HhwmtTNK4+D7vgkZfai7dRQKwfweirLeY+RbmsgedShvfhT/XzRN0h3Sj3hlEim3Jb zq/ZGua+0O4YgNwyFFa0vqM5pOjUi5qPn58hUmhPNgkSS/DB34ocTFU5ESqqdj1sgLZmy6FuEF7s nrDLzB9ZpmaYk/leGGQguN1LyrJm1M0HteAHB8xFTwOM2GAv++62OtwmsnZhN1btHjGxLKZ4NfC8 d72x22gmNT8D1IPIx6xXbX0QlLRzcDCZfjQVcVWfU+7XRkTsOuM/FRMYFK0NzJ9sOd+nEFQaB1PQ v+oWb+WuaahddQcnmtiTLMiz37RROPa/5DgzR9TElK6znv4SRzYV89Yj9k1wzDbLdpcpGIRKyvuQ hAYyfIHV9Eex/ZHFhLvRo8mNtYkb7ZK/DFc5SV06WzLFG+iky5QMblx5KSoUU3ma3I/KItSCExhn aRXCbj2id6rjaYC6MvYO/CfcjRvhiqP8c1Pmkf7iaA1Cp1ltLUAt/Ic= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/multi_QI/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd
20
7255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ Mb1PZ73EtQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO 2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC Tf/P+9Ef2oth8ALM+AI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3 bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl lOBuZbsX7GpuLWh1lZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632) `protect data_block 8C5dtcIb3q6JZIzM9rHiU4uinfcMIUazJ4Fqi98FzJQVgV0Q5MkmJ6bwMD+5toXBaI4RNXa716eR BoDVIxQvlxEYuKOTsLfKTe4/VmZYtixsukP01yP2QBElmMmk2qeQXaERKVyH4MYfDxYy7pBSHL6u wsj+WJC4zSzvMxt6nzIMXbe0AK24dpegKLfpEDkH+DS3e0F9mpLn+O/6pBdtyosMNa9Q5VXTMoVd G8yyed6X9k+hUv5zxHt4TqbhyQj8xRFX1UF/Zyg0IUqkRlRi7OVJGmwLvQjOiCsgJUBLzcvR7oXZ F9Is37EagYJrJrJ3l7dvNph2RywUmYf6dYec7jAIjyY18drBdExonMYxiNw/J3vbOMeZ2a8EGwbN IishUdD4jIEXZDEYGpDOsO8ie9PGuacFhEioDIBW7xPZnzlRMlcfr67hm852jM5AOF/DIg2zj8Zy cGhmqA5mr5aaxkniFzBlvNP0N05SNwIudO13INdv9Z4ZmG7yFDc/a3FpxX6tF4txbgPQVSENL+/q IWOAbjqjPVvTUCEn+EmQE0zhl/jjat9YHTCKn47blw2R1sYBAxfyjO0RXu43jZMuFCyhAlLG+sAP KxZ72l+iZW8lyao2VZFiX1byXTL+Zx9UFqu11j3YWATVXO87RsCI399PbSR1HuQo3ej6eqwJWypw mISbCGOkfFlAMODFAAm6+gvmWPjnDhh3BzSFm5D2qHdiTHhicyWf3p+OFS31g/8nnUyfFGBtyhaC k5AccUeKzqyAENZo5ekVDZD34NMgUWmJXCaKf90navsLVtA2bPqterrKubrJWetaUtP+GCR02mAE phybMtlFbPOAayOLMNU5cfFOMPoxiLuWIknLb3w4GpM23FrxXaKUDQyuLA9CD3+pdLu/0PlncIwD CZDTtpznYVk3G9JkUKQoDBngZklMc+iGf1mJMJ7efjpri/udrq3VGVeCn4mDokiPhrX+SddAdIUU mQRqRUroHSbEocM/N7mVLbHSppKvalTsyjPfw/M1opH2/WKVCm2K0zlGYSUz49yA3iO9fCW3AmeT 6t4V3FBEZplczw2hr4uraMyoqMzUeDTQ0UXNFfxWtMtmc3GnR4+0xSYAwbLVR4kdKMFZo7IRJlF6 Hz8x9drjKDLLL3BknukNwyM+0f4iyfO2nK8ACmcvwkpGVcbHjRmg4/RCDqllDBmkRatx49ZrM2oC HUtuI7RKR5IyaE2sPZwpJitTuyOQE3o2SdMvM9vb0Bxs5SsqrQeblac8Qk5bqahkeDywwVyfT2PR fSu7ugS7yVsBJh6yixZ3FNQefxnXSpmMK7ssZ5p8p1M6M4x8a4honFS0+I9h1pqdfZEehTq7nV/T TiY+6j8GeemWaYoplKq+T/jwquKrdlaReCgRFlWox2tis/1Wgeoo7v9arxzG275TlwSsi/5mselg Ls1E/nwO/th+NHEwmHpn+seoGX9xCRp8Mt5TftNY2JBW0VJoVGopPb5W2Ia6O+/tOwyv3H0tr4bz kLlZJxhgUrkUvcXetQySNbAx+GO6W5APEYfjKGW/6WTRCrfF9ALeQfGg01zItRnXq748tyzuiLy+ DkBcNvlhBAym+lb/+tGbYu7Bhvzt9GoG3XPbP7PYFnDUmwVpMJJExCcvDsRRHNcetzUFvFeyXvy8 jNCfegY6vN+CPizN50PqwGpo/LOtJdi3jSSx30u9SRjUnp3niGcBlI3E2+IPHVnqCJP8QEdhe2YX taa/n4mQUlbZCneVM29rlDchTLrhc6rl24aAdk0ZkMutVRMkEWKxfgaFv1rBRWmeVLXzs5ntoUFd vC+1bWme6EB3Bmf33zjk+TlbFmScNT5lBzafDa/iHy8Jsu0mA2Q/ESWkQUdqA/r7wG44GfX+h1mN GiaxtgqHxSv3hj/LH+c25bp/X0HiaNSLWtJruBUnExbx4FnyfTEr0IAboY27Nv5ZVYf+5vFz7vzo G0fWDWoy5pTL7nUpxBGjUkeF5nHCslJJ8FE+iymS8ud5nqrBKFQQsjASUiijR6YckwqGXW3ucc3f yJuINzuOchVNDtn7SpaMUsZPG90BjIfyAbqShL7+AB6MsvR/9rtIsmKC95aY/GaYXp+jEwO2m9Jp 46zh5JgivnBrdO+WUZsIFnqNXQLSyxG9+kc5PXMWH1vOGMpKSah95jz6gHT4rfj2vsS/N/ZZbNQu A765wbpcqyduI1gGFrfNHIpclWyY6VeBCOn0Wgv0+eBk0wmYN4lScgMklcUjPfZXvLPr4Qz23B1k hr8n0EkjVNaf8F/hkPXEHjmBRAl026Blc846QUmmouIe6ms9b8okwPa6d8JMaolPRRw+F+dTsu0K CRkn2KRkXkwJCeUp3BTkiloX4YQ9HjHIquPfmZn3ehrl7kWp244TRsZY9oC1Ghr4FLoTJjDU7Lry fezMDtAWy9Z0+uihTNFzWFHiSe6r1XMSj/QuubvESMF1BBAGnyuSK5GA5DioZa5CYq1+hTl+gjhX REO5Yp0jy6d4jACrpc4x73y7+ffnGPmzCxgIQbKtUU3J3fE5iECSdyGktT1m/auUbng6idj9gYRN 2sUbUWgtBv36No1GzOy3JfWxALvHxOLKW7T/LfJbTW0lrJIFSD/LZT54RR87M6SUry+kTJ7tKEXF SVd4KqW/c/uuJzdtxhGaD7AbbaZ3RBfu0o75hWyetpR1Jiu+tCloybcZBnYWEinsN9ydg2OTj8T1 TvFMG44ElbuNO4QzXBMrCYLzysVAUXn7BmmncYviZPpQfaVkasmZ1xG6nfwUCaXjWotTZd7nZM3D yczq9y/iCzpeS0V9tfYbLQ7AcJTd6EilsjSQC9ae3HKx1JUAEhx6yobooXHobpKHGxy06tlTyBXd tYmJLnIZQUNP2l6d1McQdWzimpjDC4keMDppbrEC4CVsPVfgogplxU5X0cr2OYys6+e/aEc14hJT 1Bz76r0cgZGLu7+PJ/SMXCsmjJiA9gMLa6u6htyBpyWWXGbhCyQDBGxfmmgjxHTG6F8M4Y5Voqp/ mkCbxGZqITF8QxRtXV9+OpOhUwfZWS//O1uUNdd4VEKuWyiW1vzJwgKzoQFV8Qb7VoSbIoZCYPn0 DdBsihpljzyXJ8ReH3DJy7+O5Dzs3iUu6gWbLxjyyCIEBsXwk3501lpB7Dala6m8v5BO+Ld1aGzd U76isonROCqCD8gMsPoIChtrXF+95EaUHNgjYLnWwJe1IBx59eVftxNIqQmwvCe37hs0l6AWE6xZ COuI9v2jdc2rmzkEwYHz5VdOW/KwKHJUmUaeeVA4mlb2PbkZ/skohyV/z4F1ThiocHrsHvL3777l CgTdhaIMxBPr2Uvreypv4C/21PpbG9QR1UWi/iEstNuABRZT8rKlGCBghGVMjR2mb4BnhLuAmK9P 7Tieqtn6Y2d8IX+5pM0wSuM6iGjzc/33qY1oFSOiy05ATwdXZsDK6BSif9VJeVBcdIZ7N99a5NDw UeeICd0ewh12N/5rHRA1rzgrGxzhnLfWLHBPj2lvy7ApwP4EBqw3K7luy7rca1IFkjRC3sFSs8nm witGnJRJvykcPckW86wPzcozmtKDp9e4WsCx6nKSqjp8bJlqhm5lrCExnbkpw8RT5khTfOfsjksh lHxiSMOzbZd2E/bTUPX5D+A2MQENUeS1hoqJs+zx1Helcyhlg9OvHbJI+s2gtGYVaI8NtSTqiIL/ QFJ0ZvznAJ+LEPXocPhyAYfYAOwBm6rUmJqB6nDBVZfOqlcafo8ZqLGfNSQS+kJtFj57h59V7vO0 ON8qtFFmZ39FlmlCl3F6Gmt32NjCfm7RW2Nqrgn8Sz4y8iCLbXhEOeAYgdQ4yaTCB4JTnVlwNqpL SG3mhU3Zi+aZCoL5Q+QlEZui+JFRakuEaju7c7CYcAB3wBPUmAn/ZJYyxgWklGm09kaFUKIWjQai rHOyibp0/58HVdXWsEKIY448YD8+lWfkdtYLM1/8vvZBKbwagi7b4H0IEVDiI41J7lqj5dxIXzBw HOOmWytPJphDWpaVJ2YOKBK7SiZ+20dWvDCw6laClam7vkBYzinyciqHp40mrjfRkkeEI+uAy4Q/ HOZjTLfqJWbueMJP4M5UxYOMCYfZZCv5zePre0u5WNZIq6cY0xYEcfEp72ANCAPY8X79CGl3VbTb PY4zJ7GSTlwd2Fln4zvOYRuN8LREDIPY2zFzvVOGYoQbI8gefqEKeSOjbkYDWrfEHdG+enFg8abw D3NnykSKJOqHpWlaGnBBs0dAgDjYupHdTfTKNhM7temkI5S5k4SKI1wwli8v/hyIT3YvC6gMFk8O BGz634tPf+HhwmtTNK4+D7vgkZfai7dRQKwfweirLeY+RbmsgedShvfhT/XzRN0h3Sj3hlEim3Jb zq/ZGua+0O4YgNwyFFa0vqM5pOjUi5qPn58hUmhPNgkSS/DB34ocTFU5ESqqdj1sgLZmy6FuEF7s nrDLzB9ZpmaYk/leGGQguN1LyrJm1M0HteAHB8xFTwOM2GAv++62OtwmsnZhN1btHjGxLKZ4NfC8 d72x22gmNT8D1IPIx6xXbX0QlLRzcDCZfjQVcVWfU+7XRkTsOuM/FRMYFK0NzJ9sOd+nEFQaB1PQ v+oWb+WuaahddQcnmtiTLMiz37RROPa/5DgzR9TElK6znv4SRzYV89Yj9k1wzDbLdpcpGIRKyvuQ hAYyfIHV9Eex/ZHFhLvRo8mNtYkb7ZK/DFc5SV06WzLFG+iky5QMblx5KSoUU3ma3I/KItSCExhn aRXCbj2id6rjaYC6MvYO/CfcjRvhiqP8c1Pmkf7iaA1Cp1ltLUAt/Ic= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/mult_fft/mult_fft_funcsim.vhdl
2
1526230
null
gpl-2.0
keith-epidev/VHDL-lib
top/mono_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_e2zmzm1.vhd
3
14258
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block c3H+A+0rzbVQrVLN2vEestLdDTj9YnsX33rGcsh/1Z/3XgcpGeZlBJZTqOLhQ29K5eb4RmURLjd6 SNCPu01NOg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nMyEsak+UWDd2vdzxa/7y72r5xRQWVPqp+P0XBksN028vzQzE6I1U2+iKKssF+H4X/9d0+Pq9X4S pScdw3X2hwVow/23xUI4xdGhOBdBaY34pEOgFVTPMwU2BMUD94yeyajUG/783KbN7wsh2n8OGBWz uNZvx297lMqYup+l92E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R57PqTvP3dznyGfcjME8aPnkypxPUy3Vum29a8+FcKqU2SnRZeUL+D7ggJHfuO28iNp/KtfbWLcK G5ELw2RSeqkL0CsWJYY71CMFR4QiSp0Mb2I4CMzrz2hg25m+qtXCH7DN4rx0phY2IWxVM8nrltiP 1Od/SDyzoKkOUSyXU93sWrvT0GRwIk5TZafweRlwbx8JJs66M05aIo3E+xjWV/N0beItUWpuUyaC cvE6fvMYHSgkx74v2q7e4vmgLIAIvuNbebmqMMgSlHi/Dm1Pb3iqL4ymrf84ta+yzPsKSleoKWRr XAC422A+7No29FiBChDuH8ES/Bwa2kw5MuZ+8g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uRUiynMKyAtES73IHtUiFNubAak+rQO3+k4v4/SWXpYnWkYflPOUX2j3VQExu9YbuX4a317JbTsJ FsV6vqs7iGetB6a3MZLNzoIY7P3mzV02y+dgmxiYYZFSKFSyj0GEC+qKeeBNaMxSL7EJrotlOgJX lQbnrnOkgMIegp4nixw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tI3Jt3unkOe5nhb3hrOmbIfmqaNS/lC0+mNPbeD1E1Esu3iRXAK2ESgdxhiZNrsA1bB2UCCoKPmv O/zs/LyCFajH4CEZpw9xP1mbTMj5CvOzTJnAu7vXmeTW1S4XLAvjkXQ322O+3MCb1bJ+6+/GmuA9 alSgNlBt0CFLC8B+SBS5mV2fenUIsNqmtkVS4VJUTuPoeJgp0PmdohR2MnADcGIFNByWupxfaZR5 bq3YAuGo+2oYw6xC+QrYIdN6oiAa1YRKUVkJSkrSmlg1ohi/5kMMVPukYgdNUJfVGDDmTBlDhGYc QKA+rGI1Dl3U7IVYamdteAIpLLUp+XXcPVIMZw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8816) `protect data_block +K6Bfc9KkR7FtQ6Pbpem28SwzOIYrnOQOyMzjr1tRPnnMMibR39WJdjuu/268bu9c24CS83H/g/r itWV1MstcQyV0A2kLhfPN9svg0Y/mxl20K4ATuhEXyRKzfedjis7Iyu57261JWL+zj5/FKwkIFw5 7hmB6onJ/0VaYxEk5nmZ+5Vsduw9vDL1TZJ5cybctxiKO31eCCImwxSYwD86o1QqoDogSTvRI7vW tCMstyOFifAuX4uuoeXwh5gulJviDf9Wj5LCNsQh3p4nZ+uVp7vmb6DRNb0L+wsZDpeTBbjV9Vyj hmTViOIdAn45CluMAXekNaQXUcfUEvLzqSI8Ktu3TvpZ5WB007f+xe03wF9PLKN45Uq7Ye9PSaHa 4MRD7aeumFHpPTDTEE9xtiwOOljFBgJwcoW10rTfuDdRo5TZik7l4kkUiiaXBiDQZjosc66U+MnK D7BP3k4s7eODPePt0AAOSRNhhKWMqNU4bGDugtBe6TCURiFU9lDKni42Azfk9Q2oDdd5xNOU9T5Z MZ2VMkuCXXMtql0jL3DV1qsTZ9lffWJscHsclJ8jO7qEn9l0MCcYVXFWvfdO7NDio6zsow4U2aiX ln/uORN8wwuBL+JHvIqVOP30S8hiL49+3i5IjdPq5bwNeDJQpGBP9kWTCnQGftQtov986FEgm5Hm /1qjWFYIUTnc/UzQKze+1wVAmWgTDT+Q0oFOxLYbN9ukoqf0qPAYEqBuQePobtZRWHJxdx0y8hgT 9Yih2hR1bjpW5I0ZqRQNQomEE6jyfgCHPZkoa+SaLW3lW+yzzEFukEetiNflux2xFUi040L8unAg pAs8Zqhewzo2pV083EuwpXlQh1kRwoXN53CJaITEm8v6vjqaWe+rLekCLxC9tQLz+it0zY27pe2F vcbh6JCqKRM16uKB9iRRrjJk2+sPU/IampzORpLLRR6QsrJO/KSFf7rUra5iaqawKrFqyjNgE5hL UGdglYxbxQ3m3j+nmKt/o/gXVZtlUjWNTpGcDSVZGHnqTtI1Iw8EopeNYAZGTnf30m4VukzeGHDV 9Z9APVtwFr6rraMvSJKv8phqc7qva87pqLv3/wodurt9P2u6rlu4pZUWb/2ODOPRin4it2XIcJlv XF4rUU6xfpOQhG48qRfCn3ipNQFiSyq+t1/4Tt/BhI0nxOCNoO6Ce+4j+UntnekAvuyj9burR836 eFyrSyitdQpUrQo4PYqukm3Kb2EH5uu+7SbJt3jy1UjS4Qq/B84HFm/dK2z8RldWBZFh1PB9JFbh nRMdAGkvGALzj3sAwMoUFZf5/DuztJu/pdoRlCFZKwvZn0FdN0EGcjrCysxtOOlK3Xy+72zAZfx9 7ms7XEVv/fzjai+eO97lg6kKbF5biv9rYcafKarqk01vbCDmDUb33+r48UEi7zpBKj2CFbUgV6an Pw6isa8KhQvLNQWQNOBxAMU2Ah7c+so9W/ujv2w1i2gj/cjyTgju1K5Q6QJTSb2XJ3N7fT5f726j ABDT3PR2iHrZF0bRE84AKsWY8v1CdjB0xWEL46TbETNSbWbsJskPMQHClhkKWwcG/lJ89RHcNtdY kTIFC1CLFBz82Xh5mQf68Uc2mDrBRIZZgW8GLT7KhenU8nvwC+Y4TZJfY5+XFCcuyTFVbONY/Chm dlXTPmzfOzL0e9eJGCMaZCCpmgQlY+BzYhKuS7CD30TfokcC4IG7+cmGAQLftQALyjz71ssakEGJ 1CisqNu/ekAWPn/BuuX0ZyCxNYXwB2ge62AyzgI6WJwBmHBN/6Lw3rT9d2mZJ4pk/Z8R5pmQH3nT Er+qtZTrAlDnfaAj7beDCwJpoPNf6nx8/AhfmKEGcpIQ4qkdzBIAEwfygY8ikCZ5MtK24JZVpwcC ak9e+F/h6D7Rf250k0llgg5ZOYpw5eH320Lg2fc63OIfmAN7J93M68OOF46dulR3H1Phvm9DgiV+ N+ULb4J73cTJkFMnxI1ZdzRcGPNw0iqUzIr4cjJMus79dqBgU9U89dMCbh+uH79nrjtiK1ft+Hhs q9MbofFIGyB2dW/Dcqfq3AHzP5R7wqXcb1WHFCoVEm2uWQzwORvfDYLOCswiUXxIcxHAwQCih287 wBenLoAcBK4T9HJyajJd1nEKGO+qf8Ox9ef3XDj/kZnfRFi4Nc7B3wXhzREy/Q8yB+cWrmDv0hS+ Ljjdu2gOJ/qaSVn/3x1hSwTlTA1M2dqkjFLvxQEFJaxScm4OZUnxZ2coUsG4heyGK14NIFJnlvFw VdDJzOeLvY8u0dH6QONz5QQC59cAUvazrUm6y1oSINzXy0Ltpt31BSKTm5rCpwY+yGHbDgs0U2jT MfgfgQ7xbvZFHllhpFs/jg6dcg3hCmXnfNmdH7RhBl0KgLhxrFPexMqF3mIAxSXpADauEngmwUSk gmEG1UGkWU4S5nJ8sf/JhQ0qGcKmPOgZI8Q5RQ0X87zGPKTFWzoj+XkIhDBpI/2/qmb7fG/CUQ7Z FnhXyPMb7UxVXSv+XuxGAKcr0K/WZsrgBkOyxfpNoTDw+oEMpG/buYfpZLCOwpiaK/chdmnw8bMV fJQspOnbbHNXI9cHgcikjSDkKa8euNKflKV+dtHGAjviY3dswLlGMDAksoOgB+8cmlkHw1IW8WiF di+GOgyzF+i/nXJ6nKHsSSYwyDDBUtK47WyNgkyzB/qKPLggI6ZQchkd7a9vTCGdCFPgFWMw2AEY 66PQDva3pbm9Kme0xL3eB2polYt4SIcxi+juomJXvO03qVl81Abu/xNUA5Dt0jgFxTkekcpHed2l U0pwzfpHYB06cQtq9INCOvSI3T8QvlqJqM7w9j58t2gPxLtUEH0kzubOyw6OhjmJoPeZ9Qitd4St VGB3VPasRZb57xn2bR3TauTGHBs2Vhnwa4HIDkdE8563Ym/prxUQq6w9mkG/MnqTcvAD03LjLLDE d50bRwfe0l2ILtXRo+3Mo9R50o7T/mI70TYJWpcCT98936KVw2rA1t+Hsuy6FJ6J6lEGiLb8OJqD H8PQjvEanj2T9My8a9Mff4DNZ2Nre73vrYAvZMd2cst3RopfRBqyJ8R8/WzGpPJp0F8nSbLXPy8v nf3RyLDU6C3u79xU+fVnXvFjrjD52UDWQ5GuLNpwXF13YdZnO1nW4CT6W6SolZGN9gScJurAMFOy 98xKFFivA6ES9CVVKKStxFkWW57TeQJpqgDEDTMeUYLJ3F48bTBLaeV3wlZqcfddZjNhMam1hh+q BxyYbciJqvJotXVamzDau4RpnEn1HT2US7z+zq0FDKA+nLcF5x10Jz7/2obtZ9b2xqWjSlzml16h kNIIdXqKNdolnSi/V/cVv/9N9ER4oBAI5ojmkvKsPcyEfCooOS5+RhsDbCRZlf5FRSYcnDiGCR2V k+9vzDo20RxiSBvZEdkSgSVxSiWlS+OhLERlPNSDAQBcGHmpuomlZGvIJzmSo/zCZAzaSaeQFdYQ OPzEtg7GNo0svrZ3OJO7/72sM4zCzvtvzg2anY9BITwPaIdDtC1ghDul2X9/ER0NBWqH6I2AFaHe ZbdXQ6nN6K9p/aqaNWuPydCfLEGcBL5mTG8UUWvl1Jzxhk9fNdvCSYaU2yoDIKgEkJmx6cvzfGS2 eFqL/D5Hb2mMzYL/HF+YpnC+mjlCIrsOdTZgnXoL81GRpw0Jap7GuQU1wuhJANn6llVBXAloFdLm 3uabZagOUGNu4iiYEzb5mgVpPWT72gbqEfDEnEExzBn5OpbYrEXMBEfK3dvW+VD1RW/RgMrZ6+40 GIqgUT16FlifArWgsd4+ZbppoWnXkICWUTon6lJsFk/inQfM8+io8Y9JVFFfgrL71wrONgKRXtwE E9w0ddYQKDU/MhgxmPkTaOJZD0sPgccGiLVoYThl0YEj9jUtanRlYvU+621BUNlkuWE6gXxlyfyC 7NmQeeDNi7xPBwCtz9bZyDXL6gEbdOgF/OTH5zUW/eNZbGTwl1lFDPbcr73yPaFrqO5a2diFf9yc BfZuFs54DnPL+7vWUO9QV6EKMuaJHwTbECdwYxPjA77xZltYU80YLL182S/0q05VpGuaIvs+Wtkd 04/Jfe2F4a5ZlhEqrT0EGf4/LBjGLgrosPz9BF1VzMUpYCFzCvYE1ZD2Gp0wvIhzFK1DbNCztpWC BQbRw42bU3ccUIxKTlClIzJuZMs3MgYRb1JdJ6N3f4U4NcBn06Xh98mN9xLCfNYDsC47pbO8CxMF 8v9hnlJMlIGZvNJg/5niVvLxMxBWSo8l+iaCRlHrmQ5agngUesNlqEpFdTt1SzLPqvmJjCucpimU YotCPXxWNCn7IBckKXrbN6E0elsCtjJlz7gDo1s2qEOk7qqf7ZIx+XFwYVhoiuWT/M5IUbm/eucS xDozcr0ZbxXHvu8Y4+Zf+kCDRXUg0n3P7zWcUK1tUc67ILAJbdXDR+YFJnzVgoYqItTOLAh7TqtY 2mEhjwxT5tEKdjmBLTqlrmyZw00X2iwmQlOuO5a+vJlNH7454wfeGqR0eknloSmCOBSddj7AVBXG DFpZIGqyvC9ZpKSMIR5vw2pWlm0w/SJecSBySjNvy36e5T/ljRXjL6679hnjFAeZbr4RrQRsUjmo AIxrNOs9NooRVlCWivLSRrK6/rjuamYNcohpdhA4WBxgEHlyHZGdpJ8p++AS0/50LEIgjrnnAzk6 anJ4VDYq07BGbWc18xpThm/UIksEZnWZh++ntBICoDJ0g1exbakzv5A8GzN0jjnFLuUv2KXF+TH/ PY3dXFkXyDzpNzwK0dusGiHiQjwj/vtIUHciNY2QGvCYbN6IzqBjRGqGNcITUwANFZUGVjUx9Y7+ k74KgBGmcvl4CuXPg439B2NoTk5hqV+5Iu/VLkEa0eKEnYCP8ctO06LBlN1+gvbYDGEBlLCt2K+M SJAq0rHxsSHBiOymwmlIKc5lTl8rl4txRSxQzBw++a9NQEyZAkd0Oiypd3TtU6WkbViWwjHIYiwO I+7qsfMmfXe+8faQ4hGLQH93GnPd/pJcUBM7B2q2dTO3xBLh/Azd22wzKmfD0HyM9HOU/x8K2ZNN iZbW6cgfyjy6k/NwqdOzaUbhvmnOPUE68uKPPaFN0WXu6TOPYbCL4liA5gOFlUzRSl3Yg4ugqzPY VCiqdhksfUxUgl1fjI6G/R+XviPe1rBMWJ3n4z3leNAUW6cGtLGTBta0EQ6GIr+wGpA0tQCVESLs h+u4LCzBB+dIw324v6eQxaEE23cYNLyj+29kIZBixzPT949HvNRVu0N+7jAl73LHExAcxevPFgVu yM4bVmxfltbu58hIcbiii2kr8ldTWV+i8+w8sZmtOPWWPrp5EhPutYNpkWMvIjkdFlr3+iwvtXIo jl3oOf/HL9jVhN8vkQaZH7jXDNHGp8yk72u5Nmv9vczD1FcQoJnDapAxJA+7N0FKAthUz3xsuCsH DhAkaMVZpRF6oB3tm01NyVzft62ATTUKzw0X8XuxLT2yNBVFNYrbq/b7ISQ38AHpijrp0C5TWbF8 aiv+6YXQTOm8vzKvilH8nGeoMz1s/d0xAuGraA003lK1v+K8vp1mkC1Jjq3tIn947MpVyI3rO/SC geQ2L8AkAeybw5q3vGBEpc2RPSP+5TgpnvQOgFidMXB0oPx/XYKtOPFp3HM7N4ASk9IsJNkIb9uy 11RBC8lYF/CYinUW77T3QkDV0Q2Inqla2uMS7tw4U1DEZKD9CBRQO11TOmg7a3k4Lrcz3eE0Gsvs f9MpcUrjFpPIzg/uwx3R0Pdw3PjWoP0zN71wXkv+vaJV88YWnZpSmSt4NmywHa7r3tDcWHiDf1sE KPh8uDW9QefewL74pR9zkOp+e3LEjRLro6h7Tdot0box2yuPDN7fx68OOQjEdQ2M6L/4j8qIu1tE 9jv1IBtaXYMvWEJyEvRDqGXqWcmOOfy4QVbk1KFncY/D0fzQe2PFou/Xh3HNRSur324VkHdvUTFL g+WL+kZpn0V0s1IRblry+vizQsHi9oUHYK+yjk3rIWPvfyX4/eM7FUDGW+PYYM9D2o+tjdR/CBq8 O2L0nXgSFRcptGZuh1wypY74tWas8yrfuTHq22/TRYh5xnvSiNnt1w6EU9gv8lvSEQ3TlpvoVAt1 HheW2MJL/CZjcrzDdO+w/LQyKSO2ha3AcOsIxNY5e8CLJQ8sFPlsl/LqXirlpsl3Pv4r16YleTq0 eq/Ye7BfXHkuZTmhdw0TopxKDY6FpivY+OJhAKr/KCu6MUhvjYtwX/zBJmu6SLkwOStEosQ4LpI4 0sY+CzfgkhhbGY7kSUnYeMGfoInhxDv/A9LQXpUM2s17j6biIxmGrru9B1nhULvPcKxbvmUIMaoZ FG9gZBTXkmlE3o1DS8wdLLIIUqwOUOxLmjLibM6yp2TeIuedN7PvdNWJCQHGMOaiuI1FAvfLQxaO DF1xB9C/meDoEAv0p2nJO0mpkqZMV8s2EFZWueMI0wiv8IwkvF2MU9Y90prY62KYQ64l9aXGf5nF Gw6Q5eVGYJvl6lSbbF2m4F+EdR4W8bR8T1awTagTmlWAY8xwmgLzGwMzmYspmXXX9WH3x0lU0GC1 Ez9jDdLA6btB224LUH8huVFxJ+Nk2e0u2NolDII7Hl7ijAXy2Rk6jzHfwmG8znuxPo+pw9DTVCzo sTAz2Gc7izcm1NaRlT5EW90Y9RZsZR/Bcg/b7b3TKBnheVw1XpN8YjZtxq6zNz2ecypJpHqXnUgP QbmI8c6NbJnVVBwBMaBcjeHGcnv5xPDqX+vlumRaBZR9C5P4IC31V2xbhseDmpGXF/uszcDysBX4 PttKxRATINazMTTl9XDnx7wdTf2YaV92rksbdK9+WAvmn1H/7xv/78nUy/s6+wV/esWpbgJFOQ1+ 0N/KT7cX5JJ1xr2yej7e+8N3Ln0qM15xMPIN9AYW3XI7q7jCeLgcoDK/pm2F2e7Jt8AKn7lpCXnB sxGVZXALSXF3+z2Z0kOEe7HrFJQM2szsJD94xywXeJmPEl2q6zf6Fk8LTd0AL5KkVGkOJ90w6btk 8UoyFm1jqzU2MZ0yUFwREA3OvCTPopeUrMf39Fu7m63JnfR6gieT5PwhgRGwEDVKzvIr/XgCKfhG 0DJ3bR3kiJDbolH3N3ONoGbECbmCKTraEOC7u8J5wxxKbKuQ4k0Ga5zcYqrghGS/QtFYZpzhq5sH BWaKoK+GcfJK6d2fovkxOxLtOz5QcV0QFSpVBQ8639Xmzy/XQDnA8L7NYUIiwcc9q3cPjSDSV6G4 FEbKCoR8S0O0UnVelk6MQotPwrvmFPwKXr4HZ6+uvZ1NAhggFmRIqqgTH9Jip03ng7Qv7GHCHN0v 0o7rMiDcEtv7UhSRLmzrtW7piTbSSMJt4T029XZfxYSAT6wMCe2IU6bA87SRCmGeoaKbNPYRzWmS 36towylnGqPg8P8x09loIn0g6+1xS3/2/1NZna4DMl8fWB/1h8cUHqROgas2q/Gd+9dt/QDK0mZx tBZyYmGQiGsgheJkDfg3odWup4bq32/RuxgRj5af9MUlx1JjldtdSe6dJp/7GedcpF0nGjEd9P7F 7/zs8HZ8107npvgNd5KagaMMpvryuvAQXn52cCbDr0XoZFhREwBGrHAYD0Ao0q/teubAGpkADdyr lLc7PRC9hsx04ErOEFCiA0D4MQ2zbdblyyoM2IyOy/kqe2h+kywCUzRJb6CJW0KWIhtNzjyf2ArP VPvHlyfrv+Bbk1cclGIYZ+61RDzc3juHefqTi5H9MFeEmOVqhx/ZM3XOEWVAJ0aeudhbR0mdbTst VmNc30rWyQGlG0CKWCzD1iOXLtJ/tmNwe91nCUgv3N8gOCS+fjpZMSiuG67GaemRDshxIaeH0tX3 zhSO7vS+UKdbzLElq1tbEf/htatPCWMuA1JA5MYCEqS7ZtZmBW3xWKTvNAldgwrQWCGKaJNWn71/ +rnNqLTiNmdSnqLAUCJ/D6VEfzx8uTdrq+IdZQl4waV21KaGKRhBKiFw1wx3udrSgQppUWEIQOMP SN5yeaYG6aakLuS4agaUGey0qI/hF4JDvAFndnbxVGjqud8oYlIS24qdmDDx6IFnAlrql2wR6tUC U/mz/MEX3lFMg93PMRXhXsY11GmgXmuifBkaCg2dBWs4wWUICiBv9FQYqtuMY06Cyso/bDasAvO3 qpplnnnpBEoDq51/D88aFWHaFErsukBMGt8gofgdEdG3/gYyDJkkBSAVsoUiWVKWF7iVewlfTDmb C5ST4urC4jbZgreSkXp+TCul5F8Zz8o/D7qUIxh/OeEH1/ND1mkA4ECNO6yLLjUz+e/DAFHRzCe0 wkIYx4jEUN4SOmIlnvQ4flyn9IFI661nLesdFt9+xejOkz7yHKqwUw+l3/dK+KQpPL8uJsOICbt9 y6pQOU3RhRi2MMhRcGoHLDn6T44BT9xaRNW+Up6hBAMYjFMvfsfin1Qhi4tV48G6lVU1sYLW240n gpKkAchq2/j4OlELmmeIsqOsdlxet/8idIFvgn6Ic0ANAdIQTG2GOQahWw407boswWqne/folLWO Ure9+7U8Gn7jnBHwSwDWquQy2cFvqM5QbBWMF2rfGPDE6VoA+NUFUyezwXR2fYcoW/XPFr2VIegq 0WwvciBWUMhcYKAU3r1KPcHZTzlK+guHu7lLF6uY7yxbJGb4px0JV5Ag/11szO4bQ4sjYGIDqK+2 qmrXklqUR66fx+VvPvw1k8h7CD00yJTfpB3qVAlQTlXPqmrC3fxY5Sg66rpLj3NmpW8dltcrMtSi 78eCQQFCHcapKaXrd5QKYXVvMym/uWlcpqURp0z4K14rcd4o4r/S/tRKJdFDyzmRvMu43vCmbFp3 VUJAs1HZlgQlbuIT1txSZbkUNS/cYfw0YqmkK8e6g0v6sWPRyTY/CUHFxaGIeX/0zMeZI4pA6Yyj wnOCPERqewW9eCHWyGyInzF7Fkv8CHu+d2RelqttRc5TPrSwEUF2UZdZRDZj4C9jUHYAOXh4SApr XRmrS/5DgaasjRvbxvRr77O2o0BOX3Lm/hLp0LuEkqNpqKfDQndg4M+V8y2Cba26+IC4rPVBqN2T cNiUb078xnmGR41jsIjUvwsICaXIq6bxkMBczLDgHoktWFVYsnmVp+HlzyeZiapx+NnvbjEZdCwC GECpLXtwTYGWQGlZ1tP95ZW2+CoBTAvjN0G7f0P3hYXuXuEX/bfai4KcbRHo2vDUXIp3xETx+4fb 47Ux1Z6IqL6vYxskHDzrSBmbgSlhI0auOcJokg3HPio4Hk07p5mzUk7n3d6x4Ksk6nCZNrHWu2CC y3Yy4F/NPtDML+LapQtVMvk95udC7Y0zu9RTcFj4p/aeThGTUqbpRpkYTlwoo/VlQR5+hFvy9zUV NMAYKHaDGk7KMVisN7hzYIEF5ZOJL7F9jxdwsYMswQB9YCSFSVZcUGhCd+gXz2B+hIUbi/c85BYh n4MP53NJ5PWDoaueTefnIsGRJVuLqPZepLI4IyRS5v6QOREHG9hQNmmEyqGEsUM9h9IQztZwNvPT 3AXjVmtK4VJrDNncmNCD3CVMBuX1XesBOCvHnO/9NOj54NKy3dY1wFDTDkVytLfWV1xDcEQcYjVo kHJRvnuXEdQGVRLIWHQCi00Qoz2pkz5vAUb0p02vHpqQ+udnVkGGf+XFUZKs4EJ7VGHtCUaz7GPm 9YMZzdZirST7g/AEcUHzVSj6miEg4HUl9D+pcesIwAvgS84h2/eGBkWHSfb//u87WfrUqyQ0MOc5 9Q6xrXHqJU7UZlpN4kGJeRufgpwTfTWtJApchluH7A1KLZjemjqS0EEJ+ja8WPN0YKagQ6oksC69 UnFnRz6STsdXET0Ef6LtOj61L5PTY7M68VkW7qPFd5jk0FNqKQAaBgU88s/nCynF54a3Qhq12B/1 HOojHv1Osx1iusVHVLB7yoTOdB2Foc3ajT3/j8rUB4kEeiWy7YkSqdbaw4Rnofp4/u9k8eiUu7XY zvc8HcRpUJCizLKv6h476cYULgByW5jaJKrh2j8Bqd2bXmmvJicSFRMewUt/4yhadRv+OK7naLzw L3CXwHeoWfO1eRgbnD/savkip2fjALwde7grPn5C+6+xQiDwD39OxSLl0h5lDHVJlTZmqLpV0yoe 4TYP2eWOe4uix3oxu2mkX4HZSdEocSKtNV9EzzX/VtweQ1sq+H6sU36+CM/k6HsVMluLAS0Bb9cE rL0W39CjmYYwiD8Yf2Fr8KfvImkwp8tvjD6S7Rv3w2FvcNhp+fkPoUG9J7tHCf2u1ADw6BljBY75 4dsQ8bLCnFLdpBX2SE9CC1C/dB213FmBvzl85WOxiZJVtNG4G21Nii/uggYGTGo7cTtV+Rr6UfmY 8KdCwcB2A+f7W4ThJv2JMplSapGH2BOjPLasWvfAQlXAaJ0LapEHpUZy8to08o9sFXo1dGofjcIV qcTdY0rPCfbAfYPo0XQLTlbmwVUKSjw1LCQwYB8XloAJw1ioIgF8S7uak6848gd/Ocr7Vqb6KaZG IS4phB9CtHuJ0Au5pLDLD/XpL/1OjSnuqCtR1IAg2GQg+P4gT52sOpTCfNlN3PCDV13YyH/kiHuK vzanQCRiVJLKzBDdfxBQOlH4UiCWPbiFDq2lsmSIpCXsRYnECcggTaRor5EGHRZFyZ3AElzrsU58 kbD54/3WWybmIQbXg0Ip9j2t8aBZSLev1fo1ecFZN6VjzHeJXHDIy06mFa5H+c55OjOQ8Rlv8GGQ w1l2U6aKfHHPaT+Q29l+5RYg4vaDIPLf+ajz6IwXL9tZll7vxAAvtKyDRNSCxxUN0UJYI13FUwe/ UXk+zge/icINVdTX+GPHjt2ykyQsMz1OmFA4klE38Ff6lxUlUa8xA+tAcuNPDueZ1fnSnc4tfJ+J vbTc5u2i5BtPU8E9pGH0w7T+DCyGqS0dH2qIdusnNXqUYIaNBibjs6e106BjOt10gkE4gcE3LJce 0VpGPE9DVYFizmuBJR+jSa8ZzCtjz6HXEbSCFS6imCNOjHEMJU0pKS0WuH65D1h94P3icPoCClwj JZQAY03nYXm3xTXy52DrZue9WppTqOz/uzE7cxWBRUf2ZHhGgCLk31YvzBVg+XX0XNeTSiSl9Ip4 mbkuTpXMlZHbmn9GYnMUEd04oxjGdj8Gj3q0MeezV8qmOsKYklX99L4aPLcSwUjLWE6k1zYhNy2e D6EFLR2iFCXFZkxHL+Gq457aTFS7w0dbNKpiawCmdOOgVL2ddGzBgLdkAOgRG96cPZxOVCbrYvXY gnivEike7ysy7L1frlr+K0EuBoH+48pnFqyL5Di7xixB2kEzc1tmPfn77k+DEChEylnbEEgrTSp1 emefHXTb87/ir0bGYyG2719DVgFZkPOH83o0jW8DyEpGLHVSEZ+qtR7cnEBgkk4sK19U++qyYJLj mYf+C0elXYzBfyEPUtRe6nn70PxrwKre6uHtSj5sSXr5j1DqH2J/K0y9KJ5rcpHvcGRaijyYYF/Y ieuEY3Goa4c0XxPoD2bZ9Rw4hGCMJ6PV6a/b/sU3HWG5wtAsTHbZy+ySOpCCt2TaOnKf3VZJjzO9 fpMvr1s3dPIDpx80s/TJ3halFMKuLJPOq/vHzkAS3ClfNBBXtfT82Cir+dur9a3I/qRX+evVHeU3 5/G4AictHwBgHzoDHrClVtDu48lEbwnEUZfBpjVu5LTfoZWf95M= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_div/flt_div_mant_addsub.vhd
2
10368
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jZERul6MUPUJqxYRG3LH1Yc7PbwBxsOvpc4U7ylchsKDsAqrxeRSSDKV5bNnMnIiytkJAqooF2kU DFcbnqnreA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cBbBXvwmeizIN2whVu9BoGT90b8ZpCRbJjo9h21SQQuY/5TrDaw4gP6j1YFk2U7ewZ4FiPg95oLj c8afpIw2jeCo91NOSO80rayzdduIMzpCPWcNuXJElGRN2SL/tD1qNx0KFfeh06n4IhMU1OMlOVAq tHnUq0HDIwzHXycF7us= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SllH9NWxllopSyEsypPc3xX4W3hVSK8ESSYiIB/MMvuoq7/2s3GyWDPxREmZYIqQzTgqeEVFgTf7 INO/bgU67ULnM/+beTr0mO1G0WIckh+K9BIno+oGFYGXPn47x7M9gafCA8IzzF6BqD4zyAg2+74b 8g1KRA/qMtcfes178ksZkpeHbJej8e5gSn5+7VjHYxXV5vID9yrKrOkbfhjsVY9No2/mG9VF0EVV 9Wd8A4FEZ4GDYYdDAYDtnW7KQGa0MTRflC5DpdO3NeKb1/qWOGd0WVjLQ/1IF34128x5t/llpbmD LHC67zhmEs/p470KAj/+v0KZJAlVEyaj3topvA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TsEbvZOK1TsvchXJwBAt0SdKGTi+HjmlWHovwH55Rnh4+lFq62yfZl9epmix1rS1GZMWjwx5vwsR qn6pSkw3Ebe8zDJJUbwgmGRLeX82CEBb9oCm5eGv9rKJ+snQTMPs4uCNpzYMUPVp+M5OVAw55Mgj 5aA7p7O1awXYndWSg6M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jNOhcxVQeIIQ3811DT6AJULNWzhrym6I4BXAnHX4xTO4qOsGHbwNVStr/rTeMZ+lun2MwT+SLI6t DWzwr4ku0GTx48sGgNzrDNq6DOIRL1SN/BjRLCuBebJnHT5AMdwJNq76hud+BwZCjWUg7NOUkxWd 2rHr2+wTEKpJsmzriqC7HD7DW1pRKKGTnZmIg/ZNr0qtXPsbzIbwb+Hmutzw0IrhncKE9Z6hinka kIvfgBdmXCJr2rkdBg1aCO273Hiv1NTBTrz0bhnO3GlfA6oRZzV5GCTK4jm85MKFaWS47Oy5OFy2 KwAk9NOynnjyPIjbHtAPWcVXGX2s+aiQ7eL3jA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5936) `protect data_block TLQBdc1ZMncbZNEF9TjBX4Pc+G9FXuWYh7bfkRBvLq/ypqC5nODWon02fxaxvKxoBAZ2d6M1IuSo gdEOMCicUgz2LV+sc93NVzmdd2alZe9luMtivYyvRVpQA2CSpwCqwG5Nfq7ywm7WPh+4Wr+yd/4p 2TTdn67lM3B4jhOC5dhtveOZM8yAr0Yq8iEykk3W5ZI54942LhHbVSudaw4DZcqF6Xqh7YgwhRDL IR0VoBB9CwIllhufloPjAg5LbaoIClFBBZnKxWrZngOo84jlgr68k4x5LBGQn67AM/kFXJNv50t8 PTZRT2jyOHSP3zDb3gFqpDRTuMJfGMHnHj/stxnUSI5vLMMieC+Mh4ajov3ovwdryfBbT0hgvnPb DOv65Jwyp22cNEUCDUupchCOeCwyD73i7IjjxYPq4ZuBP2yqCAwT2BJBYU6XY58RwVjiAwNhdMWo B5NT2qip7o4USwYk/7tSmDb/eCFglUXPokE85Vyf0pDe8RrBT01qsPyNGbghxt/MIjnHjK8AAtTJ AgZqZx3mWSyiCKuJS4BDTI4loxwoBufGR9+19rDYcmPSHbgdcBxuobVqPvzFuq4VMX9a50vOfyZm 6cGwzDA9RyGkZMti7P7ul4IbhxQiqwYM8s8ByKwU0Fk96VZ3I3VAEl9dKqkPvPJyKZgj+gNFSi9s iRjyvVdornh3tCx55l4GqbdZ2fYjIxckCCe6iWAonmmZvlvU3Q0EtTMi73pSjJ4nz1B+ttvuI3cl z02v4hu7DZZLfpEhirgvMWCSIdpvzUDT0tbA1f+x2AtVG0SeCJHUI4o6Q4dSIXA921+jYPWFToEu wh0IwxjRFo66wo1sPw63tSlCPXDd+qEgK9LA4LfcDedWNuSStQjXTpYKC5k6dREiqTuTqYI7Lzvf kHUJky36kHe6xidTn3/2Pkfj2QeK3bT9iNYDxpSpN6ufCky28iha2FM3OWgUPkPho0ODddph34Vx yWkLxZbsxCOitNhJCAhGrBfLSkh+WYG3O26WFLtiKMBxqPffrlD3XOkcJF0oSVmA0LN/O9Xdi9Gp n7gIGxwYTtvPAoCv54f0bMBo9ot9NWB3gNIppGAsvtx5Wo/kTHXkMOoW/ztScK1BMg1ouubt3X8R AnP/J6rJvR974UiomTlTpt74wkqHpG1LuAN/klINOsD6Ph1l934mKcG0L1n1eEDIKqcz3CS1J41n vnwofT/RX2M7D0EPF8aSpOhKiRqsAnS5TN4uZs//a8ra3dGKOQ/ssV2POP1Mu3XPyaJOnaWCzjBu g7hb9GFZ+a5606tI8cFkr3PjrsGMbtHiVHTikR8upGfRuCgUHN/L+792vVC6x/1G67SziWonvm9b 9MeIFIwIlRsybCe8vk0mGDYOwh/Tr95/K70ZZMeGE1tCNrr4dZmUJjWITKaVWsjL5BS044SAf41B ogY9hN09COlyVP8fKjbmum5qdDum7xKM/0+xN3eSy5w4Ba31gIimf2RmDbkPhGIg4BDyA/cdASCC zRjX+fr3bFzYJQ5v1pTZpfM74xvjRNtvpzQdl+BIHKtFnWYx3nzAilfpB4ugDtJ4uMNQ4dCOCgbg qR3SbVbcb9W6NjahiL5hiGLd4Gu7vNaMXlj5RB2F8GhMQZqvea70Knd0xZUksIS7CBBAWLnDYPRN aydToKJgut0WkWOOLDGdL7eWIbQIW/zI8VHjT1Qt/YXCTuNba6Gq/tT9Ay2ZKq+f403xEdFYQ/Bc bS5nDCrg5BEIszy78wbaCtqnI8DlSXJS6ca2/HSok0ve+ozTFB6Ja8RD98MwJDgDrTxoKNa4bgpY JSkwRWPFOzq8BhU8jU5GDPRTcrNTREcJWd+28rzGr7zXf/7VUKqOI28iJFmfl0OKK2ncCmLmo51b in+dX2wJeEUnMMj8E+RreWL40AQ3ZgcvKQdu556WArJqCQkKtkqAXu3jXit6R4u09BU4L3v033LA nNFXOPg6Y2Msx1Yygr3zECWPAuHhgDVexALQLyKhr5c6mVQstfGytPf9xVG25Z33T3oaJyr8kq9H ETfbyzqpO8PCkzttq1UTaoMBay6h6UHKKVSjttmAlCnAMPqHzQIF2ZBkNzTRmSYN/UkeE/5o5WsO TisyIH46NsnCjRYpyQmRNHlU0PlJekZTcYPsEgkTFa0ozJnbvVzT/drlGNScwm/X2KsnoCd2kp7V hTJ33U29YXQQeR97tzH2rb2IzTK2AIxnWarajfg9GFxTiyh/8FdjRIMW8+lwMueG5cpJiVuZE1S4 uqyjxxrtzEokH7E7u+3b1l0zRMWM53pHNIGytfBW5A0d3RoI0OXk3dRgc6sspKXRWX400/40GqmB qrWrr2BlAZdcJekMEX5xrDDVFRlpW2L9MzPVrcZblkHWWZFHUH5+V0Kr67UA17IIQOU+iO8dskqC cMe54BDE8IrETJkSG2ucs4abK2LitOlnV0UgjfjqfCUh7bAjs0Gg2JnYFuqsKXvcpEO0EB1fjqGe 3cI1IInSmekEL152jKSRim5cUq64B2KxBJeUe5e66yH8/eP9uBzabK4veJew+4yEhl6jyIZdiKqf nDE8mgfkKzR+uQvTeMfm8icS+jhxzHuCip1eSIgihrFPnt8uvEAKD7eKBnuQQD9SEUYpEbJR7r9x n5R9dxg6FCzJzN/eYyhRg50exkxvEWYd+bkkj+jvFPtt5STUsIVOAjBtRwt3ravbpYBNye8Fl/aO 4iplw0M5aUXccYBxDu5zi+orF8NS2ohbFEON7uSGkwXDFOsGZaHsUszNAC2sjr76cZYO4NwzxSUL +cwsfcDAvPpm2UzztFZHQlWEE2GDuOSlQu66/9zmSC45zqJLXSNGYGmQeS/Q7XZWI1XBLPd+yWUy kHvWnGyU+ATHKr/STVj5ZMzsp4jwvnyQqAIc4ucDzGtL6a1VIF4QZFA3L3V3dK8Vu7qHy+VETNMB 0W/3XmXr9a0MaJqAixbTs/P/0qISveroOdFTAsSPA2Log3zG85xLfctyK2OOo8lJCvDTeouBrwnE nb4jdJ5SxP/hPf0ihIYjLEOC+8Rai0clIoj1RqRheDZlzBn6Zb5ZzKUg1PvYtcFpfXya0ODeBFKa 92XPuM4iFe36fCdSyMrAuHhmevPr60GD9KFujQGrrcO2Zk10Va1xKQ1z90TssTKTwB0DSvIy9yrE Toh+ldq6WVu4AcY+hVTebxYi83TxBmDEO3DZSWtcNJZp1EhTuknmyHTpfES3wXOihF97THoAZeTF TR5ebGezgwLCRoA8QRwCslzxvF5cuk99jzNM8EzkemwKavYL3IUTVTWpFzJ7Jac4IQupNodklXLJ T9Ixeex8Vh0Wd3R6tNE00Bmmh3pLgnPpFJCUCZ5sqXd1+AQOt+DtFgLWVm/WLdiHKqmU2UhrsHHH j8EBlP0KlX5a+uCc0Rss/8N6JkuanH2e1PehOicj9HFwDSpHgFDzBUvBdpJ1ZIm1rqWrMPk8iqce LkMXZyMFPKF4dfaG8/7SVw1yFMJbustpNrKjLEpyZ7FDIX4F7ks+rE20srFJFcphqGFADd1LSiL0 6sWd2uvOEvgqE3E0i4qGhBZJOhug8zyo1bAI/kPcp6qD2mevK1auu/bx3z6PKYg+as3kEmGUTOsI nL6BbFWOUuPvtp1CTW4z9gM2sjKwQeAXPeb2PtUe9CZJcYTK0YvtZn76LJ8d5I4f/J9TMLbgF3sr F+jPds8yGyeVU+/aTlT62EAEwAFKTIUJUJqHpghvQcOR+UogiItq+hBIkwW51afTX1EogC1DnhoA 8FjqPwYThvO+16XA7zOTVzNZqQw/TNe0gmyu/tDeW3iQzwMlAVkzqwtsT0W0X78z+zQPQ2NW46i1 0ehH/gDYu4VFCpqLeRBZ0KGgR+83oYS2LfGbuXY5xR4mhJtrxX0SacK4tgJe8hDrD4JDCyRs6diu Pqc7AYNWBwIq5rZ8wDG0QMakoBcrJIAgGXqcfSggZOP2Sf2wLZqk0hc52ecm6CLaLgmGLScZFEHP g+bXQvh3PnKiBoXWQ3INtAD9OZSSzTb+DSkIoDhYLNA7fZ7ZsJl6MVwgDtfeoWQYeE2UDqcq4eQp 1iX9+YK+wwztPKx6htUvIkXCVhZXCdEgeoRmH8RriagRS2GblZp/QzJ7fqmxu+qlZUdgw8C2N82/ MJ/WG27So8NwzGQhC4oi3gjRsLFfGBTz5K6YwZlyOl2gEjXcSdjisLlq7XKDsud66jVuCZx16NRj IflS8rwC/VL2Lvwqv8W9QhNPyZvRG0b8uwpXr0ibDhmc1aqv7TM4qWfEaRncxV1i8mQg+J++KCgs B07NHK04B6Uahh4b4wBAH9F+FNSEuLUI1ZCQ5GQWXoTM8JbrgARNRzowOCUpZmIo8DpY8WynjkVq XyZUG8WvesgYHmKU7prlvJoqc7BYNxgEdWgFrZ/eq/fVPI0i9dVcIvmqEAEMFDpQPpgHxzw1kxfh Nob2LRHIAm90a/AGimj6q8glu9j2TVKhICrAipxZ7MQ5P1mLbEswxLZmvQLl+QGj4kIvI9ePh4Am aXJywgV+Mtt67rKRDBtCb/6qP1P7oLDz6K50/jKCIkv/mx26g0+Ys7Y7AcQ1pWfq8TTIBGDLrpFS 4PXyAA5Odjxxtk3Vv8XZ9JgFnTSPaaCoQsb5oJBugYNmaLpz2rrocatkb+KyMdUKb7NPXbZuAvXY kVUKCotvWyuHGl83llVJ6n7N2NGi8LbyVz6bUY9b71tWtI/JdLqga3jWJlNLsbeVNZ/lX4I5+cNR 9wNDZaMDQceFRBAICgn8dKxLcN1WXQHLT/WW8CivETNWuJsjb6W9oRHUXCSZvThgr5eBah4fFbFI l8ZpOZpZJqQgw7SS9ZJSKdLevO86Rn/fLmUEgo70kwB43lj25LXa4LN9OJH3KrX0m20gayDdNeWt 7wXj+viBhZGLBp3ocARmdF3nP/dRGCP/f8b24ubGO6o+fQSFpgi0Q1/1ywY0svisQnmR2CpNRlEp YgWUSNN1SjAdyHSG+tz7/95OLzidhjn10DBvSsrmkTqAl1/PhrQ9bHnq7frBUfDPL+i5GVWin2qT GyqrmbLXLPGib+olsF94qCXTNcgmpBo8AT2IX978cLdurTMigN88DNc0C94j7Gmdevjh4uV+9dHy 39YL7uujOCrhlD1FFNi4hga9Z6n9kRoOtz6tnL2gKHkw/rGLv6kiRFOMk4gzP5zvmSEapptQ2n5F X3ZmUofhNb3Wrq0XI7U4aKBV5g1fqtS5a+qWbnK7LojPoYrbOMH7Dw+FfWSmNgWWYp0NoEz8VRl2 m16zhOsdL2eTbTzzTWNA1Wn4ylQAMUXicROE5tz9rl6N8u63zMLq/4MeRyy5XZd1LOr5LNrtCrYw SiZgQ1i7ZWf4kRkwUmsZvviCyr4sQwjfOabYa+f2X5xCHhX1Mb71CY8o8Q7Y513jX2w+wq/S6nCE RwMAArd2Szg6g3ByRzv9CWYh/20VoiR/43FFCcOejJDjn4thR1xdortZlv5F+F4N7H9SJ77RVhRi hs9hYDD2ZQA1sKUEru+e4qkrs37xFcsT+SdklfqNxhM90dJff/hCZuTVhT9aiBZnF6f13EHL5VPI VvY2nUQhI7H/egSopHlOW4rw8UXXdFNickWcXCdfRnFNcnyAOeOUTeway+MvnyW/GtakkxNa+C00 beimPgLtLTMMHjPErE/LA2f0wHDM+RUia+qswL9eX1UhnrP1JTjDDSwPZv5VAD4ClrsmWE7VuTPE /J11DJEUCP/6gXuxXKySyTjpC435QseS5MHeEiOY865alQ6letC+VsIc9oHX/Ufh1RY2FsRAvZWw dxXCUe2k30vLfES8/TpB3hSdrbKoVU4mbjR53MHd3uNxu628ZLqDzE3wD9nrfMDA/L9R//vqGCQO KWVXpbeTJcTZE4grF4jLMFNzMs0py80X0C5kRYtmpOh0RntnPDiG/4EyZ7S7WjBrTCd57yjV806b 7rMpYvnHi4kVlHG1+IXllnj8isfNzBlSQ6ujmeYiaxUoAwAiHit5KjNQSBzeRjVYjDF8pftp1iqc ZTx55WKjWukBZv3Y4Sy4eOX24xdqNbD4Cl38qsQftQOleNW3KgtqDV72VHhCOUJef5CHINsMYkxp Z6DeqcemcVp03xxXEldZYYzrG2NQfb7LYhlXGLaV0plTD3CJtqRfInCFUzkQ09BisumVpBqh5xch rMwj//Lo38GfByvNyqklgIXY8R5Cej8fq3CI9T5OQxz8TGcfiXKkdxOoSFvlLNp2sjiTu4Ca5j7i y8g8f57XxSiEXQhS+ubOzziHPN0R9RYxRkSx75WeOX/h9a1yWXTfPnRYIfSOwiO0jd3UYVhs+OMI OSSkM3oqVydlh2SHDEajZ3BtSYAbD7XGRLK9ey655Q70PM1of38eXp60KudRM7Y7OWLeVVrf/CoQ rieYzYzOslU8iMdghrnNKybZ/D720qrIjCnytjjFGlPth3Zyo9T2YP7EmviDiQtjW4ku6OeRkUiF VebKeF0H/mkjNzHGiRUnFLlA1wqPSHnX4nQBnJ0+R+znkpsqXiSYYKJv2s3ebxIfsOPTzVKrYaFy A4I+ni1+ScQIBQNkQWxr2qd2ta7FcqCPP2EtrNPJts68fVJvgiiHzPaRa6DOz1uVS4s9TyiELm7I DT+0Ap3ZvmuazJy+jLibs0rutBMPxSTRKOXLZ+aMqqBq4sICE66o9ZFxM2HXYC3VTMOmRVY19+uu JcYXMU4zrCI5FoZDST+Uq2fKrpkcjBfwsiczbXTX+iwq0t4LWGmaCG8lMJHqk0v0QgGlKNarWpPw AFJGOIrALbIABg7dnOEUlyLCtduI0ERw+wSkBevhTvniA9rGxIY0extuQbxhtD6Ayg52D0kqAwiu aXBjFNVr0dK1zFuBQPzJBCd8Z8yix1E0EC8dl46X8Z66G937N9zc9Pf1biOHGN38NAb0tm+pa7V3 a+LfADobxYnOVI0LpEnK26RGAXO/ZGA9LRbcvI9vZ0HAj1btG+nlxIWJnkMTSlHSEQYN3oCnbL6M eGuiEkqjCryEq15s8mUFZZZ3gX8Qgn37n1D085eFFhY5ChUv0L1qcxJjaW2v/fahzvDd8Y3fmNvV UNmtijykmR+QYm+neACfolVZsEnG3x+LdreJsYu4IHEnTBpSLbrYC4LSpp1XocknCrhlck8VB20+ eHMZVTTSpmZO/Ejp7nUPJFP+NhTO2558Ud9Q+tq/XISsi6yn+zmGEvFLAutFdVeay4F+IXVbuUWz UbBXmHRxRROyOW4wT+haYIVI1pBpXC/dBuGl/zfqtMP4VkVisW2lWgr9j8Gbd1Rgi6ReoUCEVw/1 FKsvTPa5UOA7japJAiUKduNjSQwXpuEZ9mPJFSA/hib6bHQA7Rewu4gcgsa3l+DYME7Qd6dNqL1L 9T43y2f9YadWfrv4Gt8YNs7W6ARSOvPZooichUgpcvr51yhwBl2efKbpv5J+qSZreCmvks0Ya0Im EmHHeVx5JlDnoUTBpNOufsQAjN3e3mW8P0uIBkqoo0+drtUQrJvrwaqkGQstHuCwQjRZZdwSjkT8 39Cy+PZDx/5MVEZTeBS7HPAVSkG8fUuoCTvFMX/hxnkaWvLEtjYOWNnkd6AkdKn2pWn79ZHXCGhM /T3HpIU4xgCaLeVUwR2VCnzgc/QAKDZX/PoLaVhZyRbgvHW1JtxJVB4aqDf7X2LcfY73ZIWCkfVy 1LGovC6311ewrmLLGg0SLXD1JRqaCks7NIXpdS1YNdBv8ZIeaa5M87TOgs0+/EP0sm+GD2G44DVI 07BKfy9IOZo8EbQ/1xyA8P6zk8HL/WLot57K6mB35Ta6eXbAtOivZ7FPAcY6thDRE92LSK8Je99w bYS0d3uAwEI= `protect end_protected
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/clk_adc/clk_adc_stub.vhdl
1
1147
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Mon May 26 11:16:42 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode synth_stub -- /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/clk_adc/clk_adc_stub.vhdl -- Design : clk_adc -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk_adc is Port ( clk_in1_p : in STD_LOGIC; clk_in1_n : in STD_LOGIC; clk_250Mhz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_adc; architecture stub of clk_adc is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk_in1_p,clk_in1_n,clk_250Mhz,locked"; begin end;
gpl-2.0
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48a_wrapper_v3_0.vhd
7
18409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rPpnWVEwFOHea/W/tudCqSj+l/CvtCsfG+4KTnbybMGqBu+HQPpFMAIP+hsJlPt0V2V6vnVDZhhN nxWS2MLzjw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EGcvM6OJd7fvK2pb/Q3EtlWGzNoJ/56HrafFHhius4Q3CRG80O/0bz8xLpQ1quDCPMGi5LhToZ3+ UtDKvCXzDA26bIzfBym0Jj7/d4I7hZs24aTl1eIHCJSD9tKdN1VAcoC2wVq2NwPxkSavgdj+K5ve QPCsMPUi2XsU2rVCa00= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MFJOxxwkfXIzr1lklbqsHMRrkfa8rPXA2zSRJzgRNGYX7cZK+uWB1SnPVjckaflOFGfx26J+9gPW 80VcAmR2qNafooyrw/viRmhTf/UFdPyGWLeIC/ROPOBUC4i83+ys7fdD32qDPCVjDn0vITpn2MZR 88TiVHSeczn6d1JnD7pd9HOCzDN+sD+TcjBPqfG+bZQAzpofalkM0qjcRzqWBipgMCYHNskLXRzL EwIwfpA9j9GkAWj3wUYLLd3AmJm57pilDrT+tpH3bGCXoFTPj8WVE2K1OgRkgx7tggnJlPIxCzXp 1RTnWUTa5cLtiIvHaOGlHD5OY61N7IVINEA26A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XtyKBgTMN2j464PDFsuoeAgTQGvie3MYP97j1MS9IqaFQAYDsMV9/JJMTEYtr64T2UO3j5fOSWKW 30iz6dSNhDJzNh59Nxnmk87b1UudmBfjyJw0Aq6869yReTcZVPj96F3IlmVwFoMhIbHYv5+Ffz9z cCbd8bZSwhOFY/0B8kA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RxSuZOOBql5W0fva0jDjqYJ/xnwDn1O78gvu7Pk5S8GVXE3Pn2yU7b+VqYlJPLKEl3HyJgtkv8xW js5nlgBbDzu2X9z+bR+Zi/SYN5Kdzo/XIGU5RDrZYTwOaBvKkGjWgXbxnGa61u1iaHph4UHnS9Xp rFSVS6BzCunqH4Agliaem7d0tuNVlPzrSMYBdfpA1vcFYFWQyo72m6U1+h4KJwNoxNMJojDTWti0 AhJ6bxw708YJp52k9yrwllEm0sPiNelZsx3UBf3AqT5gxKIZLXlUi8jNQxNVXppvtlth2TggHJof H1PI2kcMmF7mZGW25IkW1wq2anIz7CI0+Ug95w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block U99eaHjhwsZMfarCRKSwoQJKt1a2FAdGgLT7kYUx8j9ru/dqnwh1Aww7RHV+QXSa8oq5FeF0bzS9 1eP7K6TJOj4H2ECwb79UynM9i0zAZyPLU4Fmqlwynh6oblygrVxIZk+peLn7lIGHeQwk7GQH1g+6 +ucmIvS1JrG2cv3xY2blOZR23iYCJ/t8XpCqZXrJEBpgQ9gqAo13lpFOktWA14gTqeJLUveT1kO0 dNOnGvjanjsVmEt/uIWQTGBzqIa1JcFEcZtMCQa+C+BLV4qoB5fL0O7ZzigAztlJEhUUil+c7XTR 0FqOJlFQCaxVmZN6/iQfvQAGv1OUSWgPRKEWS9MmBr1PaffssjuW3sZbZZ3J0U2sDxVA83ImPkzk kTRE/uPE7tVTZD0f34n7+4jT2Oqqg/l6hVdTFESLkvm/VWQik25cPg1m+y3FjGUnFe9eToJM7z6x UYp42UhmAgsvkQo7Y3MptuoU0hXM34J9PpxGJfXcaF9l6Q5aEFkO5M/gYsJxMbh4oY8NOFXtOjqX 7ufuBdIFqfWrt21o99XgWCdqVFx0/LDwUEY19mouMztiVLDr9hX1b7G/s14Hu93ArU5C1F7ROpch OqbYsnGJyQM3OQTvPqTbROMIGVON3E9v4eynzERo4oazOb7CxoNYzFXV/zg9mo1UNqL2MG38Qj7+ mIOZVY2+BSIXtAKZz3AICn0YXTk7fFHT20BmyXxOdsvlOFsWwVLwJqTkogEmqBy3S4me6HojhTCH PI6p4c70GtN2TIjP0vFIy9DmO78R9GIQ80fSSjtRs4tIgvOFwD4+/KtS+PLxoiXuUq+cg95YsT5P bDkaa0La8y963xbjHif2jbwVhGiSoWxPdnFYsXwf5A3ov7UVcEbaYzjylJ7vkAHXikTYW8IUi+ud G7hsl1Ja+SYHk3AK3npauAo9wgtnk3Va/qQNe5Dmh8NzHAbl8tKQHuk1fG5VK0PTG5IqR0wVvuaX d1tGbhJ+OgqmEQ0d/sMNUjWLOpETwEQ35EoBT+0Il7PyC4xftlZyA2ju+UsnO7Rtiw9nMpgAD4i/ 2eIBmdkMOmwk3izaQ71tTU5H65nTV1Gy8oqAczPrszxOipBg9282wiaEik601iGsDjXx9XosflNq ZEMxghDEc9AcsZLQ9Ab0unWvXqGxhR2GavZI1fMFriKLWEsrmPUtGuiwMf8x0X2il/Uk5X9t9ErN irjJ0Cy3rQsgGQjE9uHDYQ9l1gtaeqfFpaiay5zi6HTl7x4op+bxJv71s2Uea+1FvLgh9SY1OwRc dnFzr35oxiopRcfCclkwK1/2eyEdhPx5qpj9KM3zck6LwE4lqfdEI2UdHbgIJQvb45iOboN+lGWr FkNtdedGtxq10Uq0tXgcDpshCE1JaY9RBICTWbC7jRYwlmYwVI+IGoUhgsHYpCVC1Qc0hMxhJpd5 5IExRYB0IOcLSqSGjzZYjyZq2mjkmgFrBDY+wpdKx1gdLDOV+6Q98xBPQmy2InpcmS7tUNRhfO/l 69xo5l+mlwryi9YF6N09urje4yJFsF+ry/pka2HGZZkepjmc7FYLg38kXh7++npwZRTe4TWSLDCt dBSkmEySsAcMpSY61m7159fHeGTNECBkeHaZ9cpmgbryKCOhZN5DhBY/AToi7JMtseZx3SctMeyR QLHQUfFJ78MDGxDxqRPOJ/FVeekkxOcD71GPi8NZSVVGKpo6xMkM0Ln/N5fTXuMzQ+1ZSxA4re54 uKi4W735AgS32k3r30PIx3RL5xSC5FyeKJRlWXp9VFE0VLQ1Iy3HAS3IYjjwgLdpr/I22mXww9KN xYbJ8Uy4InhQNA6CpEjWur/MJvulJavc/fSv8r43x2LVXuKzMpWCDRt1rnv2ZUSrHvUMbn9sv2OM ierEGU70Fa1mq9DL61qG//UEoDFGhHS1zq2b7bGk7a7Rch7ljIlhdAlltywGen6z9S7rQ8+i068r RqABiQTNvXJCTSMA3vY7Pzdx6qr2WPciQcWOl3MWoHhrrVlTOwbbGnQlfy1DTnryj8XqTYAPhPtd wE5NvCyOPYOW1AcCUbCwFaSiYnFVYd+S3N3M/LcJ7PrTMy+F10ni2vHs4DXJJ0cpUE0OcW6/z0Y+ 1oUb/2YA4K7+FZah7dIrIb/+aQHPV9ZIdf8LdK550FYilmBkGFYc4bRow97PaIyyt4BOHVLNOzBH IBPYWZHP+skZid90Qcbfk8GDJ9THT0dK4wuMoczl3ZBGvbYUUAJwJxcmkH4rgjsk78mgcb8OvGo/ ZXeLMvzlU8JDNaJ/vpTlTCoDtVdWmWLQHuqHZUyxuZGSIvf78mBowLMcxTOqGeD3MOReeBeekbOr rvlJRbx3KOPl6FpGNSAZ5JSaHRFs8uj19BjvLLOs6d36cR6m5wr54YDFm/M0HHIfPxkhPIZsz0Fb 6DD+tyPrPsAbqQwBcjcgRWrXKa2srq7lYjqmltXF7ZIe01Z3KKZa+MW8aysfl4/HeQcs5eaaPL9B 8d33uUHMTM6m9I6o1DB6ENhI75H6HSwINkwG44ZmX3INm66WuLZdkX8Fo+0Ed0H6V3oth1jhSrb4 j9GLC/ZFLas5UbZL1pOrismBDKq63wtn5liejpEVd/vy86JZCHtMA/50IxE/D6NlVqcEtBT+6Mut 7/y4ZOPQyMGiQXYNIphP8vJD96PdA0xruM0jZS3sdQDfieDjULU/DwhXZOhmQyRATx8Y4aN31iUZ GvTfvvejolaZ6gRRrl5xSwOMba3uklKVKeOoFE1IAN3g4ZPrLmInQl4WsAsxtSXG/iy/f157+TeY r5Z2ZoJQCQxXIq7vFECt1CORMZ9kxN4IdoGjlVsiKnuhJF/k8dG208ssl8qz0fV3MbpXh7FWlhVA YGFxIpVvDh3wZEZhA1Dz/RJw6gGS7oTXiPFF7QkxHhjVn/yN8sMVNRfk8/AzO/gjjwFiv2zyXJrt rrqjyNRkd17fw1UT12FsQiwaO1cxgH7n0lkcpSQ86DZsEaEvVSfBP921S6EarKy0kXF3BoUDsrvu sIqul1vuxlaB1DTdG69VjWd4u1k6HGqP96qEJtNK3n+LukIcL8naVkZqk3S6pEINkC+UJQJVYLyL D5Xt6Kg1zTb9oOB4n4i1I20qlYAc63uTEqCk7f6J3d82Ofw64SGpZPkXXKM6XcAcsSeeqxjqxhj/ F45Ac0AKGYqmMMyS16dRUF+RZ9oZiPw7ZAZnbDcMaxujZ0RtIQsp9RYtoyN8AtGk60MudR0BLujC +wn+NUnhnd39qqih4eMOshQkNYeJ87rNDEjnh+qjMVf0aePCiL+Lzi2rYsAczJrMY9PhbYj57VN4 hLctOSqr1elUB8p9c6SaWBQtLijSBBDWg44AlhNEaP+hbdqiYDwsJZgoYmcfN97Vi3PYS3ZMGgD5 RVnjSYf/1HxhAtbg8ELdxztT9m6d14Cb389KdyJLuy+0PJYVHPx7MQWPEXoAXiKvef5CdoZ+NNG6 RQaxCXXxg5QcJtiCqq2tQaH331Ix70RpoPihJ61JMxARmoEus8s0pYZfjeGASQwoPzXyTMgGa/FR EOjG2F33ubJg6933T09DiXntBJ8rEHkiHMaABhvlzyRKmj6z71Ck1/Y6sGhthA8hjQ4IpP6VyCqI PzpXQ4Qsh1JLIDiS9LBVDFvs5peHsu+Q6GXCHLK2U64rG2kY8ZtvFen7xBNY+ZCDlihKq1IvbWVe qPorCLRYGO1DAAk0c4XsrqUCx+79zZwTc1tw4JZ+EvJONnK2ctnNLk7QddYHEknUF2XjJHz43i7z gtpjV34Ng+oSfcbko99K4sogBe6jjnGOYzKI3OeBCvNim3MB7GZf9j9lN7O+LhgUM5OqEcvex2Y7 SzrJ0musSqtdGbTYmTMlksy5u6Cp6PNPdDJ5WWCKecKf09e3ptmGfVxmAjURZLIO1M1Wdd9dxMN8 qbLxC0an/Rl3Vp3wopDAkQU46QwdSwZJGRryfmi8wWxN+ahkobcwpuocWjyinQNKkCzLba8r9uTU Tvch+HVSM0jnOevJo28Eor9YFjdJqA1mRXdx+n7qRZdqsiPL+QodgL7uPsPlJUpetQvMAaARutQz VdqmqcH3PKQ0d74E24aEpE8HVt2T+BSyX71qetIfMIk/xVJ2e0DurqCzQC4qczzwcR3QkEnRvpv+ 04clgZZ8szFDQJXi9A9O2w7WFfV6Jb3FJ8IEGKm1srfnA+52adXnQKjaVszPwOSm6gRBuGJFtx63 EQjtWNr7OgLe0Vat/pMMLs9qx7HQeMLVuP0GpUdtsEpgEfMTreSP7DMRBf4O3BwcIOvqyejGRs7y KbT8Ix6RqSrm5Yz9mHK9fVFDymfyobdHww9dKo9koGleMvOTzLmZsJyUIjEXFny49Dme0BcWSKrq d6B9ygFxoPbexNpUad6ElDbO+CFcCLT/I2QJzFIOTiuDgYesfkHeLkDvzBVZsjo2x6ccFj1c+IMa MGGaIwDheFHpmrXbRSa29vIMCSzbDdFLPFFCOqZ5eiIgbYLUJk2wybDNvQQ17i74mNmajYNC6Nyf YJqoxVaY/xQNTNVPJLCmolPoIEpt/cMPiwcssq9O1owezWmX5aoy1poeoUSjG2ND06ZNIk8uH7BR ZqH9av7HkbkiwnFUBOdERtFbBbwjoDLusuVu9F6XpozMzcy+5sWKM/6msJEZ6Kn6RDRT0JFEFJbK GF+1UmZV1jvXYYqdWZTRSwglRM9kHs+NKeQjKFEnAMwG7nUNfzSncxKRBR1EPcjhXnZ9OIYWfe06 VgDEmfU1QgBdXKhtywp/rPSejs8eHPqmy6LGLPwZmsrwqDgd1qD+0/HppdQ/noVqCV9QemXOa96k O04KpI3Y2tqjpavP662IspLfKPsnC9Ng/t2foyxrY/JTxNuazHE1k2Ohg0rBFxfzFK4b3h9IGNQN K+86RzD/2L1xGygBccZ2m/qUp7kjgvh4SiZ4MKwHTUO27DPhEcbMk4s+DJZg8MVKCr4O7ddeyl95 A6M9ADfzcOXapROAIb0kEsV1L93BH4rpuH1V9r/9gAXxIUJ+icUOYc14vNxVST+QNKwNFfI91Ldk gtL6MYAkgeTTlPPeqNEuiOTXSmonJHBv0UV3In3Kk202xPUPTGTwa4GzM8Ruo7trykQlsdTliUtV oPUjcM6CSQpvWP54iz4cVoVrGoLmvVjyxaZbgQK2vbqVxfXPmkaDAEIg937uS2rCf3E4Hh51FtDr RQsDCpJYA5YInLpDeSiE3iVImm7BdEp3/9RiufYqOeCqpjVCjWB9zwMcot/G0zi4sENL2feMTjXF 3IJheMgJjnQ5Hjj1n7dbvC6abC4ZR34rAD2Spx8DPcJUGssmmiZ6Me1Tcy2bsK/Eguus8cHSLESY Ze87xIKX/1B+2htNoCGBJ/A5BCyT5SI31mJdV5Tcfm53PtBHrVdpq0vUj0XLSUaUvANhbdLE2xMx 6V8zs09VRuuwBcsz5ZgUl85RQPtyZjYsvs3+RCmJrPDL9k3/+xPcmYBgWNBPY8IuU/ymZGSSDAPu ZkrGRHcorXwG/ADFjIJFsIuA2Ex481MGN9p9BZ99iE4cHGEUpxgs+3BTa7dAqVGdA7ePC+6QAe7E hA1Av/D6woYTXTbucrTcuiGYVx+/RFDJ3g+4eOUqBbRV0gpiy66dCHb66MIu3O9Y2icyWZEJzP5Y Ip2W0f49tBwfoFSNAonObUXzirJ8CBfJM1Ra8+TaOTfMcBi+KzQ80xHG0yGFJcWlM56yvLbM116T fLLrxyFA2AqDNPSsMvLxkNVVcgbyXjCNGQRWVfDTN18jr0BnrpTqZB6OhuaA7VanHTTGEs4MMMxD qMyvzJVbLOUCdOyDvSw5C9rwgD3X2g6u774SIFJ+Fkwi/bW7Hqyj2w4wzFnmXAz9BBy37/ohZRGq oh5b4MrP64mjq0JuuLqX1o3qDe1bylLjv5UfA1hSe54UxifuVkMEJyb88Dv+oBQwr0IIiuCVHloF 94LDLJMVoxS0P2z6lgEAbQvaUhejIq2Rur0PxFScCbCjjWvWLCvuTTcIXflnua4WVCUXtBVti78/ 1tQKP09hJ4f7+ZwcNIVJ7ntSWFGQeEFvEJpLPAouSXXuSm8hUF5SW4NxcMvvk1h5tlLca45S0MPx EU85MRIIQPqWdVgE7t0gw6jjYJYmPWcLlc3qxkplzNDMFCldODeVYHJeSigLtac+e+Voerd+IcuN YWQ47JIJwHe1ePsYmLiuv8holYg+5Rqn6jzeOrKMrEFl8sXHQcfsF0DDgrteEvIqmYO0bZxIKJqN 0kXXZoVVAjzzd5nXBpM4Y6z1GkpeRZi88yu7l3kb7JzpXLRzkCgo4eMnaTsq/XdtDnVUte91raMb c20Z1iRdvtpq6fzX76cjE80+k0lsrYUHbRHnzh2G172/Z2pp4Zj1fguVw6aL8suTl2etlysTv+PD w/JhtcY0q39iAZ1W3rvNa2YU9M3Yyedoi2MqxdLL9GnCvkXvafzHH6v7m/odMC7JPrSYoA2Ywcic m4UKhUHcU6WDbMYBvGIKqwX7/FupzctxJFRImFoGJiXjwBMp+YsnnH9x18ZRjLTAv3CjMZec1miF 659zOe7dWfUrrPZ5q1V6ZkM6NTfTswquKdlQ4xvxv0o0dBjTQ6YYAJyo8CDk5MpZBeUmuybLiS7m cgV8NSJPrfUjYA1OGD1gZmPGs5wAcHMmo0VZWTRODWlKWBno3noZuY+i+ZoovDWT87Z1TNHkLBVE JWTG4+MrJYWXthVvBegF56rauQ7Xuyz1fJiGqCdUGijkLRYszQxRaoRi35tXdvobTId5z0soH/+l 2g+2NW3pIKPcT1GM66Eni32ZvCUB+L82bQqqnRTSvoDOHxe1DyuKakW6PrFLpqozsZybIk6GZJdc zpNjetKqCm7Xdo8xL4xSlCP83oY3qhNAnTnLpRjlPJMQ2y4kEu6hp8/MzMHrB95JDEMGgby8Sp0N iS3mdE+dH/fewwSft20Asfw+vMFDrUsOt394z0VTUjun1Ne6BBBt6TBuC9RSfExkCfoNpoUZYUiR cca19vmVyhT9Pw3dNsopWiJ6Ve/COpfPgd7IqBZtQexlkkSsGmhLTP0N1rSdiMJyljAkk+Io/+Ij USsmnHQW9qkhuYvwkEdXUSIqDN/A9wAaSVfNrfeibBRYLQgP9qraZI6X1HZWChRKBVaQbF3ClCdH VZYI1lCqqeKgKRKL2RLbpJkFwytKPoxhz1AJTa/ldEJomWsgt1LuZUs3s6oBkRdAnEjWEkC21W/z 9nTx5AKFF6x6BKKZPEkvnKpYnKtcl7Jqnk0k8XhkBTC9o8XvMxj7JJvyePFpA8c/InGhYPAo7a9q sEnFhRXckX2cfUPb4Mo4IFGJinFiRwTWm/0SrYHiCOso1Gyll1VL382bS/b7OaMRPjzAepaKWHC2 AoJMXUMuxgOgZz9rjgmyrhrVZj3PSGQ6iqiGmSWO/BlAb9eLgE5UkEjONCR00WhhR/nFRbpQ2R+E xMFXXOMThuv0seXgeePtTsDRnAz5EaPscfIS4ISbDcvtqcuZqc5TJaQebbobnvW1NqB7zJ8VFomt ZPyNy7XgHqc3kWkUzZEoKsCQtMHIUVI0+EGxZTSYA5p0//d+ripTra+gBiVfVHwmrMDV9NjsZDDS 9348sNiMd899SQ0XuXwF5WuD9AkknBEz9jy18eXcij4wf6AKelq+yVeq1us+SVXXh6QJFi+9fkdZ c4X2yzeQXV7z28p0V4SZFDyv6rug29xm+y3qToUUzpsYhC57FU2uWBNHoThw/eSxs8t1hh3dTSmS UTp+/IkArETrZocjGxJKZPd+vUP1BaWXAOgXN2ktznQf5CTHAa2DQytR7zM7oGQCt96VXBMA+3nK vKzBEQZg5FIbD6RI8V/Gzal9ZKZKIKZjU8JeIONIxqUhRP7kHAcXwjGYB0sfl3Y15phMbZd2Yr3/ kyp5MDHqpdsTfwBbrYb8IZROHtS9ESJC8UnAjS/jaJk/nn+dRftCY0ay9YfjbNiBWa1E4XtEk8gx SYwNi+uaYJrmeyLR3R5rh5UHnHwM2K5h0qKOeIZ/DIIn5d2K7NJP8XlW9i8ASWiSVhmQd+wNAs10 Yw9hSorfJTeIQ0mkUE9Ay5ypG1XTxIDNYQZRyUwovIo7KTF+vhV1oKL0eld+r5hAsakzSb3w6efF BydQxzQwgR7q8AAoSaBllUXTBHoRYlNewRNTu+UrHxNoATA0K/06IwixRjcisHY58UTQJHV/0fCU ieQu6YE69Ahsv75jqOpRRdHzWBPGcW5LCZ8hXzzmfcAdbfaI1aiKXPLWuUFWFv+BnJK4Q5ovChuu SBHX6YPstehurPmV15BFZdxNosoICVWUWb22aqnJ9VLdhvJKuxx4Dm0h9G+8kW9rcMPHAN/TOyZc dToVlgpwz3grxg1kYv8Rz3u/fubv3SunQOa2EpW2kCBlxb/bVzxHa0rfzw4bPlMTsRDZ02a+RcXT kii1j03JFNhrEgoOEz3R1zvn9GtjqY8UYibiJiuYAqCkhdgPo0rYn2CQtnjX/mSq5ZAiY9iAmAR8 Uf9LQc6vSbK/VX35K4td2XJ145fWyFLR7QdiY9AW3MbSSj0ejLeKWwAaqzAi7NbuSi5vvss5Av5s 2kbA/ek9tQYOR1NfxWIxOQwww/JiucUcJC938xWghtu3V9qXqMn/9BF7EZ3i2uYphBgNvXN+fvIK G3RuCU5UCkUb5Fez/u9Kp3mxDr6a7C3xxm6HW2PzRgD1VtRYAfyU/Yo2ekl9zC9XdgJfellps6dx Cgi4FbOYZeHKS9gD+PhRcm+6crNmG65o4UnglEa2qXsDQT4rLxgzjavzPvyWBDtucwx4p0gq0k/+ xibtrjSwxvWhw4P4YWjH9Ex+rX+zqmnm6MIw2PiKOF4tz4likZY+Y0cRJYu/lBJIFkEjg6gdCaRd D6HJgpWLLkVmH/WA68asxoDoi7v9WLrO0QUU/cFmI4jAtqUgcI3jK7ylrA8UZw27S3hw3Na1EehI d2oz3qwWmnjF5dtqhd4q/dSk3EDic9JSAUNgnTygaSVMeGt7oSWiTID8Qcy8xPt+9vLlNwzadCex n7MD4/tgV8BrZPO/8LDKIz+kQIVBd5HYBMyT5d7XNK7CTFCdIwl7u/ntNXvthoaodl3kN5emksRK oozQK85W5rZ1JxWjJllKhhlcRnKOT/zS9n4/q495x/RrlhWJbMkJOlErgfdDhnvV1hpHCPwxFdWx 8xC8/txdaRWMlzgNesrHL2h09XAMKQgS28BDkmPuefQLhAw9MRGl+w72o2UAfM0/xmUd8E0nZc05 Eoxz6LjkAYecSZ6mXM/rswaD/zhXtUZb2VfWd3P7bsBWiTNnAwYb7sONdwSBSqQICv0w3cUWKk7m BEeiYPo/rv7QB7ZjiMvwXk3pPuJQqZCQmYxii0KnK/AhAOgG5eHBMmJDaORBosudhOxieTeEGjRi 97UB9MlKuxjtXhMPdOXwiuOa/gRumlZaJVuQveDNbNsoCgoNTm9d5BKQwDZZEwDxTeSZx5oG1bom bbVHPzKvOu5lhFi3hMtZiwqpWNUQbPLBxFUGMGKMwOmWBJmhG7DsuWr+bXGtZmE3u3ucwnYcYvUu pe6t/xwX2qq3r1Tl66NAEMhocXf4eec1Tg/zrUQYfYKvJZGQzHLmbJDbxok33ExdY5eu4FkKK/Lo rnil+e/agnrOW+JP+T34nFnC5OOk1NjFIAWhIu8N7TYWNQ2o9ObWpVFVqEPbDHqRb+TgyzquvUDd 4O/cYd8g7rhrGJ8xQ/Z8bsxjXl6nw4BML+2yxF4BKp8xXBvqjs6aSiYAFfkICAyQTIF9lsWvEJAu hIbN4BDYg24/b6BHVwKjB94tf/F2tWN4+CuF64ZHJUUvMKTZFnf49gusNUzfBkVX98q3PtlM+g6C Ek6kQdTpx7dxE7gbgM+aQqhdfl/DZ7MAtx+FZ0L3mbFt42UDloludebETXtSxTsyHkLbHUt39DYq I1BVmvl0l8aM7w9a5s8Bb49P1ZYgZ35qy2oJbA23tKjOXplK7P6U8aInDFj4exrk63iNqYe/00av dpKsu17pmE5EUFtNHoyiMml5+JVceqYKezUsnegM7p9wHQoSlgn6o2t/Ob5r6GMIejhfFgMeR6vQ sXes/ezqGv8nFWv0JjUtKQgoixfaTgaCTWy6dgQrx80Oks04OUMA1gmAmIhS1VfZ0qToHngDVMly 1zGjQw5vshlj4h4b5py/Pd/IAPfFsyLAKUe0cdqmmlwI3KpWVvSenwCDIY+mX9q0FGcklOTYvsvq Pe1NcgrEZ4llcuzsA6OMreI4rP66pTE00nJGDMHJQ8PZx1sY9GZNp9Tyl/ISClyTPKPz+orZK2rJ glmaPNxAs8w0IXrEeR2o7NQQC18JnonXegmUNStHVnIixzph548rrpoYuzbwaefvCMzq8rvtefsY yivKaKLsoVZ3Yiaw8MXs/x1SDUuEWT5FZ+/DScvJbldjzocX1T5BnlS+aQm1j3DIjLCXKh3e3Yr/ lDX6AjJrFpQV71UnDbGev518FKS3WtEnLqMAJ3xWM8FiAJf5cyB974w7U1yKiAgykfsOif4aDB+0 bSGiGlcbLiHkPDSlMqSEzZIBH9JwdRnEIiG+DPRwEEkiUn42B94AlC1Ca3cjFPTE0SW0hMg2/Oy+ FYLduCiZlAx3LFvIIrs2i5bD26PYLBcSRJMGgtf7+/grecOPLLdSLtzY1ZHSkcj5eI5H6T5oqBZF 8uXCvKamM1IYGpJoh+hQZ1Dguolv6NXKMDzDonhKlozQ9mVzbIjQ6j3CZJUsQ+Fr6rFuRWzBxNuq d0ol7fJIZ7CdCgjqcgoVZYhRznxO0y7ibGCOpkcpkRfuXvtE9U4z2NctABPAKfvP00EeyptKpiHI ndavrriCYz0FAEJMYkrGSQrPNHuWkcMV2rxINv+s+lsjS6YslSMzX7ChAiZcAoIAUoHRt36MU8En MkL+MJV5slnqvUzcO7xc05ug6aFrCZLZm1W2/TdIbmb2UUj2QSbQSKtvtr6Qiym9FMnCgEmAcZQC 4/4gKPUjUSyymEPEG6uIzRSzxR4ylpSTsHrNvr4lV0USnUbgAR6nQnNgmIEEDYf+80uReRPXseP+ ZplfM71k5nykQ2LqAEfMNUhVW9iuzz0sGkE94UxgMRbYisLMBVqBGIzpk5hYnm9iD9LghF1uTGcy 86+cAwQamcmiH3fSuFuaJHKFKb0n9gtgMYaSme+VX2QL/fUb9F9gMCmfUFG8oYlFS32trS+33WRE KfpnF8nXCbYt77bM4PxiQw3KdT98x0Rd6sF/m0tYnFzo89z3uk9BF+jwumg/AxEqZHuTeQGo3mri Sib+Qi2mBEQ9c7ZJ0n+zzl1stMxo5dfZPx0wvuB1CZy1B+dSKlokjWaot8Tk3UfIITelV03Ij9bp ZaKFbO5ZlC5Ah8NO0jEfpQ87zqFxQDXWGZ4WPOtr/GsSRd6JoAcGI8eaPQtWdGTJ2OySXgXmfjM/ WD0MHpV8x4o9XjNICyE4Yk5VfqyeXA3oDzagf9q4UvEoL/gAxTBLMsrdJ/ZqOmpYdAZHYsumz9wq 69p500H5gQuQfqDx/4af2XgJ+99l5U9xg0I/ZwZl/FDWXyo0cWGy8Ex6a4VyVwhc9ZWHjYm3KkAZ hOyVbRV/+4Db6JU6c4DMBTXgyJAbgbpNGBN3mEuzA0UABWQujmY6nlF8ml9IlgiHOv41XOyuipDN GsTmWh6aRSrWf/boh+CFjtJa2WKllxkxclnAH4fD2TS8VdCYeS7odqy7gzVgE5PrEcHx/5l5q4pq h/2/ltAZ/UnR7zE6di3RCGgihIfo21isamdNAKys7db8/sik79zikKKxRPAgDlw1UBGcJyJQyDLL kpkkDdZt8fn/fSYrKzqWtqXFqVnkMCpGUrCyydAc/SXZJQFLLnVbtOCQTFaK+eQPNJEG0rgjo/Mf cwNnOcj3hNNR/PhZ4iWr73rDLlX+OwgGBnm+nLSgeW3J6tWU8tWQ+XUQg9hvB+YfLUJHn6FpVfMx vc7GuJfbgj9CCGzvD2D34s0no5k/HPIxv4Bah/hV3iRo7CVdKdVb9U2kcS4+Ot54XdsXIEHOVZlm oNBQMQ6PoHiC7Gr/E5XsZU9wPqwOTknvGKHT/Bp5QkWLcRtobRMpuXm/lpoNFnVnQUjCnPsQzHGN 3Okr9jgR9WEZkdppuLKb4ixDlWR0dZCqlXt3kRcRItBcPOr02+HQa3QRJqPwlNe2Wq3mB+lKC2eZ VUmFPM1rJ4j4PRx2jzYmx285pmdCIO+s2T0InWzoeVMMI1LNim2PoTk1dcNhIArf9arlH2RCZxw3 ErT4KvUcOXo8xelDfxd1xRcYJN3iQRjgNJLQdMNCN2o0oVdbtg40OH+6nXpE/Xir1qIJ2dxni1tT StGRyS13x/7uSmdveaP5mcxYZ1KjkV2Vb3yRugvn5j1ajUZc6w7u/W8YEdsIX1ZOHekf5Qalhscz hZbRcWSKAFHQ+3T4zh/6yUDvr12+wSmI4dNkawo4sSJE9lf0bhoBgAu3OwAwNEuTDtinLofYsSbK zK04LO4KQjF3pNIUEqWe11S7BbCh9/EV+7DqiHjS3bc5195IkoHqTM2PcnQRfUSplsTir0ZIN8r9 NN15jIFNCZW4RGyYQxQEL8H0YTJsmXLrbBv4Qi29gLr4CvFcKUAYumlwvj+WFSiUoD5zJifu3eiv 9ZpLebBRNA/X72dg03pMVp6/eTiLw1UEoVbj/QHEI0qTBD40TLfsD/DwrZ8P+BkvAk23dvEqpqzG BwqxnTRrx7A703BXe4GAiIPmtMImuQi4NYmF8fTsHsvlRVr+c+0cryNwiIEuOLDZSkcme5KimYfv fwdZna6/XsyTHqm/2/5GGzoSHUpV5D/VQ5FErhpd+B6t+ooToigtk5zmFaubtvzzi3BCqf8lhYj0 F05OWWDsFCh6AUz+tE5w02PCwV4XvPLE+ds7e9PnrfNtWgz+9bhgWnrmXOJFvVEt675eJsQJwhIG GXhrDLyHzODBUcQIQUSf32f9H4SxfD5W2sG761E0mhFLdWGs4utTnY3LqiEaGZcVNbHIFVu9Foo6 q9VRtpugTLxD2K3tDjqv6QdDfuF0RP9RvaQWTrMdxEbWA0PuzRZDPYi+OCHqE66chfeJQMVdQCqy yVmGCUBHU106qZqjIiWQwba/QlEgrbyOwuY4cY0oJxmeXzd+0UECyp0hZ+Em+CCC0CdHKf7XRtzn DfQ4GCLodKxIlxIRvIoXa7gfuCf6YGMfZeueAUJ6Eyi103IRg3ROT5PCY3gOjrOOCrzJMhzTmVrF EX4MZayD1ucSXxCLkbRD/Z4POyPqgBTZSv8rg3PkV7+XUfHYIYjAab61BUTP+DFPt2oTDkqL38oe pdg1nb5gvN0066nm6iP0CR6f8sZEmwg3V097zTmU/5YZhe7cYScGm/w+HR40FOjuPgiUUEec+XmL VNn1fcFXE4uVeToykDmkjbpJpcgl5Z4mVQEyyW+xjxDiNfxaIcp1IB5DTy2VsPlen424Klc2xMvJ Y2AddVRS9nf93cxFgYesul1p7eZB6t70Gfnp1AzeRNAjCzYOBshul/gje62xYb4RdLPdufYPqsZJ V999UEYBUw74C8KE4FmHQrJ7RGO+3tedLPIYshDO+wRevNfLu7He8H9RlHPK/w5Kys4j5c9vuxSA wN03mu31qk6iZfNLIDHXrSJ2RMq5ARRDOVHSddaHGHeGVPnsr5BktzRVIv3GQh/ylnQpcEB8I/u9 JEm47YBI3NKZE3T8gE8yYltZXqwBBGX9iyA+Xv7KW3Y+c/Lw0qq16S0joo4fKmJQsCNxOp3OTFBA fpqzw0kM4y4qbhh29hhSAIBMbYXmumpfrPzKY3lUDegGU37MaLqANAqCPwg9LeN57G669LXYRnLu YKwNFzDKjbh0I2dwHYFI4J/opcK2IfNOFNZrDx9PMRH5KwSYEqeieAaxfl4g2l5PfgmckZqo17Mc 7APNq5I1jiKItEZ/wCxp7ysrzMcwb4ub1pTa5gVc9ouZJIjIspMam6ihkY162eNc2/2fprF2pLab NNylnmlNdQ3Bb1UVN07TVI1kGDV/INVl2pYdumR4LejlRvnP9B8K2lAlllKk6hk0ehFwyJfdv/xt ZSPu53MVRMssUAph1PkOA4+CxxiAm4B77eihbbYXq9o4XI9lHu0P6eXZYH09zFrc8S1d1MEK875v d/2LTg5QlF9wPYQjlyt4pWcAT3C0cHAz9aQjH97jyn8DeM3ukw0wt09NJOkCxQ7Nr4nwKnscpeeJ DYKbZh2O4pu2u14l6LHyc3xZlelIKqcr/9ZSo+KxOEDGhRPjS7c2R4X2Z2IYuA3qrHY8+5EbuMks 25S/SIyckyETzIvOk11TFccwc4q76hiKU/vgSu+yFodArTk68dpQAGXcC3kzlhQEUmCX/zl2Z1rL kZuW6lLYw7zszP5BIvGnM/9p37Mpojw3J7zv68L4O3vL3UkOt0gI0edohMCt4BIyjGJrzMDQBsUr 5xH25pZ/6aHlGof/Uz3yG5/JciAI5Uclvlb2qWp+Beu1dJJTe9mfdlm+6L2+Sr57x+yD3Nm+qKn7 E60p/Bofk3pGi94H9tZ1y6mcQ1VReDkY6TDCcvzoJXbj8Rbo2UBIHFiP7h3J67OMQZ+h/gIxyypH +BEd3k1094FjOYTtG7B/2vB5oEL8d8k5dQbTdUXkSoLyYaEQkENJclPm05WhjXtmQisGSK1PQBdG f6Jv2p6Dsi8DaxF2EX+ikPBPtRJgst643PoQL32J+Z2MPzWBpVo/YSIPhnrRzhuCFUcVQALSlRyE KmKm5Y/ZzyqK7xY+r5ODTc6R/3YW/uZw++2GgmW132sCBsc0Na0XuniTgyTGhEXyRyiVfyQH+AwL rJv9y8PiW15hJzyISLe4EGrgtAeCB0NUHNnOiJctg5sIgRrFDWoPXaCgfmLYa0LEjk4BSwU04ENC K979gBqmNp52pIvHGtltgaX4uf/EgCMOFgaArkmUOBnCYkNFDCemlw5Cf9QM0H1m/CiB+YfuldZU nh6H8abB+3A7QaBJWcDVyFrdOfFXegY+9LfhbgmMppI59eN7sUMh5YaGJQe3DgavzIFqZu/wJbbJ p/SSVBraxNaJ/gPb59eQvj9RqfpGZPu4lohD8TcD4zl6+YGNvVdb3wAW3FIkWp3souw2okpokdni gPhIJULIBvNVltkEDhl4D8FKlggx4AFH3cOf/lNqgxbrMN6DDJyqWr+ZRssqOhlEweql5ytH3CoY Cnnk0xsNLVMmWKkcZfqJclsnPmzwBrKayibQtvs75jbTd0bWysczE2S0hlu44mhbRgeM56eDC+lR oZ1wDxBgPDjmVATjF5BjKOOUAefl3OAzDSlu/O2jNrcScFAGChTtLnXlgLO2hJ6YEF967KvRPiES Bw+OOiGiFvj+B5fFHn06ixF0lb69PAzo8pNZsjpaec9vBuZCTeuuXSU0u3IwWxkxBFpyBKywgtvc JVmQRvgJROci67fuEEn0Unk5uFDGJ71Hh3cUI7iTqXSrpWd/aUMHfB4olehdsSNV+/QhbglDAkQz U+2mYdgZkqYP8TiXJEziVpnQa2aC3pAKC2OSThG6yiJTWJL0HnjxTsdVxjhAD9WZOTjvEaRHuTcy laN9wnUeL7tN49HYi0fOTwbteCQSNakci6uC8kDAD4lAwZe7rBs1dSOybaesFpqg2n/rSlTVCHgQ lZCPs2biOELxBgJ0FtLcqS5TfGM147faUc3g9pnjyLY= `protect end_protected
gpl-2.0