repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/allocator_logic_pseudo_checkers.vhd
12
24829
--Copyright (C) 2016 Siavoosh Payandeh Azad Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity allocator_logic_pseudo_checkers is port ( -- grant_X_Y means the grant for X output port towards Y input port -- this means for any X in [N, E, W, S, L] then set grant_X_Y is one hot! empty_N, empty_E, empty_W, empty_S, empty_L: in std_logic; grant_N_N_sig, grant_N_E_sig, grant_N_W_sig, grant_N_S_sig, grant_N_L_sig: in std_logic; grant_E_N_sig, grant_E_E_sig, grant_E_W_sig, grant_E_S_sig, grant_E_L_sig: in std_logic; grant_W_N_sig, grant_W_E_sig, grant_W_W_sig, grant_W_S_sig, grant_W_L_sig: in std_logic; grant_S_N_sig, grant_S_E_sig, grant_S_W_sig, grant_S_S_sig, grant_S_L_sig: in std_logic; grant_L_N_sig, grant_L_E_sig, grant_L_W_sig, grant_L_S_sig, grant_L_L_sig: in std_logic; valid_N, valid_E, valid_W, valid_S, valid_L : in std_logic; grant_N_N, grant_N_E, grant_N_W, grant_N_S, grant_N_L: in std_logic; grant_E_N, grant_E_E, grant_E_W, grant_E_S, grant_E_L: in std_logic; grant_W_N, grant_W_E, grant_W_W, grant_W_S, grant_W_L: in std_logic; grant_S_N, grant_S_E, grant_S_W, grant_S_S, grant_S_L: in std_logic; grant_L_N, grant_L_E, grant_L_W, grant_L_S, grant_L_L: in std_logic; grant_N, grant_E, grant_W, grant_S, grant_L : in std_logic; -- Checker outputs err_grant_N_N_sig_not_empty_N_grant_N_N, err_not_grant_N_N_sig_or_empty_N_not_grant_N_N, err_grant_N_E_sig_not_empty_E_grant_N_E, err_not_grant_N_E_sig_or_empty_E_not_grant_N_E, err_grant_N_W_sig_not_empty_W_grant_N_W, err_not_grant_N_W_sig_or_empty_W_not_grant_N_W, err_grant_N_S_sig_not_empty_S_grant_N_S, err_not_grant_N_S_sig_or_empty_S_not_grant_N_S, err_grant_N_L_sig_not_empty_L_grant_N_L, err_not_grant_N_L_sig_or_empty_L_not_grant_N_L, err_grant_E_N_sig_not_empty_N_grant_E_N, err_not_grant_E_N_sig_or_empty_N_not_grant_E_N, err_grant_E_E_sig_not_empty_E_grant_E_E, err_not_grant_E_E_sig_or_empty_E_not_grant_E_E, err_grant_E_W_sig_not_empty_W_grant_E_W, err_not_grant_E_W_sig_or_empty_W_not_grant_E_W, err_grant_E_S_sig_not_empty_S_grant_E_S, err_not_grant_E_S_sig_or_empty_S_not_grant_E_S, err_grant_E_L_sig_not_empty_L_grant_E_L, err_not_grant_E_L_sig_or_empty_L_not_grant_E_L, err_grant_W_N_sig_not_empty_N_grant_W_N, err_not_grant_W_N_sig_or_empty_N_not_grant_W_N, err_grant_W_E_sig_not_empty_E_grant_W_E, err_not_grant_W_E_sig_or_empty_E_not_grant_W_E, err_grant_W_W_sig_not_empty_W_grant_W_W, err_not_grant_W_W_sig_or_empty_W_not_grant_W_W, err_grant_W_S_sig_not_empty_S_grant_W_S, err_not_grant_W_S_sig_or_empty_S_not_grant_W_S, err_grant_W_L_sig_not_empty_L_grant_W_L, err_not_grant_W_L_sig_or_empty_L_not_grant_W_L, err_grant_S_N_sig_not_empty_N_grant_S_N, err_not_grant_S_N_sig_or_empty_N_not_grant_S_N, err_grant_S_E_sig_not_empty_E_grant_S_E, err_not_grant_S_E_sig_or_empty_E_not_grant_S_E, err_grant_S_W_sig_not_empty_W_grant_S_W, err_not_grant_S_W_sig_or_empty_W_not_grant_S_W, err_grant_S_S_sig_not_empty_S_grant_S_S, err_not_grant_S_S_sig_or_empty_S_not_grant_S_S, err_grant_S_L_sig_not_empty_L_grant_S_L, err_not_grant_S_L_sig_or_empty_L_not_grant_S_L, err_grant_L_N_sig_not_empty_N_grant_L_N, err_not_grant_L_N_sig_or_empty_N_not_grant_L_N, err_grant_L_E_sig_not_empty_E_grant_L_E, err_not_grant_L_E_sig_or_empty_E_not_grant_L_E, err_grant_L_W_sig_not_empty_W_grant_L_W, err_not_grant_L_W_sig_or_empty_W_not_grant_L_W, err_grant_L_S_sig_not_empty_S_grant_L_S, err_not_grant_L_S_sig_or_empty_S_not_grant_L_S, err_grant_L_L_sig_not_empty_L_grant_L_L, err_not_grant_L_L_sig_or_empty_L_not_grant_L_L, err_grant_signals_not_empty_grant_N, err_not_grant_signals_empty_not_grant_N, err_grant_signals_not_empty_grant_E, err_not_grant_signals_empty_not_grant_E, err_grant_signals_not_empty_grant_W, err_not_grant_signals_empty_not_grant_W, err_grant_signals_not_empty_grant_S, err_not_grant_signals_empty_not_grant_S, err_grant_signals_not_empty_grant_L, err_not_grant_signals_empty_not_grant_L, err_grants_valid_not_match : out std_logic ); end allocator_logic_pseudo_checkers; architecture behavior of allocator_logic_pseudo_checkers is begin -- The combionational part -- Checkers -- Grant_N checkers process (grant_N_N_sig, empty_N, grant_N_N) begin if (grant_N_N_sig = '1' and empty_N = '0' and grant_N_N = '0') then err_grant_N_N_sig_not_empty_N_grant_N_N <= '1'; else err_grant_N_N_sig_not_empty_N_grant_N_N <= '0'; end if; end process; process (grant_N_N_sig, empty_N, grant_N_N) begin if ( (grant_N_N_sig = '0' or empty_N = '1') and grant_N_N = '1') then err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '1'; else err_not_grant_N_N_sig_or_empty_N_not_grant_N_N <= '0'; end if; end process; process (grant_N_E_sig, empty_E, grant_N_E) begin if (grant_N_E_sig = '1' and empty_E = '0' and grant_N_E = '0') then err_grant_N_E_sig_not_empty_E_grant_N_E <= '1'; else err_grant_N_E_sig_not_empty_E_grant_N_E <= '0'; end if; end process; process (grant_N_E_sig, empty_E, grant_N_E) begin if ( (grant_N_E_sig = '0' or empty_E = '1') and grant_N_E = '1') then err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '1'; else err_not_grant_N_E_sig_or_empty_E_not_grant_N_E <= '0'; end if; end process; process (grant_N_W_sig, empty_W, grant_N_W) begin if (grant_N_W_sig = '1' and empty_W = '0' and grant_N_W = '0') then err_grant_N_W_sig_not_empty_W_grant_N_W <= '1'; else err_grant_N_W_sig_not_empty_W_grant_N_W <= '0'; end if; end process; process (grant_N_W_sig, empty_W, grant_N_W) begin if ( (grant_N_W_sig = '0' or empty_W = '1') and grant_N_W = '1') then err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '1'; else err_not_grant_N_W_sig_or_empty_W_not_grant_N_W <= '0'; end if; end process; process (grant_N_S_sig, empty_S, grant_N_S) begin if (grant_N_S_sig = '1' and empty_S = '0' and grant_N_S = '0') then err_grant_N_S_sig_not_empty_S_grant_N_S <= '1'; else err_grant_N_S_sig_not_empty_S_grant_N_S <= '0'; end if; end process; process (grant_N_S_sig, empty_S, grant_N_S) begin if ( (grant_N_S_sig = '0' or empty_S = '1') and grant_N_S = '1') then err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '1'; else err_not_grant_N_S_sig_or_empty_S_not_grant_N_S <= '0'; end if; end process; process (grant_N_L_sig, empty_L, grant_N_L) begin if (grant_N_L_sig = '1' and empty_L = '0' and grant_N_L = '0') then err_grant_N_L_sig_not_empty_L_grant_N_L <= '1'; else err_grant_N_L_sig_not_empty_L_grant_N_L <= '0'; end if; end process; process (grant_N_L_sig, empty_L, grant_N_L) begin if ( (grant_N_L_sig = '0' or empty_L = '1') and grant_N_L = '1') then err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '1'; else err_not_grant_N_L_sig_or_empty_L_not_grant_N_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Grant_E checkers process (grant_E_N_sig, empty_N, grant_E_N) begin if (grant_E_N_sig = '1' and empty_N = '0' and grant_E_N = '0') then err_grant_E_N_sig_not_empty_N_grant_E_N <= '1'; else err_grant_E_N_sig_not_empty_N_grant_E_N <= '0'; end if; end process; process (grant_E_N_sig, empty_N, grant_E_N) begin if ( (grant_E_N_sig = '0' or empty_N = '1') and grant_E_N = '1') then err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '1'; else err_not_grant_E_N_sig_or_empty_N_not_grant_E_N <= '0'; end if; end process; process (grant_E_E_sig, empty_E, grant_E_E) begin if (grant_E_E_sig = '1' and empty_E = '0' and grant_E_E = '0') then err_grant_E_E_sig_not_empty_E_grant_E_E <= '1'; else err_grant_E_E_sig_not_empty_E_grant_E_E <= '0'; end if; end process; process (grant_E_E_sig, empty_E, grant_E_E) begin if ( (grant_E_E_sig = '0' or empty_E = '1') and grant_E_E = '1') then err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '1'; else err_not_grant_E_E_sig_or_empty_E_not_grant_E_E <= '0'; end if; end process; process (grant_E_W_sig, empty_W, grant_E_W) begin if (grant_E_W_sig = '1' and empty_W = '0' and grant_E_W = '0') then err_grant_E_W_sig_not_empty_W_grant_E_W <= '1'; else err_grant_E_W_sig_not_empty_W_grant_E_W <= '0'; end if; end process; process (grant_E_W_sig, empty_W, grant_E_W) begin if ( (grant_E_W_sig = '0' or empty_W = '1') and grant_E_W = '1') then err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '1'; else err_not_grant_E_W_sig_or_empty_W_not_grant_E_W <= '0'; end if; end process; process (grant_E_S_sig, empty_S, grant_E_S) begin if (grant_E_S_sig = '1' and empty_S = '0' and grant_E_S = '0') then err_grant_E_S_sig_not_empty_S_grant_E_S <= '1'; else err_grant_E_S_sig_not_empty_S_grant_E_S <= '0'; end if; end process; process (grant_E_S_sig, empty_S, grant_E_S) begin if ( (grant_E_S_sig = '0' or empty_S = '1') and grant_E_S = '1') then err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '1'; else err_not_grant_E_S_sig_or_empty_S_not_grant_E_S <= '0'; end if; end process; process (grant_E_L_sig, empty_L, grant_E_L) begin if (grant_E_L_sig = '1' and empty_L = '0' and grant_E_L = '0') then err_grant_E_L_sig_not_empty_L_grant_E_L <= '1'; else err_grant_E_L_sig_not_empty_L_grant_E_L <= '0'; end if; end process; process (grant_E_L_sig, empty_L, grant_E_L) begin if ( (grant_E_L_sig = '0' or empty_L = '1') and grant_E_L = '1') then err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '1'; else err_not_grant_E_L_sig_or_empty_L_not_grant_E_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Grant_W checkers process (grant_W_N_sig, empty_N, grant_W_N) begin if (grant_W_N_sig = '1' and empty_N = '0' and grant_W_N = '0') then err_grant_W_N_sig_not_empty_N_grant_W_N <= '1'; else err_grant_W_N_sig_not_empty_N_grant_W_N <= '0'; end if; end process; process (grant_W_N_sig, empty_N, grant_W_N) begin if ( (grant_W_N_sig = '0' or empty_N = '1') and grant_W_N = '1') then err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '1'; else err_not_grant_W_N_sig_or_empty_N_not_grant_W_N <= '0'; end if; end process; process (grant_W_E_sig, empty_E, grant_W_E) begin if (grant_W_E_sig = '1' and empty_E = '0' and grant_W_E = '0') then err_grant_W_E_sig_not_empty_E_grant_W_E <= '1'; else err_grant_W_E_sig_not_empty_E_grant_W_E <= '0'; end if; end process; process (grant_W_E_sig, empty_E, grant_W_E) begin if ( (grant_W_E_sig = '0' or empty_E = '1') and grant_W_E = '1') then err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '1'; else err_not_grant_W_E_sig_or_empty_E_not_grant_W_E <= '0'; end if; end process; process (grant_W_W_sig, empty_W, grant_W_W) begin if (grant_W_W_sig = '1' and empty_W = '0' and grant_W_W = '0') then err_grant_W_W_sig_not_empty_W_grant_W_W <= '1'; else err_grant_W_W_sig_not_empty_W_grant_W_W <= '0'; end if; end process; process (grant_W_W_sig, empty_W, grant_W_W) begin if ( (grant_W_W_sig = '0' or empty_W = '1') and grant_W_W = '1') then err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '1'; else err_not_grant_W_W_sig_or_empty_W_not_grant_W_W <= '0'; end if; end process; process (grant_W_S_sig, empty_S, grant_W_S) begin if (grant_W_S_sig = '1' and empty_S = '0' and grant_W_S = '0') then err_grant_W_S_sig_not_empty_S_grant_W_S <= '1'; else err_grant_W_S_sig_not_empty_S_grant_W_S <= '0'; end if; end process; process (grant_W_S_sig, empty_S, grant_W_S) begin if ( (grant_W_S_sig = '0' or empty_S = '1') and grant_W_S = '1') then err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '1'; else err_not_grant_W_S_sig_or_empty_S_not_grant_W_S <= '0'; end if; end process; process (grant_W_L_sig, empty_L, grant_W_L) begin if (grant_W_L_sig = '1' and empty_L = '0' and grant_W_L = '0') then err_grant_W_L_sig_not_empty_L_grant_W_L <= '1'; else err_grant_W_L_sig_not_empty_L_grant_W_L <= '0'; end if; end process; process (grant_W_L_sig, empty_L, grant_W_L) begin if ( (grant_W_L_sig = '0' or empty_L = '1') and grant_W_L = '1') then err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '1'; else err_not_grant_W_L_sig_or_empty_L_not_grant_W_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Grant_S checkers process (grant_S_N_sig, empty_N, grant_S_N) begin if (grant_S_N_sig = '1' and empty_N = '0' and grant_S_N = '0') then err_grant_S_N_sig_not_empty_N_grant_S_N <= '1'; else err_grant_S_N_sig_not_empty_N_grant_S_N <= '0'; end if; end process; process (grant_S_N_sig, empty_N, grant_S_N) begin if ( (grant_S_N_sig = '0' or empty_N = '1') and grant_S_N = '1') then err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '1'; else err_not_grant_S_N_sig_or_empty_N_not_grant_S_N <= '0'; end if; end process; process (grant_S_E_sig, empty_E, grant_S_E) begin if (grant_S_E_sig = '1' and empty_E = '0' and grant_S_E = '0') then err_grant_S_E_sig_not_empty_E_grant_S_E <= '1'; else err_grant_S_E_sig_not_empty_E_grant_S_E <= '0'; end if; end process; process (grant_S_E_sig, empty_E, grant_S_E) begin if ( (grant_S_E_sig = '0' or empty_E = '1') and grant_S_E = '1') then err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '1'; else err_not_grant_S_E_sig_or_empty_E_not_grant_S_E <= '0'; end if; end process; process (grant_S_W_sig, empty_W, grant_S_W) begin if (grant_S_W_sig = '1' and empty_W = '0' and grant_S_W = '0') then err_grant_S_W_sig_not_empty_W_grant_S_W <= '1'; else err_grant_S_W_sig_not_empty_W_grant_S_W <= '0'; end if; end process; process (grant_S_W_sig, empty_W, grant_S_W) begin if ( (grant_S_W_sig = '0' or empty_W = '1') and grant_S_W = '1') then err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '1'; else err_not_grant_S_W_sig_or_empty_W_not_grant_S_W <= '0'; end if; end process; process (grant_S_S_sig, empty_S, grant_S_S) begin if (grant_S_S_sig = '1' and empty_S = '0' and grant_S_S = '0') then err_grant_S_S_sig_not_empty_S_grant_S_S <= '1'; else err_grant_S_S_sig_not_empty_S_grant_S_S <= '0'; end if; end process; process (grant_S_S_sig, empty_S, grant_S_S) begin if ( (grant_S_S_sig = '0' or empty_S = '1') and grant_S_S = '1') then err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '1'; else err_not_grant_S_S_sig_or_empty_S_not_grant_S_S <= '0'; end if; end process; process (grant_S_L_sig, empty_L, grant_S_L) begin if (grant_S_L_sig = '1' and empty_L = '0' and grant_S_L = '0') then err_grant_S_L_sig_not_empty_L_grant_S_L <= '1'; else err_grant_S_L_sig_not_empty_L_grant_S_L <= '0'; end if; end process; process (grant_S_L_sig, empty_L, grant_S_L) begin if ( (grant_S_L_sig = '0' or empty_L = '1') and grant_S_L = '1') then err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '1'; else err_not_grant_S_L_sig_or_empty_L_not_grant_S_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Grant_L checkers process (grant_L_N_sig, empty_N, grant_L_N) begin if (grant_L_N_sig = '1' and empty_N = '0' and grant_L_N = '0') then err_grant_L_N_sig_not_empty_N_grant_L_N <= '1'; else err_grant_L_N_sig_not_empty_N_grant_L_N <= '0'; end if; end process; process (grant_L_N_sig, empty_N, grant_L_N) begin if ( (grant_L_N_sig = '0' or empty_N = '1') and grant_L_N = '1') then err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '1'; else err_not_grant_L_N_sig_or_empty_N_not_grant_L_N <= '0'; end if; end process; process (grant_L_E_sig, empty_E, grant_L_E) begin if (grant_L_E_sig = '1' and empty_E = '0' and grant_L_E = '0') then err_grant_L_E_sig_not_empty_E_grant_L_E <= '1'; else err_grant_L_E_sig_not_empty_E_grant_L_E <= '0'; end if; end process; process (grant_L_E_sig, empty_E, grant_L_E) begin if ( (grant_L_E_sig = '0' or empty_E = '1') and grant_L_E = '1') then err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '1'; else err_not_grant_L_E_sig_or_empty_E_not_grant_L_E <= '0'; end if; end process; process (grant_L_W_sig, empty_W, grant_L_W) begin if (grant_L_W_sig = '1' and empty_W = '0' and grant_L_W = '0') then err_grant_L_W_sig_not_empty_W_grant_L_W <= '1'; else err_grant_L_W_sig_not_empty_W_grant_L_W <= '0'; end if; end process; process (grant_L_W_sig, empty_W, grant_L_W) begin if ( (grant_L_W_sig = '0' or empty_W = '1') and grant_L_W = '1') then err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '1'; else err_not_grant_L_W_sig_or_empty_W_not_grant_L_W <= '0'; end if; end process; process (grant_L_S_sig, empty_S, grant_L_S) begin if (grant_L_S_sig = '1' and empty_S = '0' and grant_L_S = '0') then err_grant_L_S_sig_not_empty_S_grant_L_S <= '1'; else err_grant_L_S_sig_not_empty_S_grant_L_S <= '0'; end if; end process; process (grant_L_S_sig, empty_S, grant_L_S) begin if ( (grant_L_S_sig = '0' or empty_S = '1') and grant_L_S = '1') then err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '1'; else err_not_grant_L_S_sig_or_empty_S_not_grant_L_S <= '0'; end if; end process; process (grant_L_L_sig, empty_L, grant_L_L) begin if (grant_L_L_sig = '1' and empty_L = '0' and grant_L_L = '0') then err_grant_L_L_sig_not_empty_L_grant_L_L <= '1'; else err_grant_L_L_sig_not_empty_L_grant_L_L <= '0'; end if; end process; process (grant_L_L_sig, empty_L, grant_L_L) begin if ( (grant_L_L_sig = '0' or empty_L = '1') and grant_L_L = '1') then err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '1'; else err_not_grant_L_L_sig_or_empty_L_not_grant_L_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Final Grant output checkers -- North process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N) begin if ( ( (grant_N_N_sig = '1' and empty_N = '0' ) or (grant_N_E_sig = '1' and empty_E = '0') or (grant_N_W_sig = '1' and empty_W = '0') or (grant_N_S_sig = '1' and empty_S = '0') or (grant_N_L_sig = '1' and empty_L = '0') ) and grant_N = '0' ) then err_grant_signals_not_empty_grant_N <= '1'; else err_grant_signals_not_empty_grant_N <= '0'; end if; end process; process (grant_N_N_sig, empty_N, grant_N_E_sig, empty_E, grant_N_W_sig, empty_W, grant_N_S_sig, empty_S, grant_N_L_sig, empty_L, grant_N) begin if ( ( (grant_N_N_sig = '0' or empty_N = '1' ) and (grant_N_E_sig = '0' and empty_E = '1') and (grant_N_W_sig = '0' or empty_W = '1') and (grant_N_S_sig = '0' or empty_S = '1') and (grant_N_L_sig = '0' or empty_L = '1') ) and grant_N /= '0' ) then err_not_grant_signals_empty_not_grant_N <= '1'; else err_not_grant_signals_empty_not_grant_N <= '0'; end if; end process; -- East process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E) begin if ( ( (grant_E_N_sig = '1' and empty_N = '0' ) or (grant_E_E_sig = '1' and empty_E = '0') or (grant_E_W_sig = '1' and empty_W = '0') or (grant_E_S_sig = '1' and empty_S = '0') or (grant_E_L_sig = '1' and empty_L = '0') ) and grant_E = '0' ) then err_grant_signals_not_empty_grant_E <= '1'; else err_grant_signals_not_empty_grant_E <= '0'; end if; end process; process (grant_E_N_sig, empty_N, grant_E_E_sig, empty_E, grant_E_W_sig, empty_W, grant_E_S_sig, empty_S, grant_E_L_sig, empty_L, grant_E) begin if ( ( (grant_E_N_sig = '0' or empty_N = '1' ) and (grant_E_E_sig = '0' and empty_E = '1') and (grant_E_W_sig = '0' or empty_W = '1') and (grant_E_S_sig = '0' or empty_S = '1') and (grant_E_L_sig = '0' or empty_L = '1') ) and grant_E /= '0' ) then err_not_grant_signals_empty_not_grant_E <= '1'; else err_not_grant_signals_empty_not_grant_E <= '0'; end if; end process; -- West process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W) begin if ( ( (grant_W_N_sig = '1' and empty_N = '0' ) or (grant_W_E_sig = '1' and empty_E = '0') or (grant_W_W_sig = '1' and empty_W = '0') or (grant_W_S_sig = '1' and empty_S = '0') or (grant_W_L_sig = '1' and empty_L = '0') ) and grant_W = '0' ) then err_grant_signals_not_empty_grant_W <= '1'; else err_grant_signals_not_empty_grant_W <= '0'; end if; end process; process (grant_W_N_sig, empty_N, grant_W_E_sig, empty_E, grant_W_W_sig, empty_W, grant_W_S_sig, empty_S, grant_W_L_sig, empty_L, grant_W) begin if ( ( (grant_W_N_sig = '0' or empty_N = '1' ) and (grant_W_E_sig = '0' and empty_E = '1') and (grant_W_W_sig = '0' or empty_W = '1') and (grant_W_S_sig = '0' or empty_S = '1') and (grant_W_L_sig = '0' or empty_L = '1') ) and grant_W /= '0' ) then err_not_grant_signals_empty_not_grant_W <= '1'; else err_not_grant_signals_empty_not_grant_W <= '0'; end if; end process; -- South process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S) begin if ( ( (grant_S_N_sig = '1' and empty_N = '0' ) or (grant_S_E_sig = '1' and empty_E = '0') or (grant_S_W_sig = '1' and empty_W = '0') or (grant_S_S_sig = '1' and empty_S = '0') or (grant_S_L_sig = '1' and empty_L = '0') ) and grant_S = '0' ) then err_grant_signals_not_empty_grant_S <= '1'; else err_grant_signals_not_empty_grant_S <= '0'; end if; end process; process (grant_S_N_sig, empty_N, grant_S_E_sig, empty_E, grant_S_W_sig, empty_W, grant_S_S_sig, empty_S, grant_S_L_sig, empty_L, grant_S) begin if ( ( (grant_S_N_sig = '0' or empty_N = '1' ) and (grant_S_E_sig = '0' and empty_E = '1') and (grant_S_W_sig = '0' or empty_W = '1') and (grant_S_S_sig = '0' or empty_S = '1') and (grant_S_L_sig = '0' or empty_L = '1') ) and grant_S /= '0' ) then err_not_grant_signals_empty_not_grant_S <= '1'; else err_not_grant_signals_empty_not_grant_S <= '0'; end if; end process; -- Local process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L) begin if ( ( (grant_L_N_sig = '1' and empty_N = '0' ) or (grant_L_E_sig = '1' and empty_E = '0') or (grant_L_W_sig = '1' and empty_W = '0') or (grant_L_S_sig = '1' and empty_S = '0') or (grant_L_L_sig = '1' and empty_L = '0') ) and grant_L = '0' ) then err_grant_signals_not_empty_grant_L <= '1'; else err_grant_signals_not_empty_grant_L <= '0'; end if; end process; process (grant_L_N_sig, empty_N, grant_L_E_sig, empty_E, grant_L_W_sig, empty_W, grant_L_S_sig, empty_S, grant_L_L_sig, empty_L, grant_L) begin if ( ( (grant_L_N_sig = '0' or empty_N = '1' ) and (grant_L_E_sig = '0' and empty_E = '1') and (grant_L_W_sig = '0' or empty_W = '1') and (grant_L_S_sig = '0' or empty_S = '1') and (grant_L_L_sig = '0' or empty_L = '1') ) and grant_L /= '0' ) then err_not_grant_signals_empty_not_grant_L <= '1'; else err_not_grant_signals_empty_not_grant_L <= '0'; end if; end process; ---------------------------------------------------------------- -- Valid output checkers process (valid_N, valid_E, valid_W, valid_S, valid_L, grant_N, grant_E, grant_W, grant_S, grant_L) begin if (valid_N /= grant_N or valid_E /= grant_E or valid_W /= grant_W or valid_S /= grant_S or valid_L /= grant_L) then err_grants_valid_not_match <= '1'; else err_grants_valid_not_match <= '0'; end if; end process; END;
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/Checkers/Modules_with_checkers_integrated/All_checkers/LBDR_packet_drop_with_checkers/LBDR_packet_drop_routing_part_pseudo_checkers.vhd
12
13914
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity LBDR_packet_drop_routing_part_pseudo_checkers is generic ( cur_addr_rst: integer := 5; NoC_size: integer := 4 ); port ( empty: in std_logic; flit_type: in std_logic_vector(2 downto 0); Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic; grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic; dst_addr: in std_logic_vector(NoC_size-1 downto 0); Cx: in std_logic_vector(3 downto 0); Rxy: in std_logic_vector(7 downto 0); packet_drop: in std_logic; N1_out, E1_out, W1_out, S1_out: in std_logic; Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic; grants: in std_logic; packet_drop_order: in std_logic; packet_drop_in: in std_logic; -- Checker outputs --err_header_not_empty_Requests_in_onehot, err_header_empty_Requests_FF_Requests_in, err_tail_Requests_in_all_zero, err_tail_empty_Requests_FF_Requests_in, err_tail_not_empty_not_grants_Requests_FF_Requests_in, err_grants_onehot, err_grants_mismatch, err_header_tail_Requests_FF_Requests_in, err_dst_addr_cur_addr_N1, err_dst_addr_cur_addr_not_N1, err_dst_addr_cur_addr_E1, err_dst_addr_cur_addr_not_E1, err_dst_addr_cur_addr_W1, err_dst_addr_cur_addr_not_W1, err_dst_addr_cur_addr_S1, err_dst_addr_cur_addr_not_S1, err_dst_addr_cur_addr_not_Req_L_in, err_dst_addr_cur_addr_Req_L_in, err_header_not_empty_Req_N_in, err_header_not_empty_Req_E_in, err_header_not_empty_Req_W_in, err_header_not_empty_Req_S_in, err_header_not_empty_packet_drop_in, err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal, err_header_empty_packet_drop_in_packet_drop_equal, err_tail_not_empty_packet_drop_not_packet_drop_in, err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal, err_invalid_or_body_flit_packet_drop_in_packet_drop_equal, err_packet_drop_order : out std_logic ); end LBDR_packet_drop_routing_part_pseudo_checkers; architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is signal cur_addr: std_logic_vector(NoC_size-1 downto 0); signal Requests_FF: std_logic_vector(4 downto 0); signal Requests_in: std_logic_vector(4 downto 0); signal grant_signals: std_logic_vector(4 downto 0); begin cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length)); Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF; Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in; grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L; -- Implementing checkers in form of concurrent assignments (combinational assertions) --process (flit_type, empty, Requests_in) --begin -- if (flit_type = "001" and empty = '0' and Requests_in /= "00001" and Requests_in /= "00010" and -- Requests_in /= "00100" and Requests_in /= "01000" and Requests_in /= "10000") then -- err_header_not_empty_Requests_in_onehot <= '1'; -- else -- err_header_not_empty_Requests_in_onehot <= '0'; -- end if; --end process; -- Checked ! process (flit_type, empty, Requests_FF, Requests_in) begin if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then err_header_empty_Requests_FF_Requests_in <= '1'; else err_header_empty_Requests_FF_Requests_in <= '0'; end if; end process; -- Checked ! process (flit_type, empty, grants, Requests_in) begin if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then err_tail_Requests_in_all_zero <= '1'; else err_tail_Requests_in_all_zero <= '0'; end if; end process; -- Checked ! process (flit_type, empty, Requests_FF, Requests_in) begin if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then err_tail_empty_Requests_FF_Requests_in <= '1'; else err_tail_empty_Requests_FF_Requests_in <= '0'; end if; end process; -- Checked ! process (flit_type, empty, grants, Requests_FF, Requests_in) begin if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1'; else err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0'; end if; end process; -- Checked ! process (grant_signals, grants) begin if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or grant_signals = "01000" or grant_signals = "10000") and grants = '0') then err_grants_onehot <= '1'; else err_grants_onehot <= '0'; end if; end process; -- Checked ! process (grant_signals, grants) begin if ( grant_signals = "00000" and grants = '1') then err_grants_mismatch <= '1'; else err_grants_mismatch <= '0'; end if; end process; -- Checked ! process (flit_type, Requests_FF, Requests_FF, Requests_in) begin if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then err_header_tail_Requests_FF_Requests_in <= '1'; else err_header_tail_Requests_FF_Requests_in <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, N1_out) begin if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then err_dst_addr_cur_addr_N1 <= '1'; else err_dst_addr_cur_addr_N1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, N1_out) begin if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then err_dst_addr_cur_addr_not_N1 <= '1'; else err_dst_addr_cur_addr_not_N1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, E1_out) begin if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then err_dst_addr_cur_addr_E1 <= '1'; else err_dst_addr_cur_addr_E1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, E1_out) begin if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then err_dst_addr_cur_addr_not_E1 <= '1'; else err_dst_addr_cur_addr_not_E1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, W1_out) begin if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then err_dst_addr_cur_addr_W1 <= '1'; else err_dst_addr_cur_addr_W1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, W1_out) begin if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then err_dst_addr_cur_addr_not_W1 <= '1'; else err_dst_addr_cur_addr_not_W1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, S1_out) begin if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then err_dst_addr_cur_addr_S1 <= '1'; else err_dst_addr_cur_addr_S1 <= '0'; end if; end process; -- Checked ! process (cur_addr, dst_addr, S1_out) begin if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then err_dst_addr_cur_addr_not_S1 <= '1'; else err_dst_addr_cur_addr_not_S1 <= '0'; end if; end process; -- Checked ! process (flit_type, empty, dst_addr, cur_addr, Req_L_in) begin if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then err_dst_addr_cur_addr_not_Req_L_in <= '1'; else err_dst_addr_cur_addr_not_Req_L_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, cur_addr, dst_addr, Req_L_in, Req_L_FF) begin if ( flit_type = "001" and empty = '0' and cur_addr /= dst_addr and Req_L_in /= Req_L_FF) then err_dst_addr_cur_addr_Req_L_in <= '1'; else err_dst_addr_cur_addr_Req_L_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx) begin if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then err_header_not_empty_Req_N_in <= '1'; else err_header_not_empty_Req_N_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx) begin if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then err_header_not_empty_Req_E_in <= '1'; else err_header_not_empty_Req_E_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx) begin if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then err_header_not_empty_Req_W_in <= '1'; else err_header_not_empty_Req_W_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx) begin if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then err_header_not_empty_Req_S_in <= '1'; else err_header_not_empty_Req_S_in <= '0'; end if; end process; -- Updated ! process (flit_type, empty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in) begin if (flit_type = "001" and empty = '0' and ( ((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) or (((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) or (((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) or (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3))) ='0' ) and dst_addr /= cur_addr and packet_drop_in <= '0' ) then err_header_not_empty_packet_drop_in <= '1'; else err_header_not_empty_packet_drop_in <= '0'; end if; end process; -- Added ! process (flit_type, empty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop) begin if (flit_type = "001" and empty = '0' and ( ( ((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) or (((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) or (((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) or (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3))) ='1' ) or (dst_addr = cur_addr) ) and packet_drop_in /= packet_drop ) then err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal <= '1'; else err_header_not_empty_dst_addr_cur_addr_equal_packet_drop_in_packet_drop_equal <= '0'; end if; end process; -- Added ! process (flit_type, empty, packet_drop_in, packet_drop) begin if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then err_header_empty_packet_drop_in_packet_drop_equal <= '1'; else err_header_empty_packet_drop_in_packet_drop_equal <= '0'; end if; end process; -- Added ! process (flit_type, empty, packet_drop, packet_drop_in) begin if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then err_tail_not_empty_packet_drop_not_packet_drop_in <= '1'; else err_tail_not_empty_packet_drop_not_packet_drop_in <= '0'; end if; end process; -- Added ! process (flit_type, empty, packet_drop, packet_drop_in) begin if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1'; else err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0'; end if; end process; -- Added ! process (flit_type, empty, packet_drop_in, packet_drop) begin if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1'; else err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0'; end if; end process; -- Added ! process (packet_drop_order, packet_drop) begin if (packet_drop_order /= packet_drop) then err_packet_drop_order <= '1'; else err_packet_drop_order <= '0'; end if; end process; -- Added ! end behavior;
gpl-3.0
rogerioag/gcg
tutorial/ula/testbench/inversor_tb.vhd
1
1791
-- Testebench gerado via script. -- Data: Sex,30/12/2011-23:36:18 -- Autor: rogerio -- Comentario: Teste da entidade inversor. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity inversor_tb is end inversor_tb; architecture logica of inversor_tb is -- Declaração do componente. component inversor port (a: in std_logic; y: out std_logic); end component; -- Especifica qual a entidade está vinculada com o componente. for inversor_0: inversor use entity work.inversor; signal s_t_a, s_t_y: std_logic; begin -- Instanciação do Componente. -- port map (<<p_in_1>> => <<s_t_in_1>>) inversor_0: inversor port map ( a=>s_t_a, y=>s_t_y); -- Processo que faz o trabalho. process -- Um registro é criado com as entradas e saídas da entidade. -- (<<entrada1>>, <<entradaN>>, <<saida1>>, <<saidaN>>) type pattern_type is record -- entradas. vi_a: std_logic; -- saídas. vo_y: std_logic; end record; -- Os padrões de entrada que são aplicados (injetados) às entradas. type pattern_array is array (natural range <>) of pattern_type; -- Casos de teste. constant patterns : pattern_array := ( ('0', '1'), ('1', '0'), ('0', '1'), ('0', '1'), ('1', '0'), ('1', '0') ); begin -- Checagem de padrões. for i in patterns'range loop -- Injeta as entradas. s_t_a <= patterns(i).vi_a; -- Aguarda os resultados. wait for 1 ns; -- Checa o resultado com a saída esperada no padrão. assert s_t_y = patterns(i).vo_y report "Valor de s_t_y não confere com o resultado esperado." severity error; end loop; assert false report "Fim do teste." severity note; -- Wait forever; Isto finaliza a simulação. wait; end process; end logica;
gpl-3.0
os-cillation/easyfpga-soc
easy_cores/uart16750/slib_clock_div.vhd
5
2036
-- -- Clock divider (clock enable generator) -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_clock_div is generic ( RATIO : integer := 4 -- Clock divider ratio ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable input Q : out std_logic -- New clock enable output ); end slib_clock_div; architecture rtl of slib_clock_div is -- Signals signal iQ : std_logic; -- Internal Q signal iCounter : integer range 0 to RATIO-1; -- Counter begin -- Main process CD_PROC: process (RST, CLK) begin if (RST = '1') then iCounter <= 0; iQ <= '0'; elsif (CLK'event and CLK='1') then iQ <= '0'; if (CE = '1') then if (iCounter = (RATIO-1)) then iQ <= '1'; iCounter <= 0; else iCounter <= iCounter + 1; end if; end if; end if; end process; -- Output signals Q <= iQ; end rtl;
gpl-3.0
Project-Bonfire/KOIT
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/ScanRegister_for_SIBFCX.vhd
3
2121
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ScanRegister_for_SIBFCX is Generic (Size : positive; BitOrder : string; -- MSBLSB / LSBMSB SOSource : natural; ResetValue : STD_LOGIC_VECTOR); Port ( SI : in STD_LOGIC; CE : in STD_LOGIC; SE : in STD_LOGIC; UE : in STD_LOGIC; SEL : in STD_LOGIC; RST : in STD_LOGIC; TCK : in STD_LOGIC; SO : out STD_LOGIC; CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0); ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0); ue_mux_out : out STD_LOGIC_VECTOR (Size-1 downto 0)); end ScanRegister_for_SIBFCX; architecture ScanRegister_arch of ScanRegister_for_SIBFCX is signal and_ce, and_se, and_ue: std_logic; signal internal_si: std_logic_vector(Size downto 0); signal cs_reg: std_logic_vector(Size-1 downto 0); signal u_reg: std_logic_vector(Size-1 downto 0):=ResetValue; signal se_mux, ce_mux, ue_mux: std_logic_vector(Size-1 downto 0); begin -- Basic Combinational Logic and_ce <= CE and SEL; and_se <= SE and SEL; and_ue <= UE and SEL; internal_si(Size) <= SI; -- TDR Shift Register Core SCAN_REGISTER: for i in Size-1 downto 0 generate -- Multiplexers se_mux(i) <= internal_si(i+1) when and_se = '1' else cs_reg(i); ce_mux(i) <= CaptureSource(i) when and_ce = '1' else se_mux(i); ue_mux(i) <= cs_reg(i) when and_ue = '1' else u_reg(i); -- Flip-Flops cs_reg(i) <= ce_mux(i) when TCK'event and TCK = '1'; process(RST,TCK) begin if RST = '1' then u_reg(i) <= ResetValue(Size-1-i); elsif TCK'event and TCK = '0' then u_reg(i) <= ue_mux(i); end if; end process; -- Internal Connections internal_si(i) <= cs_reg(i); end generate; -- Outputs MSBLSB_SO : if BitOrder = "MSBLSB" generate SO <= internal_si(SOSource); end generate; LSBMSB_SO : if BitOrder = "LSBMSB" generate SO <= internal_si(Size-1-SOSource); end generate; ScanRegister_out <= u_reg; ue_mux_out <= ue_mux; end ScanRegister_arch;
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/FIFO_one_hot_credit_based_packet_drop_classifier_support.vhd
3
16854
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0); fault_info, health_info: out std_logic ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if fake_credit = '1' or read_en ='1' then credit_in <= '1'; end if; if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fault_info <= '0'; health_info <= '0'; fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info <= '1'; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; health_info <= '1'; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; fault_info <= '1'; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; fault_info <= '1'; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' and flit_type = "001" then fault_info <= '1'; end if; if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
gpl-3.0
Project-Bonfire/KOIT
RTL/Processor_NI/alu.vhd
13
2633
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity alu is generic(alu_type : string := "DEFAULT"); port(a_in : in std_logic_vector(31 downto 0); b_in : in std_logic_vector(31 downto 0); alu_function : in alu_function_type; c_alu : out std_logic_vector(31 downto 0)); end; --alu architecture logic of alu is signal do_add : std_logic; signal sum : std_logic_vector(32 downto 0); signal less_than : std_logic; begin do_add <= '1' when alu_function = ALU_ADD else '0'; sum <= bv_adder(a_in, b_in, do_add); less_than <= sum(32) when a_in(31) = b_in(31) or alu_function = ALU_LESS_THAN else a_in(31); GENERIC_ALU: if alu_type = "DEFAULT" generate c_alu <= sum(31 downto 0) when alu_function=ALU_ADD or alu_function=ALU_SUBTRACT else ZERO(31 downto 1) & less_than when alu_function=ALU_LESS_THAN or alu_function=ALU_LESS_THAN_SIGNED else a_in or b_in when alu_function=ALU_OR else a_in and b_in when alu_function=ALU_AND else a_in xor b_in when alu_function=ALU_XOR else a_in nor b_in when alu_function=ALU_NOR else ZERO; end generate; AREA_OPTIMIZED_ALU: if alu_type /= "DEFAULT" generate c_alu <= sum(31 downto 0) when alu_function=ALU_ADD or alu_function=ALU_SUBTRACT else (others => 'Z'); c_alu <= ZERO(31 downto 1) & less_than when alu_function=ALU_LESS_THAN or alu_function=ALU_LESS_THAN_SIGNED else (others => 'Z'); c_alu <= a_in or b_in when alu_function=ALU_OR else (others => 'Z'); c_alu <= a_in and b_in when alu_function=ALU_AND else (others => 'Z'); c_alu <= a_in xor b_in when alu_function=ALU_XOR else (others => 'Z'); c_alu <= a_in nor b_in when alu_function=ALU_NOR else (others => 'Z'); c_alu <= ZERO when alu_function=ALU_NOTHING else (others => 'Z'); end generate; end; --architecture logic
gpl-3.0
rogerioag/gcg
tutorial/ula/src/or3.vhd
1
348
-- Projeto gerado via script. -- Data: Qua,20/07/2011-13:51:40 -- Autor: rogerio -- Comentario: Descrição da Entidade: or3. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity or3 is port(a,b,c:in std_logic; y:out std_logic); end or3; architecture estrutural of or3 is begin y <= a or b or c; end estrutural;
gpl-3.0
adelapie/noekeon_inner_round
noekeon_pipelining_inner_k_1/tb_noekeon.vhd
1
5032
-- Copyright (c) 2013 Antonio de la Piedra -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY tb_noekeon IS END tb_noekeon; ARCHITECTURE behavior OF tb_noekeon IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT noekeon PORT( clk : IN std_logic; rst : IN std_logic; enc : IN std_logic; a_0_in : IN std_logic_vector(31 downto 0); a_1_in : IN std_logic_vector(31 downto 0); a_2_in : IN std_logic_vector(31 downto 0); a_3_in : IN std_logic_vector(31 downto 0); k_0_in : IN std_logic_vector(31 downto 0); k_1_in : IN std_logic_vector(31 downto 0); k_2_in : IN std_logic_vector(31 downto 0); k_3_in : IN std_logic_vector(31 downto 0); a_0_out : OUT std_logic_vector(31 downto 0); a_1_out : OUT std_logic_vector(31 downto 0); a_2_out : OUT std_logic_vector(31 downto 0); a_3_out : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal enc : std_logic := '0'; signal a_0_in : std_logic_vector(31 downto 0) := (others => '0'); signal a_1_in : std_logic_vector(31 downto 0) := (others => '0'); signal a_2_in : std_logic_vector(31 downto 0) := (others => '0'); signal a_3_in : std_logic_vector(31 downto 0) := (others => '0'); signal k_0_in : std_logic_vector(31 downto 0) := (others => '0'); signal k_1_in : std_logic_vector(31 downto 0) := (others => '0'); signal k_2_in : std_logic_vector(31 downto 0) := (others => '0'); signal k_3_in : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal a_0_out : std_logic_vector(31 downto 0); signal a_1_out : std_logic_vector(31 downto 0); signal a_2_out : std_logic_vector(31 downto 0); signal a_3_out : std_logic_vector(31 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: noekeon PORT MAP ( clk => clk, rst => rst, enc => enc, a_0_in => a_0_in, a_1_in => a_1_in, a_2_in => a_2_in, a_3_in => a_3_in, k_0_in => k_0_in, k_1_in => k_1_in, k_2_in => k_2_in, k_3_in => k_3_in, a_0_out => a_0_out, a_1_out => a_1_out, a_2_out => a_2_out, a_3_out => a_3_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2 + clk_period; rst <= '1'; enc <= '0'; a_0_in <= X"2a78421b"; a_1_in <= X"87c7d092"; a_2_in <= X"4f26113f"; a_3_in <= X"1d1349b2"; k_0_in <= X"b1656851"; k_1_in <= X"699e29fa"; k_2_in <= X"24b70148"; k_3_in <= X"503d2dfc"; wait for clk_period; rst <= '0'; wait for clk_period*32;-- + clk_period/2; assert a_0_out = X"e2f687e0" report "ENCRYPT ERROR (a_0)" severity FAILURE; assert a_1_out = X"7b75660f" report "ENCRYPT ERROR (a_1)" severity FAILURE; assert a_2_out = X"fc372233" report "ENCRYPT ERROR (a_2)" severity FAILURE; assert a_3_out = X"bc47532c" report "ENCRYPT ERROR (a_3)" severity FAILURE; -- wait for clk_period + clk_period/2; -- rst <= '1'; -- enc <= '1'; -- -- a_0_in <= X"e2f687e0"; -- a_1_in <= X"7b75660f"; -- a_2_in <= X"fc372233"; -- a_3_in <= X"bc47532c"; -- -- k_0_in <= X"b1656851"; -- k_1_in <= X"699e29fa"; -- k_2_in <= X"24b70148"; -- k_3_in <= X"503d2dfc"; -- -- wait for clk_period; -- rst <= '0'; -- -- wait for clk_period*15 + clk_period/2; -- -- assert a_0_out = X"2a78421b" -- report "DECRYPT ERROR (a_0)" severity FAILURE; -- -- assert a_1_out = X"87c7d092" -- report "DECRYPT ERROR (a_1)" severity FAILURE; -- -- assert a_2_out = X"4f26113f" -- report "DECRYPT ERROR (a_2)" severity FAILURE; -- -- assert a_3_out = X"1d1349b2" -- report "DECRYPT ERROR (a_3)" severity FAILURE; wait; end process; END;
gpl-3.0
rogerioag/gcg
samples/unidade_a2/templates/decl_components_instances.vhd
5
139
-- Component instantiation and port mapping.\n <<instance_name>>: <<COMPONENT_NAME>> port map(<<port1>>=><<portX>>, <<port2>>=><<portY>>);
gpl-3.0
rogerioag/gcg
samples/or2/templates/decl_components_instances.vhd
5
139
-- Component instantiation and port mapping.\n <<instance_name>>: <<COMPONENT_NAME>> port map(<<port1>>=><<portX>>, <<port2>>=><<portY>>);
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/New_SHMU_on_Node/ddr_ctrl.vhd
12
13365
--------------------------------------------------------------------- -- TITLE: DDR SDRAM Interface -- AUTHORS: Steve Rhoads ([email protected]) -- DATE CREATED: 7/26/07 -- FILENAME: ddr_ctrl.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Double Data Rate Sychronous Dynamic Random Access Memory Interface -- -- For: 64 MB = MT46V32M16, 512Mb, 32Mb x 16 (default) -- ROW = address(25 downto 13) -- BANK = address(12 downto 11) -- COL = address(10 downto 2) -- -- Changes are needed for 32 MB = MT46V16M16, 256Mb, 16Mb x 16 -- ROW = address(24 downto 12) -- 25 ignored -- BANK = address(11 downto 10) -- COL = address(9 downto 2) --also change ddr_init.c -- -- Changes are needed for 128 MB = MT46V64M16, 1Gb, 64Mb x 16 -- ROW = address(26 downto 14) -- BANK = address(13 downto 12) -- COL = address(11 downto 2) --also change ddr_init.c -- -- Requires CAS latency=2; burst size=2. -- Requires clk changes on rising_edge(clk_2x). -- Requires active, address, byte_we, data_w stable throughout transfer. -- DLL mode requires 77MHz. Non-DLL mode runs at 25 MHz. -- -- cycle_cnt 777777770000111122223333444455556666777777777777 -- clk_2x --__--__--__--__--__--__--__--__--__--__--__--__ -- clk ____----____----____----____----____----____---- -- SD_CLK ----____----____----____----____----____----____ -- cmd ____write+++WRITE+++____________________________ -- SD_DQ ~~~~~~~~~~~~~~uuuullllUUUULLLL~~~~~~~~~~~~~~~~~~ -- -- cycle_cnt 777777770000111122223333444455556666777777777777 -- clk_2x --__--__--__--__--__--__--__--__--__--__--__--__ -- clk ____----____----____----____----____----____---- -- SD_CLK ----____----____----____----____----____----____ -- cmd ____read++++________________________read++++____ -- SD_DQ ~~~~~~~~~~~~~~~~~~~~~~~~uuuullll~~~~~~~~~~~~~~~~ -- SD_DQnDLL ~~~~~~~~~~~~~~~~~~~~~~~~~~uuuullll~~~~~~~~~~~~~~ -- pause ____------------------------________------------ -- -- Must run DdrInit() to initialize DDR chip. -- Read Micron DDR SDRAM MT46V32M16 data sheet for more details. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.mlite_pack.all; entity ddr_ctrl is port( clk : in std_logic; clk_2x : in std_logic; reset_in : in std_logic; address : in std_logic_vector(25 downto 2); byte_we : in std_logic_vector(3 downto 0); data_w : in std_logic_vector(31 downto 0); data_r : out std_logic_vector(31 downto 0); active : in std_logic; no_start : in std_logic; no_stop : in std_logic; pause : out std_logic; SD_CK_P : out std_logic; --clock_positive SD_CK_N : out std_logic; --clock_negative SD_CKE : out std_logic; --clock_enable SD_BA : out std_logic_vector(1 downto 0); --bank_address SD_A : out std_logic_vector(12 downto 0); --address(row or col) SD_CS : out std_logic; --chip_select SD_RAS : out std_logic; --row_address_strobe SD_CAS : out std_logic; --column_address_strobe SD_WE : out std_logic; --write_enable SD_DQ : inout std_logic_vector(15 downto 0); --data SD_UDM : out std_logic; --upper_byte_enable SD_UDQS : inout std_logic; --upper_data_strobe SD_LDM : out std_logic; --low_byte_enable SD_LDQS : inout std_logic); --low_data_strobe end; --entity ddr architecture logic of ddr_ctrl is --Commands for bits RAS & CAS & WE subtype command_type is std_logic_vector(2 downto 0); constant COMMAND_LMR : command_type := "000"; constant COMMAND_AUTO_REFRESH : command_type := "001"; constant COMMAND_PRECHARGE : command_type := "010"; constant COMMAND_ACTIVE : command_type := "011"; constant COMMAND_WRITE : command_type := "100"; constant COMMAND_READ : command_type := "101"; constant COMMAND_TERMINATE : command_type := "110"; constant COMMAND_NOP : command_type := "111"; subtype ddr_state_type is std_logic_vector(3 downto 0); constant STATE_POWER_ON : ddr_state_type := "0000"; constant STATE_IDLE : ddr_state_type := "0001"; constant STATE_ROW_ACTIVATE : ddr_state_type := "0010"; constant STATE_ROW_ACTIVE : ddr_state_type := "0011"; constant STATE_READ : ddr_state_type := "0100"; constant STATE_READ2 : ddr_state_type := "0101"; constant STATE_READ3 : ddr_state_type := "0110"; constant STATE_PRECHARGE : ddr_state_type := "0111"; constant STATE_PRECHARGE2 : ddr_state_type := "1000"; signal state_prev : ddr_state_type; signal refresh_cnt : std_logic_vector(7 downto 0); signal data_write2 : std_logic_vector(47 downto 0); --write pipeline signal byte_we_reg2 : std_logic_vector(5 downto 0); --write pipeline signal write_active : std_logic; signal write_prev : std_logic; signal cycle_count : std_logic_vector(2 downto 0); --half clocks since op signal cycle_count2 : std_logic_vector(2 downto 0); --delayed by quarter clock signal cke_reg : std_logic; signal clk_p : std_logic; signal bank_open : std_logic_vector(3 downto 0); signal data_read : std_logic_vector(31 downto 0); begin ddr_proc: process(clk, clk_p, clk_2x, reset_in, address, byte_we, data_w, active, no_start, no_stop, SD_DQ, SD_UDQS, SD_LDQS, state_prev, refresh_cnt, byte_we_reg2, data_write2, cycle_count, cycle_count2, write_prev, write_active, cke_reg, bank_open, data_read) type address_array_type is array(3 downto 0) of std_logic_vector(12 downto 0); variable address_row : address_array_type; variable command : std_logic_vector(2 downto 0); --RAS & CAS & WE variable bank_index : integer; variable state_current : ddr_state_type; begin command := COMMAND_NOP; bank_index := conv_integer(address(12 downto 11)); state_current := state_prev; --DDR state machine to determine state_current and command case state_prev is when STATE_POWER_ON => if active = '1' then if byte_we /= "0000" then command := address(6 downto 4); --LMR="000" else state_current := STATE_IDLE; --read transistions to STATE_IDLE end if; end if; when STATE_IDLE => if refresh_cnt(7) = '1' then state_current := STATE_PRECHARGE; command := COMMAND_AUTO_REFRESH; elsif active = '1' and no_start = '0' then state_current := STATE_ROW_ACTIVATE; command := COMMAND_ACTIVE; end if; when STATE_ROW_ACTIVATE => state_current := STATE_ROW_ACTIVE; when STATE_ROW_ACTIVE => if refresh_cnt(7) = '1' then if write_prev = '0' then state_current := STATE_PRECHARGE; command := COMMAND_PRECHARGE; end if; elsif active = '1' and no_start = '0' then if bank_open(bank_index) = '0' then state_current := STATE_ROW_ACTIVATE; command := COMMAND_ACTIVE; elsif address(25 downto 13) /= address_row(bank_index) then if write_prev = '0' then state_current := STATE_PRECHARGE; command := COMMAND_PRECHARGE; end if; else if byte_we /= "0000" then command := COMMAND_WRITE; elsif write_prev = '0' then state_current := STATE_READ; command := COMMAND_READ; end if; end if; end if; when STATE_READ => state_current := STATE_READ2; when STATE_READ2 => state_current := STATE_READ3; when STATE_READ3 => if no_stop = '0' then state_current := STATE_ROW_ACTIVE; end if; when STATE_PRECHARGE => state_current := STATE_PRECHARGE2; when STATE_PRECHARGE2 => state_current := STATE_IDLE; when others => state_current := STATE_IDLE; end case; --state_prev --rising_edge(clk) domain registers if reset_in = '1' then state_prev <= STATE_POWER_ON; cke_reg <= '0'; refresh_cnt <= ZERO(7 downto 0); write_prev <= '0'; write_active <= '0'; bank_open <= "0000"; elsif rising_edge(clk) then if active = '1' then cke_reg <= '1'; end if; if command = COMMAND_WRITE then write_prev <= '1'; elsif cycle_count2(2 downto 1) = "11" then write_prev <= '0'; end if; if command = COMMAND_WRITE then write_active <= '1'; elsif cycle_count2 = "100" then write_active <= '0'; end if; if command = COMMAND_ACTIVE then bank_open(bank_index) <= '1'; address_row(bank_index) := address(25 downto 13); end if; if command = COMMAND_PRECHARGE then bank_open <= "0000"; end if; if command = COMMAND_AUTO_REFRESH then refresh_cnt <= ZERO(7 downto 0); else refresh_cnt <= refresh_cnt + 1; end if; state_prev <= state_current; end if; --rising_edge(clk) --rising_edge(clk_2x) domain registers if reset_in = '1' then cycle_count <= "000"; elsif rising_edge(clk_2x) then --Cycle_count if (command = COMMAND_READ or command = COMMAND_WRITE) and clk = '1' then cycle_count <= "000"; elsif cycle_count /= "111" then cycle_count <= cycle_count + 1; end if; clk_p <= clk; --earlier version of not clk --Read data (DLL disabled) if cycle_count = "100" then data_read(31 downto 16) <= SD_DQ; --data elsif cycle_count = "101" then data_read(15 downto 0) <= SD_DQ; end if; end if; --falling_edge(clk_2x) domain registers if reset_in = '1' then cycle_count2 <= "000"; data_write2 <= ZERO(15 downto 0) & ZERO; byte_we_reg2 <= "000000"; elsif falling_edge(clk_2x) then cycle_count2 <= cycle_count; --Write pipeline if clk = '0' then data_write2 <= data_write2(31 downto 16) & data_w; byte_we_reg2 <= byte_we_reg2(3 downto 2) & byte_we; else data_write2(47 downto 16) <= data_write2(31 downto 0); byte_we_reg2(5 downto 2) <= byte_we_reg2(3 downto 0); end if; --Read data (DLL enabled) --if cycle_count = "100" then -- data_read(31 downto 16) <= SD_DQ; --data --elsif cycle_count = "101" then -- data_read(15 downto 0) <= SD_DQ; --end if; end if; data_r <= data_read; --Write data if write_active = '1' then SD_UDQS <= clk_p; --upper_data_strobe SD_LDQS <= clk_p; --low_data_strobe SD_DQ <= data_write2(47 downto 32); --data SD_UDM <= not byte_we_reg2(5); --upper_byte_enable SD_LDM <= not byte_we_reg2(4); --low_byte_enable else SD_UDQS <= 'Z'; --upper_data_strobe SD_LDQS <= 'Z'; --low_data_strobe SD_DQ <= "ZZZZZZZZZZZZZZZZ"; --data SD_UDM <= 'Z'; SD_LDM <= 'Z'; end if; --DDR control signals SD_CK_P <= clk_p; --clock_positive SD_CK_N <= not clk_p; --clock_negative SD_CKE <= cke_reg; --clock_enable SD_BA <= address(12 downto 11); --bank_address if command = COMMAND_ACTIVE or state_current = STATE_POWER_ON then SD_A <= address(25 downto 13); --address row elsif command = COMMAND_READ or command = COMMAND_WRITE then SD_A <= "000" & address(10 downto 2) & "0"; --address col else SD_A <= "0010000000000"; --PERCHARGE all banks end if; SD_CS <= not cke_reg; --chip_select SD_RAS <= command(2); --row_address_strobe SD_CAS <= command(1); --column_address_strobe SD_WE <= command(0); --write_enable if active = '1' and state_current /= STATE_POWER_ON and command /= COMMAND_WRITE and state_prev /= STATE_READ3 then pause <= '1'; else pause <= '0'; end if; end process; --ddr_proc end; --architecture logic
gpl-3.0
os-cillation/easyfpga-soc
easy_cores/pwm/pwm16.vhd
1
3873
-- This file is part of easyFPGA. -- Copyright 2013-2015 os-cillation GmbH -- -- easyFPGA is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- easyFPGA is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with easyFPGA. If not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- -- 16-bit PWM using two-process design pattern -- -- @author Simon Gansen ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Type and component definition package ------------------------------------------------------------------------------- package pwm16_comp is type pwm16_in_type is record duty_cycle : std_logic_vector(15 downto 0); end record; component pwm16 port ( clk : in std_logic; rst : in std_logic; d : in pwm16_in_type; pwm : out std_logic ); end component; end package; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pwm16_comp.all; ------------------------------------------------------------------------------- ENTITY pwm16 is ------------------------------------------------------------------------------- port ( clk : in std_logic; rst : in std_logic; d : in pwm16_in_type; pwm : out std_logic ); end pwm16; ------------------------------------------------------------------------------- ARCHITECTURE two_proc of pwm16 is ------------------------------------------------------------------------------- type reg_type is record pwm_cnt : unsigned(15 downto 0); -- pwm counter end record; signal reg_out, reg_in : reg_type; begin ------------------------------------------------------------------------------- COMBINATIONAL : process(d, reg_out) ------------------------------------------------------------------------------- variable tmp_var : reg_type; begin tmp_var := reg_out; -- default assignments ---algorithm------------------------------------------------------------- -- PWM: reset on overflow, increment otherwise if (tmp_var.pwm_cnt = 2**16-1) then tmp_var.pwm_cnt := (others => '0'); else tmp_var.pwm_cnt := reg_out.pwm_cnt + 1; end if; -- compare and drive output if (tmp_var.pwm_cnt >= unsigned(d.duty_cycle)) then pwm <= '0'; else pwm <= '1'; end if; ------------------------------------------------------------------------- reg_in <= tmp_var; -- drive register inputs end process COMBINATIONAL; ------------------------------------------------------------------------------- REGISTERS : process(clk,rst) ------------------------------------------------------------------------------- begin if rising_edge(clk) then if (rst = '1') then reg_out.pwm_cnt <= (others => '0'); else reg_out <= reg_in; end if; end if; end process REGISTERS; end two_proc;
gpl-3.0
hdlguy/vivado_tcl
source/testbench/chirp_gen_tb.vhd
1
944
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; entity chirp_gen_tb is generic( in_width : natural := 8); end entity chirp_gen_tb; architecture rtl of chirp_gen_tb is -- signal clk : std_logic; signal reset : std_logic; signal cos : std_logic_vector(7 downto 0); signal sin : std_logic_vector(7 downto 0); -- constant clk_period : time := 10 ns; -- begin stim_proc:process begin reset <= '1'; wait for clk_period*4; reset <= '0'; wait; end process; uut: entity work.chirp_gen port map ( clk => clk, reset => reset, cos => cos, sin => sin); clk_proc:process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; end architecture rtl;
gpl-3.0
Project-Bonfire/KOIT
RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/SIB_mux_pre.vhd
3
3021
--Copyright (C) 2017 Dmitri Mihhailov library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SIB_mux_pre is Port ( -- Scan Interface client -------------- SI : in STD_LOGIC; -- ScanInPort CE : in STD_LOGIC; -- CaptureEnPort SE : in STD_LOGIC; -- ShiftEnPort UE : in STD_LOGIC; -- UpdateEnPort SEL : in STD_LOGIC; -- SelectPort RST : in STD_LOGIC; -- ResetPort TCK : in STD_LOGIC; -- TCKPort SO : out STD_LOGIC; -- ScanOutPort -- Scan Interface host ---------------- fromSO : in STD_LOGIC; -- ScanInPort toCE : out STD_LOGIC; -- ToCaptureEnPort toSE : out STD_LOGIC; -- ToShiftEnPort toUE : out STD_LOGIC; -- ToUpdateEnPort toSEL : out STD_LOGIC; -- ToSelectPort toRST : out STD_LOGIC; -- ToResetPort toTCK : out STD_LOGIC; -- ToTCKPort toSI : out STD_LOGIC); -- ScanOutPort end SIB_mux_pre; architecture SIB_mux_pre_arch of SIB_mux_pre is component ScanRegister is Generic (Size : positive; BitOrder : string; -- MSBLSB / LSBMSB SOSource : natural; ResetValue : STD_LOGIC_VECTOR); Port ( SI : in STD_LOGIC; CE : in STD_LOGIC; SE : in STD_LOGIC; UE : in STD_LOGIC; SEL : in STD_LOGIC; RST : in STD_LOGIC; TCK : in STD_LOGIC; SO : out STD_LOGIC; CaptureSource : in STD_LOGIC_VECTOR (Size-1 downto 0); ScanRegister_out : out STD_LOGIC_VECTOR (Size-1 downto 0)); end component; signal SR_so : STD_LOGIC; signal SR_do : STD_LOGIC_VECTOR (0 downto 0); component ScanMux is Generic (ControlSize : positive); Port ( ScanMux_in : in STD_LOGIC_VECTOR((2**ControlSize)-1 downto 0); SelectedBy : in STD_LOGIC_VECTOR(ControlSize-1 downto 0); ScanMux_out : out STD_LOGIC); end component; signal SIBmux_out : STD_LOGIC; begin SO <= SR_so; -- Source SR toCE <= CE; toSE <= SE; toUE <= UE; toSEL <= SEL and SR_do(0); -- SEL & SR.DO toRST <= RST; toTCK <= TCK; toSI <= SI; -- Source SI SR : ScanRegister Generic map (Size => 1, BitOrder => "MSBLSB", -- MSBLSB / LSBMSB SOSource => 0, ResetValue => "0") -- ResetValue 1'b0 Port map ( SI => SIBmux_out, -- ScanInSource SIBmux_out CE => CE, SE => SE, UE => UE, SEL => SEL, RST => RST, TCK => TCK, SO => SR_so, CaptureSource => SR_do, -- CaptureSource SR ScanRegister_out => SR_do); SIBmux : ScanMux Generic map ( ControlSize => 1) Port map ( ScanMux_in(0) => SI, -- 1'b0 : SI ScanMux_in(1) => fromSO, -- 1'b1 : fromSO SelectedBy => SR_do, --SelectedBy SR ScanMux_out => SIBmux_out); end SIB_mux_pre_arch;
gpl-3.0
Project-Bonfire/KOIT
RTL/Processor_NI/bus_mux.vhd
16
4157
--------------------------------------------------------------------- -- TITLE: Bus Multiplexer / Signal Router -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: bus_mux.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- This entity is the main signal router. -- It multiplexes signals from multiple sources to the correct location. -- The outputs are as follows: -- a_bus : goes to the ALU -- b_bus : goes to the ALU -- reg_dest_out : goes to the register bank -- take_branch : goes to pc_next --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity bus_mux is port(imm_in : in std_logic_vector(15 downto 0); reg_source : in std_logic_vector(31 downto 0); a_mux : in a_source_type; a_out : out std_logic_vector(31 downto 0); reg_target : in std_logic_vector(31 downto 0); b_mux : in b_source_type; b_out : out std_logic_vector(31 downto 0); c_bus : in std_logic_vector(31 downto 0); c_memory : in std_logic_vector(31 downto 0); c_pc : in std_logic_vector(31 downto 2); c_pc_plus4 : in std_logic_vector(31 downto 2); c_mux : in c_source_type; reg_dest_out : out std_logic_vector(31 downto 0); branch_func : in branch_function_type; take_branch : out std_logic); end; --entity bus_mux architecture logic of bus_mux is begin --Determine value of a_bus amux: process(reg_source, imm_in, a_mux, c_pc) begin case a_mux is when A_FROM_REG_SOURCE => a_out <= reg_source; when A_FROM_IMM10_6 => a_out <= ZERO(31 downto 5) & imm_in(10 downto 6); when A_FROM_PC => a_out <= c_pc & "00"; when others => a_out <= c_pc & "00"; end case; end process; --Determine value of b_bus bmux: process(reg_target, imm_in, b_mux) begin case b_mux is when B_FROM_REG_TARGET => b_out <= reg_target; when B_FROM_IMM => b_out <= ZERO(31 downto 16) & imm_in; when B_FROM_SIGNED_IMM => if imm_in(15) = '0' then b_out(31 downto 16) <= ZERO(31 downto 16); else b_out(31 downto 16) <= "1111111111111111"; end if; b_out(15 downto 0) <= imm_in; when B_FROM_IMMX4 => if imm_in(15) = '0' then b_out(31 downto 18) <= "00000000000000"; else b_out(31 downto 18) <= "11111111111111"; end if; b_out(17 downto 0) <= imm_in & "00"; when others => b_out <= reg_target; end case; end process; --Determine value of c_bus cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux) begin case c_mux is when C_FROM_ALU => -- | C_FROM_SHIFT | C_FROM_MULT => reg_dest_out <= c_bus; when C_FROM_MEMORY => reg_dest_out <= c_memory; when C_FROM_PC => reg_dest_out <= c_pc(31 downto 2) & "00"; when C_FROM_PC_PLUS4 => reg_dest_out <= c_pc_plus4 & "00"; when C_FROM_IMM_SHIFT16 => reg_dest_out <= imm_in & ZERO(15 downto 0); when others => reg_dest_out <= c_bus; end case; end process; --Determine value of take_branch pc_mux: process(branch_func, reg_source, reg_target) variable is_equal : std_logic; begin if reg_source = reg_target then is_equal := '1'; else is_equal := '0'; end if; case branch_func is when BRANCH_LTZ => take_branch <= reg_source(31); when BRANCH_LEZ => take_branch <= reg_source(31) or is_equal; when BRANCH_EQ => take_branch <= is_equal; when BRANCH_NE => take_branch <= not is_equal; when BRANCH_GEZ => take_branch <= not reg_source(31); when BRANCH_GTZ => take_branch <= not reg_source(31) and not is_equal; when BRANCH_YES => take_branch <= '1'; when others => take_branch <= '0'; end case; end process; end; --architecture logic
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/bus_mux.vhd
16
4157
--------------------------------------------------------------------- -- TITLE: Bus Multiplexer / Signal Router -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: bus_mux.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- This entity is the main signal router. -- It multiplexes signals from multiple sources to the correct location. -- The outputs are as follows: -- a_bus : goes to the ALU -- b_bus : goes to the ALU -- reg_dest_out : goes to the register bank -- take_branch : goes to pc_next --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity bus_mux is port(imm_in : in std_logic_vector(15 downto 0); reg_source : in std_logic_vector(31 downto 0); a_mux : in a_source_type; a_out : out std_logic_vector(31 downto 0); reg_target : in std_logic_vector(31 downto 0); b_mux : in b_source_type; b_out : out std_logic_vector(31 downto 0); c_bus : in std_logic_vector(31 downto 0); c_memory : in std_logic_vector(31 downto 0); c_pc : in std_logic_vector(31 downto 2); c_pc_plus4 : in std_logic_vector(31 downto 2); c_mux : in c_source_type; reg_dest_out : out std_logic_vector(31 downto 0); branch_func : in branch_function_type; take_branch : out std_logic); end; --entity bus_mux architecture logic of bus_mux is begin --Determine value of a_bus amux: process(reg_source, imm_in, a_mux, c_pc) begin case a_mux is when A_FROM_REG_SOURCE => a_out <= reg_source; when A_FROM_IMM10_6 => a_out <= ZERO(31 downto 5) & imm_in(10 downto 6); when A_FROM_PC => a_out <= c_pc & "00"; when others => a_out <= c_pc & "00"; end case; end process; --Determine value of b_bus bmux: process(reg_target, imm_in, b_mux) begin case b_mux is when B_FROM_REG_TARGET => b_out <= reg_target; when B_FROM_IMM => b_out <= ZERO(31 downto 16) & imm_in; when B_FROM_SIGNED_IMM => if imm_in(15) = '0' then b_out(31 downto 16) <= ZERO(31 downto 16); else b_out(31 downto 16) <= "1111111111111111"; end if; b_out(15 downto 0) <= imm_in; when B_FROM_IMMX4 => if imm_in(15) = '0' then b_out(31 downto 18) <= "00000000000000"; else b_out(31 downto 18) <= "11111111111111"; end if; b_out(17 downto 0) <= imm_in & "00"; when others => b_out <= reg_target; end case; end process; --Determine value of c_bus cmux: process(c_bus, c_memory, c_pc, c_pc_plus4, imm_in, c_mux) begin case c_mux is when C_FROM_ALU => -- | C_FROM_SHIFT | C_FROM_MULT => reg_dest_out <= c_bus; when C_FROM_MEMORY => reg_dest_out <= c_memory; when C_FROM_PC => reg_dest_out <= c_pc(31 downto 2) & "00"; when C_FROM_PC_PLUS4 => reg_dest_out <= c_pc_plus4 & "00"; when C_FROM_IMM_SHIFT16 => reg_dest_out <= imm_in & ZERO(15 downto 0); when others => reg_dest_out <= c_bus; end case; end process; --Determine value of take_branch pc_mux: process(branch_func, reg_source, reg_target) variable is_equal : std_logic; begin if reg_source = reg_target then is_equal := '1'; else is_equal := '0'; end if; case branch_func is when BRANCH_LTZ => take_branch <= reg_source(31); when BRANCH_LEZ => take_branch <= reg_source(31) or is_equal; when BRANCH_EQ => take_branch <= is_equal; when BRANCH_NE => take_branch <= not is_equal; when BRANCH_GEZ => take_branch <= not reg_source(31); when BRANCH_GTZ => take_branch <= not reg_source(31) and not is_equal; when BRANCH_YES => take_branch <= '1'; when others => take_branch <= '0'; end case; end process; end; --architecture logic
gpl-3.0
Project-Bonfire/KOIT
RTL/Chip_Designs/IMMORTAL_Chip_2017/network_files/Allocator_with_checkers_with_FI/Arbiter_in_one_hot_checkers.vhd
3
22792
--Copyright (C) 2016 Behrad Niazmand library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; use work.component_pack.all; entity Arbiter_in_one_hot_checkers is port ( req_X_N, req_X_E, req_X_W, req_X_S, req_X_L :in std_logic; state: in std_logic_vector (5 downto 0); state_in: in std_logic_vector (5 downto 0); X_N, X_E, X_W, X_S, X_L :in std_logic; -- Checker outputs err_Requests_state_in_state_not_equal, err_IDLE_Req_N, err_IDLE_grant_N,err_North_Req_N, err_North_grant_N, err_East_Req_E, err_East_grant_E, err_West_Req_W, err_West_grant_W, err_South_Req_S,err_South_grant_S,err_Local_Req_L, err_Local_grant_L, err_IDLE_Req_E, err_IDLE_grant_E, err_North_Req_E, err_North_grant_E, err_East_Req_W, err_East_grant_W, err_West_Req_S, err_West_grant_S, err_South_Req_L, err_South_grant_L, err_Local_Req_N, err_Local_grant_N, err_IDLE_Req_W, err_IDLE_grant_W, err_North_Req_W, err_North_grant_W, err_East_Req_S, err_East_grant_S, err_West_Req_L, err_West_grant_L, err_South_Req_N, err_South_grant_N, err_Local_Req_E, err_Local_grant_E, err_IDLE_Req_S, err_IDLE_grant_S, err_North_Req_S, err_North_grant_S, err_East_Req_L, err_East_grant_L, err_West_Req_N, err_West_grant_N, err_South_Req_E, err_South_grant_E, err_Local_Req_W, err_Local_grant_W, err_IDLE_Req_L, err_IDLE_grant_L, err_North_Req_L, err_North_grant_L, err_East_Req_N, err_East_grant_N, err_West_Req_E, err_West_grant_E, err_South_Req_W, err_South_grant_W, err_Local_Req_S, err_Local_grant_S, err_state_in_onehot, err_no_request_grants, err_request_no_grants, err_no_Req_N_grant_N, err_no_Req_E_grant_E, err_no_Req_W_grant_W, err_no_Req_S_grant_S, err_no_Req_L_grant_L : out std_logic ); end Arbiter_in_one_hot_checkers; architecture behavior of Arbiter_in_one_hot_checkers is SIGNAL Requests: std_logic_vector (4 downto 0); SIGNAL Grants: std_logic_vector (4 downto 0); begin Requests <= req_X_N & req_X_E & req_X_W & req_X_S & req_X_L; Grants <= X_N & X_E & X_W & X_S & X_L; -- Checkers --checked process (state, Requests, state_in) begin --if ( (state = North or state = East or state = West or state = South or state = Local or state = IDLE) and Requests = "00000" and state_in /= state ) then if (Requests = "00000" and state_in /= state ) then err_Requests_state_in_state_not_equal <= '1'; else err_Requests_state_in_state_not_equal <= '0'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 1 --checked -- N has highest priority, then E, W, S and L (and then again N). process (state, req_X_N, state_in) begin err_IDLE_Req_N <= '0'; if ( state = IDLE and req_X_N = '1' and state_in /= North ) then err_IDLE_Req_N <= '1'; end if; end process; process (state, req_X_N, X_N) begin err_IDLE_grant_N <= '0'; if ( state = IDLE and req_X_N = '1' and X_N = '0' ) then err_IDLE_grant_N <= '1'; end if; end process; process (state, req_X_N, state_in) begin err_North_Req_N <= '0'; if (state = North and req_X_N = '1' and state_in /= North) then err_North_Req_N <= '1'; end if; end process; process (state, req_X_N, X_N) begin err_North_grant_N <= '0'; if ( state = North and req_X_N = '1' and X_N = '0' ) then err_North_grant_N <= '1'; end if; end process; process (state, req_X_E, state_in) begin err_East_Req_E <= '0'; if (state = East and req_X_E = '1' and state_in /= East) then err_East_Req_E <= '1'; end if; end process; process (state, req_X_E, X_E) begin err_East_grant_E <= '0'; if ( state = East and req_X_E = '1' and X_E = '0' ) then err_East_grant_E <= '1'; end if; end process; process (state, req_X_W, state_in) begin err_West_Req_W <= '0'; if (state = West and req_X_W = '1' and state_in /= West) then err_West_Req_W <= '1'; end if; end process; process (state, req_X_W, X_W) begin err_West_grant_W <= '0'; if ( state = West and req_X_W = '1' and X_W = '0' ) then err_West_grant_W <= '1'; end if; end process; process (state, req_X_S, state_in) begin err_South_Req_S <= '0'; if (state = South and req_X_S = '1' and state_in /= South) then err_South_Req_S <= '1'; end if; end process; process (state, req_X_S, X_S) begin err_South_grant_S <= '0'; if ( state = South and req_X_S = '1' and X_S = '0' ) then err_South_grant_S <= '1'; end if; end process; -- Local is a bit different (including others case) process (state, req_X_L, state_in) begin err_Local_Req_L <= '0'; if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and req_X_L = '1' and state_in /= Local) then err_Local_Req_L <= '1'; end if; end process; process (state, req_X_L, X_L) begin err_Local_grant_L <= '0'; if ( state /= IDLE and state /= North and state /=East and state /= West and state /= South and req_X_L = '1' and X_L = '0' ) then err_Local_grant_L <= '1'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 2 --checked process (state, req_X_N, req_X_E, state_in) begin err_IDLE_Req_E <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_IDLE_Req_E <= '1'; end if; end process; process (state, req_X_N, req_X_E, X_E) begin err_IDLE_grant_E <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '1' and X_E = '0' ) then err_IDLE_grant_E <= '1'; end if; end process; process (state, req_X_N, req_X_E, state_in) begin err_North_Req_E <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_North_Req_E <= '1'; end if; end process; process (state, req_X_N, req_X_E, X_E) begin err_North_grant_E <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '1' and X_E = '0' ) then err_North_grant_E <= '1'; end if; end process; process (state, req_X_E, req_X_W, state_in) begin err_East_Req_W <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_East_Req_W <= '1'; end if; end process; process (state, req_X_E, req_X_W, X_W) begin err_East_grant_W <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '1' and X_W = '0' ) then err_East_grant_W <= '1'; end if; end process; process (state, req_X_W, req_X_S, state_in) begin err_West_Req_S <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_West_Req_S <= '1'; end if; end process; process (state, req_X_W, req_X_S, X_S) begin err_West_grant_S <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '1' and X_S = '0' ) then err_West_grant_S <= '1'; end if; end process; -- Shall I consider local for this case or the others case ? I guess local, according to the previous checkers -- for the router with CTS/RTS handshaking Flow Control process (state, req_X_S, req_X_L, state_in) begin err_South_Req_L <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_South_Req_L <= '1'; end if; end process; process (state, req_X_S, req_X_L, X_L) begin err_South_grant_L <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '1' and X_L = '0' ) then err_South_grant_L <= '1'; end if; end process; -- Local and invalid states (others case) process (state, req_X_L, req_X_N, state_in) begin err_Local_Req_N <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_Local_Req_N <= '1'; end if; end process; process (state, req_X_L, req_X_N, X_N) begin err_Local_grant_N <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '1' and X_N = '0' ) then err_Local_grant_N <= '1'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 3 process (state, req_X_N, req_X_E, req_X_W, state_in) begin err_IDLE_Req_W <= '0'; if (state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_IDLE_Req_W <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, X_W) begin err_IDLE_grant_W <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and X_W = '0' ) then err_IDLE_grant_W <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, state_in) begin err_North_Req_W <= '0'; if (state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_North_Req_W <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, X_W) begin err_North_grant_W <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and X_W = '0' ) then err_North_grant_W <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, state_in) begin err_East_Req_S <= '0'; if (state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_East_Req_S <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, X_S) begin err_East_grant_S <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and X_S = '0' ) then err_East_grant_S <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, state_in) begin err_West_Req_L <= '0'; if (state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_West_Req_L <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, X_L) begin err_West_grant_L <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and X_L = '0' ) then err_West_grant_L <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, state_in) begin err_South_Req_N <= '0'; if (state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_South_Req_N <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, X_N) begin err_South_grant_N <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and X_N = '0' ) then err_South_grant_N <= '1'; end if; end process; -- Local and invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, state_in) begin err_Local_Req_E <= '0'; if (state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_Local_Req_E <= '1'; end if; end process; process (state, req_X_L, req_X_N, req_X_E, X_E) begin err_Local_grant_E <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and X_E = '0' ) then err_Local_grant_E <= '1'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 4 process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin err_IDLE_Req_S <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_IDLE_Req_S <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, X_S) begin err_IDLE_grant_S <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and X_S = '0') then err_IDLE_grant_S <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin err_North_Req_S <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_North_Req_S <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, X_S) begin err_North_grant_S <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and X_S = '0') then err_North_grant_S <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin err_East_Req_L <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_East_Req_L <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, X_L) begin err_East_grant_L <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and X_L = '0') then err_East_grant_L <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin err_West_Req_N <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_West_Req_N <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, X_N) begin err_West_grant_N <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and X_N = '0') then err_West_grant_N <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin err_South_Req_E <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_South_Req_E <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, X_E) begin err_South_grant_E <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and X_E = '0') then err_South_grant_E <= '1'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin err_Local_Req_W <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_Local_Req_W <= '1'; end if; end process; process (state, req_X_L, req_X_N, req_X_E, req_X_W, X_W) begin err_Local_grant_W <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and X_W = '0') then err_Local_grant_W <= '1'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- -- Round 5 process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin err_IDLE_Req_L <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_IDLE_Req_L <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, X_L) begin err_IDLE_grant_L <= '0'; if ( state = IDLE and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and X_L = '0' ) then err_IDLE_grant_L <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, state_in) begin err_North_Req_L <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and state_in /= Local) then err_North_Req_L <= '1'; end if; end process; process (state, req_X_N, req_X_E, req_X_W, req_X_S, req_X_L, X_L) begin err_North_grant_L <= '0'; if ( state = North and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '1' and X_L = '0' ) then err_North_grant_L <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, req_X_N, state_in) begin err_East_Req_N <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and state_in /= North) then err_East_Req_N <= '1'; end if; end process; process (state, req_X_E, req_X_W, req_X_S, req_X_L, req_X_N, X_N) begin err_East_grant_N <= '0'; if ( state = East and req_X_E = '0' and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '1' and X_N = '0' ) then err_East_grant_N <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, req_X_E, state_in) begin err_West_Req_E <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and state_in /= East) then err_West_Req_E <= '1'; end if; end process; process (state, req_X_W, req_X_S, req_X_L, req_X_N, req_X_E, X_E) begin err_West_grant_E <= '0'; if ( state = West and req_X_W = '0' and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '1' and X_E = '0' ) then err_West_grant_E <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, req_X_W, state_in) begin err_South_Req_W <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and state_in /= West) then err_South_Req_W <= '1'; end if; end process; process (state, req_X_S, req_X_L, req_X_N, req_X_E, req_X_W, X_W) begin err_South_grant_W <= '0'; if ( state = South and req_X_S = '0' and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '1' and X_W = '0' ) then err_South_grant_W <= '1'; end if; end process; -- Local state or invalid state(s) (others case) process (state, req_X_L, req_X_N, req_X_E, req_X_W, req_X_S, state_in) begin err_Local_Req_S <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and state_in /= South) then err_Local_Req_S <= '1'; end if; end process; process (state, req_X_L, req_X_N, req_X_E, req_X_W, req_X_S, X_S) begin err_Local_grant_S <= '0'; if ( state /= IDLE and state /= North and state /=East and state /=West and state /= South and req_X_L = '0' and req_X_N = '0' and req_X_E = '0' and req_X_W = '0' and req_X_S = '1' and X_S = '0' ) then err_Local_grant_S <= '1'; end if; end process; ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------------------------------------------------- process (state_in) begin err_state_in_onehot <= '0'; if (state_in /= IDLE and state_in /= North and state_in /= East and state_in /= West and state_in /= South and state_in /= Local) then err_state_in_onehot <= '1'; end if; end process; process (Requests, Grants) begin err_no_request_grants <= '0'; if ( Requests = "00000" and Grants /= "00000") then err_no_request_grants <= '1'; end if; end process; process (Requests, Grants) begin err_request_no_grants <= '0'; if ( Requests /= "00000" and Grants = "00000") then err_request_no_grants <= '1'; end if; end process; process (req_X_N, X_N) begin err_no_Req_N_grant_N <= '0'; if (req_X_N = '0' and X_N = '1') then err_no_Req_N_grant_N <= '1'; end if; end process; process (req_X_E, X_E) begin err_no_Req_E_grant_E <= '0'; if (req_X_E = '0' and X_E = '1') then err_no_Req_E_grant_E <= '1'; end if; end process; process (req_X_W, X_W) begin err_no_Req_W_grant_W <= '0'; if (req_X_W = '0' and X_W = '1') then err_no_Req_W_grant_W <= '1'; end if; end process; process (req_X_S, X_S) begin err_no_Req_S_grant_S <= '0'; if (req_X_S = '0' and X_S = '1') then err_no_Req_S_grant_S <= '1'; end if; end process; process (req_X_L, X_L) begin err_no_Req_L_grant_L <= '0'; if (req_X_L = '0' and X_L = '1') then err_no_Req_L_grant_L <= '1'; end if; end process; end behavior;
gpl-3.0
rogerioag/gcg
tutorial/ula/testbench/xnor2_tb.vhd
1
1808
-- Testebench gerado via script. -- Data: Sáb,31/12/2011-01:19:09 -- Autor: rogerio -- Comentario: Teste da entidade xnor2. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity xnor2_tb is end xnor2_tb; architecture logica of xnor2_tb is -- Declaração do componente. component xnor2 port (a, b: in std_logic; y: out std_logic); end component; -- Especifica qual a entidade está vinculada com o componente. for xnor2_0: xnor2 use entity work.xnor2; signal s_t_a, s_t_b, s_t_y: std_logic; begin -- Instanciação do Componente. -- port map (<<p_in_1>> => <<s_t_in_1>>) xnor2_0: xnor2 port map ( a=>s_t_a, b=>s_t_b, y=>s_t_y); -- Processo que faz o trabalho. process -- Um registro é criado com as entradas e saídas da entidade. -- (<<entrada1>>, <<entradaN>>, <<saida1>>, <<saidaN>>) type pattern_type is record -- entradas. vi_a, vi_b: std_logic; -- saídas. vo_y: std_logic; end record; -- Os padrões de entrada que são aplicados (injetados) às entradas. type pattern_array is array (natural range <>) of pattern_type; -- Casos de teste. constant patterns : pattern_array := ( ('0', '0', '1'), ('0', '1', '0'), ('1', '0', '0'), ('1', '1', '1') ); begin -- Checagem de padrões. for i in patterns'range loop -- Injeta as entradas. s_t_a <= patterns(i).vi_a; s_t_b <= patterns(i).vi_b; -- Aguarda os resultados. wait for 1 ns; -- Checa o resultado com a saída esperada no padrão. assert s_t_y = patterns(i).vo_y report "Valor de s_t_y não confere com o resultado esperado." severity error; end loop; assert false report "Fim do teste." severity note; -- Wait forever; Isto finaliza a simulação. wait; end process; end logica;
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/FIFO_one_hot_credit_based_packet_drop.vhd
3
16426
--Copyright (C) 2016 Siavoosh Payandeh Azad library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity FIFO_credit_based is generic ( DATA_WIDTH: integer := 32 ); port ( reset: in std_logic; clk: in std_logic; RX: in std_logic_vector(DATA_WIDTH-1 downto 0); valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; credit_out: out std_logic; empty_out: out std_logic; Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0) ); end FIFO_credit_based; architecture behavior of FIFO_credit_based is signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0); signal full, empty: std_logic; signal read_en, write_en: std_logic; signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0); signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0); constant fake_tail : std_logic_vector := "10000000000000000000000000000001"; alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3); signal faulty_packet_in, faulty_packet_out: std_logic; signal xor_all, fault_out: std_logic; type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop); signal state_out, state_in : state_type; signal fake_credit, credit_in, write_fake_flit: std_logic; signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0); begin -------------------------------------------------------------------------------------------- -- block diagram of the FIFO! -------------------------------------------------------------------------------------------- -- circular buffer structure -- <--- WriteP -- --------------------------------- -- | 3 | 2 | 1 | 0 | -- --------------------------------- -- <--- readP -------------------------------------------------------------------------------------------- -- Packet drop state machine -- +---+ No +---+ No -- | | Flit | | Flit -- | v | v -- healthy +--------+ +--------+ -- +---header-->| | | |-------------------+ -- | +->| Header |---Healthy body-->| Body |------------+ | -- | | +--------+ +--------+ | | -- | | | ^ | Healthy | ^ Healthy | -- | | | | | body | | Tail | -- | | | | | +---+ | | -- | | | | | v | -- +--------+ | | | | +--------+ | -- No +-->| | | | | +-----------------Healthy Tail------>| | | -- Flit| | IDLE | | | | | Tail |--)--+ -- +---| | | | +-----------Healthy Header--------------| | | | -- +--------+ | | +--------+ | | -- ^ | ^ | Faulty No Faulty | | -- | | | | Flit Flit Flit | | -- | | | | +------------+ +---+ +---+ | | -- | | | + --Healthy------+ | | | | | | | -- | | | header | v | v | v | | -- | | | +------------------+ | | -- | | +----Healthy Tail-----| Packet | | | -- | +-------Faulty Flit----->| Drop |<-----------------------+ | -- | +------------------+ | -- +-------------------------------------------------No Flit------------------+ -- ------------------------------------------------------------------------------------------------ process (clk, reset)begin if reset = '0' then read_pointer <= "0001"; write_pointer <= "0001"; FIFO_MEM_1 <= (others=>'0'); FIFO_MEM_2 <= (others=>'0'); FIFO_MEM_3 <= (others=>'0'); FIFO_MEM_4 <= (others=>'0'); fake_credit_counter <= (others=>'0'); faulty_packet_out <= '0'; credit_out <= '0'; state_out <= Idle; elsif clk'event and clk = '1' then write_pointer <= write_pointer_in; read_pointer <= read_pointer_in; state_out <= state_in; faulty_packet_out <= faulty_packet_in; credit_out <= credit_in; fake_credit_counter <= fake_credit_counter_in; if write_en = '1' then --write into the memory FIFO_MEM_1 <= FIFO_MEM_1_in; FIFO_MEM_2 <= FIFO_MEM_2_in; FIFO_MEM_3 <= FIFO_MEM_3_in; FIFO_MEM_4 <= FIFO_MEM_4_in; end if; end if; end process; -- anything below here is pure combinational -- combinatorial part process(fake_credit, read_en, fake_credit_counter) begin fake_credit_counter_in <= fake_credit_counter; credit_in <= '0'; if fake_credit = '1' and read_en = '1' then fake_credit_counter_in <= fake_credit_counter + 1 ; end if; if (read_en ='1' or fake_credit = '1') then credit_in <= '1'; end if; if read_en = '0' and fake_credit = '0' and fake_credit_counter > 0 then fake_credit_counter_in <= fake_credit_counter - 1 ; credit_in <= '1'; end if; end process; process(valid_in, RX) begin if valid_in = '1' then xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1)); else xor_all <= '0'; end if; end process; process(valid_in, RX, xor_all)begin fault_out <= '0'; if valid_in = '1' and xor_all /= RX(0) then fault_out <= '1'; end if; end process; process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, flit_type, valid_in)begin -- this is the default value of the memory! case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; --some defaults fake_credit <= '0'; state_in <= state_out; faulty_packet_in <= faulty_packet_out; write_fake_flit <= '0'; case(state_out) is when Idle => if fault_out = '0' then if valid_in = '1' then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; when Header_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= Body_flit; elsif flit_type ="100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Body_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "010" then state_in <= state_out; elsif flit_type = "100" then state_in <= Tail_flit; else -- we should not be here! state_in <= state_out; end if; else write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= state_out; end if; when Tail_flit => if valid_in = '1' then if fault_out = '0' then if flit_type = "001" then state_in <= Header_flit; else state_in <= state_out; end if; else fake_credit <= '1'; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= Packet_drop; faulty_packet_in <= '1'; end if; else state_in <= Idle; end if; when Packet_drop => if faulty_packet_out = '1' then if valid_in = '1' and flit_type = "001" and fault_out = '0' then faulty_packet_in <= '0'; state_in <= Header_flit; write_fake_flit <= '1'; case( write_pointer ) is when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4; when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX; when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; end case ; elsif valid_in = '1' and flit_type ="100" and fault_out = '0' then FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; faulty_packet_in <= '0'; state_in <= Idle; fake_credit <= '1'; else if valid_in = '1' then fake_credit <= '1'; end if; FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4; state_in <= state_out; end if; else -- we should not be here! state_in <= state_out; end if; when others => state_in <= state_out; end case; end process; process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin case( read_pointer ) is when "0001" => Data_out <= FIFO_MEM_1; when "0010" => Data_out <= FIFO_MEM_2; when "0100" => Data_out <= FIFO_MEM_3; when "1000" => Data_out <= FIFO_MEM_4; when others => Data_out <= FIFO_MEM_1; end case ; end process; read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty; empty_out <= empty; process(write_en, write_pointer)begin if write_en = '1' then write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3); else write_pointer_in <= write_pointer; end if; end process; process(read_en, empty, read_pointer)begin if (read_en = '1' and empty = '0') then read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3); else read_pointer_in <= read_pointer; end if; end process; process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then write_en <= '1'; else write_en <= '0'; end if; end process; process(write_pointer, read_pointer) begin if read_pointer = write_pointer then empty <= '1'; else empty <= '0'; end if; -- if write_pointer = read_pointer>>1 then if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then full <= '1'; else full <= '0'; end if; end process; end;
gpl-3.0
Project-Bonfire/KOIT
RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/shifter.vhd
16
3063
--------------------------------------------------------------------- -- TITLE: Shifter Unit -- AUTHOR: Steve Rhoads ([email protected]) -- Matthias Gruenewald -- DATE CREATED: 2/2/01 -- FILENAME: shifter.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the 32-bit shifter unit. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity shifter is generic(shifter_type : string := "DEFAULT"); port(value : in std_logic_vector(31 downto 0); shift_amount : in std_logic_vector(4 downto 0); shift_func : in shift_function_type; c_shift : out std_logic_vector(31 downto 0)); end; --entity shifter architecture logic of shifter is -- type shift_function_type is ( -- shift_nothing, shift_left_unsigned, -- shift_right_signed, shift_right_unsigned); signal shift1L, shift2L, shift4L, shift8L, shift16L : std_logic_vector(31 downto 0); signal shift1R, shift2R, shift4R, shift8R, shift16R : std_logic_vector(31 downto 0); signal fills : std_logic_vector(31 downto 16); begin fills <= "1111111111111111" when shift_func = SHIFT_RIGHT_SIGNED and value(31) = '1' else "0000000000000000"; shift1L <= value(30 downto 0) & '0' when shift_amount(0) = '1' else value; shift2L <= shift1L(29 downto 0) & "00" when shift_amount(1) = '1' else shift1L; shift4L <= shift2L(27 downto 0) & "0000" when shift_amount(2) = '1' else shift2L; shift8L <= shift4L(23 downto 0) & "00000000" when shift_amount(3) = '1' else shift4L; shift16L <= shift8L(15 downto 0) & ZERO(15 downto 0) when shift_amount(4) = '1' else shift8L; shift1R <= fills(31) & value(31 downto 1) when shift_amount(0) = '1' else value; shift2R <= fills(31 downto 30) & shift1R(31 downto 2) when shift_amount(1) = '1' else shift1R; shift4R <= fills(31 downto 28) & shift2R(31 downto 4) when shift_amount(2) = '1' else shift2R; shift8R <= fills(31 downto 24) & shift4R(31 downto 8) when shift_amount(3) = '1' else shift4R; shift16R <= fills(31 downto 16) & shift8R(31 downto 16) when shift_amount(4) = '1' else shift8R; GENERIC_SHIFTER: if shifter_type = "DEFAULT" generate c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or shift_func = SHIFT_RIGHT_SIGNED else ZERO; end generate; AREA_OPTIMIZED_SHIFTER: if shifter_type /= "DEFAULT" generate c_shift <= shift16L when shift_func = SHIFT_LEFT_UNSIGNED else (others => 'Z'); c_shift <= shift16R when shift_func = SHIFT_RIGHT_UNSIGNED or shift_func = SHIFT_RIGHT_SIGNED else (others => 'Z'); c_shift <= ZERO when shift_func = SHIFT_NOTHING else (others => 'Z'); end generate; end; --architecture logic
gpl-3.0
rogerioag/gcg
tutorial/ula/testbench/somador_tb.vhd
1
2382
-- Testebench gerado via script. -- Data: Qua,20/07/2011-13:51:40 -- Autor: rogerio -- Comentario: Descrição da Entidade: somador. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity somador_tb is end somador_tb; architecture estrutural of somador_tb is -- Declaração do componente. component somador port(a, b, cin, opSomador:in std_logic; s, cout: out std_logic); end component; -- Especifica qual a entidade está vinculada com o componente. for somador_0: somador use entity work.somador; signal t_a, t_b, t_cin, t_opSomador, t_s, t_cout: std_logic; begin -- Instanciação do Componente. somador_0: somador port map (a=>t_a, b=>t_b, cin=>t_cin, opSomador=>t_opSomador, s=>t_s, cout=>t_cout); -- Processo que faz o trabalho. process -- Um registro é criado com as entradas e saídas da entidade. type pattern_type is record -- entradas. vi_a, vi_b, vi_cin, vi_opSomador: std_logic; -- saídas. vo_s, vo_cout : std_logic; end record; -- Os padrões de entrada são aplicados (injetados) às entradas. type pattern_array is array (natural range <>) of pattern_type; constant patterns : pattern_array := (('0', '0', '0', '1', '0', '0'), ('0', '0', '1', '1', '1', '0'), ('0', '1', '0', '1', '1', '0'), ('0', '1', '1', '1', '0', '1'), ('1', '0', '0', '1', '1', '0'), ('1', '0', '1', '1', '0', '1'), ('1', '1', '0', '1', '0', '1'), ('1', '1', '1', '1', '1', '1'), ('1', '1', '1', '0', '0', '0') ); begin -- Checagem de padrões. for i in patterns'range loop -- Injeta as entradas. t_a <= patterns(i).vi_a; t_b <= patterns(i).vi_b; t_cin <= patterns(i).vi_cin; t_opSomador <= patterns(i).vi_opSomador; -- Aguarda os resultados. wait for 1 ns; -- Checa o resultado com a saída esperada no padrão. assert t_s = patterns(i).vo_s report "Valor de t_s não confere com o resultado esperado." severity error; assert t_cout = patterns(i).vo_cout report "Valor de t_cout não confere com o resultado esperado."severity error; end loop; assert false report "Fim do teste." severity note; -- Wait forever; Isto finaliza a simulação. wait; end process; end estrutural;
gpl-3.0
Project-Bonfire/KOIT
RTL/Chip_Designs/IMMORTAL_Chip_2017/Testbenches/mem_wrap_tb.vhd
3
2401
--Copyright (C) 2016 Siavoosh Payandeh Azad ------------------------------------------------------------ -- This file is automatically generated Please do not change! -- Here are the parameters: -- network size x:2 -- network size y:2 -- data width:32-- traffic pattern:------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use work.TB_Package.all; USE ieee.numeric_std.ALL; --use IEEE.math_real."ceil"; --use IEEE.math_real."log2"; entity mem_wrap_tb is end mem_wrap_tb; architecture behavior of mem_wrap_tb is -- Declaring network component constant clk_period : time := 10 ns; signal clk, reset, enable: std_logic := '0'; signal write_byte_enable: std_logic_vector(3 downto 0); signal address: std_logic_vector(31 downto 2); signal data_write, data_read: std_logic_vector(31 downto 0); component ram is generic(memory_type : string := "DEFAULT"; stim_file: string :="code.txt"); port(clk : in std_logic; reset : in std_logic; enable : in std_logic; write_byte_enable : in std_logic_vector(3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0)); end component; --entity ram begin clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; reset <= '1' after clk_period; ram_unit: ram port map(clk, reset, enable, write_byte_enable, address, data_write, data_read); process(clk) variable counter: integer := 0; begin if reset = '0' then counter := 0; enable <= '0'; write_byte_enable <= "0000"; address <= (others => '0'); data_write <= (others => '0'); elsif falling_edge(clk) then if counter < 10 then enable <= '1'; address <= address + 1; write_byte_enable <= "1111"; data_write <= data_write + 1; counter := counter +1; else if counter < 20 then address <= address - 1; enable <= '1'; write_byte_enable <= "0000"; counter := counter +1; else enable <= '0'; end if; end if; end if; end process; end;
gpl-3.0
rogerioag/gcg
tutorial/ula/testbench/and2_tb.vhd
1
1834
-- Testebench gerado via script. -- Data: Qua,20/07/2011-14:18:43 -- Autor: rogerio -- Comentario: Teste da entidade and2. library ieee; use ieee.std_logic_1164.all; entity and2_tb is end and2_tb; architecture logica of and2_tb is -- Declaração do componente. component and2 port (a,b: in std_logic; y: out std_logic); end component; -- Especifica qual a entidade está vinculada com o componente. for and2_0: and2 use entity work.and2; signal s_t_a, s_t_b, s_t_y: std_logic; begin -- Instanciação do Componente. -- port map (<<p_in_1>> => <<s_t_in_1>>) and2_0: and2 port map (a=>s_t_a,b=>s_t_b,y=>s_t_y); -- Processo que faz o trabalho. process -- Um registro é criado com as entradas e saídas da entidade. -- (<<entrada1>>, <<entradaN>>, <<saida1>>, <<saidaN>>) type pattern_type is record -- entradas. vi_a,vi_b: std_logic; -- saídas. vo_y: std_logic; end record; -- Os padrões de entrada são aplicados (injetados) às entradas. type pattern_array is array (natural range <>) of pattern_type; constant patterns : pattern_array := ( ('0', '0', '0'), ('0', '1', '0'), ('1', '0', '0'), ('1', '1', '1') ); begin -- Checagem de padrões. for i in patterns'range loop -- Injeta as entradas. s_t_a <= patterns(i).vi_a; s_t_b <= patterns(i).vi_b; -- Aguarda os resultados. wait for 1 ns; -- Checa o resultado com a saída esperada no padrão. assert s_t_y = patterns(i).vo_y report "Valor de s_t_y não confere com o resultado esperado." severity error; end loop; assert false report "Fim do teste." severity note; -- Wait forever; Isto finaliza a simulação. wait; end process; end logica;
gpl-3.0
iocoder/graduation
hardware/tlc.vhd
1
9470
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity TLC is Port ( -- The crystal: CLK : in STD_LOGIC; -- LED: LED : out STD_LOGIC_VECTOR ( 7 downto 0); -- VGA Connector R : out STD_LOGIC_VECTOR ( 2 downto 0); G : out STD_LOGIC_VECTOR ( 2 downto 0); B : out STD_LOGIC_VECTOR ( 1 downto 0); HS : out STD_LOGIC; VS : out STD_LOGIC; -- Memory Bus: ADDR : out STD_LOGIC_VECTOR (23 downto 0); DATA : inout STD_LOGIC_VECTOR (15 downto 0); OE : out STD_LOGIC := '1'; WE : out STD_LOGIC := '1'; MT_ADV : out STD_LOGIC := '0'; MT_CLK : out STD_LOGIC := '0'; MT_UB : out STD_LOGIC := '1'; MT_LB : out STD_LOGIC := '1'; MT_CE : out STD_LOGIC := '1'; MT_CRE : out STD_LOGIC := '0'; MT_WAIT : in STD_LOGIC := '0'; ST_STS : in STD_LOGIC := '0'; RP : out STD_LOGIC := '1'; ST_CE : out STD_LOGIC := '1'; -- PS/2 port: PS2CLK : in STD_LOGIC := '0'; PS2DATA : in STD_LOGIC := '0' ); end TLC; architecture Structural of TLC is component cpu is Port ( CLK : in STD_LOGIC; IRQ : in STD_LOGIC; NMI : in STD_LOGIC; IAK : out STD_LOGIC; NAK : out STD_LOGIC; -- system bus MEME : out STD_LOGIC; RW : out STD_LOGIC; ADDR : out STD_LOGIC_VECTOR (31 downto 0); Din : in STD_LOGIC_VECTOR (31 downto 0); Dout : out STD_LOGIC_VECTOR (31 downto 0); DTYPE : out STD_LOGIC_VECTOR ( 2 downto 0); RDY : in STD_LOGIC ); end component; component memif is Port ( CLK : in STD_LOGIC; -- Interface RAM_CS : in STD_LOGIC; -- RAM chip enable ROM_CS : in STD_LOGIC; -- ROM chip enable RW : in STD_LOGIC; -- 0: read, 1: write A : in STD_LOGIC_VECTOR (23 downto 0); Din : in STD_LOGIC_VECTOR (31 downto 0); Dout : out STD_LOGIC_VECTOR (31 downto 0); DTYPE : in STD_LOGIC_VECTOR ( 2 downto 0); RDY : out STD_LOGIC; -- External Memory Bus: ADDR : out STD_LOGIC_VECTOR (23 downto 0); DATA : inout STD_LOGIC_VECTOR (15 downto 0); OE : out STD_LOGIC := '1'; -- active low WE : out STD_LOGIC := '1'; -- active low MT_ADV : out STD_LOGIC := '0'; -- active low MT_CLK : out STD_LOGIC := '0'; MT_UB : out STD_LOGIC := '1'; -- active low MT_LB : out STD_LOGIC := '1'; -- active low MT_CE : out STD_LOGIC := '1'; -- active low MT_CRE : out STD_LOGIC := '0'; -- active high MT_WAIT : in STD_LOGIC; ST_STS : in STD_LOGIC; RP : out STD_LOGIC := '1'; -- active low ST_CE : out STD_LOGIC := '1' -- active low ); end component; component vga is Port ( CLK : in STD_LOGIC; -- 50MHz clock input -- System Bus CS : in STD_LOGIC; RW : in STD_LOGIC; A : in STD_LOGIC_VECTOR (13 downto 0); Din : in STD_LOGIC_VECTOR (15 downto 0); Dout : out STD_LOGIC_VECTOR (15 downto 0); RDY : out STD_LOGIC := '0'; INT : out STD_LOGIC := '0'; IAK : in STD_LOGIC; -- VGA Port R : out STD_LOGIC_VECTOR (2 downto 0); G : out STD_LOGIC_VECTOR (2 downto 0); B : out STD_LOGIC_VECTOR (1 downto 0); HS : out STD_LOGIC; VS : out STD_LOGIC); end component; component kbdctl is Port ( -- Crystal: CLK : in STD_LOGIC; -- Inputs from PS/2 keyboard: PS2CLK : in STD_LOGIC; PS2DATA : in STD_LOGIC; -- Output: LED : out STD_LOGIC_VECTOR (7 downto 0); -- System bus interface: EN : in STD_LOGIC; RW : in STD_LOGIC; DATA : out STD_LOGIC_VECTOR (7 downto 0); RDY : out STD_LOGIC; -- Interrupt Logic: INT : out STD_LOGIC; IAK : in STD_LOGIC ); end component; component pit is Port ( CLK : in STD_LOGIC; IRQ : out STD_LOGIC; IAK : in STD_LOGIC; CS : in STD_LOGIC; RW : in STD_LOGIC; -- 0: read, 1: write Din : in STD_LOGIC_VECTOR (31 downto 0); Dout : out STD_LOGIC_VECTOR (31 downto 0); DTYPE : in STD_LOGIC_VECTOR ( 2 downto 0); RDY : out STD_LOGIC := '1'); end component; component pic is Port ( CLK : in STD_LOGIC; IRQ_in : in STD_LOGIC_VECTOR (7 downto 0); IAK_out : out STD_LOGIC_VECTOR (7 downto 0); IRQ_out : out STD_LOGIC := '0'; IAK_in : in STD_LOGIC; CS : in STD_LOGIC; RW : in STD_LOGIC; -- 0: read, 1: write Din : in STD_LOGIC_VECTOR (31 downto 0); Dout : out STD_LOGIC_VECTOR (31 downto 0); DTYPE : in STD_LOGIC_VECTOR ( 2 downto 0); RDY : out STD_LOGIC := '1' ); end component; -- CPU signals signal IRQ : STD_LOGIC := '0'; signal NMI : STD_LOGIC := '0'; signal IAK : STD_LOGIC := '0'; signal NAK : STD_LOGIC := '0'; -- System bus: signal MEME : STD_LOGIC := '0'; signal RW : STD_LOGIC := '0'; signal Address : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataCPUToMem : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataMemToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataRAMToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataVGAToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataKBDToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataPITToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DataPICToCPU : STD_LOGIC_VECTOR (31 downto 0) := x"00000000"; signal DTYPE : STD_LOGIC_VECTOR ( 2 downto 0) := "000"; signal RAM_CS : STD_LOGIC := '0'; signal ROM_CS : STD_LOGIC := '0'; signal VGA_CS : STD_LOGIC := '0'; signal KBD_CS : STD_LOGIC := '0'; signal PIT_CS : STD_LOGIC := '0'; signal PIC_CS : STD_LOGIC := '0'; signal MEM_RDY : STD_LOGIC := '0'; signal VGA_RDY : STD_LOGIC := '0'; signal KBD_RDY : STD_LOGIC := '0'; signal PIT_RDY : STD_LOGIC := '0'; signal PIC_RDY : STD_LOGIC := '0'; signal RDY : STD_LOGIC := '0'; signal IRQ_to_PIC : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; signal IAK_from_PIC : STD_LOGIC_VECTOR ( 7 downto 0) := x"00"; begin ------------- memory map ------------- -- 0x00000000 - 0x00FFFFFF : RAM -- 0x1E000000 - 0x1E003FFF : VGA -- 0x1E800000 - 0x1E800FFF : KBD -- 0x1E801000 - 0x1E801FFF : PIT -- 0x1E802000 - 0x1E802FFF : PIC -- 0x1EC02000 - 0x1EC02007 : PPU -- 0x1F000000 - 0x1FFFFFFF : ROM -- memory decoding RAM_CS <= MEME when Address(31 downto 24) = x"00" else '0'; ROM_CS <= MEME when Address(31 downto 24) = x"1F" else '0'; VGA_CS <= MEME when Address(31 downto 14) = x"1E00"&"00" or Address(31 downto 12) = x"1EC02" else '0'; KBD_CS <= MEME when Address(31 downto 12) = x"1E800" else '0'; PIT_CS <= MEME when Address(31 downto 12) = x"1E801" else '0'; PIC_CS <= MEME when Address(31 downto 12) = x"1E802" else '0'; DataMemToCPU <= DataRAMToCPU when ROM_CS = '1' or RAM_CS = '1' else DataVGAToCPU when VGA_CS = '1' else DataKBDToCPU when KBD_CS = '1' else DataPITToCPU when PIT_CS = '1' else DataPICToCPU when PIC_CS = '1' else x"00000000"; RDY <= MEM_RDY when ROM_CS = '1' or RAM_CS = '1' else VGA_RDY when VGA_CS = '1' else KBD_RDY when KBD_CS = '1' else PIT_RDY when PIT_CS = '1' else PIC_RDY when PIC_CS = '1' else '0'; -- subblocks U1: cpu port map (CLK, IRQ, NMI, IAK, NAK, MEME, RW, Address, DataMemToCPU, DataCPUToMem, DTYPE, RDY); U2: memif port map (CLK, RAM_CS, ROM_CS, RW, Address(23 downto 0), DataCPUToMem(31 downto 0), DataRAMToCPU(31 downto 0), DTYPE, MEM_RDY, ADDR, DATA, OE, WE, MT_ADV, MT_CLK, MT_UB, MT_LB, MT_CE, MT_CRE, MT_WAIT, ST_STS, RP, ST_CE); U3: vga port map (CLK, VGA_CS, RW, Address(13 downto 0), DataCPUToMem(15 downto 0), DataVGAToCPU(15 downto 0), VGA_RDY, IRQ_to_PIC(3), IAK_from_PIC(3), R, G, B, HS, VS); U4: kbdctl port map (CLK, PS2CLK, PS2DATA, LED, KBD_CS, RW, DataKBDToCPU(7 downto 0), KBD_RDY, IRQ_to_PIC(1), IAK_from_PIC(1)); U5: pit port map (CLK, IRQ_to_PIC(0), IAK_from_PIC(0), PIT_CS, RW, DataCPUToMem, DataPITToCPU, DTYPE, PIT_RDY); U6: pic port map (CLK, IRQ_to_PIC, IAK_from_PIC, IRQ, IAK, PIC_CS, RW, DataCPUToMem, DataPICToCPU, DTYPE, PIC_RDY); end Structural;
gpl-3.0
michaelmiehling/A25_VME
16z002-01_src/Source/vme_dma_au.vhd
1
17905
-------------------------------------------------------------------------------- -- Title : DMA adress unit -- Project : 16z002-01 -------------------------------------------------------------------------------- -- File : vme_dma_au.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 17/09/03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- -- This module provides the adresses and byte enables for the -- dma operation. -------------------------------------------------------------------------------- -- Hierarchy: -- -- wbb2vme -- vme_dma -- vme_dma_au -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- $Revision: 1.3 $ -- -- $Log: vme_dma_au.vhd,v $ -- Revision 1.3 2013/09/12 08:45:28 mmiehling -- added bit 8 of tga for address modifier extension (supervisory, non-privileged data/program) -- -- Revision 1.2 2012/08/27 12:57:18 MMiehling -- removed dma_size_counter instance and implemented as common source code -- adopted tga logic -- -- Revision 1.1 2012/03/29 10:14:45 MMiehling -- Initial Revision -- -- Revision 1.5 2006/05/18 14:02:20 MMiehling -- changed comment -- -- Revision 1.1 2005/10/28 17:52:23 mmiehling -- Initial Revision -- -- Revision 1.4 2004/11/02 11:19:38 mmiehling -- improved timing -- fixed boundary errors -- changed dma_size_cnt to lpm -- -- Revision 1.3 2004/08/13 15:41:10 mmiehling -- removed dma-slave and improved timing -- -- Revision 1.2 2004/07/27 17:23:20 mmiehling -- removed slave port -- -- Revision 1.1 2004/07/15 09:28:48 MMiehling -- Initial Revision -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.CONV_STD_LOGIC_VECTOR; ENTITY vme_dma_au IS PORT ( rst : IN std_logic; clk : IN std_logic; -- wb_signals adr_o : OUT std_logic_vector(31 DOWNTO 0); -- adress for wb-bus sel_o : OUT std_logic_vector(3 DOWNTO 0); -- byte enables for wb_bus we_o : OUT std_logic; -- write/read tga_o : OUT std_logic_vector(8 DOWNTO 0); -- type of dma cyc_o_sram : OUT std_logic; -- chip select for sram cyc_o_pci : OUT std_logic; -- chip select for pci cyc_o_vme : OUT std_logic; -- chip select for vme stb_o : IN std_logic; -- request signal for cyc switching -- fifo fifo_empty : in std_logic; fifo_full : in std_logic; -- vme_dma_mstr sour_dest : IN std_logic; -- if set, source adress will be used, otherwise destination ad. for adr_o inc_adr : IN std_logic; -- flag indicates when adr should be incremented (depend on sour_dest and get_bd) get_bd : IN std_logic; -- if set, adress for next bd reading is switched to adr_o reached_size : OUT std_logic; -- if all data from one bd was read and stored in the fifo load_cnt : IN std_logic; -- after new bd was stored in register, counters must be loaded with new values boundary : OUT std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_boundary : out std_logic; -- indicates 256 byte boundary if D16 or D32 burst almost_reached_size : out std_logic; -- if all data from one bd was read and stored in the fifo clr_dma_act_bd : IN std_logic; -- clears dma_act_bd if dma_mstr has done without error or -- when dma_err will be cleared -- vme_dma_du start_dma : IN std_logic; -- flag starts dma-fsm and clears counters dma_act_bd : OUT std_logic_vector(7 DOWNTO 2); -- [7:3] = active bd number dma_dest_adr : IN std_logic_vector(31 DOWNTO 2); -- active bd destination adress dma_sour_adr : IN std_logic_vector(31 DOWNTO 2); -- active bd source adress dma_sour_device : IN std_logic_vector(2 DOWNTO 0); -- selects the source device dma_dest_device : IN std_logic_vector(2 DOWNTO 0); -- selects the destination device dma_vme_am : IN std_logic_vector(4 DOWNTO 0); -- type of dma transmission blk_sgl : IN std_logic; -- indicates if DMA transfer should be done as block or single accesses inc_sour : IN std_logic; -- indicates if source adress should be incremented inc_dest : IN std_logic; -- indicates if destination adress should be incremented dma_size : IN std_logic_vector(15 DOWNTO 0) -- size of data package ); END vme_dma_au; ARCHITECTURE vme_dma_au_arch OF vme_dma_au IS CONSTANT dma_size_cnt_val : std_logic_vector(15 DOWNTO 0):= x"0001"; SIGNAL dma_act_bd_int : std_logic_vector(7 DOWNTO 2); SIGNAL blk_int : std_logic; SIGNAL dma_size_int : std_logic_vector(15 DOWNTO 0); SIGNAL dma_sour_adr_int : std_logic_vector(31 DOWNTO 2); SIGNAL dma_dest_adr_int : std_logic_vector(31 DOWNTO 2); signal dma_sour_adr_blt : std_logic_vector(31 downto 2); signal dma_dest_adr_blt : std_logic_vector(31 downto 2); SIGNAL cyc_o_sram_int : std_logic; SIGNAL cyc_o_pci_int : std_logic; SIGNAL cyc_o_vme_int : std_logic; SIGNAL adr_o_int : std_logic_vector(31 DOWNTO 0); SIGNAL reached_size_int : std_logic; SIGNAL almost_reached_size_int : std_logic; SIGNAL boundary_blt : std_logic; SIGNAL boundary_blt_d1 : std_logic; SIGNAL boundary_mblt : std_logic; SIGNAL almost_boundary_blt : std_logic; SIGNAL almost_boundary_mblt : std_logic; SIGNAL dma_size_en : std_logic; signal tga_int : std_logic_vector(8 DOWNTO 0); signal dma_vme_am_conv : std_logic_vector(1 DOWNTO 0); signal trans_blt_src : std_logic; signal trans_blt_dst : std_logic; signal fifo_empty_d1 : std_logic; signal fifo_full_d1 : std_logic; signal boundary_blt_edge : std_logic; signal fifo_empty_edge : std_logic; signal fifo_full_edge : std_logic; BEGIN cyc_o_sram <= cyc_o_sram_int WHEN stb_o = '1' ELSE '0'; cyc_o_pci <= cyc_o_pci_int WHEN stb_o = '1' ELSE '0'; cyc_o_vme <= cyc_o_vme_int WHEN stb_o = '1' ELSE '0'; -- perform VME block transfer when -- 1. block transfer configured and access to source selected and source address shall be incremented -- 2. block transfer configured and access to destination selected and destination address shall be incremented -- 3. else single transfer blk_int <= '1' when blk_sgl = '0' and sour_dest = '0' and inc_sour = '0' else '1' when blk_sgl = '0' and sour_dest = '1' and inc_dest = '0' else '0'; dma_act_bd <= dma_act_bd_int; reached_size_int <= '1' WHEN dma_size_int = dma_size ELSE '0'; almost_reached_size_int <= '1' WHEN (dma_size_int + 1) = dma_size ELSE '0'; adr_o_int(31 DOWNTO 2) <= x"000f_f9" & dma_act_bd_int WHEN get_bd = '1' ELSE -- switch iram adress [10:2] to adr_o dma_sour_adr when (sour_dest = '1' and inc_sour = '1') else -- keep src address from the descriptor dma_sour_adr_blt when (sour_dest = '1' and inc_sour = '0' and trans_blt_src = '1') else dma_sour_adr_int when (sour_dest = '1' and inc_sour = '0') else -- switch source adress to adr_o & dma_access & swap dma_dest_adr when (sour_dest = '0' and inc_dest = '1') else -- keep dst address from the descriptor dma_dest_adr_blt when (sour_dest = '0' and inc_sour = '0' and trans_blt_dst = '1') else dma_dest_adr_int ; -- switch destination adress to adr_o & dma_access & swap adr_o_int(1 DOWNTO 0) <= "00"; boundary <= boundary_blt OR boundary_mblt; almost_boundary <= almost_boundary_blt OR almost_boundary_mblt; -- rising edge pulses boundary_blt_edge <= boundary_blt and (not boundary_blt_d1); fifo_empty_edge <= fifo_empty and (not fifo_empty_d1); fifo_full_edge <= fifo_full and (not fifo_full_d1); sel_o <= (OTHERS => '1'); -- always longword accessess dma_vme_am_conv <= "10" when dma_vme_am(1 DOWNTO 0) = "01" else -- A32 "01" when dma_vme_am(1 DOWNTO 0) = "10" else -- A16 "00"; -- A24 -- (1:0) : 00=A24, 01=A32, 10=A16 -- (3:2) : 00=D16, 01=D32, 10=D64 -- (4) : if increment enabled the burst else single -- (5) : swapped(1) or non swapped (0) -- (6) : =0 always VME bus access (no register access) -- (7) : =1 indicates access to vme_ctrl by DMA -- (8) : 0= non-privileged 1= supervisory tga_int <= dma_vme_am(4) & "10" & NOT dma_vme_am(0) & blk_int & dma_vme_am(3 DOWNTO 2) & dma_vme_am_conv; -- A32D32 BLT transfer trans_blt_src <= '1' when (dma_sour_device(1) = '1' and dma_vme_am(3 downto 2) = "01" and blk_int = '1') else '0'; trans_blt_dst <= '1' when (dma_dest_device(1) = '1' and dma_vme_am(3 downto 2) = "01" and blk_int = '1') else '0'; adr_o_proc : PROCESS(clk, rst) BEGIN IF rst = '1' THEN adr_o <= (OTHERS => '0'); dma_sour_adr_int <= (OTHERS => '0'); dma_dest_adr_int <= (OTHERS => '0'); dma_act_bd_int <= (OTHERS => '0'); cyc_o_sram_int <= '0'; cyc_o_pci_int <= '0'; cyc_o_vme_int <= '0'; we_o <= '0'; reached_size <= '0'; almost_reached_size <= '0'; tga_o <= (OTHERS => '0'); boundary_blt <= '0'; boundary_blt_d1 <= '0'; boundary_mblt <= '0'; almost_boundary_blt <= '0'; almost_boundary_mblt <= '0'; dma_sour_adr_blt <= (others=>'0'); dma_dest_adr_blt <= (others=>'0'); ELSIF clk'EVENT AND clk = '1' THEN -- rule of vmebus: do not cross 256 byte boundaries (0x100) IF dma_vme_am(3) = '0' AND ((dma_dest_device(1) = '1' AND dma_dest_adr_int(7 DOWNTO 2) = "000000" AND sour_dest = '0') OR (dma_sour_device(1) = '1' AND dma_sour_adr_int(7 DOWNTO 2) = "000000" AND sour_dest = '1')) THEN boundary_blt <= '1'; ELSE boundary_blt <= '0'; END IF; IF dma_vme_am(3) = '0' AND ((dma_dest_device(1) = '1' AND dma_dest_adr_int(7 DOWNTO 2) = "111111" AND sour_dest = '0') OR (dma_sour_device(1) = '1' AND dma_sour_adr_int(7 DOWNTO 2) = "111111" AND sour_dest = '1')) THEN almost_boundary_blt <= '1'; ELSE almost_boundary_blt <= '0'; END IF; -- for mblt-d64: do not cross 2k byte boundaries (0x800) IF dma_vme_am(3) = '1' AND ((dma_dest_device(1) = '1' AND dma_dest_adr_int(7 DOWNTO 2) = "000000" AND dma_dest_adr_int(10 DOWNTO 8) = "000" AND sour_dest = '0') OR (dma_sour_device(1) = '1' AND dma_sour_adr_int(7 DOWNTO 2) = "000000" AND dma_sour_adr_int(10 DOWNTO 8) = "000" AND sour_dest = '1')) THEN boundary_mblt <= '1'; ELSE boundary_mblt <= '0'; END IF; IF dma_vme_am(3) = '1' AND ((dma_dest_device(1) = '1' AND dma_dest_adr_int(10 DOWNTO 2) = "111111111" AND sour_dest = '0') OR (dma_sour_device(1) = '1' AND dma_sour_adr_int(10 DOWNTO 2) = "111111111" AND sour_dest = '1')) THEN almost_boundary_mblt <= '1'; ELSE almost_boundary_mblt <= '0'; END IF; IF inc_adr = '1' OR get_bd = '1' THEN adr_o <= adr_o_int; END IF; IF load_cnt = '1' THEN reached_size <= '0'; if dma_size = conv_std_logic_vector(0, 16) then -- if just one longword shall be transfered, indicate almost reached almost_reached_size <= '1'; else almost_reached_size <= '0'; end if; ELSIF inc_adr = '1' AND sour_dest = '1' THEN reached_size <= reached_size_int; almost_reached_size <= almost_reached_size_int; END IF; IF get_bd = '1' THEN cyc_o_sram_int <= '1'; cyc_o_pci_int <= '0'; cyc_o_vme_int <= '0'; we_o <= '0'; -- only reading from sram tga_o <= (OTHERS => '0'); ELSIF sour_dest = '1' THEN -- SOURCE cyc_o_sram_int <= dma_sour_device(0); cyc_o_vme_int <= dma_sour_device(1); cyc_o_pci_int <= dma_sour_device(2); we_o <= '0'; -- read from source if dma_sour_device(1) = '1' then -- if access to vme range, use tga for space selection tga_o <= tga_int; else -- if access to SRAM or PCI => no special tga setting tga_o <= (OTHERS => '0'); end if; ELSE -- DESTINATION cyc_o_sram_int <= dma_dest_device(0); cyc_o_vme_int <= dma_dest_device(1); cyc_o_pci_int <= dma_dest_device(2); we_o <= '1'; -- write to destination if dma_dest_device(1) = '1' then -- if access to vme range, use tga for space selection tga_o <= tga_int; else -- if access to SRAM or PCI => no special tga setting tga_o <= (OTHERS => '0'); end if; END IF; IF load_cnt = '1' THEN dma_sour_adr_int <= dma_sour_adr; ELSIF get_bd = '0' AND sour_dest = '1' AND inc_adr = '1' THEN dma_sour_adr_int <= dma_sour_adr_int + 1; END IF; IF load_cnt = '1' THEN dma_dest_adr_int <= dma_dest_adr; ELSIF get_bd = '0' AND sour_dest = '0' AND inc_adr = '1' THEN dma_dest_adr_int <= dma_dest_adr_int + 1; END IF; boundary_blt_d1 <= boundary_blt; fifo_empty_d1 <= fifo_empty; fifo_full_d1 <= fifo_full; -- address regiters for BLT if load_cnt = '1' then dma_sour_adr_blt <= dma_sour_adr; elsif sour_dest = '1' and (boundary_blt_edge = '1' or fifo_full_edge = '1') then -- remember address value for new BLT transfer dma_sour_adr_blt <= dma_sour_adr_int; end if; if load_cnt = '1' then dma_dest_adr_blt <= dma_dest_adr; elsif sour_dest = '0' and (boundary_blt_edge = '1' or fifo_empty_edge = '1') then -- remember address value for new BLT transfer dma_dest_adr_blt <= dma_dest_adr_int; end if; IF start_dma = '1' OR clr_dma_act_bd = '1' THEN dma_act_bd_int <= (OTHERS => '0'); ELSIF get_bd = '1' AND inc_adr = '1' THEN dma_act_bd_int <= dma_act_bd_int + 1; END IF; END IF; END PROCESS adr_o_proc; dma_size_en <= '1' WHEN sour_dest = '1' AND inc_adr = '1' ELSE '0'; size_cnt: PROCESS (clk, rst) BEGIN IF rst = '1' THEN dma_size_int <= (OTHERS => '0'); ELSIF clk'event AND clk = '1' THEN IF load_cnt = '1' THEN dma_size_int <= (OTHERS => '0'); ELSIF dma_size_en = '1' THEN dma_size_int <= dma_size_int + 1; END IF; END IF; END PROCESS size_cnt; END vme_dma_au_arch;
gpl-3.0
chiwanpark/flamingo2
flamingo2-web/src/main/webapp/resources/build/production/Flamingo2/resources/lib/aceeditor/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
gpl-3.0
michaelmiehling/A25_VME
16z000-00_src/Source/examples/fpga_pkg_2_16zxxx.vhd
1
2791
--------------------------------------------------------------- -- Title : fpga_pkg_2 example for IP-Core top file -- Project : --------------------------------------------------------------- -- File : fpga_pkg_2_16zxxx.vhd -- Author : Florian Wombacher -- Email : [email protected] -- Organization : MEN Mikro Elektronik Nuremberg GmbH -- Created : 2008-04-01 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- --------------------------------------------------------------- -- Hierarchy: -- fpga_pkg_2_16zxxx.vhd -- - one_device.vhd -- --------------------------------------------------------------- -- Copyright (C) 2008, MEN Mikro Elektronik Nuremberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: fpga_pkg_2_16zxxx.vhd,v $ -- Revision 1.2 2009/02/17 11:37:40 FWombacher -- cosmetics due to rule checker -- -- Revision 1.1 2008/11/21 15:16:56 FWombacher -- Initial Revision -- -- Revision 1.1 2008/10/24 16:39:57 FWombacher -- Initial Revision -- -- Revision 1.1 2008/10/22 14:19:16 FWombacher -- Initial Revision -- -- -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY work; USE work.fpga_pkg_2.all; ENTITY fpga_pkg_2_16zxxx IS GENERIC ( FPGA_FAMILY : family_type := CYCLONE ); PORT( dummy : OUT std_logic ); END ENTITY; ARCHITECTURE fpga_pkg_2_16zxxx_arch OF fpga_pkg_2_16zxxx IS CONSTANT SUPPORTED_DEVICES : supported_family_type := (CYCLONE); COMPONENT one_device GENERIC ( FPGA_FAMILY : family_type := NONE -- use NONE to force definiton in top level file ); PORT ( dummy : OUT std_logic ); END COMPONENT; BEGIN -- coverage off ASSERT NOT NO_VALID_DEVICE(supported_device => SUPPORTED_DEVICES, device => FPGA_FAMILY) REPORT "No valid DEVICE!" SEVERITY failure; -- coverage on the_one_device : one_device GENERIC MAP ( FPGA_FAMILY => FPGA_FAMILY -- use NONE to force definiton in top level file ) PORT MAP ( dummy => dummy ); END ARCHITECTURE fpga_pkg_2_16zxxx_arch;
gpl-3.0
michaelmiehling/A25_VME
16z100-00_src/Source/wbmon.vhd
1
23976
--------------------------------------------------------------- -- Title : -- Project : --------------------------------------------------------------- -- File : wbmon.vhd -- Author : Michael Ernst -- Email : -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 21/09/04 --------------------------------------------------------------- -- Simulator : Modelsim Altera 5.8g -- Synthesis : -- --------------------------------------------------------------- -- Description : This Wishbone Monitor asserts that all signals -- and transaction on a wishbone bus are handled -- correct. It outputs errors on std_out and the -- rest into a file --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- Version| Author | Mod. Date | Changes Made: -- v0.1 | Ernst | 21/09/04 | first code -- -- $Revision: 1.8 $ -- -- $Log: wbmon.vhd,v $ -- Revision 1.8 2015/06/15 16:40:04 AGeissler -- R1: In 16z100- version 1.30 the bte signal was removed from the wb_pkg.vhd -- M1: Removed bte signals from wishbone monitor -- R2: Clearness -- M2: Replaced tabs with spaces -- -- Revision 1.7 2010/03/01 09:28:34 SKrieger -- R: Evaluation of master outputs / slave inputs should be done when stb and cyc are both different from '0'. -- M: Changed accordingly -- -- Revision 1.6 2008/10/27 08:42:22 skrieger -- R: The wrong address is displayed during an access (lower than 32 bit) to a not 32-bit-alligned address. Example: 8-bit access to address 0x00000001 -- is displayed as 8-bit access to address 0x00000000 but with the same data. -- M: Changed data output that a 32-bit-alligned address will be output but with the correct data and with the corresponding select-lines. -- -- Revision 1.5 2008/07/04 11:25:09 mernst -- - Added enable signal for simulation (use signal_force to deactivate output temporarily) -- - Data lines are only checked while they have to be valid now -- -- Revision 1.4 2007/11/20 11:55:46 FWombacher -- Cosmetics: Removed obsoltete address decoding -- -- Revision 1.3 2005/09/15 08:18:17 flenhardt -- Fixed bug in error indication -- -- Revision 1.2 2005/04/29 08:23:05 MMiehling -- added reset values -- -- Revision 1.1 2005/02/07 13:09:30 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- -- --Errorcoding: -- -- 0x00 -- Acknowledge without Strobe or cycle: -- an Acknowledge was given by the module alltough the module was not -- addressed with strobe or cycle -- -- 0x01 -- Address changed during transaction! -- The address changed during a normal cycle or within a burst cycle -- Not if it happens in a burst cycle it only asserts inside a single -- transaction of the burst, address increment is handled in error 0x09 -- -- 0x02 -- Data in of slave changed during transaction! -- data in of the slave changed during a write cycle -- -- 0x03 -- Select Bits changed during transaction! -- -- 0x04 -- CTI changed during transaction! -- -- 0x05 -- Burst with not allowed cti: -- in the current wishbone specification only cti of 000,010,111 are defined -- -- 0x07 -- WE changed during burst! -- -- 0x08 -- SEL changed during burst! -- -- 0x09 -- wrong address increment or address changed during burst cycle: -- the address has to increment by 4 in burst mode -- -- 0x0a -- Missing End Of Burst: -- the end of a burst has to be shown by setting cti to 111 in the last -- burst cycle. This signal is missing here -- -- 0x0b -- We changed during transaction! -- -- 0x0c -- Sel changed during transaction! -- -- 0x0d -- Strobe went low without acknowledge: -- no acknowledge was given by the module but strobe was reset to 0 -- -- 0x0e -- U Z X in statement LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off USE std.textio.all; USE ieee.std_logic_textio.all; -- synthesis translate_on USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; ENTITY wbmon IS GENERIC( wbname : string := "wbmon"; -- Output Settings sets : std_logic_vector(3 DOWNTO 0) := "1110"; -- 1110 -- |||| -- |||+- write notes to Modelsim out -- ||+-- write errors to Modelsim out -- |+--- write notes to file out -- +---- write errors to file out timeout : integer := 100 ); PORT( clk : IN std_logic; rst : IN std_logic; adr : IN std_logic_vector(31 DOWNTO 0); sldat_i : IN std_logic_vector(31 DOWNTO 0); sldat_o : IN std_logic_vector(31 DOWNTO 0); cti : IN std_logic_vector(2 DOWNTO 0); sel : IN std_logic_vector(3 DOWNTO 0); cyc : IN std_logic; stb : IN std_logic; ack : IN std_logic; err : IN std_logic; we : IN std_logic; er : OUT std_logic; co : OUT std_logic_vector(7 DOWNTO 0) ); PROCEDURE outp( VARIABLE e : OUT std_logic; VARIABLE c : OUT std_logic_vector(7 DOWNTO 0); message : string := "Unknown Error"; code : std_logic_vector(7 DOWNTO 0):= x"FF"; enable : std_logic; sev : severity_level := NOTE; condition : boolean := FALSE ); PROCEDURE outp_cycle( message : string := "Not Defined"; sev : severity_level := NOTE; adr : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); ende : string := "OK" ); END wbmon; ARCHITECTURE wbmon_arch OF wbmon IS function to_string ( constant val : in std_logic_vector ) return string is constant reglen : INTEGER := val'LENGTH; variable result_str : string(1 to reglen); variable slv : std_logic_vector(1 to reglen) := val; begin for i in reglen downto 1 loop case slv(i) is when 'U' => result_str(i) := 'U'; when 'X' => result_str(i) := 'X'; when '0' => result_str(i) := '0'; when '1' => result_str(i) := '1'; when 'Z' => result_str(i) := 'Z'; when 'W' => result_str(i) := 'W'; when 'L' => result_str(i) := 'L'; when 'H' => result_str(i) := 'H'; when '-' => result_str(i) := '-'; when others => -- an unknown std_logic value was passed assert false report "to_string -- unknown std_logic_vector value" severity error; end case; end loop; return result_str; end; FUNCTION to_hstring ( CONSTANT bitaccess : IN natural; CONSTANT val : in std_logic_vector--(7 DOWNTO 0) ) RETURN string is VARIABLE reglen : natural := 1; VARIABLE result_str : string(1 to (bitaccess / 4)); VARIABLE slv : std_logic_vector(bitaccess-1 DOWNTO 0);-- := val; VARIABLE temp : std_logic_vector(3 DOWNTO 0); BEGIN slv := val; IF bitaccess = 8 THEN reglen := 1; ELSIF bitaccess = 16 THEN reglen := 3; ELSIF bitaccess = 32 THEN reglen := 7; ELSIF bitaccess = 64 THEN reglen := 15; ELSE END IF; FOR i in reglen DOWNTO 0 LOOP temp := slv(i*4 + 3 DOWNTO (i *4)); CASE temp IS WHEN "0000" => result_str(reglen + 1 - i) := '0'; WHEN "0001" => result_str(reglen + 1 - i) := '1'; WHEN "0010" => result_str(reglen + 1 - i) := '2'; WHEN "0011" => result_str(reglen + 1 - i) := '3'; WHEN "0100" => result_str(reglen + 1 - i) := '4'; WHEN "0101" => result_str(reglen + 1 - i) := '5'; WHEN "0110" => result_str(reglen + 1 - i) := '6'; WHEN "0111" => result_str(reglen + 1 - i) := '7'; WHEN "1000" => result_str(reglen + 1 - i) := '8'; WHEN "1001" => result_str(reglen + 1 - i) := '9'; WHEN "1010" => result_str(reglen + 1 - i) := 'A'; WHEN "1011" => result_str(reglen + 1 - i) := 'B'; WHEN "1100" => result_str(reglen + 1 - i) := 'C'; WHEN "1101" => result_str(reglen + 1 - i) := 'D'; WHEN "1110" => result_str(reglen + 1 - i) := 'E'; WHEN "1111" => result_str(reglen + 1 - i) := 'F'; WHEN others => result_str(reglen + 1 - i) := ' '; -- an unknown std_logic value was passed END CASE; END LOOP; RETURN result_str; END; FUNCTION data_out (sel : std_logic_vector(3 downto 0); dat : std_logic_vector(31 downto 0)) RETURN string IS variable byte0 : string(1 to 2); variable byte1 : string(1 to 2); variable byte2 : string(1 to 2); variable byte3 : string(1 to 2); BEGIN if sel(0) = '1' then byte0 := to_hstring(8,dat( 7 downto 0)); else byte0 := "XX"; end if; if sel(1) = '1' then byte1 := to_hstring(8,dat(15 downto 8)); else byte1 := "XX"; end if; if sel(2) = '1' then byte2 := to_hstring(8,dat(23 downto 16)); else byte2 := "XX"; end if; if sel(3) = '1' then byte3 := to_hstring(8,dat(31 downto 24)); else byte3 := "XX"; end if; return (byte3 & byte2 & "_" & byte1 & byte0); end data_out; PROCEDURE outp( VARIABLE e : OUT std_logic; VARIABLE c : OUT std_logic_vector(7 DOWNTO 0); message : string := "Unknown Error"; code : std_logic_vector(7 DOWNTO 0):= x"FF"; enable : std_logic; sev : severity_level := NOTE; condition : boolean := FALSE ) IS -- synthesis translate_off FILE DataOut: TEXT OPEN Append_Mode IS wbname & "_transcript.txt"; -- Write- File VARIABLE wl : line; VARIABLE ol : line; -- synthesis translate_on BEGIN IF NOT(condition) AND enable = '1' THEN -- synthesis translate_off IF (sets(0) = '1' AND sev = NOTE) OR (sets(1) = '1' AND sev = ERROR) THEN WRITE(wl, wbname & ": (" & severity_level'image(sev) & ") ("); WRITE(wl,now, justified=>right,field =>10, unit=> ns ); WRITE(wl, ") " & message & " 0x"); hwrite(wl, code); WRITELINE(Output, wl); END IF; IF (sets(2) = '1' AND sev = NOTE) OR (sets(3) = '1' AND sev = ERROR) THEN WRITE(wl, wbname & ": (" & severity_level'image(sev) & ") ("); WRITE(wl,now, justified=>right,field =>10, unit=> ns ); WRITE(wl, ") " & message); WRITELINE(DataOut, wl); END IF; -- synthesis translate_on IF (sev = ERROR) THEN e := '1'; c := code; END IF; END IF; END; PROCEDURE outp_cycle( message : string := "Not Defined"; sev : severity_level := NOTE; adr : std_logic_vector(31 DOWNTO 0); data : std_logic_vector(31 DOWNTO 0); ende : string := "OK" ) IS -- synthesis translate_off FILE DataOut: TEXT OPEN Append_Mode IS wbname & "_transcript.txt"; -- Write- File VARIABLE wl : line; -- synthesis translate_on BEGIN -- synthesis translate_off IF (sets(0) = '1' AND sev = NOTE) OR (sets(1) = '1' AND sev = ERROR) THEN -- Output Notes to Modelsim WRITE(wl, wbname & ": (" & severity_level'image(sev) & ") ("); WRITE(wl,now, justified=>right,field =>10, unit=> ns ); WRITE(wl, ") " & message & " ADR: "); -- Output Data hwrite(wl, adr, justified=> left); write(wl,string'(" SEL: ")); WRITE(wl, sel, field => 4); write(wl,string'(" DATA: ")); WRITE(wl,string'(data_out(sel, data))); -- Output ende WRITE(wl, ende); WRITELINE(output, wl); END IF; IF (sets(2) = '1' AND sev = NOTE) OR (sets(3) = '1' AND sev = ERROR) THEN -- Output Notes to Modelsim WRITE(wl, wbname & ": (" & severity_level'image(sev) & ") ("); WRITE(wl,now, justified=>right,field =>10, unit=> ns ); WRITE(wl, ") " & message & " ADR: "); -- Output Data hwrite(wl, adr, justified=> left); write(wl,string'(" SEL: ")); WRITE(wl, sel, field => 8); write(wl,string'(" DATA: ")); WRITE(wl,string'(data_out(sel, data))); -- Output ende WRITE(wl, ende); WRITELINE(DataOut, wl); END IF; -- synthesis translate_on END; -- SIGNALS -- synthesis translate_off FILE DataOut: TEXT OPEN Write_Mode IS wbname & "_transcript.txt"; -- Write- File -- synthesis translate_on TYPE wb_state_type IS (IDLE, CYCLE, BURST); SIGNAL wb_state : wb_state_type; SIGNAL adr_s : std_logic_vector(31 DOWNTO 0); SIGNAL sldat_i_s : std_logic_vector(31 DOWNTO 0); SIGNAL we_s : std_logic; SIGNAL cti_s : std_logic_vector(2 DOWNTO 0); SIGNAL sel_s : std_logic_vector (3 DOWNTO 0); SIGNAL cti_b : std_logic_vector(2 DOWNTO 0); SIGNAL sldat_i_b : std_logic_vector(31 DOWNTO 0); SIGNAL new_b : std_logic; SIGNAL enable : std_logic; BEGIN enable <= '1'; -- synthesis translate_off PROCESS(clk) VARIABLE burst : string (1 TO 5); BEGIN IF rising_edge(clk) THEN IF (cti /= "000") THEN burst := "Burst"; ELSE burst := " "; END IF; IF (ack = '1' AND stb = '1' AND cyc = '1') THEN -- Output write or read actions IF (we = '1') THEN outp_cycle("Write Cycle " & burst, NOTE, adr, sldat_i, " --> OK"); ELSE outp_cycle("Read Cycle " & burst, NOTE, adr, sldat_o, " --> OK"); END IF; END IF; IF (err = '1' AND stb = '1' AND cyc = '1') THEN -- Output write or read actions IF (we = '1') THEN outp_cycle("Write Cycle " & burst, NOTE, adr, sldat_i, " --> ERROR"); ELSE outp_cycle("Read Cycle " & burst, NOTE, adr, sldat_o, " --> ERROR"); END IF; END IF; END IF; END PROCESS; -- synthesis translate_on -- Create Cycle start time PROCESS(clk) VARIABLE c : std_logic_vector(7 DOWNTO 0); VARIABLE e : std_logic; BEGIN IF (rst = '1') THEN sel_s <= (OTHERS => '0'); adr_s <= (OTHERS => '0'); sldat_i_s <= (OTHERS => '0'); sldat_i_b <= (OTHERS => '0'); we_s <= '0'; new_b <= '0'; e := '0'; c := (OTHERS => '0'); er <= '0'; co <= (OTHERS => '0'); cti_b <= (OTHERS => '0'); cti_s <= (OTHERS => '0'); ELSIF (rising_edge(clk)) THEN CASE wb_state IS WHEN IDLE => IF (stb = '1' AND cyc = '1') THEN IF (cti = "111" OR cti = "000") THEN -- Normal Cycle SAVE DATA wb_state <= CYCLE; cti_s <= cti; adr_s <= adr; we_s <= we; sel_s <= sel; sldat_i_s <= sldat_i; ELSIF (cti = "010") THEN -- Burst cycle SAVE DATA wb_state <= BURST; new_b <= '1'; cti_b <= cti; sldat_i_b <= sldat_i; IF ack = '1' THEN adr_s <= adr + 4; ELSE adr_s <= adr; END IF; we_s <= we; sel_s <= sel; sldat_i_s <= sldat_i; ELSE outp(e,c,"Unsupported CTI " & to_string(cti),x"05", enable , ERROR); END IF; IF ack = '1' THEN IF cti /= "010" THEN -- stay in idle if single cycle with acknowledge wb_state <= IDLE; END IF; END IF; ELSE IF ack = '1' THEN outp(e,c,"acknowledge without cycle and/or strobe",x"00", enable , ERROR); END IF; END IF; WHEN BURST => IF (cti /= "010" AND cti /="111") THEN -- ERROR missing End of burst outp(e,c,"Missing end of burst", x"0a", enable , ERROR); wb_state <= IDLE; END IF; IF (stb = '0') THEN outp(e,c,"Strobe went low without Acknowledge", x"0d", enable , ERROR); wb_state <= IDLE; END IF; -- CHECK SIGNALS which can change after ack IF (new_b = '1') THEN cti_b <= cti; sldat_i_b <= sldat_i; new_b <= '0'; ELSE outp(e,c,"CTI changed during burst cycle ("&to_string(cti)&" sb "&to_string(cti_b)&")", x"04", enable , ERROR, cti = cti_b); outp(e,c,"Master Data Out changed during burst cycle (0x"&to_hstring(32,sldat_i)&" sb 0x"&to_hstring(32,sldat_i_b)&")", x"02", enable , ERROR, sldat_i = sldat_i_b OR we = '0'); END IF; IF (ack = '1' AND cti = "111") THEN -- End of Burst wb_state <= IDLE; ELSIF (ack = '1') THEN -- Addrress Increment on acknowledge adr_s <= adr_s + 4; new_b <= '1'; wb_state <= BURST; END IF; -- CHECK SIGNALS: -- we has to stay the same throughout the burst outp(e,c,"We changed during burst (" & std_logic'image(we) & " sb " & std_logic'image(we_s) & ")", x"07", enable , ERROR, we = we_s); -- adr has to be adr_s which is inremented automatically outp(e,c,"Adr changed or increment wrong during burst (0x"&to_hstring(32,adr)&" sb 0x"&to_hstring(32,adr_s)&")", x"09", enable , ERROR, adr = adr_s); -- sel has to stay the same outp(e,c,"Sel changed during burst ("&to_string(sel)&" sb "&to_string(sel_s)&")", x"08", enable , ERROR, sel = sel_s); WHEN CYCLE => IF (stb = '0') THEN outp(e,c,"Strobe went low without Acknowledge ", x"0d", enable , ERROR); wb_state <= IDLE; END IF; IF (ack = '1') THEN wb_state <= IDLE; END IF; -- we has to stay the same throughout the burst outp(e,c,"We changed during cycle (" & std_logic'image(we) & " sb " & std_logic'image(we_s) & ")", x"0b", enable , ERROR, we = we_s); -- adr has to be adr_s which is inremented automatically outp(e,c,"Adr changed or increment wrong during cycle (0x"&to_hstring(32,adr)&" sb 0x"&to_hstring(32,adr_s)&")", x"01", enable , ERROR, adr = adr_s); -- sel has to stay the same outp(e,c,"Sel changed during cycle ("&to_string(sel)&" sb "&to_string(sel_s)&")", x"0c", enable , ERROR, sel = sel_s); outp(e,c,"CTI changed during cycle ("&to_string(cti)&" sb "&to_string(cti_s)&")", x"04", enable , ERROR, cti = cti_s); outp(e,c,"Master Data Out changed during cycle (0x"&to_hstring(32,sldat_i)&" sb 0x"&to_hstring(32,sldat_i_s)&")", x"02", enable , ERROR, sldat_i = sldat_i_s OR we = '0'); WHEN OTHERS => ASSERT FALSE REPORT "AHH OHHHHHHH" SEVERITY failure; END CASE; co <= c; er <= e; END IF; END PROCESS; -- synthesis translate_off -- test if signals are 'U', 'Z' or 'X' PROCESS( clk, rst, cyc, stb, we, ack, err, cti, adr, sldat_i, sldat_o) VARIABLE c : std_logic_vector(7 DOWNTO 0); VARIABLE e : std_logic; BEGIN IF(NOT (NOW = 0 ps)) THEN IF (rst = '0' OR rst = 'U') AND (cyc = 'U' OR cyc = 'Z' OR cyc = 'X') THEN outp(e,c,"cyc is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND (clk = 'U' OR clk = 'Z' OR clk = 'X') THEN outp(e,c,"clk is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND (stb = 'U' OR stb = 'Z' OR stb = 'X') THEN outp(e,c,"stb is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND (we = 'U' OR we = 'Z' OR we = 'X') AND stb = '1' AND cyc /= '0' THEN outp(e,c,"we is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND (ack = 'U' OR ack = 'Z' OR ack = 'X') THEN outp(e,c,"ack is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND (err = 'U' OR err = 'Z' OR err = 'X') THEN outp(e,c,"err is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND is_x(sel) AND stb = '1' AND cyc /= '0' THEN outp(e,c,"err is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND is_x(cti) AND stb = '1' AND cyc /= '0' THEN outp(e,c,"cti is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND is_x(adr) AND stb = '1' AND cyc /= '0' THEN outp(e,c,"adr is 'U', 'Z' or 'X'", x"0e", enable , ERROR); END IF; IF (rst = '0' OR rst = 'U') AND is_x(sldat_i) AND cyc /= '0' AND stb = '1' THEN outp(e,c,"data_in is 'U', 'Z' or 'X'", x"0e", enable, error); END IF; IF (rst = '0' OR rst = 'U') AND is_x(sldat_o) AND ack /= '0' THEN outp(e,c,"data_o is 'U', 'Z' or 'X'", x"0e", enable, error); END IF; END IF; END PROCESS; -- synthesis translate_on END wbmon_arch;
gpl-3.0
michaelmiehling/A25_VME
16z002-01_src/Source/vme_wbm.vhd
1
10259
-------------------------------------------------------------------------------- -- Title : Wishbone Master Interface -- Project : 16z002-01 -------------------------------------------------------------------------------- -- File : vme_wbm.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 11/02/03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- -- The module handles the wishbone master accesses to PCI or SRAM space. If a -- VME access to the slave gets received, the vme_slave will forward this to -- vme_wbm. All wishbone accesses are single read/write. If there is a -- read-modify-write request, the cyc signal between the read and write access -- on the wishbone bus will be keept asserted to prevent access to the same -- location in between. -------------------------------------------------------------------------------- -- Hierarchy: -- -- vme_ctrl -- vme_wbm -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- $Revision: 1.4 $ -- -- $Log: vme_wbm.vhd,v $ -- Revision 1.4 2012/11/12 08:13:04 MMiehling -- changed comments -- -- Revision 1.3 2012/09/25 11:21:39 MMiehling -- added wbm_err signal for error signalling from pcie to vme -- -- Revision 1.2 2012/08/27 12:57:04 MMiehling -- added sl_en_vma_dat_out_reg_high for d64 access -- -- Revision 1.1 2012/03/29 10:14:29 MMiehling -- Initial Revision -- -- Revision 1.8 2004/11/02 11:29:40 mmiehling -- removed iram access -- -- Revision 1.7 2004/07/27 17:15:25 mmiehling -- changed pci-core to 16z014 -- changed wishbone bus to wb_bus.vhd -- added clk_trans_wb2wb.vhd -- improved dma -- -- Revision 1.6 2003/12/17 15:51:35 MMiehling -- optimized performance -- -- Revision 1.5 2003/12/01 10:03:26 MMiehling -- changed all -- -- Revision 1.4 2003/06/24 13:46:47 MMiehling -- removed burst; added loc_keep -- -- Revision 1.3 2003/06/13 10:06:16 MMiehling -- improved timing -- -- Revision 1.2 2003/04/22 11:02:49 MMiehling -- improved fsm -- -- Revision 1.1 2003/04/01 13:04:27 MMiehling -- Initial Revision -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY vme_wbm IS PORT ( clk : IN std_logic; rst : IN std_logic; -- mensb master loc_keep : IN std_logic; -- if '1', csn remains active (keeps bus) wbm_stb_o : OUT std_logic; wbm_ack_i : IN std_logic; wbm_err_i : IN std_logic; wbm_we_o : IN std_logic; vme_cyc_sram : OUT std_logic; -- keeps bus arbitration to sram as long as active vme_cyc_pci : OUT std_logic; -- keeps bus arbitration to pci as long as active -- vme_slave mensb_mstr_req : IN std_logic; -- mensb master request mensb_mstr_ack : OUT std_logic; -- mensb master acknoledge -- vme_du sel_wbm_dat_o : OUT std_logic; en_wbm_dat_o : OUT std_logic; sl_en_vme_data_out_reg : OUT std_logic; -- for normal d32 or d64 low sl_en_vme_data_out_reg_high : OUT std_logic; -- for d64 high -- vme_au inc_loc_adr_m_cnt : OUT std_logic; sl_acc_wb : IN std_logic_vector(4 DOWNTO 0); -- slave access hits and burst data transmission type pci_acc : IN std_logic; -- pci access is requested by vmebus sram_acc : IN std_logic -- sram access is requested by vmebus ); END vme_wbm; ARCHITECTURE vme_wbm_arch OF vme_wbm IS TYPE loc_mstr_states IS (idle, req_bus, req_bus2, wait_on_end); SIGNAL loc_mstr_state : loc_mstr_states; SIGNAL wbm_stb_o_int : std_logic; SIGNAL inc_wbm_cnt : std_logic; SIGNAL mensb_mstr_ack_int : std_logic; SIGNAL d64_high : std_logic; SIGNAL sl_en_vme_data_out_reg_int : std_logic; BEGIN wbm_stb_o <= wbm_stb_o_int; inc_loc_adr_m_cnt <= inc_wbm_cnt; mensb_mstr_ack <= mensb_mstr_ack_int; -- the wb-bus arbitration will be released when a single vme-transaction is done (done when asn is deasserted) -- in case of a rmw-cycle the asn-signal will be asserted between the two transactions => the wb-bus cyc-signal -- will also be asserted between these two transactions -- in case of a burst, the asn-signal is asserted all the time, but the wb-bus will be released after each -- single transaction, in order to prevent bus errors on pci-bus regs : PROCESS(clk, rst) BEGIN IF rst = '1' THEN vme_cyc_sram <= '0'; vme_cyc_pci <= '0'; d64_high <= '0'; ELSIF clk'EVENT AND clk = '1' then IF ((wbm_ack_i = '1' OR wbm_err_i = '1') AND (wbm_we_o = '1' OR sl_acc_wb(1) = '1' OR sl_acc_wb(0) = '1')) -- burst or write OR (loc_keep = '1' AND wbm_we_o = '0') THEN -- read and not burst (read of rmw) vme_cyc_sram <= '0'; vme_cyc_pci <= '0'; ELSIF mensb_mstr_req = '1' AND mensb_mstr_ack_int = '0' THEN vme_cyc_sram <= sram_acc; vme_cyc_pci <= pci_acc; END IF; IF d64_high = '0' AND sl_en_vme_data_out_reg_int = '1' AND sl_acc_wb(0) = '1' AND wbm_we_o = '0' THEN d64_high <= '1'; ELSIF sl_en_vme_data_out_reg_int = '1' THEN d64_high <= '0'; END IF; END IF; END PROCESS regs; sl_en_vme_data_out_reg <= sl_en_vme_data_out_reg_int WHEN d64_high = '0' ELSE '0'; sl_en_vme_data_out_reg_high <= sl_en_vme_data_out_reg_int WHEN d64_high = '1' ELSE '0'; loc_mstr_fsm : PROCESS (clk, rst) BEGIN IF rst = '1' THEN loc_mstr_state <= idle; wbm_stb_o_int <= '0'; mensb_mstr_ack_int <= '0'; en_wbm_dat_o <= '1'; sel_wbm_dat_o <= '0'; inc_wbm_cnt <= '0'; sl_en_vme_data_out_reg_int <= '0'; ELSIF clk'EVENT AND clk = '1' THEN CASE loc_mstr_state IS WHEN idle => sl_en_vme_data_out_reg_int <= '0'; inc_wbm_cnt <= '0'; mensb_mstr_ack_int <= '0'; sel_wbm_dat_o <= '0'; IF mensb_mstr_req = '1' AND (sram_acc = '1' OR pci_acc = '1') THEN loc_mstr_state <= req_bus; wbm_stb_o_int <= '1'; en_wbm_dat_o <= '0'; -- stop loading wbm_dat_o ELSE loc_mstr_state <= idle; wbm_stb_o_int <= '0'; en_wbm_dat_o <= '1'; -- always enable END IF; WHEN req_bus => IF ((wbm_ack_i = '1' OR wbm_err_i = '1') AND sl_acc_wb(0) = '0') OR mensb_mstr_req = '0' THEN sl_en_vme_data_out_reg_int <= '1'; loc_mstr_state <= wait_on_end; inc_wbm_cnt <= '0'; mensb_mstr_ack_int <= '1'; wbm_stb_o_int <= '0'; en_wbm_dat_o <= '0'; sel_wbm_dat_o <= '0'; ELSIF ((wbm_ack_i = '1' OR wbm_err_i = '1') AND sl_acc_wb(0) = '1') OR mensb_mstr_req = '0' THEN sl_en_vme_data_out_reg_int <= '1'; inc_wbm_cnt <= '1'; -- increment wbm_cnt IF wbm_we_o = '1' THEN mensb_mstr_ack_int <= '0'; -- not yet ack, because two cycles has to be done loc_mstr_state <= req_bus2; ELSE mensb_mstr_ack_int <= '1'; -- ack, because first d32 can be put to external driver loc_mstr_state <= wait_on_end; END IF; wbm_stb_o_int <= '0'; -- one cycle break en_wbm_dat_o <= '1'; -- put high d32 in wbm_dat_o sel_wbm_dat_o <= '1'; ELSE sl_en_vme_data_out_reg_int <= '0'; loc_mstr_state <= req_bus; inc_wbm_cnt <= '0'; mensb_mstr_ack_int <= '0'; wbm_stb_o_int <= '1'; en_wbm_dat_o <= '0'; sel_wbm_dat_o <= '0'; END IF; WHEN req_bus2 => sl_en_vme_data_out_reg_int <= '0'; inc_wbm_cnt <= '0'; en_wbm_dat_o <= '0'; sel_wbm_dat_o <= '1'; IF (wbm_ack_i = '1' OR wbm_err_i = '1') OR mensb_mstr_req = '0' THEN loc_mstr_state <= wait_on_end; mensb_mstr_ack_int <= '1'; wbm_stb_o_int <= '0'; ELSE loc_mstr_state <= req_bus2; mensb_mstr_ack_int <= '0'; wbm_stb_o_int <= '1'; END IF; WHEN wait_on_end => sl_en_vme_data_out_reg_int <= '0'; inc_wbm_cnt <= '0'; en_wbm_dat_o <= '0'; wbm_stb_o_int <= '0'; loc_mstr_state <= idle; mensb_mstr_ack_int <= '0'; sel_wbm_dat_o <= '0'; WHEN OTHERS => sl_en_vme_data_out_reg_int <= '0'; inc_wbm_cnt <= '0'; en_wbm_dat_o <= '0'; loc_mstr_state <= idle; mensb_mstr_ack_int <= '0'; wbm_stb_o_int <= '0'; sel_wbm_dat_o <= '0'; END CASE; END IF; END PROCESS loc_mstr_fsm; END vme_wbm_arch;
gpl-3.0
michaelmiehling/A25_VME
Source/wb_adr_dec.vhd
1
5389
--------------------------------------------------------------- -- Title : Adress decoder for whisbone bus -- Project : <A025-00> --------------------------------------------------------------- -- File : wb_adr_dec.vhd -- Author : Chameleon_V2.exe -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 2017/6/1 - 12:2:21 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : Created with Chameleon_V2.exe -- v1.18 -- 2016-06-14 -- -- -- +-Module Name-------------------+-cyc-+---offset-+-----size-+-bar-+ -- | Chameleon Table | 0 | 0 | 200 | 0 | -- | 16Z126_SERFLASH | 1 | 200 | 10 | 0 | -- | 16z002-01 VME | 2 | 10000 | 200 | 0 | -- | 16z002-01 VME A16D16 | 3 | 20000 | 10000 | 0 | -- | 16z002-01 VME A16D32 | 4 | 30000 | 10000 | 0 | -- | 16z002-01 VME SRAM | 5 | 0 | 100000 | 1 | -- | 16z002-01 VME A24D16 | 6 | 0 | 1000000 | 2 | -- | 16z002-01 VME A24D32 | 7 | 1000000 | 1000000 | 2 | -- | 16z002-01 VME A32 | 8 | 0 | 20000000 | 3 | -- | 16z002-01 VME CR/CSR | 9 | 0 | 1000000 | 4 | -- +-------------------------------+-----+----------+----------+-----+ -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2017, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: $ -- -- $Log: $ -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.all; ENTITY wb_adr_dec IS PORT ( pci_cyc_i : IN std_logic_vector(4 DOWNTO 0); wbm_adr_o_q : IN std_logic_vector(31 DOWNTO 2); wbm_cyc_o : OUT std_logic_vector(9 DOWNTO 0) ); END wb_adr_dec; ARCHITECTURE wb_adr_dec_arch OF wb_adr_dec IS SIGNAL zero : std_logic_vector(4 DOWNTO 0); BEGIN zero <= (OTHERS => '0'); PROCESS(wbm_adr_o_q, pci_cyc_i) VARIABLE wbm_cyc_o_int : std_logic_vector(9 DOWNTO 0); BEGIN wbm_cyc_o_int := (OTHERS => '0'); -- Chameleon Table - cycle 0 - offset 0 - size 200 -- IF pci_cyc_i(0) = '1' AND wbm_adr_o_q(17 DOWNTO 9) = "000000000" THEN wbm_cyc_o_int(0) := '1'; ELSE wbm_cyc_o_int(0) := '0'; END IF; -- 16Z126_SERFLASH - cycle 1 - offset 200 - size 10 -- IF pci_cyc_i(0) = '1' AND wbm_adr_o_q(17 DOWNTO 4) = "00000000100000" THEN wbm_cyc_o_int(1) := '1'; ELSE wbm_cyc_o_int(1) := '0'; END IF; -- 16z002-01 VME - cycle 2 - offset 10000 - size 200 -- IF pci_cyc_i(0) = '1' AND wbm_adr_o_q(17 DOWNTO 9) = "010000000" THEN wbm_cyc_o_int(2) := '1'; ELSE wbm_cyc_o_int(2) := '0'; END IF; -- 16z002-01 VME A16D16 - cycle 3 - offset 20000 - size 10000 -- IF pci_cyc_i(0) = '1' AND wbm_adr_o_q(17 DOWNTO 16) = "10" THEN wbm_cyc_o_int(3) := '1'; ELSE wbm_cyc_o_int(3) := '0'; END IF; -- 16z002-01 VME A16D32 - cycle 4 - offset 30000 - size 10000 -- IF pci_cyc_i(0) = '1' AND wbm_adr_o_q(17 DOWNTO 16) = "11" THEN wbm_cyc_o_int(4) := '1'; ELSE wbm_cyc_o_int(4) := '0'; END IF; -- 16z002-01 VME SRAM - cycle 5 - offset 0 - size 100000 -- IF pci_cyc_i(1) = '1' THEN wbm_cyc_o_int(5) := '1'; ELSE wbm_cyc_o_int(5) := '0'; END IF; -- 16z002-01 VME A24D16 - cycle 6 - offset 0 - size 1000000 -- IF pci_cyc_i(2) = '1' AND wbm_adr_o_q(24) = '0' THEN wbm_cyc_o_int(6) := '1'; ELSE wbm_cyc_o_int(6) := '0'; END IF; -- 16z002-01 VME A24D32 - cycle 7 - offset 1000000 - size 1000000 -- IF pci_cyc_i(2) = '1' AND wbm_adr_o_q(24) = '1' THEN wbm_cyc_o_int(7) := '1'; ELSE wbm_cyc_o_int(7) := '0'; END IF; -- 16z002-01 VME A32 - cycle 8 - offset 0 - size 20000000 -- IF pci_cyc_i(3) = '1' THEN wbm_cyc_o_int(8) := '1'; ELSE wbm_cyc_o_int(8) := '0'; END IF; -- 16z002-01 VME CR/CSR - cycle 9 - offset 0 - size 1000000 -- IF pci_cyc_i(4) = '1' THEN wbm_cyc_o_int(9) := '1'; ELSE wbm_cyc_o_int(9) := '0'; END IF; IF pci_cyc_i /= zero AND wbm_cyc_o_int = "0000000000" THEN wbm_cyc_o_int(0) := '1'; END IF; wbm_cyc_o <= wbm_cyc_o_int; END PROCESS; END wb_adr_dec_arch;
gpl-3.0
iocoder/graduation
hardware/cpu/clkdiv.vhd
1
2575
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.cpu_pkg.all; library unisim; use unisim.vcomponents.all; entity clkdiv is Port ( CLK : in STD_LOGIC; -- CPU interface CLK50MHz : out STD_LOGIC := '0'; CLK25MHz : out STD_LOGIC := '0'; CLK2MHz : out STD_LOGIC := '0'; CLK1MHz : out STD_LOGIC := '0'; CACHE_EN : out STD_LOGIC := '0' ); end entity; architecture Behavioral of clkdiv is signal oCLK1MHz : STD_LOGIC := '0'; signal oCLK2MHz : STD_LOGIC := '0'; signal oCLK12_5MHz : STD_LOGIC := '0'; signal oCLK6_25MHz : STD_LOGIC := '0'; signal oCLK3_150MHz : STD_LOGIC := '0'; signal oCLK1_5MHz : STD_LOGIC := '0'; signal oCLK25MHz : STD_LOGIC := '0'; signal oCLK50MHz : STD_LOGIC := '0'; signal bCLK25MHz : STD_LOGIC := '0'; signal bCLK50MHz : STD_LOGIC := '0'; attribute clock_signal : string; attribute clock_signal of oCLK50MHz : signal is "yes"; attribute clock_signal of oCLK25MHz : signal is "yes"; signal counter1MHz : integer := 24; signal counter2MHz : integer := 11; signal delay : integer := 3; begin process (CLK) begin if ( CLK = '1' and CLK'event ) then if (delay /= 0) then delay <= delay - 1; end if; end if; end process; oCLK50MHz <= CLK when delay = 0 else '0'; CACHE_EN <= '1' when delay = 0 else '0'; process (oCLK50MHz) begin if ( oCLK50MHz = '1' and oCLK50MHz'event ) then oCLK25MHz <= NOT oCLK25MHz; end if; if ( oCLK25MHz = '1' and oCLK25MHz'event ) then oCLK12_5MHz <= NOT oCLK12_5MHz; end if; if ( oCLK12_5MHz = '1' and oCLK12_5MHz'event ) then oCLK6_25MHz <= NOT oCLK6_25MHz; end if; if ( oCLK6_25MHz = '1' and oCLK6_25MHz'event ) then oCLK3_150MHz <= NOT oCLK3_150MHz; end if; if ( oCLK3_150MHz = '1' and oCLK3_150MHz'event ) then oCLK1_5MHz <= NOT oCLK1_5MHz; end if; if ( oCLK50MHz = '1' and oCLK50MHz'event ) then if (counter2MHz = 11) then oCLK2MHz <= NOT oCLK2MHz; counter2MHz <= 0; else counter2MHz <= counter2MHz + 1; end if; end if; if ( oCLK2MHz = '1' and oCLK2MHz'event ) then oCLK1MHz <= NOT oCLK1MHz; end if; end process; bufg0 : bufg port map(i=>oCLK50MHz, o=>bCLK50MHz); bufg1 : bufg port map(i=>oCLK25MHz, o=>bCLK25MHz); CLK50MHz <= bCLK50MHz; CLK25MHz <= bCLK25MHz; CLK2MHz <= oCLK2MHz; CLK1MHz <= oCLK1MHz; end Behavioral;
gpl-3.0
iocoder/graduation
hardware/cpu/cachearray.vhd
1
1836
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use IEEE.NUMERIC_STD.ALL; use work.cpu_pkg.all; entity cachearray is Port ( CLK : in STD_LOGIC; -- bus interface RW : in STD_LOGIC; RD_ADDR : in STD_LOGIC_VECTOR (9 downto 0); WR_ADDR : in STD_LOGIC_VECTOR (9 downto 0); -- inputs Vin : in STD_LOGIC; Din : in STD_LOGIC_VECTOR (31 downto 0); TAGin : in STD_LOGIC_VECTOR (19 downto 0); -- outputs Vout : out STD_LOGIC; Dout : out STD_LOGIC_VECTOR (31 downto 0); TAGout : out STD_LOGIC_VECTOR (19 downto 0) ); end entity; architecture Behavioral of cachearray is type cache_v_t is array (0 to 1023) of std_logic; type cache_data_t is array (0 to 1023) of std_logic_vector(31 downto 0); type cache_tag_t is array (0 to 1023) of std_logic_vector(19 downto 0); signal cache_arr_v : cache_v_t := (others => '0'); signal cache_arr_data : cache_data_t; signal cache_arr_tag : cache_tag_t; attribute ram_style: string; attribute ram_style of cache_arr_v : signal is "block"; attribute ram_style of cache_arr_data : signal is "block"; attribute ram_style of cache_arr_tag : signal is "block"; begin process (CLK) begin if ( CLK = '0' and CLK'event ) then if (RW = '1') then cache_arr_v(conv_integer(WR_ADDR)) <= Vin; cache_arr_data(conv_integer(WR_ADDR)) <= Din; cache_arr_tag(conv_integer(WR_ADDR)) <= TAGin; else Vout <= cache_arr_v(conv_integer(RD_ADDR)); Dout <= cache_arr_data(conv_integer(RD_ADDR)); TAGout <= cache_arr_tag(conv_integer(RD_ADDR)); end if; end if; end process; end Behavioral;
gpl-3.0
michaelmiehling/A25_VME
16z024-01_src/Source/iram_dp_wb.vhd
1
8167
--------------------------------------------------------------- -- Title : Dual Ported IRAM with Wishbone Interface -- Project : - --------------------------------------------------------------- -- File : iram_dp_wb.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 28/11/05 --------------------------------------------------------------- -- Simulator : Modelsim PE 5.7g -- Synthesis : Quartus II 3.0 --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.3 $ -- -- $Log: iram_dp_wb.vhd,v $ -- Revision 1.3 2007/11/21 13:46:06 FLenhardt -- Added ERR output to Wishbone interfaces -- -- Revision 1.2 2006/01/04 15:57:18 mmiehling -- added generic usedw_width -- -- Revision 1.1 2005/12/15 15:38:42 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; library altera_mf; use altera_mf.altera_mf_components.all; ENTITY iram_dp_wb IS GENERIC ( USEDW_WIDTH : positive := 6; -- width of address vector (6 = one M4K) SAME_CLK : boolean:= TRUE -- true: sl0_clk = sl1_clk; false: sl0_clk /= sl1_clk ); PORT ( rst : IN std_logic; -- global async high active reset -- Wishbone Bus #0 sl0_clk : IN std_logic; -- Wishbone Bus #0 Clock sl0_stb : IN std_logic; -- request sl0_cyc : IN std_logic; -- chip select sl0_ack : OUT std_logic; -- acknowledge sl0_err : OUT std_logic; -- error sl0_we : IN std_logic; -- write=1 read=0 sl0_sel : IN std_logic_vector(3 DOWNTO 0); -- byte enables sl0_adr : IN std_logic_vector(31 DOWNTO 0); sl0_dat_i : IN std_logic_vector(31 DOWNTO 0); -- data in sl0_dat_o : OUT std_logic_vector(31 DOWNTO 0); -- data out -- Wishbone Bus #0 sl1_clk : IN std_logic; -- Wishbone Bus #0 Clock sl1_stb : IN std_logic; -- request sl1_cyc : IN std_logic; -- chip select sl1_ack : OUT std_logic; -- acknowledge sl1_err : OUT std_logic; -- error sl1_we : IN std_logic; -- write=1 read=0 sl1_sel : IN std_logic_vector(3 DOWNTO 0); -- byte enables sl1_adr : IN std_logic_vector(31 DOWNTO 0); sl1_dat_i : IN std_logic_vector(31 DOWNTO 0); -- data in sl1_dat_o : OUT std_logic_vector(31 DOWNTO 0) -- data out ); END iram_dp_wb; ARCHITECTURE iram_dp_wb_arch OF iram_dp_wb IS SIGNAL sl0_loc_be : std_logic_vector(3 DOWNTO 0); SIGNAL sl0_ack_o_int : std_logic; SIGNAL sl0_clk_int : std_logic; SIGNAL sl0_write : std_logic; SIGNAL sl1_loc_be : std_logic_vector(3 DOWNTO 0); SIGNAL sl1_ack_o_int : std_logic; SIGNAL sl1_clk_int : std_logic; SIGNAL sl1_write : std_logic; BEGIN ------------------------------------------------------------------------------------------- -- WB #0 Interface sl0_ack <= sl0_ack_o_int; sl0_err <= '0'; sl0_write <= '1' WHEN sl0_ack_o_int = '1' AND sl0_we = '1' ELSE '0'; sl0: PROCESS(rst, sl0_clk_int) BEGIN IF(rst = '1') THEN sl0_loc_be <= (OTHERS => '0'); sl0_ack_o_int <= '0'; ELSIF(sl0_clk_int'EVENT AND sl0_clk_int = '1') THEN IF((sl0_stb = '1' AND sl0_cyc = '1') AND sl0_ack_o_int = '0') THEN IF(sl0_we = '1') THEN sl0_loc_be <= sl0_sel; ELSE sl0_loc_be <= (OTHERS => '0'); END IF; sl0_ack_o_int <= '1'; ELSE sl0_loc_be <= (OTHERS => '0'); sl0_ack_o_int <= '0'; END IF; END IF; END PROCESS sl0; ------------------------------------------------------------------------------------------- -- WB #1 Interface sl1_ack <= sl1_ack_o_int; sl1_err <= '0'; sl1_write <= '1' WHEN sl1_ack_o_int = '1' AND sl1_we = '1' ELSE '0'; sl1: PROCESS(rst, sl1_clk_int) BEGIN IF(rst = '1') THEN sl1_loc_be <= (OTHERS => '0'); sl1_ack_o_int <= '0'; ELSIF(sl1_clk_int'EVENT AND sl1_clk_int = '1') THEN IF((sl1_stb = '1' AND sl1_cyc = '1') AND sl1_ack_o_int = '0') THEN IF(sl1_we = '1') THEN sl1_loc_be <= sl1_sel; ELSE sl1_loc_be <= (OTHERS => '0'); END IF; sl1_ack_o_int <= '1'; ELSE sl1_loc_be <= (OTHERS => '0'); sl1_ack_o_int <= '0'; END IF; END IF; END PROCESS sl1; ------------------------------------------------------------------------------------------- gen_2clk: IF NOT SAME_CLK GENERATE sl0_clk_int <= sl0_clk; sl1_clk_int <= sl1_clk; altsyncram_component : altsyncram GENERIC MAP ( intended_device_family => "Cyclone", operation_mode => "BIDIR_DUAL_PORT", width_a => 32, widthad_a => USEDW_WIDTH, numwords_a => 2**USEDW_WIDTH, width_b => 32, widthad_b => USEDW_WIDTH, numwords_b => 2**USEDW_WIDTH, lpm_type => "altsyncram", width_byteena_a => 4, width_byteena_b => 4, byte_size => 8, outdata_reg_a => "UNREGISTERED", outdata_aclr_a => "NONE", outdata_reg_b => "UNREGISTERED", indata_aclr_a => "NONE", wrcontrol_aclr_a => "NONE", address_aclr_a => "NONE", byteena_aclr_a => "NONE", indata_reg_b => "CLOCK1", address_reg_b => "CLOCK1", wrcontrol_wraddress_reg_b => "CLOCK1", indata_aclr_b => "NONE", wrcontrol_aclr_b => "NONE", address_aclr_b => "NONE", byteena_reg_b => "CLOCK1", byteena_aclr_b => "NONE", outdata_aclr_b => "NONE", power_up_uninitialized => "FALSE", init_file => "iram.hex" ) PORT MAP ( clock0 => sl0_clk, wren_a => sl0_write, byteena_a => sl0_loc_be, address_a => sl0_adr(USEDW_WIDTH+1 DOWNTO 2), data_a => sl0_dat_i, q_a => sl0_dat_o, clock1 => sl1_clk, wren_b => sl1_write, byteena_b => sl1_loc_be, address_b => sl1_adr(USEDW_WIDTH+1 DOWNTO 2), data_b => sl1_dat_i, q_b => sl1_dat_o); END GENERATE gen_2clk; gen_1clk: IF SAME_CLK GENERATE sl0_clk_int <= sl0_clk; sl1_clk_int <= sl0_clk; altsyncram_component : altsyncram GENERIC MAP ( intended_device_family => "Cyclone", ram_block_type => "M4K", operation_mode => "BIDIR_DUAL_PORT", width_a => 32, widthad_a => USEDW_WIDTH, numwords_a => 2**USEDW_WIDTH, width_b => 32, widthad_b => USEDW_WIDTH, numwords_b => 2**USEDW_WIDTH, lpm_type => "altsyncram", width_byteena_a => 4, width_byteena_b => 4, byte_size => 8, outdata_reg_a => "UNREGISTERED", outdata_aclr_a => "NONE", outdata_reg_b => "UNREGISTERED", indata_aclr_a => "NONE", wrcontrol_aclr_a => "NONE", address_aclr_a => "NONE", byteena_aclr_a => "NONE", indata_reg_b => "CLOCK0", address_reg_b => "CLOCK0", wrcontrol_wraddress_reg_b => "CLOCK0", indata_aclr_b => "NONE", wrcontrol_aclr_b => "NONE", address_aclr_b => "NONE", byteena_reg_b => "CLOCK0", byteena_aclr_b => "NONE", outdata_aclr_b => "NONE", read_during_write_mode_mixed_ports => "OLD_DATA", power_up_uninitialized => "FALSE", init_file => "iram.hex") PORT MAP ( clock0 => sl0_clk, wren_a => sl0_write, byteena_a => sl0_loc_be, address_a => sl0_adr(USEDW_WIDTH+1 DOWNTO 2), data_a => sl0_dat_i, q_a => sl0_dat_o, wren_b => sl1_write, byteena_b => sl1_loc_be, address_b => sl1_adr(USEDW_WIDTH+1 DOWNTO 2), data_b => sl1_dat_i, q_b => sl1_dat_o); END GENERATE gen_1clk; END iram_dp_wb_arch;
gpl-3.0
michaelmiehling/A25_VME
16z100-00_src/Source/switch_fab_3.vhd
1
18172
--------------------------------------------------------------- -- Title : -- Project : --------------------------------------------------------------- -- File : switch_fab_3.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 25/02/04 --------------------------------------------------------------- -- Simulator : Modelsim PE 5.7g -- Synthesis : Quartus II 3.0 --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.4 $ -- -- $Log: switch_fab_3.vhd,v $ -- Revision 1.4 2015/06/15 16:39:57 AGeissler -- R1: In 16z100- version 1.30 the bte signal was removed from the wb_pkg.vhd -- M1: Adapted switch fabric -- R2: Clearness -- M2: Replaced tabs with spaces -- -- Revision 1.3 2007/08/13 10:14:21 MMiehling -- added: master gets no ack if corresponding stb is not active -- -- Revision 1.2 2007/04/04 13:15:15 smahveen -- cyc_x handling in SW_2 corrected. -- (FSM state will not change after WB Master-3 access wishbone slave in SW_2 state) -- -- Revision 1.1 2004/08/13 15:16:06 mmiehling -- Initial Revision -- -- Revision 1.1 2004/08/13 15:10:49 mmiehling -- Initial Revision -- -- Revision 1.1 2004/07/27 17:06:21 mmiehling -- Initial Revision -- -- Revision 1.1 2004/04/29 15:07:24 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE work.wb_pkg.all; ENTITY switch_fab_3 IS GENERIC ( registered : IN boolean ); PORT ( clk : IN std_logic; rst : IN std_logic; cyc_0 : IN std_logic; ack_0 : OUT std_logic; err_0 : OUT std_logic; wbo_0 : IN wbo_type; cyc_1 : IN std_logic; ack_1 : OUT std_logic; err_1 : OUT std_logic; wbo_1 : IN wbo_type; cyc_2 : IN std_logic; ack_2 : OUT std_logic; err_2 : OUT std_logic; wbo_2 : IN wbo_type; wbo_slave : IN wbi_type; wbi_slave : OUT wbo_type; wbi_slave_cyc : OUT std_logic ); END switch_fab_3; ARCHITECTURE switch_fab_3_arch OF switch_fab_3 IS SUBTYPE sw_states IS std_logic_vector(1 DOWNTO 0); CONSTANT sw_0 : sw_states := "01"; CONSTANT sw_1 : sw_states := "10"; CONSTANT sw_2 : sw_states := "11"; SIGNAL sw_state : sw_states; SIGNAL sw_nxt_state : sw_states; SIGNAL ack_0_int : std_logic; SIGNAL ack_1_int : std_logic; SIGNAL ack_2_int : std_logic; SIGNAL sel : std_logic_vector(2 DOWNTO 0); SIGNAL wbi_slave_stb : std_logic; BEGIN without_q : IF NOT registered GENERATE sw_fsm : PROCESS (clk, rst) BEGIN IF rst = '1' THEN wbi_slave_stb <= '0'; sw_state <= sw_0; ELSIF clk'EVENT AND clk = '1' THEN sw_state <= sw_nxt_state; CASE sw_nxt_state IS WHEN sw_0 => IF cyc_0 = '1' THEN IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_0.cti = "010" THEN -- burst wbi_slave_stb <= wbo_0.stb; ELSIF wbo_slave.ack = '1' AND wbo_0.cti /= "010" THEN -- single wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_0.stb; END IF; ELSIF cyc_1 = '1' THEN wbi_slave_stb <= wbo_1.stb; ELSIF cyc_2 = '1' THEN wbi_slave_stb <= wbo_2.stb; ELSE wbi_slave_stb <= '0'; END IF; WHEN sw_1 => IF cyc_1 = '1' THEN IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_1.cti = "010" THEN -- burst wbi_slave_stb <= wbo_1.stb; ELSIF wbo_slave.ack = '1' AND wbo_1.cti /= "010" THEN -- single wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_1.stb; END IF; ELSIF cyc_2 = '1' THEN wbi_slave_stb <= wbo_2.stb; ELSIF cyc_0 = '1' THEN wbi_slave_stb <= wbo_0.stb; ELSE wbi_slave_stb <= '0'; END IF; WHEN sw_2 => IF cyc_2 = '1' THEN IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_2.cti = "010" THEN -- burst wbi_slave_stb <= wbo_2.stb; ELSIF wbo_slave.ack = '1' AND wbo_2.cti /= "010" THEN -- single wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_2.stb; END IF; ELSIF cyc_0 = '1' THEN wbi_slave_stb <= wbo_0.stb; ELSIF cyc_1 = '1' THEN wbi_slave_stb <= wbo_1.stb; ELSE wbi_slave_stb <= '0'; END IF; WHEN OTHERS => wbi_slave_stb <= '0'; END CASE; END IF; END PROCESS sw_fsm; sw_fsm_sel : PROCESS(sw_state, cyc_0, cyc_1, cyc_2) BEGIN CASE sw_state IS WHEN sw_0 => IF cyc_0 = '1' THEN sw_nxt_state <= sw_0; ELSIF cyc_1 = '1' THEN sw_nxt_state <= sw_1; ELSIF cyc_2 = '1' THEN sw_nxt_state <= sw_2; ELSE sw_nxt_state <= sw_0; END IF; WHEN sw_1 => IF cyc_1 = '1' THEN sw_nxt_state <= sw_1; ELSIF cyc_2 = '1' THEN sw_nxt_state <= sw_2; ELSIF cyc_0 = '1' THEN sw_nxt_state <= sw_0; ELSE sw_nxt_state <= sw_1; END IF; WHEN sw_2 => IF cyc_2 = '1' THEN sw_nxt_state <= sw_2; ELSIF cyc_0 = '1' THEN sw_nxt_state <= sw_0; ELSIF cyc_1 = '1' THEN sw_nxt_state <= sw_1; ELSE sw_nxt_state <= sw_2; END IF; WHEN OTHERS => sw_nxt_state <= sw_0; END CASE; END PROCESS sw_fsm_sel; PROCESS(sw_state, wbo_0.dat, wbo_1.dat, wbo_2.dat) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.dat <= wbo_0.dat; WHEN sw_1 => wbi_slave.dat <= wbo_1.dat; WHEN sw_2 => wbi_slave.dat <= wbo_2.dat; WHEN OTHERS => wbi_slave.dat <= wbo_0.dat; END CASE; END PROCESS; PROCESS(sw_state, wbo_0.adr, wbo_1.adr, wbo_2.adr) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.adr <= wbo_0.adr; WHEN sw_1 => wbi_slave.adr <= wbo_1.adr; WHEN sw_2 => wbi_slave.adr <= wbo_2.adr; WHEN OTHERS => wbi_slave.adr <= wbo_0.adr; END CASE; END PROCESS; PROCESS(sw_state, wbo_0.sel, wbo_1.sel, wbo_2.sel) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.sel <= wbo_0.sel; WHEN sw_1 => wbi_slave.sel <= wbo_1.sel; WHEN sw_2 => wbi_slave.sel <= wbo_2.sel; WHEN OTHERS => wbi_slave.sel <= wbo_0.sel; END CASE; END PROCESS; PROCESS(sw_state, wbo_0.we, wbo_1.we, wbo_2.we) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.we <= wbo_0.we; WHEN sw_1 => wbi_slave.we <= wbo_1.we; WHEN sw_2 => wbi_slave.we <= wbo_2.we; WHEN OTHERS => wbi_slave.we <= wbo_0.we; END CASE; END PROCESS; PROCESS(sw_state, wbo_0.cti, wbo_1.cti, wbo_2.cti) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.cti <= wbo_0.cti; WHEN sw_1 => wbi_slave.cti <= wbo_1.cti; WHEN sw_2 => wbi_slave.cti <= wbo_2.cti; WHEN OTHERS => wbi_slave.cti <= wbo_0.cti; END CASE; END PROCESS; PROCESS(sw_state, wbo_0.tga, wbo_1.tga, wbo_2.tga) BEGIN CASE sw_state IS WHEN sw_0 => wbi_slave.tga <= wbo_0.tga; WHEN sw_1 => wbi_slave.tga <= wbo_1.tga; WHEN sw_2 => wbi_slave.tga <= wbo_2.tga; WHEN OTHERS => wbi_slave.tga <= wbo_0.tga; END CASE; END PROCESS; wbi_slave.stb <= wbi_slave_stb; wbi_slave_cyc <= '1' WHEN (sw_state = sw_0 AND cyc_0 = '1') OR (sw_state = sw_1 AND cyc_1 = '1') OR (sw_state = sw_2 AND cyc_2 = '1') ELSE '0'; ack_0 <= '1' WHEN sw_state = sw_0 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' ELSE '0'; ack_1 <= '1' WHEN sw_state = sw_1 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' ELSE '0'; ack_2 <= '1' WHEN sw_state = sw_2 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' ELSE '0'; err_0 <= '1' WHEN sw_state = sw_0 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' ELSE '0'; err_1 <= '1' WHEN sw_state = sw_1 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' ELSE '0'; err_2 <= '1' WHEN sw_state = sw_2 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' ELSE '0'; END GENERATE without_q; --------------------------------------------------------------------- with_q : IF registered GENERATE ack_0 <= ack_0_int; ack_1 <= ack_1_int; ack_2 <= ack_2_int; wbi_slave.stb <= wbi_slave_stb; sw_fsm : PROCESS (clk, rst) BEGIN IF rst = '1' THEN sw_state <= sw_0; wbi_slave_stb <= '0'; ELSIF clk'EVENT AND clk = '1' THEN CASE sw_state IS WHEN sw_0 => IF cyc_0 = '1' THEN sw_state <= sw_0; IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_0.cti = "010" THEN -- burst wbi_slave_stb <= wbo_0.stb; ELSIF (wbo_slave.ack = '1' OR ack_0_int = '1') AND wbo_0.cti /= "010" THEN -- single wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_0.stb; END IF; ELSIF cyc_1 = '1' THEN sw_state <= sw_1; wbi_slave_stb <= wbo_1.stb; ELSIF cyc_2 = '1' THEN sw_state <= sw_2; wbi_slave_stb <= wbo_2.stb; ELSE sw_state <= sw_0; wbi_slave_stb <= '0'; END IF; WHEN sw_1 => IF cyc_1 = '1' THEN sw_state <= sw_1; IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_1.cti = "010" THEN -- single wbi_slave_stb <= wbo_0.stb; ELSIF (wbo_slave.ack = '1' OR ack_1_int = '1') AND wbo_1.cti /= "010" THEN -- burst wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_1.stb; END IF; ELSIF cyc_0 = '1' THEN sw_state <= sw_0; wbi_slave_stb <= wbo_0.stb; ELSIF cyc_2 = '1' THEN sw_state <= sw_2; wbi_slave_stb <= wbo_2.stb; ELSE sw_state <= sw_1; wbi_slave_stb <= '0'; END IF; WHEN sw_2 => IF cyc_2 = '1' THEN sw_state <= sw_2; IF wbo_slave.err = '1' THEN -- error wbi_slave_stb <= '0'; ELSIF wbo_slave.ack = '1' AND wbo_2.cti = "010" THEN -- single wbi_slave_stb <= wbo_2.stb; ELSIF (wbo_slave.ack = '1' OR ack_2_int = '1') AND wbo_2.cti /= "010" THEN -- burst wbi_slave_stb <= '0'; ELSE wbi_slave_stb <= wbo_2.stb; END IF; ELSIF cyc_0 = '1' THEN sw_state <= sw_0; wbi_slave_stb <= wbo_0.stb; ELSIF cyc_1 = '1' THEN sw_state <= sw_1; wbi_slave_stb <= wbo_1.stb; ELSE sw_state <= sw_2; wbi_slave_stb <= '0'; END IF; WHEN OTHERS => sw_state <= sw_0; wbi_slave_stb <= '0'; END CASE; END IF; END PROCESS sw_fsm; sw_fsm_sel : PROCESS(sw_state, cyc_0, cyc_1, cyc_2) BEGIN CASE sw_state IS WHEN sw_0 => IF cyc_0 = '1' THEN sel <= "001"; ELSIF cyc_1 = '1' THEN sel <= "010"; ELSIF cyc_2 = '1' THEN sel <= "100"; ELSE sel <= "000"; END IF; WHEN sw_1 => IF cyc_1 = '1' THEN sel <= "010"; ELSIF cyc_2 = '1' THEN sel <= "100"; ELSIF cyc_0 = '1' THEN sel <= "001"; ELSE sel <= "000"; END IF; WHEN sw_2 => IF cyc_2 = '1' THEN sel <= "100"; ELSIF cyc_1 = '1' THEN sel <= "010"; ELSIF cyc_0 = '1' THEN sel <= "001"; ELSE sel <= "000"; END IF; WHEN OTHERS => sel <= "000"; END CASE; END PROCESS sw_fsm_sel; data_sw : PROCESS( clk, rst) BEGIN IF rst = '1' THEN wbi_slave.dat <= (OTHERS => '0'); wbi_slave.adr <= (OTHERS => '0'); wbi_slave.sel <= (OTHERS => '0'); wbi_slave.cti <= (OTHERS => '0'); wbi_slave.tga <= (OTHERS => '0'); wbi_slave.we <= '0'; wbi_slave_cyc <= '0'; ack_0_int <= '0'; err_0 <= '0'; ack_1_int <= '0'; err_1 <= '0'; ack_2_int <= '0'; err_2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN wbi_slave_cyc <= sel(0) OR sel(1) OR sel(2); IF sw_state = sw_0 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' THEN ack_0_int <= '1'; ELSE ack_0_int <= '0'; END IF; IF sw_state = sw_0 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' THEN err_0 <= '1'; ELSE err_0 <= '0'; END IF; IF sw_state = sw_1 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' THEN ack_1_int <= '1'; ELSE ack_1_int <= '0'; END IF; IF sw_state = sw_1 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' THEN err_1 <= '1'; ELSE err_1 <= '0'; END IF; IF sw_state = sw_2 AND wbo_slave.ack = '1' AND wbi_slave_stb = '1' THEN ack_2_int <= '1'; ELSE ack_2_int <= '0'; END IF; IF sw_state = sw_2 AND wbo_slave.err = '1' AND wbi_slave_stb = '1' THEN err_2 <= '1'; ELSE err_2 <= '0'; END IF; CASE sel IS WHEN "001" => wbi_slave.dat <= wbo_0.dat; wbi_slave.adr <= wbo_0.adr; wbi_slave.sel <= wbo_0.sel; wbi_slave.we <= wbo_0.we; wbi_slave.cti <= wbo_0.cti; wbi_slave.tga <= wbo_0.tga; WHEN "010" => wbi_slave.dat <= wbo_1.dat; wbi_slave.adr <= wbo_1.adr; wbi_slave.sel <= wbo_1.sel; wbi_slave.we <= wbo_1.we; wbi_slave.cti <= wbo_1.cti; wbi_slave.tga <= wbo_1.tga; WHEN OTHERS => wbi_slave.dat <= wbo_2.dat; wbi_slave.adr <= wbo_2.adr; wbi_slave.sel <= wbo_2.sel; wbi_slave.we <= wbo_2.we; wbi_slave.cti <= wbo_2.cti; wbi_slave.tga <= wbo_2.tga; END CASE; END IF; END PROCESS data_sw; END GENERATE with_q; END switch_fab_3_arch;
gpl-3.0
michaelmiehling/A25_VME
16z000-00_src/Source/examples/fpga_pkg_2_top_example.vhd
1
3086
--------------------------------------------------------------- -- Title : fpga_pkg_2 example for top file -- Project : --------------------------------------------------------------- -- File : fpga_pkg_2_top.vhd -- Author : Florian Wombacher -- Email : [email protected] -- Organization : MEN Mikro Elektronik Nuremberg GmbH -- Created : 2008-04-01 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- --------------------------------------------------------------- -- Hierarchy: -- fpga_pkg_2_top.vhd -- - fpga_pkg_2_16zxxx.vhd -- - - one_device.vhd -- - fpga_pkg_2_16zyyy.vhd -- - - many_devices.vhd -- --------------------------------------------------------------- -- Copyright (C) 2008, MEN Mikro Elektronik Nuremberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: fpga_pkg_2_top_example.vhd,v $ -- Revision 1.2 2009/02/17 11:37:37 FWombacher -- cosmetics due to rule checker -- -- Revision 1.1 2008/11/21 15:16:55 FWombacher -- Initial Revision -- -- Revision 1.2 2008/10/24 16:39:55 FWombacher -- more deatiled exampels -- -- Revision 1.1 2008/10/22 14:19:16 FWombacher -- Initial Revision -- -- -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; LIBRARY work; USE work.fpga_pkg_2.all; ENTITY fpga_pkg_2_top IS PORT( dummy_o : OUT std_logic; dummy_i : IN std_logic ); END ENTITY; ARCHITECTURE fpga_pkg_2_top_arch OF fpga_pkg_2_top IS CONSTANT FPGA_FAMILY : family_type := CYCLONE; COMPONENT fpga_pkg_2_16zxxx GENERIC ( FPGA_FAMILY : family_type := NONE -- use NONE to force definiton in top level file ); PORT ( dummy : OUT std_logic ); END COMPONENT; COMPONENT fpga_pkg_2_16zyyy GENERIC ( FPGA_FAMILY : family_type := NONE -- use NONE to force definiton in top level file ); PORT ( dummy : IN std_logic ); END COMPONENT; BEGIN the_one_device : fpga_pkg_2_16zxxx GENERIC MAP ( FPGA_FAMILY => FPGA_FAMILY -- use NONE to force definiton in top level file ) PORT MAP ( dummy => dummy_o ); the_fpga_pkg_2_16zyyy : fpga_pkg_2_16zyyy GENERIC MAP ( FPGA_FAMILY => FPGA_FAMILY -- use NONE to force definiton in top level file ) PORT MAP ( dummy => dummy_i ); END ARCHITECTURE fpga_pkg_2_top_arch;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_2d_fir_plbw_v1_02_a/hdl/vhdl/sg_2d_fir_cw.vhd
2
26710
------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on entity xlclockdriver is generic ( period: integer := 2; log_2_period: integer := 0; pipeline_regs: integer := 5; use_bufg: integer := 0 ); port ( sysclk: in std_logic; sysclr: in std_logic; sysce: in std_logic; clk: out std_logic; clr: out std_logic; ce: out std_logic; ce_logic: out std_logic ); end xlclockdriver; architecture behavior of xlclockdriver is component bufg port ( i: in std_logic; o: out std_logic ); end component; component synth_reg_w_init generic ( width: integer; init_index: integer; init_value: bit_vector; latency: integer ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end component; function size_of_uint(inp: integer; power_of_2: boolean) return integer is constant inp_vec: std_logic_vector(31 downto 0) := integer_to_std_logic_vector(inp,32, xlUnsigned); variable result: integer; begin result := 32; for i in 0 to 31 loop if inp_vec(i) = '1' then result := i; end if; end loop; if power_of_2 then return result; else return result+1; end if; end; function is_power_of_2(inp: std_logic_vector) return boolean is constant width: integer := inp'length; variable vec: std_logic_vector(width - 1 downto 0); variable single_bit_set: boolean; variable more_than_one_bit_set: boolean; variable result: boolean; begin vec := inp; single_bit_set := false; more_than_one_bit_set := false; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if width > 0 then for i in 0 to width - 1 loop if vec(i) = '1' then if single_bit_set then more_than_one_bit_set := true; end if; single_bit_set := true; end if; end loop; end if; if (single_bit_set and not(more_than_one_bit_set)) then result := true; else result := false; end if; return result; end; function ce_reg_init_val(index, period : integer) return integer is variable result: integer; begin result := 0; if ((index mod period) = 0) then result := 1; end if; return result; end; function remaining_pipe_regs(num_pipeline_regs, period : integer) return integer is variable factor, result: integer; begin factor := (num_pipeline_regs / period); result := num_pipeline_regs - (period * factor) + 1; return result; end; function sg_min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; constant max_pipeline_regs : integer := 8; constant pipe_regs : integer := 5; constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs); constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period); constant period_floor: integer := max(2, period); constant power_of_2_counter: boolean := is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned)); constant cnt_width: integer := size_of_uint(period_floor, power_of_2_counter); constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned); signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0'); signal ce_vec : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT : string; attribute MAX_FANOUT of ce_vec:signal is "REDUCE"; signal ce_vec_logic : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT of ce_vec_logic:signal is "REDUCE"; signal internal_ce: std_logic_vector(0 downto 0); signal internal_ce_logic: std_logic_vector(0 downto 0); signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0); begin clk <= sysclk; clr <= sysclr; cntr_gen: process(sysclk) begin if sysclk'event and sysclk = '1' then if (sysce = '1') then if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then clk_num <= (others => '0'); else clk_num <= clk_num + 1; end if; end if; end if; end process; clr_gen: process(clk_num, sysclr) begin if power_of_2_counter then cnt_clr(0) <= sysclr; else if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1 or sysclr = '1') then cnt_clr(0) <= '1'; else cnt_clr(0) <= '0'; end if; end if; end process; clr_reg: synth_reg_w_init generic map ( width => 1, init_index => 0, init_value => b"0000", latency => 1 ) port map ( i => cnt_clr, ce => sysce, clr => sysclr, clk => sysclk, o => cnt_clr_dly ); pipelined_ce : if period > 1 generate ce_gen: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec(num_pipeline_regs) <= '1'; else ce_vec(num_pipeline_regs) <= '0'; end if; end process; ce_pipeline: for index in num_pipeline_regs downto 1 generate ce_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec(index-1 downto index-1) ); end generate; internal_ce <= ce_vec(0 downto 0); end generate; pipelined_ce_logic: if period > 1 generate ce_gen_logic: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec_logic(num_pipeline_regs) <= '1'; else ce_vec_logic(num_pipeline_regs) <= '0'; end if; end process; ce_logic_pipeline: for index in num_pipeline_regs downto 1 generate ce_logic_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec_logic(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec_logic(index-1 downto index-1) ); end generate; internal_ce_logic <= ce_vec_logic(0 downto 0); end generate; use_bufg_true: if period > 1 and use_bufg = 1 generate ce_bufg_inst: bufg port map ( i => internal_ce(0), o => ce ); ce_bufg_inst_logic: bufg port map ( i => internal_ce_logic(0), o => ce_logic ); end generate; use_bufg_false: if period > 1 and (use_bufg = 0) generate ce <= internal_ce(0); ce_logic <= internal_ce_logic(0); end generate; generate_system_clk: if period = 1 generate ce <= sysce; ce_logic <= sysce; end generate; end architecture behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity xland2 is port ( a : in std_logic; b : in std_logic; dout : out std_logic ); end xland2; architecture behavior of xland2 is begin dout <= a and b; end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity default_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; ce_1: out std_logic; ce_logic_1: out std_logic; clk_1: out std_logic ); end default_clock_driver; architecture structural of default_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_ce_logic: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; ce_1 <= xlclockdriver_1_ce; ce_logic_1 <= xlclockdriver_1_ce_logic; clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, ce_logic => xlclockdriver_1_ce_logic, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity plb_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; plb_ce_1: out std_logic; plb_clk_1: out std_logic ); end plb_clock_driver; architecture structural of plb_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; plb_ce_1 <= xlclockdriver_1_ce; plb_clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity sg_2d_fir_cw is port ( active_video_i: in std_logic; ce: in std_logic := '1'; clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(31 downto 0); plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); reset: in std_logic; sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(23 downto 0); vsync_i: in std_logic; xps_ce: in std_logic := '1'; xps_clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(31 downto 0); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(23 downto 0); vsync_o: out std_logic ); end sg_2d_fir_cw; architecture structural of sg_2d_fir_cw is component block_memory_generator_spartan6_6_2_80846d0865f6122e port ( addra: in std_logic_vector(4 downto 0); addrb: in std_logic_vector(4 downto 0); clka: in std_logic; clkb: in std_logic; dina: in std_logic_vector(6 downto 0); dinb: in std_logic_vector(6 downto 0); ena: in std_logic; enb: in std_logic; wea: in std_logic_vector(0 downto 0); web: in std_logic_vector(0 downto 0); douta: out std_logic_vector(6 downto 0); doutb: out std_logic_vector(6 downto 0) ); end component; attribute syn_black_box: boolean; attribute syn_black_box of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; attribute box_type: string; attribute box_type of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is "black_box"; attribute syn_noprune: boolean; attribute optimize_primitives: boolean; attribute dont_touch: boolean; attribute syn_noprune of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; attribute optimize_primitives of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is false; attribute dont_touch of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; component xlpersistentdff port ( clk: in std_logic; d: in std_logic; q: out std_logic ); end component; attribute syn_black_box of xlpersistentdff: component is true; attribute box_type of xlpersistentdff: component is "black_box"; attribute syn_noprune of xlpersistentdff: component is true; attribute optimize_primitives of xlpersistentdff: component is false; attribute dont_touch of xlpersistentdff: component is true; signal active_video_i_net: std_logic; signal active_video_o_net: std_logic; signal addr_net: std_logic_vector(4 downto 0); signal addr_x0_net: std_logic_vector(4 downto 0); signal ce_1_sg_x54: std_logic; attribute MAX_FANOUT: string; attribute MAX_FANOUT of ce_1_sg_x54: signal is "REDUCE"; signal ce_logic_1_sg_x25: std_logic; signal clkNet: std_logic; signal clkNet_x0: std_logic; signal clk_1_sg_x54: std_logic; signal coef_gain_reg_ce: std_logic; signal coef_update_reg_ce: std_logic; signal data_in_net: std_logic_vector(6 downto 0); signal data_in_x0_net: std_logic; signal data_in_x1_net: std_logic_vector(19 downto 0); signal data_in_x2_net: std_logic_vector(6 downto 0); signal data_out_net: std_logic_vector(19 downto 0); signal data_out_x0_net: std_logic; signal data_out_x1_net: std_logic_vector(6 downto 0); signal data_out_x2_net: std_logic_vector(6 downto 0); signal en_net: std_logic; signal en_x0_net: std_logic; signal hblank_i_net: std_logic; signal hblank_o_net: std_logic; signal hsync_i_net: std_logic; signal hsync_o_net: std_logic; signal persistentdff_inst_q: std_logic; attribute syn_keep: boolean; attribute syn_keep of persistentdff_inst_q: signal is true; attribute keep: boolean; attribute keep of persistentdff_inst_q: signal is true; attribute preserve_signal: boolean; attribute preserve_signal of persistentdff_inst_q: signal is true; signal plb_abus_net: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x1: std_logic; attribute MAX_FANOUT of plb_ce_1_sg_x1: signal is "REDUCE"; signal plb_clk_1_sg_x1: std_logic; signal plb_pavalid_net: std_logic; signal plb_rnw_net: std_logic; signal plb_wrdbus_net: std_logic_vector(31 downto 0); signal reset_net: std_logic; signal sg_plb_addrpref_net: std_logic_vector(19 downto 0); signal sl_addrack_net: std_logic; signal sl_rdcomp_net: std_logic; signal sl_rddack_net: std_logic; signal sl_rddbus_net: std_logic_vector(31 downto 0); signal sl_wait_net: std_logic; signal sl_wrdack_x1: std_logic; signal sl_wrdack_x2: std_logic; signal splb_rst_net: std_logic; signal vblank_i_net: std_logic; signal vblank_o_net: std_logic; signal video_data_i_net: std_logic_vector(23 downto 0); signal video_data_o_net: std_logic_vector(23 downto 0); signal vsync_i_net: std_logic; signal vsync_o_net: std_logic; signal we_net: std_logic; signal we_x0_net: std_logic; begin active_video_i_net <= active_video_i; clkNet <= clk; hblank_i_net <= hblank_i; hsync_i_net <= hsync_i; plb_abus_net <= plb_abus; plb_pavalid_net <= plb_pavalid; plb_rnw_net <= plb_rnw; plb_wrdbus_net <= plb_wrdbus; reset_net <= reset; sg_plb_addrpref_net <= sg_plb_addrpref; splb_rst_net <= splb_rst; vblank_i_net <= vblank_i; video_data_i_net <= video_data_i; vsync_i_net <= vsync_i; clkNet_x0 <= xps_clk; active_video_o <= active_video_o_net; hblank_o <= hblank_o_net; hsync_o <= hsync_o_net; sl_addrack <= sl_addrack_net; sl_rdcomp <= sl_rdcomp_net; sl_rddack <= sl_rddack_net; sl_rddbus <= sl_rddbus_net; sl_wait <= sl_wait_net; sl_wrcomp <= sl_wrdack_x2; sl_wrdack <= sl_wrdack_x1; vblank_o <= vblank_o_net; video_data_o <= video_data_o_net; vsync_o <= vsync_o_net; coef_buffer: block_memory_generator_spartan6_6_2_80846d0865f6122e port map ( addra => addr_net, addrb => addr_x0_net, clka => clk_1_sg_x54, clkb => plb_clk_1_sg_x1, dina => data_in_net, dinb => data_in_x2_net, ena => ce_1_sg_x54, enb => plb_ce_1_sg_x1, wea(0) => we_net, web(0) => we_x0_net, douta => data_out_x1_net, doutb => data_out_x2_net ); coef_gain: entity work.synth_reg_w_init generic map ( width => 20, init_index => 2, init_value => b"11111111111111111111", latency => 1 ) port map ( ce => coef_gain_reg_ce, clk => plb_clk_1_sg_x1, clr => '0', i => data_in_x1_net, o => data_out_net ); coef_gain_ce_and2_comp: entity work.xland2 port map ( a => plb_ce_1_sg_x1, b => en_x0_net, dout => coef_gain_reg_ce ); coef_update: entity work.synth_reg_w_init generic map ( width => 1, init_index => 2, init_value => b"1", latency => 1 ) port map ( ce => coef_update_reg_ce, clk => plb_clk_1_sg_x1, clr => '0', i(0) => data_in_x0_net, o(0) => data_out_x0_net ); coef_update_ce_and2_comp: entity work.xland2 port map ( a => plb_ce_1_sg_x1, b => en_net, dout => coef_update_reg_ce ); default_clock_driver_x0: entity work.default_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet, ce_1 => ce_1_sg_x54, ce_logic_1 => ce_logic_1_sg_x25, clk_1 => clk_1_sg_x54 ); persistentdff_inst: xlpersistentdff port map ( clk => clkNet, d => persistentdff_inst_q, q => persistentdff_inst_q ); plb_clock_driver_x0: entity work.plb_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet_x0, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1 ); sg_2d_fir_x0: entity work.sg_2d_fir port map ( active_video_i => active_video_i_net, ce_1 => ce_1_sg_x54, ce_logic_1 => ce_logic_1_sg_x25, clk_1 => clk_1_sg_x54, data_out => data_out_net, data_out_x0 => data_out_x0_net, data_out_x1 => data_out_x1_net, data_out_x2 => data_out_x2_net, dout => data_out_x0_net, dout_x0 => data_out_net, hblank_i => hblank_i_net, hsync_i => hsync_i_net, plb_abus => plb_abus_net, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1, plb_pavalid => plb_pavalid_net, plb_rnw => plb_rnw_net, plb_wrdbus => plb_wrdbus_net, reset => reset_net, sg_plb_addrpref => sg_plb_addrpref_net, splb_rst => splb_rst_net, vblank_i => vblank_i_net, video_data_i => video_data_i_net, vsync_i => vsync_i_net, active_video_o => active_video_o_net, addr => addr_net, addr_x0 => addr_x0_net, data_in => data_in_net, data_in_x0 => data_in_x0_net, data_in_x1 => data_in_x1_net, data_in_x2 => data_in_x2_net, en => en_net, en_x0 => en_x0_net, hblank_o => hblank_o_net, hsync_o => hsync_o_net, sl_addrack => sl_addrack_net, sl_rdcomp => sl_rdcomp_net, sl_rddack => sl_rddack_net, sl_rddbus => sl_rddbus_net, sl_wait => sl_wait_net, sl_wrcomp => sl_wrdack_x2, sl_wrdack => sl_wrdack_x1, vblank_o => vblank_o_net, video_data_o => video_data_o_net, vsync_o => vsync_o_net, we => we_net, we_x0 => we_x0_net ); end structural;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_2d_fir_plbw_v1_02_b/hdl/vhdl/fir_2d_trn_load.vhd
2
9103
------------------------------------------------------------------------------- -- Company : HSLU -- Engineer : Gai, Waj -- -- Create Date: 26-May-11 -- Project : RT Video Lab 1: Exercise 3 -- Description: 2D 5x5-FIR filter in transposed form with loadable coefficients ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.numeric_std.all; entity fir_2d_trn_load is port (ce_1 : in std_logic; clk_1 : in std_logic; coef : in std_logic_vector (6 downto 0); gain : in std_logic_vector (19 downto 0); line1 : in std_logic_vector (7 downto 0); line2 : in std_logic_vector (7 downto 0); line3 : in std_logic_vector (7 downto 0); line4 : in std_logic_vector (7 downto 0); line5 : in std_logic_vector (7 downto 0); load_1 : in std_logic; load_2 : in std_logic; load_3 : in std_logic; load_4 : in std_logic; load_5 : in std_logic; dout : out std_logic_vector (7 downto 0) ); end fir_2d_trn_load; architecture Behavioral of fir_2d_trn_load is constant len_inData_vec : integer := line1'length * 5; constant len_inLoad_vec : integer := 5; constant number_of_firs : integer := 5; constant DW_OUT_FIR_5x5 : integer := 8; constant binary_Point_Gain : integer := 17; signal fir_data_in : std_logic_vector(len_inData_vec-1 downto 0) := (others => '0'); signal fir_load_in : std_logic_vector(len_inLoad_vec-1 downto 0) := (others => '0'); signal fir_dout : std_logic_vector(number_of_firs*19-1 downto 0) := (others => '0'); signal fir_1_dout : std_logic_vector(18 downto 0) := (others => '0'); signal fir_2_dout : std_logic_vector(18 downto 0) := (others => '0'); signal fir_3_dout : std_logic_vector(18 downto 0) := (others => '0'); signal fir_4_dout : std_logic_vector(18 downto 0) := (others => '0'); signal fir_5_dout : std_logic_vector(18 downto 0) := (others => '0'); signal adder_1_dout : std_logic_vector(19 downto 0) := (others => '0'); signal adder_2_dout : std_logic_vector(19 downto 0) := (others => '0'); signal adder_3_dout : std_logic_vector(20 downto 0) := (others => '0'); signal adder_4_dout : std_logic_vector(21 downto 0) := (others => '0'); signal reg1_dout : std_logic_vector(18 downto 0) := (others => '0'); signal reg2_dout : std_logic_vector(18 downto 0) := (others => '0'); signal reg2_dout_ext : std_logic_vector(20 downto 0) := (others => '0'); signal reg3_dout : std_logic_vector(19 downto 0) := (others => '0'); signal abs_dout : std_logic_vector(21 downto 0) := (others => '0'); signal mult_dout : std_logic_vector(41 downto 0) := (others => '0'); component fir_1d_trn_load is generic( IN_DW : integer; -- Input word width OUT_DW : integer; -- Output word width COEF_DW : integer; -- coefficient word width TAPS : integer; -- # of taps + 1 output register DELAY : integer -- output delay line -- (to adapt latency to system architecture) ); port( ce_1 : in std_logic; -- clock enable clk_1 : in std_logic; -- clock load : in std_logic; -- load coeff pulse coef : in std_logic_vector(COEF_DW-1 downto 0); din : in std_logic_vector(IN_DW-1 downto 0); out_data : out std_logic_vector(OUT_DW-1 downto 0) ); end component; component MULT is generic( DW_IN_1 : integer; DW_IN_2 : integer; DELAY : integer ); port( ce_1 : in std_logic; clk_1 : in std_logic; FACTOR_IN_1 : in std_logic_vector(DW_IN_1-1 downto 0); FACTOR_IN_2 : in std_logic_vector(DW_IN_2-1 downto 0); PRODUCT_OUT : out std_logic_vector((DW_IN_1 + DW_IN_2 - 1) downto 0) ); end component; component ADDER is generic( DW_IN : integer ); port( ce_1 : in std_logic; clk_1 : in std_logic; S_IN_1 : in std_logic_vector(DW_IN-1 downto 0); S_IN_2 : in std_logic_vector(DW_IN-1 downto 0); SUM_OUT : out std_logic_vector(DW_IN downto 0) ); end component; component ABS_VAL is generic( DW : integer ); port( ce_1 : in std_logic; clk_1 : in std_logic; VAL_IN : in std_logic_vector(DW-1 downto 0); VAL_OUT : out std_logic_vector(DW-1 downto 0) ); end component; component Pipeline_Reg is generic( DW_IN : integer ); port( clk_1 : in std_logic; en : in std_logic; D : in std_logic_vector(DW_IN-1 downto 0); Q : out std_logic_vector(DW_IN-1 downto 0) ); end component; component CONVERT is generic( DW_IN : integer; DW_OUT : integer; BIN_PNT : integer ); port( clk_1 : in std_logic; ce_1 : in std_logic; din : in std_logic_vector(DW_IN-1 downto 0); dout : out std_logic_vector(DW_OUT-1 downto 0) ); end component; begin -- Concatenate input signals to enable 1D-FIR instantiation -- line fir_data_in(1*8-1 downto 0) <= line1; fir_data_in(2*8-1 downto 1*7+1) <= line2; fir_data_in(3*8-1 downto 2*7+2) <= line3; fir_data_in(4*8-1 downto 3*7+3) <= line4; fir_data_in(5*8-1 downto 4*7+4) <= line5; -- load fir_load_in(0) <= load_1; fir_load_in(1) <= load_2; fir_load_in(2) <= load_3; fir_load_in(3) <= load_4; fir_load_in(4) <= load_5; -- De-concatenate 1D-FIR outpus fir_1_dout <= fir_dout(1*19-1 downto 0); fir_2_dout <= fir_dout(2*19-1 downto 1*18+1); fir_3_dout <= fir_dout(3*19-1 downto 2*18+2); fir_4_dout <= fir_dout(4*19-1 downto 3*18+3); fir_5_dout <= fir_dout(5*19-1 downto 4*18+4); -- instantiate 5 1D-FIR filter FIR_5x5 : for N in 0 to 4 generate fir_N : fir_1d_trn_load generic map( IN_DW => 8, OUT_DW => 19, COEF_DW => 7, TAPS => 5, DELAY => 8 ) port map( ce_1 => ce_1, clk_1 => clk_1, load => fir_load_in(N), coef => coef, din => fir_data_in((N+1)*8-1 downto N*7+N), out_data => fir_dout((N+1)*19-1 downto N*18+N) ); end generate; -- instantiate adder tree ADDER_1 : ADDER generic map( DW_IN => fir_1_dout'length ) port map( ce_1 => ce_1, clk_1 => clk_1, S_IN_1 => fir_1_dout, S_IN_2 => fir_2_dout, SUM_OUT => adder_1_dout ); ADDER_2 : ADDER generic map( DW_IN => fir_3_dout'length ) port map( ce_1 => ce_1, clk_1 => clk_1, S_IN_1 => fir_3_dout, S_IN_2 => fir_4_dout, SUM_OUT => adder_2_dout ); ADDER_3 : ADDER generic map( DW_IN => adder_1_dout'length ) port map( ce_1 => ce_1, clk_1 => clk_1, S_IN_1 => adder_1_dout, S_IN_2 => adder_2_dout, SUM_OUT => adder_3_dout ); reg2_dout_ext <= "00" & reg2_dout; ADDER_4 : ADDER generic map( DW_IN => adder_3_dout'length ) port map( ce_1 => ce_1, clk_1 => clk_1, S_IN_1 => adder_3_dout, S_IN_2 => reg2_dout_ext, SUM_OUT => adder_4_dout ); REG_1 : Pipeline_Reg generic map( DW_IN => fir_5_dout'length ) port map( clk_1 => clk_1, en => '1', D => fir_5_dout, Q => reg1_dout ); REG_2 : Pipeline_Reg generic map( DW_IN => reg1_dout'length ) port map( clk_1 => clk_1, en => '1', D => reg1_dout, Q => reg2_dout ); -- instantiate components for output scaling REG_GAIN : Pipeline_Reg generic map( DW_IN => gain'length ) port map( clk_1 => clk_1, en => load_5, D => gain, Q => reg3_dout ); ABS_1 : ABS_VAL generic map( DW => adder_4_dout'length ) port map( ce_1 => ce_1, clk_1 => clk_1, VAL_IN => adder_4_dout, VAL_OUT => abs_dout ); MULT_1 : MULT generic map( DW_IN_1 => abs_dout'length, DW_IN_2 => reg3_dout'length, DELAY => 3 ) port map( ce_1 => ce_1, clk_1 => clk_1, FACTOR_IN_1 => abs_dout, FACTOR_IN_2 => reg3_dout, PRODUCT_OUT => mult_dout ); CONV_1 : CONVERT generic map( DW_IN => mult_dout'length, DW_OUT => DW_OUT_FIR_5x5, BIN_PNT => binary_Point_Gain ) port map( clk_1 => clk_1, ce_1 => ce_1, din => mult_dout, dout => dout ); end Behavioral;
gpl-3.0
tghaefli/ADD
ISE/FMC/tb_mcu.vhd
1
804
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity tb_mcu is end tb_mcu; architecture TB of tb_mcu is signal rst : std_logic := '1'; signal clk : std_logic := '0'; signal Switch : std_logic_vector(3 downto 0); signal LED : std_logic_vector(7 downto 0); constant SIM_CF : natural := CF/10; -- 50 MHz/10 for simulation begin -- instantiate MUT MUT : entity work.mcu --generic map(CLK_FRQ => SIM_CF) port map( rst => rst, clk => clk, LED => LED, Switch => Switch ); -- generate reset rst <= '1', '0' after 5us; -- clock generation p_clk: process begin wait for 1 sec / SIM_CF/2; clk <= not clk; end process; end TB;
gpl-3.0
tghaefli/ADD
ISE/FMC/cpu_prc.vhd
3
2652
------------------------------------------------------------------------------- -- Entity: cpu_prc -- Author: Waj ------------------------------------------------------------------------------- -- Description: -- Program Counter unit for the RISC-CPU of the von-Neuman MCU. ------------------------------------------------------------------------------- -- Total # of FFs: 8 + 2 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mcu_pkg.all; entity cpu_prc is port(rst : in std_logic; clk : in std_logic; -- CPU internal interfaces ctr_in : in t_ctr2prc; ctr_out : out t_prc2ctr ); end cpu_prc; architecture rtl of cpu_prc is -- program counter and exception signals signal pc : std_logic_vector(AW-1 downto 0); signal exc : t_addr_exc; begin -- assign outputs ctr_out.pc <= pc; ctr_out.exc <= exc; ----------------------------------------------------------------------------- -- Program Counter ----------------------------------------------------------------------------- P_pc: process(clk, rst) variable v_pc : std_logic_vector(AW-1 downto 0); variable v_addr : std_logic_vector(AW downto 0); begin if rst = '1' then pc <= (others => '0'); exc <= no_err; elsif rising_edge(clk) then if ctr_in.enb = '1' then exc <= no_err; -- default assignment case ctr_in.mode is when linear => -- PC := PC + 1 v_pc := std_logic_vector(unsigned(pc) + 1); if v_pc(AW-1) /= BA(ROM)(AW-1) then -- NOT NICE! Find better solution!!!!!!!!!!!!!!!!!!!!!!! -- PC would leave ROM address space -- do not increment and issue error exc <= lin_err; else pc <= v_pc; end if; when abs_jump => -- PC := addr pc <= ctr_in.addr; when rel_offset => -- PC := PC + addr v_addr := std_logic_vector(unsigned('0' & pc) + unsigned(ctr_in.addr)); pc <= v_addr(AW-1 downto 0); if v_addr(AW) = '1' and ctr_in.addr(AW-1) = '0' then -- overflow with addition of positive relative offset exc <= rel_err; elsif v_addr(AW) = '0' and ctr_in.addr(AW-1) = '1' then -- underflow with addition of negative relative offset exc <= rel_err; end if; when others => null; end case; end if; end if; end process; end rtl;
gpl-3.0
EXEM-OSS/Flamingo2
flamingo2-web/src/main/webapp/resources/build/production/Flamingo2/resources/lib/aceeditor/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
gpl-3.0
Geenie-Lee/flamingo2
flamingo2-web/src/main/webapp/resources/build/production/Flamingo2/resources/lib/aceeditor/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_2d_fir_plbw_v1_01_a/hdl/vhdl/sg_2d_fir_cw.vhd
1
26710
------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on entity xlclockdriver is generic ( period: integer := 2; log_2_period: integer := 0; pipeline_regs: integer := 5; use_bufg: integer := 0 ); port ( sysclk: in std_logic; sysclr: in std_logic; sysce: in std_logic; clk: out std_logic; clr: out std_logic; ce: out std_logic; ce_logic: out std_logic ); end xlclockdriver; architecture behavior of xlclockdriver is component bufg port ( i: in std_logic; o: out std_logic ); end component; component synth_reg_w_init generic ( width: integer; init_index: integer; init_value: bit_vector; latency: integer ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end component; function size_of_uint(inp: integer; power_of_2: boolean) return integer is constant inp_vec: std_logic_vector(31 downto 0) := integer_to_std_logic_vector(inp,32, xlUnsigned); variable result: integer; begin result := 32; for i in 0 to 31 loop if inp_vec(i) = '1' then result := i; end if; end loop; if power_of_2 then return result; else return result+1; end if; end; function is_power_of_2(inp: std_logic_vector) return boolean is constant width: integer := inp'length; variable vec: std_logic_vector(width - 1 downto 0); variable single_bit_set: boolean; variable more_than_one_bit_set: boolean; variable result: boolean; begin vec := inp; single_bit_set := false; more_than_one_bit_set := false; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if width > 0 then for i in 0 to width - 1 loop if vec(i) = '1' then if single_bit_set then more_than_one_bit_set := true; end if; single_bit_set := true; end if; end loop; end if; if (single_bit_set and not(more_than_one_bit_set)) then result := true; else result := false; end if; return result; end; function ce_reg_init_val(index, period : integer) return integer is variable result: integer; begin result := 0; if ((index mod period) = 0) then result := 1; end if; return result; end; function remaining_pipe_regs(num_pipeline_regs, period : integer) return integer is variable factor, result: integer; begin factor := (num_pipeline_regs / period); result := num_pipeline_regs - (period * factor) + 1; return result; end; function sg_min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; constant max_pipeline_regs : integer := 8; constant pipe_regs : integer := 5; constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs); constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period); constant period_floor: integer := max(2, period); constant power_of_2_counter: boolean := is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned)); constant cnt_width: integer := size_of_uint(period_floor, power_of_2_counter); constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned); signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0'); signal ce_vec : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT : string; attribute MAX_FANOUT of ce_vec:signal is "REDUCE"; signal ce_vec_logic : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT of ce_vec_logic:signal is "REDUCE"; signal internal_ce: std_logic_vector(0 downto 0); signal internal_ce_logic: std_logic_vector(0 downto 0); signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0); begin clk <= sysclk; clr <= sysclr; cntr_gen: process(sysclk) begin if sysclk'event and sysclk = '1' then if (sysce = '1') then if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then clk_num <= (others => '0'); else clk_num <= clk_num + 1; end if; end if; end if; end process; clr_gen: process(clk_num, sysclr) begin if power_of_2_counter then cnt_clr(0) <= sysclr; else if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1 or sysclr = '1') then cnt_clr(0) <= '1'; else cnt_clr(0) <= '0'; end if; end if; end process; clr_reg: synth_reg_w_init generic map ( width => 1, init_index => 0, init_value => b"0000", latency => 1 ) port map ( i => cnt_clr, ce => sysce, clr => sysclr, clk => sysclk, o => cnt_clr_dly ); pipelined_ce : if period > 1 generate ce_gen: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec(num_pipeline_regs) <= '1'; else ce_vec(num_pipeline_regs) <= '0'; end if; end process; ce_pipeline: for index in num_pipeline_regs downto 1 generate ce_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec(index-1 downto index-1) ); end generate; internal_ce <= ce_vec(0 downto 0); end generate; pipelined_ce_logic: if period > 1 generate ce_gen_logic: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec_logic(num_pipeline_regs) <= '1'; else ce_vec_logic(num_pipeline_regs) <= '0'; end if; end process; ce_logic_pipeline: for index in num_pipeline_regs downto 1 generate ce_logic_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec_logic(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec_logic(index-1 downto index-1) ); end generate; internal_ce_logic <= ce_vec_logic(0 downto 0); end generate; use_bufg_true: if period > 1 and use_bufg = 1 generate ce_bufg_inst: bufg port map ( i => internal_ce(0), o => ce ); ce_bufg_inst_logic: bufg port map ( i => internal_ce_logic(0), o => ce_logic ); end generate; use_bufg_false: if period > 1 and (use_bufg = 0) generate ce <= internal_ce(0); ce_logic <= internal_ce_logic(0); end generate; generate_system_clk: if period = 1 generate ce <= sysce; ce_logic <= sysce; end generate; end architecture behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity xland2 is port ( a : in std_logic; b : in std_logic; dout : out std_logic ); end xland2; architecture behavior of xland2 is begin dout <= a and b; end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity default_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; ce_1: out std_logic; ce_logic_1: out std_logic; clk_1: out std_logic ); end default_clock_driver; architecture structural of default_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_ce_logic: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; ce_1 <= xlclockdriver_1_ce; ce_logic_1 <= xlclockdriver_1_ce_logic; clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, ce_logic => xlclockdriver_1_ce_logic, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity plb_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; plb_ce_1: out std_logic; plb_clk_1: out std_logic ); end plb_clock_driver; architecture structural of plb_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; plb_ce_1 <= xlclockdriver_1_ce; plb_clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity sg_2d_fir_cw is port ( active_video_i: in std_logic; ce: in std_logic := '1'; clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(31 downto 0); plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); reset: in std_logic; sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(23 downto 0); vsync_i: in std_logic; xps_ce: in std_logic := '1'; xps_clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(31 downto 0); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(23 downto 0); vsync_o: out std_logic ); end sg_2d_fir_cw; architecture structural of sg_2d_fir_cw is component block_memory_generator_spartan6_6_2_80846d0865f6122e port ( addra: in std_logic_vector(4 downto 0); addrb: in std_logic_vector(4 downto 0); clka: in std_logic; clkb: in std_logic; dina: in std_logic_vector(6 downto 0); dinb: in std_logic_vector(6 downto 0); ena: in std_logic; enb: in std_logic; wea: in std_logic_vector(0 downto 0); web: in std_logic_vector(0 downto 0); douta: out std_logic_vector(6 downto 0); doutb: out std_logic_vector(6 downto 0) ); end component; attribute syn_black_box: boolean; attribute syn_black_box of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; attribute box_type: string; attribute box_type of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is "black_box"; attribute syn_noprune: boolean; attribute optimize_primitives: boolean; attribute dont_touch: boolean; attribute syn_noprune of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; attribute optimize_primitives of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is false; attribute dont_touch of block_memory_generator_spartan6_6_2_80846d0865f6122e: component is true; component xlpersistentdff port ( clk: in std_logic; d: in std_logic; q: out std_logic ); end component; attribute syn_black_box of xlpersistentdff: component is true; attribute box_type of xlpersistentdff: component is "black_box"; attribute syn_noprune of xlpersistentdff: component is true; attribute optimize_primitives of xlpersistentdff: component is false; attribute dont_touch of xlpersistentdff: component is true; signal active_video_i_net: std_logic; signal active_video_o_net: std_logic; signal addr_net: std_logic_vector(4 downto 0); signal addr_x0_net: std_logic_vector(4 downto 0); signal ce_1_sg_x51: std_logic; attribute MAX_FANOUT: string; attribute MAX_FANOUT of ce_1_sg_x51: signal is "REDUCE"; signal ce_logic_1_sg_x22: std_logic; signal clkNet: std_logic; signal clkNet_x0: std_logic; signal clk_1_sg_x51: std_logic; signal coef_gain_reg_ce: std_logic; signal coef_update_reg_ce: std_logic; signal data_in_net: std_logic_vector(6 downto 0); signal data_in_x0_net: std_logic_vector(6 downto 0); signal data_in_x1_net: std_logic; signal data_in_x2_net: std_logic_vector(19 downto 0); signal data_out_net: std_logic_vector(19 downto 0); signal data_out_x0_net: std_logic; signal data_out_x1_net: std_logic_vector(6 downto 0); signal data_out_x2_net: std_logic_vector(6 downto 0); signal en_net: std_logic; signal en_x0_net: std_logic; signal hblank_i_net: std_logic; signal hblank_o_net: std_logic; signal hsync_i_net: std_logic; signal hsync_o_net: std_logic; signal persistentdff_inst_q: std_logic; attribute syn_keep: boolean; attribute syn_keep of persistentdff_inst_q: signal is true; attribute keep: boolean; attribute keep of persistentdff_inst_q: signal is true; attribute preserve_signal: boolean; attribute preserve_signal of persistentdff_inst_q: signal is true; signal plb_abus_net: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x1: std_logic; attribute MAX_FANOUT of plb_ce_1_sg_x1: signal is "REDUCE"; signal plb_clk_1_sg_x1: std_logic; signal plb_pavalid_net: std_logic; signal plb_rnw_net: std_logic; signal plb_wrdbus_net: std_logic_vector(31 downto 0); signal reset_net: std_logic; signal sg_plb_addrpref_net: std_logic_vector(19 downto 0); signal sl_addrack_net: std_logic; signal sl_rdcomp_net: std_logic; signal sl_rddack_net: std_logic; signal sl_rddbus_net: std_logic_vector(31 downto 0); signal sl_wait_net: std_logic; signal sl_wrdack_x1: std_logic; signal sl_wrdack_x2: std_logic; signal splb_rst_net: std_logic; signal vblank_i_net: std_logic; signal vblank_o_net: std_logic; signal video_data_i_net: std_logic_vector(23 downto 0); signal video_data_o_net: std_logic_vector(23 downto 0); signal vsync_i_net: std_logic; signal vsync_o_net: std_logic; signal we_net: std_logic; signal we_x0_net: std_logic; begin active_video_i_net <= active_video_i; clkNet <= clk; hblank_i_net <= hblank_i; hsync_i_net <= hsync_i; plb_abus_net <= plb_abus; plb_pavalid_net <= plb_pavalid; plb_rnw_net <= plb_rnw; plb_wrdbus_net <= plb_wrdbus; reset_net <= reset; sg_plb_addrpref_net <= sg_plb_addrpref; splb_rst_net <= splb_rst; vblank_i_net <= vblank_i; video_data_i_net <= video_data_i; vsync_i_net <= vsync_i; clkNet_x0 <= xps_clk; active_video_o <= active_video_o_net; hblank_o <= hblank_o_net; hsync_o <= hsync_o_net; sl_addrack <= sl_addrack_net; sl_rdcomp <= sl_rdcomp_net; sl_rddack <= sl_rddack_net; sl_rddbus <= sl_rddbus_net; sl_wait <= sl_wait_net; sl_wrcomp <= sl_wrdack_x1; sl_wrdack <= sl_wrdack_x2; vblank_o <= vblank_o_net; video_data_o <= video_data_o_net; vsync_o <= vsync_o_net; coef_buffer: block_memory_generator_spartan6_6_2_80846d0865f6122e port map ( addra => addr_net, addrb => addr_x0_net, clka => clk_1_sg_x51, clkb => plb_clk_1_sg_x1, dina => data_in_net, dinb => data_in_x0_net, ena => ce_1_sg_x51, enb => plb_ce_1_sg_x1, wea(0) => we_net, web(0) => we_x0_net, douta => data_out_x1_net, doutb => data_out_x2_net ); coef_gain: entity work.synth_reg_w_init generic map ( width => 20, init_index => 2, init_value => b"11111111111111111111", latency => 1 ) port map ( ce => coef_gain_reg_ce, clk => plb_clk_1_sg_x1, clr => '0', i => data_in_x2_net, o => data_out_net ); coef_gain_ce_and2_comp: entity work.xland2 port map ( a => plb_ce_1_sg_x1, b => en_x0_net, dout => coef_gain_reg_ce ); coef_update: entity work.synth_reg_w_init generic map ( width => 1, init_index => 2, init_value => b"1", latency => 1 ) port map ( ce => coef_update_reg_ce, clk => plb_clk_1_sg_x1, clr => '0', i(0) => data_in_x1_net, o(0) => data_out_x0_net ); coef_update_ce_and2_comp: entity work.xland2 port map ( a => plb_ce_1_sg_x1, b => en_net, dout => coef_update_reg_ce ); default_clock_driver_x0: entity work.default_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet, ce_1 => ce_1_sg_x51, ce_logic_1 => ce_logic_1_sg_x22, clk_1 => clk_1_sg_x51 ); persistentdff_inst: xlpersistentdff port map ( clk => clkNet, d => persistentdff_inst_q, q => persistentdff_inst_q ); plb_clock_driver_x0: entity work.plb_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet_x0, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1 ); sg_2d_fir_x0: entity work.sg_2d_fir port map ( active_video_i => active_video_i_net, ce_1 => ce_1_sg_x51, ce_logic_1 => ce_logic_1_sg_x22, clk_1 => clk_1_sg_x51, data_out => data_out_net, data_out_x0 => data_out_x0_net, data_out_x1 => data_out_x1_net, data_out_x2 => data_out_x2_net, dout => data_out_x0_net, dout_x0 => data_out_net, hblank_i => hblank_i_net, hsync_i => hsync_i_net, plb_abus => plb_abus_net, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1, plb_pavalid => plb_pavalid_net, plb_rnw => plb_rnw_net, plb_wrdbus => plb_wrdbus_net, reset => reset_net, sg_plb_addrpref => sg_plb_addrpref_net, splb_rst => splb_rst_net, vblank_i => vblank_i_net, video_data_i => video_data_i_net, vsync_i => vsync_i_net, active_video_o => active_video_o_net, addr => addr_net, addr_x0 => addr_x0_net, data_in => data_in_net, data_in_x0 => data_in_x0_net, data_in_x1 => data_in_x1_net, data_in_x2 => data_in_x2_net, en => en_net, en_x0 => en_x0_net, hblank_o => hblank_o_net, hsync_o => hsync_o_net, sl_addrack => sl_addrack_net, sl_rdcomp => sl_rdcomp_net, sl_rddack => sl_rddack_net, sl_rddbus => sl_rddbus_net, sl_wait => sl_wait_net, sl_wrcomp => sl_wrdack_x1, sl_wrdack => sl_wrdack_x2, vblank_o => vblank_o_net, video_data_o => video_data_o_net, vsync_o => vsync_o_net, we => we_net, we_x0 => we_x0_net ); end structural;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_2d_fir_plbw_v1_02_b/hdl/vhdl/block_memory_generator_spartan6_6_2_80846d0865f6122e.vhd
3
6752
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2012 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file block_memory_generator_spartan6_6_2_80846d0865f6122e.vhd when simulating -- the core, block_memory_generator_spartan6_6_2_80846d0865f6122e. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY block_memory_generator_spartan6_6_2_80846d0865f6122e IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(6 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(6 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END block_memory_generator_spartan6_6_2_80846d0865f6122e; ARCHITECTURE block_memory_generator_spartan6_6_2_80846d0865f6122e_a OF block_memory_generator_spartan6_6_2_80846d0865f6122e IS -- synthesis translate_off COMPONENT wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(6 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(6 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e USE ENTITY XilinxCoreLib.blk_mem_gen_v6_2(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 1, c_has_enb => 1, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "block_memory_generator_spartan6_6_2_80846d0865f6122e.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 7, c_read_width_b => 7, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "READ_FIRST", c_write_width_a => 7, c_write_width_b => 7, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e PORT MAP ( clka => clka, ena => ena, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, enb => enb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END block_memory_generator_spartan6_6_2_80846d0865f6122e_a;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_2d_fir_plbw_v1_02_a/hdl/vhdl/block_memory_generator_spartan6_6_2_80846d0865f6122e.vhd
3
6752
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2012 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file block_memory_generator_spartan6_6_2_80846d0865f6122e.vhd when simulating -- the core, block_memory_generator_spartan6_6_2_80846d0865f6122e. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY block_memory_generator_spartan6_6_2_80846d0865f6122e IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(6 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(6 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END block_memory_generator_spartan6_6_2_80846d0865f6122e; ARCHITECTURE block_memory_generator_spartan6_6_2_80846d0865f6122e_a OF block_memory_generator_spartan6_6_2_80846d0865f6122e IS -- synthesis translate_off COMPONENT wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(6 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(6 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e USE ENTITY XilinxCoreLib.blk_mem_gen_v6_2(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 1, c_has_enb => 1, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "block_memory_generator_spartan6_6_2_80846d0865f6122e.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 7, c_read_width_b => 7, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "READ_FIRST", c_write_width_a => 7, c_write_width_b => 7, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_block_memory_generator_spartan6_6_2_80846d0865f6122e PORT MAP ( clka => clka, ena => ena, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, enb => enb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END block_memory_generator_spartan6_6_2_80846d0865f6122e_a;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_xsvi_fanout_plbw_v1_01_a/hdl/vhdl/sg_xsvi_fanout.vhd
1
102280
------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package conv_pkg is constant simulating : boolean := false -- synopsys translate_off or true -- synopsys translate_on ; constant xlUnsigned : integer := 1; constant xlSigned : integer := 2; constant xlFloat : integer := 3; constant xlWrap : integer := 1; constant xlSaturate : integer := 2; constant xlTruncate : integer := 1; constant xlRound : integer := 2; constant xlRoundBanker : integer := 3; constant xlAddMode : integer := 1; constant xlSubMode : integer := 2; attribute black_box : boolean; attribute syn_black_box : boolean; attribute fpga_dont_touch: string; attribute box_type : string; attribute keep : string; attribute syn_keep : boolean; function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned; function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector; function std_logic_vector_to_signed(inp : std_logic_vector) return signed; function signed_to_std_logic_vector(inp : signed) return std_logic_vector; function unsigned_to_signed(inp : unsigned) return signed; function signed_to_unsigned(inp : signed) return unsigned; function pos(inp : std_logic_vector; arith : INTEGER) return boolean; function all_same(inp: std_logic_vector) return boolean; function all_zeros(inp: std_logic_vector) return boolean; function is_point_five(inp: std_logic_vector) return boolean; function all_ones(inp: std_logic_vector) return boolean; function convert_type (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith, quantization, overflow : INTEGER) return std_logic_vector; function cast (inp : std_logic_vector; old_bin_pt, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function shift_division_result(quotient, fraction: std_logic_vector; fraction_width, shift_value, shift_dir: INTEGER) return std_logic_vector; function shift_op (inp: std_logic_vector; result_width, shift_value, shift_dir: INTEGER) return std_logic_vector; function vec_slice (inp : std_logic_vector; upper, lower : INTEGER) return std_logic_vector; function s2u_slice (inp : signed; upper, lower : INTEGER) return unsigned; function u2u_slice (inp : unsigned; upper, lower : INTEGER) return unsigned; function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed; function u2s_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed; function s2u_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned; function u2u_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned; function u2v_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector; function s2v_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector; function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function max_signed(width : INTEGER) return std_logic_vector; function min_signed(width : INTEGER) return std_logic_vector; function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector; function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER; function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER) return INTEGER; function sign_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector; function zero_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector; function zero_ext(inp : std_logic; new_width : INTEGER) return std_logic_vector; function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER) return std_logic_vector; function align_input(inp : std_logic_vector; old_width, delta, new_arith, new_width: INTEGER) return std_logic_vector; function pad_LSB(inp : std_logic_vector; new_width: integer) return std_logic_vector; function pad_LSB(inp : std_logic_vector; new_width, arith : integer) return std_logic_vector; function max(L, R: INTEGER) return INTEGER; function min(L, R: INTEGER) return INTEGER; function "="(left,right: STRING) return boolean; function boolean_to_signed (inp : boolean; width: integer) return signed; function boolean_to_unsigned (inp : boolean; width: integer) return unsigned; function boolean_to_vector (inp : boolean) return std_logic_vector; function std_logic_to_vector (inp : std_logic) return std_logic_vector; function integer_to_std_logic_vector (inp : integer; width, arith : integer) return std_logic_vector; function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer) return integer; function std_logic_to_integer(constant inp : std_logic := '0') return integer; function bin_string_element_to_std_logic_vector (inp : string; width, index : integer) return std_logic_vector; function bin_string_to_std_logic_vector (inp : string) return std_logic_vector; function hex_string_to_std_logic_vector (inp : string; width : integer) return std_logic_vector; function makeZeroBinStr (width : integer) return STRING; function and_reduce(inp: std_logic_vector) return std_logic; -- synopsys translate_off function is_binary_string_invalid (inp : string) return boolean; function is_binary_string_undefined (inp : string) return boolean; function is_XorU(inp : std_logic_vector) return boolean; function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer) return real; function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer) return real; function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer) return std_logic_vector; function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer) return std_logic_vector; constant display_precision : integer := 20; function real_to_string (inp : real) return string; function valid_bin_string(inp : string) return boolean; function std_logic_vector_to_bin_string(inp : std_logic_vector) return string; function std_logic_to_bin_string(inp : std_logic) return string; function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer) return string; function real_to_bin_string(inp : real; width, bin_pt, arith : integer) return string; type stdlogic_to_char_t is array(std_logic) of character; constant to_char : stdlogic_to_char_t := ( 'U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-'); -- synopsys translate_on end conv_pkg; package body conv_pkg is function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned is begin return unsigned (inp); end; function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector is begin return std_logic_vector(inp); end; function std_logic_vector_to_signed(inp : std_logic_vector) return signed is begin return signed (inp); end; function signed_to_std_logic_vector(inp : signed) return std_logic_vector is begin return std_logic_vector(inp); end; function unsigned_to_signed (inp : unsigned) return signed is begin return signed(std_logic_vector(inp)); end; function signed_to_unsigned (inp : signed) return unsigned is begin return unsigned(std_logic_vector(inp)); end; function pos(inp : std_logic_vector; arith : INTEGER) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; if arith = xlUnsigned then return true; else if vec(width-1) = '0' then return true; else return false; end if; end if; return true; end; function max_signed(width : INTEGER) return std_logic_vector is variable ones : std_logic_vector(width-2 downto 0); variable result : std_logic_vector(width-1 downto 0); begin ones := (others => '1'); result(width-1) := '0'; result(width-2 downto 0) := ones; return result; end; function min_signed(width : INTEGER) return std_logic_vector is variable zeros : std_logic_vector(width-2 downto 0); variable result : std_logic_vector(width-1 downto 0); begin zeros := (others => '0'); result(width-1) := '1'; result(width-2 downto 0) := zeros; return result; end; function and_reduce(inp: std_logic_vector) return std_logic is variable result: std_logic; constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; result := vec(0); if width > 1 then for i in 1 to width-1 loop result := result and vec(i); end loop; end if; return result; end; function all_same(inp: std_logic_vector) return boolean is variable result: boolean; constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); begin vec := inp; result := true; if width > 0 then for i in 1 to width-1 loop if vec(i) /= vec(0) then result := false; end if; end loop; end if; return result; end; function all_zeros(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable zero : std_logic_vector(width-1 downto 0); variable result : boolean; begin zero := (others => '0'); vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then result := true; else result := false; end if; return result; end; function is_point_five(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable result : boolean; begin vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (width > 1) then if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then result := true; else result := false; end if; else if (vec(width-1) = '1') then result := true; else result := false; end if; end if; return result; end; function all_ones(inp: std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable one : std_logic_vector(width-1 downto 0); variable result : boolean; begin one := (others => '1'); vec := inp; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then result := true; else result := false; end if; return result; end; function full_precision_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return integer is variable result : integer; begin result := old_width + 2; return result; end; function quantized_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return integer is variable right_of_dp, left_of_dp, result : integer; begin right_of_dp := max(new_bin_pt, old_bin_pt); left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt)); result := (old_width + 2) + (new_bin_pt - old_bin_pt); return result; end; function convert_type (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith, quantization, overflow : INTEGER) return std_logic_vector is constant fp_width : integer := full_precision_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith); constant fp_bin_pt : integer := old_bin_pt; constant fp_arith : integer := old_arith; variable full_precision_result : std_logic_vector(fp_width-1 downto 0); constant q_width : integer := quantized_num_width(quantization, overflow, old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith); constant q_bin_pt : integer := new_bin_pt; constant q_arith : integer := old_arith; variable quantized_result : std_logic_vector(q_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin result := (others => '0'); full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt, fp_arith); if (quantization = xlRound) then quantized_result := round_towards_inf(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); elsif (quantization = xlRoundBanker) then quantized_result := round_towards_even(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); else quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt, fp_arith, q_width, q_bin_pt, q_arith); end if; if (overflow = xlSaturate) then result := saturation_arith(quantized_result, q_width, q_bin_pt, q_arith, new_width, new_bin_pt, new_arith); else result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith, new_width, new_bin_pt, new_arith); end if; return result; end; function cast (inp : std_logic_vector; old_bin_pt, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; constant left_of_dp : integer := (new_width - new_bin_pt) - (old_width - old_bin_pt); constant right_of_dp : integer := (new_bin_pt - old_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable j : integer; begin vec := inp; for i in new_width-1 downto 0 loop j := i - right_of_dp; if ( j > old_width-1) then if (new_arith = xlUnsigned) then result(i) := '0'; else result(i) := vec(old_width-1); end if; elsif ( j >= 0) then result(i) := vec(j); else result(i) := '0'; end if; end loop; return result; end; function shift_division_result(quotient, fraction: std_logic_vector; fraction_width, shift_value, shift_dir: INTEGER) return std_logic_vector is constant q_width : integer := quotient'length; constant f_width : integer := fraction'length; constant vec_MSB : integer := q_width+f_width-1; constant result_MSB : integer := q_width+fraction_width-1; constant result_LSB : integer := vec_MSB-result_MSB; variable vec : std_logic_vector(vec_MSB downto 0); variable result : std_logic_vector(result_MSB downto 0); begin vec := ( quotient & fraction ); if shift_dir = 1 then for i in vec_MSB downto 0 loop if (i < shift_value) then vec(i) := '0'; else vec(i) := vec(i-shift_value); end if; end loop; else for i in 0 to vec_MSB loop if (i > vec_MSB-shift_value) then vec(i) := vec(vec_MSB); else vec(i) := vec(i+shift_value); end if; end loop; end if; result := vec(vec_MSB downto result_LSB); return result; end; function shift_op (inp: std_logic_vector; result_width, shift_value, shift_dir: INTEGER) return std_logic_vector is constant inp_width : integer := inp'length; constant vec_MSB : integer := inp_width-1; constant result_MSB : integer := result_width-1; constant result_LSB : integer := vec_MSB-result_MSB; variable vec : std_logic_vector(vec_MSB downto 0); variable result : std_logic_vector(result_MSB downto 0); begin vec := inp; if shift_dir = 1 then for i in vec_MSB downto 0 loop if (i < shift_value) then vec(i) := '0'; else vec(i) := vec(i-shift_value); end if; end loop; else for i in 0 to vec_MSB loop if (i > vec_MSB-shift_value) then vec(i) := vec(vec_MSB); else vec(i) := vec(i+shift_value); end if; end loop; end if; result := vec(vec_MSB downto result_LSB); return result; end; function vec_slice (inp : std_logic_vector; upper, lower : INTEGER) return std_logic_vector is begin return inp(upper downto lower); end; function s2u_slice (inp : signed; upper, lower : INTEGER) return unsigned is begin return unsigned(vec_slice(std_logic_vector(inp), upper, lower)); end; function u2u_slice (inp : unsigned; upper, lower : INTEGER) return unsigned is begin return unsigned(vec_slice(std_logic_vector(inp), upper, lower)); end; function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed is begin return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned)); end; function s2u_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned is begin return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned)); end; function u2s_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return signed is begin return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned)); end; function u2u_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return unsigned is begin return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned)); end; function u2v_cast (inp : unsigned; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector is begin return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned); end; function s2v_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER) return std_logic_vector is begin return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned); end; function boolean_to_signed (inp : boolean; width : integer) return signed is variable result : signed(width - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function boolean_to_unsigned (inp : boolean; width : integer) return unsigned is variable result : unsigned(width - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function boolean_to_vector (inp : boolean) return std_logic_vector is variable result : std_logic_vector(1 - 1 downto 0); begin result := (others => '0'); if inp then result(0) := '1'; else result(0) := '0'; end if; return result; end; function std_logic_to_vector (inp : std_logic) return std_logic_vector is variable result : std_logic_vector(1 - 1 downto 0); begin result(0) := inp; return result; end; function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then result := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else result := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then result := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else result := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; return result; end; function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); constant expected_new_width : integer := old_width - right_of_dp + 1; variable vec : std_logic_vector(old_width-1 downto 0); variable one_or_zero : std_logic_vector(new_width-1 downto 0); variable truncated_val : std_logic_vector(new_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then truncated_val := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else truncated_val := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then truncated_val := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else truncated_val := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; one_or_zero := (others => '0'); if (new_arith = xlSigned) then if (vec(old_width-1) = '0') then one_or_zero(0) := '1'; end if; if (right_of_dp >= 2) and (right_of_dp <= old_width) then if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then one_or_zero(0) := '1'; end if; end if; if (right_of_dp >= 1) and (right_of_dp <= old_width) then if vec(right_of_dp-1) = '0' then one_or_zero(0) := '0'; end if; else one_or_zero(0) := '0'; end if; else if (right_of_dp >= 1) and (right_of_dp <= old_width) then one_or_zero(0) := vec(right_of_dp-1); end if; end if; if new_arith = xlSigned then result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) + std_logic_vector_to_signed(one_or_zero)); else result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) + std_logic_vector_to_unsigned(one_or_zero)); end if; return result; end; function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant right_of_dp : integer := (old_bin_pt - new_bin_pt); constant expected_new_width : integer := old_width - right_of_dp + 1; variable vec : std_logic_vector(old_width-1 downto 0); variable one_or_zero : std_logic_vector(new_width-1 downto 0); variable truncated_val : std_logic_vector(new_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if right_of_dp >= 0 then if new_arith = xlUnsigned then truncated_val := zero_ext(vec(old_width-1 downto right_of_dp), new_width); else truncated_val := sign_ext(vec(old_width-1 downto right_of_dp), new_width); end if; else if new_arith = xlUnsigned then truncated_val := zero_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); else truncated_val := sign_ext(pad_LSB(vec, old_width + abs(right_of_dp)), new_width); end if; end if; one_or_zero := (others => '0'); if (right_of_dp >= 1) and (right_of_dp <= old_width) then if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then one_or_zero(0) := vec(right_of_dp-1); else one_or_zero(0) := vec(right_of_dp); end if; end if; if new_arith = xlSigned then result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) + std_logic_vector_to_signed(one_or_zero)); else result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) + std_logic_vector_to_unsigned(one_or_zero)); end if; return result; end; function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is constant left_of_dp : integer := (old_width - old_bin_pt) - (new_width - new_bin_pt); variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable overflow : boolean; begin vec := inp; overflow := true; result := (others => '0'); if (new_width >= old_width) then overflow := false; end if; if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then if all_same(vec(old_width-1 downto new_width-1)) then overflow := false; end if; end if; if (old_arith = xlSigned and new_arith = xlUnsigned) then if (old_width > new_width) then if all_zeros(vec(old_width-1 downto new_width)) then overflow := false; end if; else if (old_width = new_width) then if (vec(new_width-1) = '0') then overflow := false; end if; end if; end if; end if; if (old_arith = xlUnsigned and new_arith = xlUnsigned) then if (old_width > new_width) then if all_zeros(vec(old_width-1 downto new_width)) then overflow := false; end if; else if (old_width = new_width) then overflow := false; end if; end if; end if; if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then if all_same(vec(old_width-1 downto new_width-1)) then overflow := false; end if; end if; if overflow then if new_arith = xlSigned then if vec(old_width-1) = '0' then result := max_signed(new_width); else result := min_signed(new_width); end if; else if ((old_arith = xlSigned) and vec(old_width-1) = '1') then result := (others => '0'); else result := (others => '1'); end if; end if; else if (old_arith = xlSigned) and (new_arith = xlUnsigned) then if (vec(old_width-1) = '1') then vec := (others => '0'); end if; end if; if new_width <= old_width then result := vec(new_width-1 downto 0); else if new_arith = xlUnsigned then result := zero_ext(vec, new_width); else result := sign_ext(vec, new_width); end if; end if; end if; return result; end; function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt, old_arith, new_width, new_bin_pt, new_arith : INTEGER) return std_logic_vector is variable result : std_logic_vector(new_width-1 downto 0); variable result_arith : integer; begin if (old_arith = xlSigned) and (new_arith = xlUnsigned) then result_arith := xlSigned; end if; result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith); return result; end; function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is begin return max(a_bin_pt, b_bin_pt); end; function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER) return INTEGER is begin return max(a_width - a_bin_pt, b_width - b_bin_pt); end; function pad_LSB(inp : std_logic_vector; new_width: integer) return STD_LOGIC_VECTOR is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable pos : integer; constant pad_pos : integer := new_width - orig_width - 1; begin vec := inp; pos := new_width-1; if (new_width >= orig_width) then for i in orig_width-1 downto 0 loop result(pos) := vec(i); pos := pos - 1; end loop; if pad_pos >= 0 then for i in pad_pos downto 0 loop result(i) := '0'; end loop; end if; end if; return result; end; function sign_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if new_width >= old_width then result(old_width-1 downto 0) := vec; if new_width-1 >= old_width then for i in new_width-1 downto old_width loop result(i) := vec(old_width-1); end loop; end if; else result(new_width-1 downto 0) := vec(new_width-1 downto 0); end if; return result; end; function zero_ext(inp : std_logic_vector; new_width : INTEGER) return std_logic_vector is constant old_width : integer := inp'length; variable vec : std_logic_vector(old_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if new_width >= old_width then result(old_width-1 downto 0) := vec; if new_width-1 >= old_width then for i in new_width-1 downto old_width loop result(i) := '0'; end loop; end if; else result(new_width-1 downto 0) := vec(new_width-1 downto 0); end if; return result; end; function zero_ext(inp : std_logic; new_width : INTEGER) return std_logic_vector is variable result : std_logic_vector(new_width-1 downto 0); begin result(0) := inp; for i in new_width-1 downto 1 loop result(i) := '0'; end loop; return result; end; function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER) return std_logic_vector is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if arith = xlUnsigned then result := zero_ext(vec, new_width); else result := sign_ext(vec, new_width); end if; return result; end; function pad_LSB(inp : std_logic_vector; new_width, arith: integer) return STD_LOGIC_VECTOR is constant orig_width : integer := inp'length; variable vec : std_logic_vector(orig_width-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); variable pos : integer; begin vec := inp; pos := new_width-1; if (arith = xlUnsigned) then result(pos) := '0'; pos := pos - 1; else result(pos) := vec(orig_width-1); pos := pos - 1; end if; if (new_width >= orig_width) then for i in orig_width-1 downto 0 loop result(pos) := vec(i); pos := pos - 1; end loop; if pos >= 0 then for i in pos downto 0 loop result(i) := '0'; end loop; end if; end if; return result; end; function align_input(inp : std_logic_vector; old_width, delta, new_arith, new_width: INTEGER) return std_logic_vector is variable vec : std_logic_vector(old_width-1 downto 0); variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0); variable result : std_logic_vector(new_width-1 downto 0); begin vec := inp; if delta > 0 then padded_inp := pad_LSB(vec, old_width+delta); result := extend_MSB(padded_inp, new_width, new_arith); else result := extend_MSB(vec, new_width, new_arith); end if; return result; end; function max(L, R: INTEGER) return INTEGER is begin if L > R then return L; else return R; end if; end; function min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; function "="(left,right: STRING) return boolean is begin if (left'length /= right'length) then return false; else test : for i in 1 to left'length loop if left(i) /= right(i) then return false; end if; end loop test; return true; end if; end; -- synopsys translate_off function is_binary_string_invalid (inp : string) return boolean is variable vec : string(1 to inp'length); variable result : boolean; begin vec := inp; result := false; for i in 1 to vec'length loop if ( vec(i) = 'X' ) then result := true; end if; end loop; return result; end; function is_binary_string_undefined (inp : string) return boolean is variable vec : string(1 to inp'length); variable result : boolean; begin vec := inp; result := false; for i in 1 to vec'length loop if ( vec(i) = 'U' ) then result := true; end if; end loop; return result; end; function is_XorU(inp : std_logic_vector) return boolean is constant width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable result : boolean; begin vec := inp; result := false; for i in 0 to width-1 loop if (vec(i) = 'U') or (vec(i) = 'X') then result := true; end if; end loop; return result; end; function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer) return real is variable vec : std_logic_vector(inp'length-1 downto 0); variable result, shift_val, undefined_real : real; variable neg_num : boolean; begin vec := inp; result := 0.0; neg_num := false; if vec(inp'length-1) = '1' then neg_num := true; end if; for i in 0 to inp'length-1 loop if vec(i) = 'U' or vec(i) = 'X' then return undefined_real; end if; if arith = xlSigned then if neg_num then if vec(i) = '0' then result := result + 2.0**i; end if; else if vec(i) = '1' then result := result + 2.0**i; end if; end if; else if vec(i) = '1' then result := result + 2.0**i; end if; end if; end loop; if arith = xlSigned then if neg_num then result := result + 1.0; result := result * (-1.0); end if; end if; shift_val := 2.0**(-1*bin_pt); result := result * shift_val; return result; end; function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer) return real is variable result : real := 0.0; begin if inp = '1' then result := 1.0; end if; if arith = xlSigned then assert false report "It doesn't make sense to convert a 1 bit number to a signed real."; end if; return result; end; -- synopsys translate_on function integer_to_std_logic_vector (inp : integer; width, arith : integer) return std_logic_vector is variable result : std_logic_vector(width-1 downto 0); variable unsigned_val : unsigned(width-1 downto 0); variable signed_val : signed(width-1 downto 0); begin if (arith = xlSigned) then signed_val := to_signed(inp, width); result := signed_to_std_logic_vector(signed_val); else unsigned_val := to_unsigned(inp, width); result := unsigned_to_std_logic_vector(unsigned_val); end if; return result; end; function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer) return integer is constant width : integer := inp'length; variable unsigned_val : unsigned(width-1 downto 0); variable signed_val : signed(width-1 downto 0); variable result : integer; begin if (arith = xlSigned) then signed_val := std_logic_vector_to_signed(inp); result := to_integer(signed_val); else unsigned_val := std_logic_vector_to_unsigned(inp); result := to_integer(unsigned_val); end if; return result; end; function std_logic_to_integer(constant inp : std_logic := '0') return integer is begin if inp = '1' then return 1; else return 0; end if; end; function makeZeroBinStr (width : integer) return STRING is variable result : string(1 to width+3); begin result(1) := '0'; result(2) := 'b'; for i in 3 to width+2 loop result(i) := '0'; end loop; result(width+3) := '.'; return result; end; -- synopsys translate_off function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer) return std_logic_vector is variable result : std_logic_vector(width-1 downto 0); begin result := (others => '0'); return result; end; function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer) return std_logic_vector is variable real_val : real; variable int_val : integer; variable result : std_logic_vector(width-1 downto 0) := (others => '0'); variable unsigned_val : unsigned(width-1 downto 0) := (others => '0'); variable signed_val : signed(width-1 downto 0) := (others => '0'); begin real_val := inp; int_val := integer(real_val * 2.0**(bin_pt)); if (arith = xlSigned) then signed_val := to_signed(int_val, width); result := signed_to_std_logic_vector(signed_val); else unsigned_val := to_unsigned(int_val, width); result := unsigned_to_std_logic_vector(unsigned_val); end if; return result; end; -- synopsys translate_on function valid_bin_string (inp : string) return boolean is variable vec : string(1 to inp'length); begin vec := inp; if (vec(1) = '0' and vec(2) = 'b') then return true; else return false; end if; end; function hex_string_to_std_logic_vector(inp: string; width : integer) return std_logic_vector is constant strlen : integer := inp'LENGTH; variable result : std_logic_vector(width-1 downto 0); variable bitval : std_logic_vector((strlen*4)-1 downto 0); variable posn : integer; variable ch : character; variable vec : string(1 to strlen); begin vec := inp; result := (others => '0'); posn := (strlen*4)-1; for i in 1 to strlen loop ch := vec(i); case ch is when '0' => bitval(posn downto posn-3) := "0000"; when '1' => bitval(posn downto posn-3) := "0001"; when '2' => bitval(posn downto posn-3) := "0010"; when '3' => bitval(posn downto posn-3) := "0011"; when '4' => bitval(posn downto posn-3) := "0100"; when '5' => bitval(posn downto posn-3) := "0101"; when '6' => bitval(posn downto posn-3) := "0110"; when '7' => bitval(posn downto posn-3) := "0111"; when '8' => bitval(posn downto posn-3) := "1000"; when '9' => bitval(posn downto posn-3) := "1001"; when 'A' | 'a' => bitval(posn downto posn-3) := "1010"; when 'B' | 'b' => bitval(posn downto posn-3) := "1011"; when 'C' | 'c' => bitval(posn downto posn-3) := "1100"; when 'D' | 'd' => bitval(posn downto posn-3) := "1101"; when 'E' | 'e' => bitval(posn downto posn-3) := "1110"; when 'F' | 'f' => bitval(posn downto posn-3) := "1111"; when others => bitval(posn downto posn-3) := "XXXX"; -- synopsys translate_off ASSERT false REPORT "Invalid hex value" SEVERITY ERROR; -- synopsys translate_on end case; posn := posn - 4; end loop; if (width <= strlen*4) then result := bitval(width-1 downto 0); else result((strlen*4)-1 downto 0) := bitval; end if; return result; end; function bin_string_to_std_logic_vector (inp : string) return std_logic_vector is variable pos : integer; variable vec : string(1 to inp'length); variable result : std_logic_vector(inp'length-1 downto 0); begin vec := inp; pos := inp'length-1; result := (others => '0'); for i in 1 to vec'length loop -- synopsys translate_off if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then assert false report "Input string is larger than output std_logic_vector. Truncating output."; return result; end if; -- synopsys translate_on if vec(i) = '0' then result(pos) := '0'; pos := pos - 1; end if; if vec(i) = '1' then result(pos) := '1'; pos := pos - 1; end if; -- synopsys translate_off if (vec(i) = 'X' or vec(i) = 'U') then result(pos) := 'U'; pos := pos - 1; end if; -- synopsys translate_on end loop; return result; end; function bin_string_element_to_std_logic_vector (inp : string; width, index : integer) return std_logic_vector is constant str_width : integer := width + 4; constant inp_len : integer := inp'length; constant num_elements : integer := (inp_len + 1)/str_width; constant reverse_index : integer := (num_elements-1) - index; variable left_pos : integer; variable right_pos : integer; variable vec : string(1 to inp'length); variable result : std_logic_vector(width-1 downto 0); begin vec := inp; result := (others => '0'); if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then left_pos := 1; right_pos := width + 3; result := bin_string_to_std_logic_vector(vec(left_pos to right_pos)); end if; if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then left_pos := (reverse_index * str_width) + 1; right_pos := left_pos + width + 2; result := bin_string_to_std_logic_vector(vec(left_pos to right_pos)); end if; return result; end; -- synopsys translate_off function std_logic_vector_to_bin_string(inp : std_logic_vector) return string is variable vec : std_logic_vector(1 to inp'length); variable result : string(vec'range); begin vec := inp; for i in vec'range loop result(i) := to_char(vec(i)); end loop; return result; end; function std_logic_to_bin_string(inp : std_logic) return string is variable result : string(1 to 3); begin result(1) := '0'; result(2) := 'b'; result(3) := to_char(inp); return result; end; function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer) return string is variable width : integer := inp'length; variable vec : std_logic_vector(width-1 downto 0); variable str_pos : integer; variable result : string(1 to width+3); begin vec := inp; str_pos := 1; result(str_pos) := '0'; str_pos := 2; result(str_pos) := 'b'; str_pos := 3; for i in width-1 downto 0 loop if (((width+3) - bin_pt) = str_pos) then result(str_pos) := '.'; str_pos := str_pos + 1; end if; result(str_pos) := to_char(vec(i)); str_pos := str_pos + 1; end loop; if (bin_pt = 0) then result(str_pos) := '.'; end if; return result; end; function real_to_bin_string(inp : real; width, bin_pt, arith : integer) return string is variable result : string(1 to width); variable vec : std_logic_vector(width-1 downto 0); begin vec := real_to_std_logic_vector(inp, width, bin_pt, arith); result := std_logic_vector_to_bin_string(vec); return result; end; function real_to_string (inp : real) return string is variable result : string(1 to display_precision) := (others => ' '); begin result(real'image(inp)'range) := real'image(inp); return result; end; -- synopsys translate_on end conv_pkg; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity srl17e is generic (width : integer:=16; latency : integer :=8); port (clk : in std_logic; ce : in std_logic; d : in std_logic_vector(width-1 downto 0); q : out std_logic_vector(width-1 downto 0)); end srl17e; architecture structural of srl17e is component SRL16E port (D : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; Q : out STD_ULOGIC); end component; attribute syn_black_box of SRL16E : component is true; attribute fpga_dont_touch of SRL16E : component is "true"; component FDE port( Q : out STD_ULOGIC; D : in STD_ULOGIC; C : in STD_ULOGIC; CE : in STD_ULOGIC); end component; attribute syn_black_box of FDE : component is true; attribute fpga_dont_touch of FDE : component is "true"; constant a : std_logic_vector(4 downto 0) := integer_to_std_logic_vector(latency-2,5,xlSigned); signal d_delayed : std_logic_vector(width-1 downto 0); signal srl16_out : std_logic_vector(width-1 downto 0); begin d_delayed <= d after 200 ps; reg_array : for i in 0 to width-1 generate srl16_used: if latency > 1 generate u1 : srl16e port map(clk => clk, d => d_delayed(i), q => srl16_out(i), ce => ce, a0 => a(0), a1 => a(1), a2 => a(2), a3 => a(3)); end generate; srl16_not_used: if latency <= 1 generate srl16_out(i) <= d_delayed(i); end generate; fde_used: if latency /= 0 generate u2 : fde port map(c => clk, d => srl16_out(i), q => q(i), ce => ce); end generate; fde_not_used: if latency = 0 generate q(i) <= srl16_out(i); end generate; end generate; end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg is generic (width : integer := 8; latency : integer := 1); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end synth_reg; architecture structural of synth_reg is component srl17e generic (width : integer:=16; latency : integer :=8); port (clk : in std_logic; ce : in std_logic; d : in std_logic_vector(width-1 downto 0); q : out std_logic_vector(width-1 downto 0)); end component; function calc_num_srl17es (latency : integer) return integer is variable remaining_latency : integer; variable result : integer; begin result := latency / 17; remaining_latency := latency - (result * 17); if (remaining_latency /= 0) then result := result + 1; end if; return result; end; constant complete_num_srl17es : integer := latency / 17; constant num_srl17es : integer := calc_num_srl17es(latency); constant remaining_latency : integer := latency - (complete_num_srl17es * 17); type register_array is array (num_srl17es downto 0) of std_logic_vector(width-1 downto 0); signal z : register_array; begin z(0) <= i; complete_ones : if complete_num_srl17es > 0 generate srl17e_array: for i in 0 to complete_num_srl17es-1 generate delay_comp : srl17e generic map (width => width, latency => 17) port map (clk => clk, ce => ce, d => z(i), q => z(i+1)); end generate; end generate; partial_one : if remaining_latency > 0 generate last_srl17e : srl17e generic map (width => width, latency => remaining_latency) port map (clk => clk, ce => ce, d => z(num_srl17es-1), q => z(num_srl17es)); end generate; o <= z(num_srl17es); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg_reg is generic (width : integer := 8; latency : integer := 1); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end synth_reg_reg; architecture behav of synth_reg_reg is type reg_array_type is array (latency-1 downto 0) of std_logic_vector(width -1 downto 0); signal reg_bank : reg_array_type := (others => (others => '0')); signal reg_bank_in : reg_array_type := (others => (others => '0')); attribute syn_allow_retiming : boolean; attribute syn_srlstyle : string; attribute syn_allow_retiming of reg_bank : signal is true; attribute syn_allow_retiming of reg_bank_in : signal is true; attribute syn_srlstyle of reg_bank : signal is "registers"; attribute syn_srlstyle of reg_bank_in : signal is "registers"; begin latency_eq_0: if latency = 0 generate o <= i; end generate latency_eq_0; latency_gt_0: if latency >= 1 generate o <= reg_bank(latency-1); reg_bank_in(0) <= i; loop_gen: for idx in latency-2 downto 0 generate reg_bank_in(idx+1) <= reg_bank(idx); end generate loop_gen; sync_loop: for sync_idx in latency-1 downto 0 generate sync_proc: process (clk) begin if clk'event and clk = '1' then if clr = '1' then reg_bank_in <= (others => (others => '0')); elsif ce = '1' then reg_bank(sync_idx) <= reg_bank_in(sync_idx); end if; end if; end process sync_proc; end generate sync_loop; end generate latency_gt_0; end behav; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity single_reg_w_init is generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000" ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end single_reg_w_init; architecture structural of single_reg_w_init is function build_init_const(width: integer; init_index: integer; init_value: bit_vector) return std_logic_vector is variable result: std_logic_vector(width - 1 downto 0); begin if init_index = 0 then result := (others => '0'); elsif init_index = 1 then result := (others => '0'); result(0) := '1'; else result := to_stdlogicvector(init_value); end if; return result; end; component fdre port ( q: out std_ulogic; d: in std_ulogic; c: in std_ulogic; ce: in std_ulogic; r: in std_ulogic ); end component; attribute syn_black_box of fdre: component is true; attribute fpga_dont_touch of fdre: component is "true"; component fdse port ( q: out std_ulogic; d: in std_ulogic; c: in std_ulogic; ce: in std_ulogic; s: in std_ulogic ); end component; attribute syn_black_box of fdse: component is true; attribute fpga_dont_touch of fdse: component is "true"; constant init_const: std_logic_vector(width - 1 downto 0) := build_init_const(width, init_index, init_value); begin fd_prim_array: for index in 0 to width - 1 generate bit_is_0: if (init_const(index) = '0') generate fdre_comp: fdre port map ( c => clk, d => i(index), q => o(index), ce => ce, r => clr ); end generate; bit_is_1: if (init_const(index) = '1') generate fdse_comp: fdse port map ( c => clk, d => i(index), q => o(index), ce => ce, s => clr ); end generate; end generate; end architecture structural; -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity synth_reg_w_init is generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000"; latency: integer := 1 ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end synth_reg_w_init; architecture structural of synth_reg_w_init is component single_reg_w_init generic ( width: integer := 8; init_index: integer := 0; init_value: bit_vector := b"0000" ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end component; signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0); signal dly_clr: std_logic; begin latency_eq_0: if (latency = 0) generate o <= i; end generate; latency_gt_0: if (latency >= 1) generate dly_i((latency + 1) * width - 1 downto latency * width) <= i after 200 ps; dly_clr <= clr after 200 ps; fd_array: for index in latency downto 1 generate reg_comp: single_reg_w_init generic map ( width => width, init_index => init_index, init_value => init_value ) port map ( clk => clk, i => dly_i((index + 1) * width - 1 downto index * width), o => dly_i(index * width - 1 downto (index - 1) * width), ce => ce, clr => dly_clr ); end generate; o <= dly_i(width - 1 downto 0); end generate; end structural; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity constant_963ed6358a is port ( op : out std_logic_vector((1 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end constant_963ed6358a; architecture behavior of constant_963ed6358a is begin op <= "0"; end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity mcode_block_f4d0462e0e is port ( plbrst : in std_logic_vector((1 - 1) downto 0); plbabus : in std_logic_vector((32 - 1) downto 0); plbpavalid : in std_logic_vector((1 - 1) downto 0); plbrnw : in std_logic_vector((1 - 1) downto 0); plbwrdbus : in std_logic_vector((32 - 1) downto 0); rddata : in std_logic_vector((32 - 1) downto 0); addrpref : in std_logic_vector((20 - 1) downto 0); wrdbusreg : out std_logic_vector((32 - 1) downto 0); addrack : out std_logic_vector((1 - 1) downto 0); rdcomp : out std_logic_vector((1 - 1) downto 0); wrdack : out std_logic_vector((1 - 1) downto 0); bankaddr : out std_logic_vector((2 - 1) downto 0); rnwreg : out std_logic_vector((1 - 1) downto 0); rddack : out std_logic_vector((1 - 1) downto 0); rddbus : out std_logic_vector((32 - 1) downto 0); linearaddr : out std_logic_vector((8 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end mcode_block_f4d0462e0e; architecture behavior of mcode_block_f4d0462e0e is signal plbrst_1_110: unsigned((1 - 1) downto 0); signal plbabus_1_118: unsigned((32 - 1) downto 0); signal plbpavalid_1_127: unsigned((1 - 1) downto 0); signal plbrnw_1_139: unsigned((1 - 1) downto 0); signal plbwrdbus_1_147: unsigned((32 - 1) downto 0); signal rddata_1_158: unsigned((32 - 1) downto 0); signal addrpref_1_166: unsigned((20 - 1) downto 0); signal plbrstreg_12_24_next: boolean; signal plbrstreg_12_24: boolean := false; signal plbabusreg_13_25_next: unsigned((32 - 1) downto 0); signal plbabusreg_13_25: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal plbpavalidreg_14_28_next: boolean; signal plbpavalidreg_14_28: boolean := false; signal plbrnwreg_15_24_next: unsigned((1 - 1) downto 0); signal plbrnwreg_15_24: unsigned((1 - 1) downto 0) := "0"; signal plbwrdbusreg_16_27_next: unsigned((32 - 1) downto 0); signal plbwrdbusreg_16_27: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal avalidreg_28_23_next: boolean; signal avalidreg_28_23: boolean := false; signal ps1reg_39_20_next: boolean; signal ps1reg_39_20: boolean := false; signal psreg_47_19_next: boolean; signal psreg_47_19: boolean := false; type array_type_rdcompdelay_58_25 is array (0 to (3 - 1)) of unsigned((1 - 1) downto 0); signal rdcompdelay_58_25: array_type_rdcompdelay_58_25 := ( "0", "0", "0"); signal rdcompdelay_58_25_front_din: unsigned((1 - 1) downto 0); signal rdcompdelay_58_25_back: unsigned((1 - 1) downto 0); signal rdcompdelay_58_25_push_front_pop_back_en: std_logic; signal rdcompreg_62_23_next: unsigned((1 - 1) downto 0); signal rdcompreg_62_23: unsigned((1 - 1) downto 0) := "0"; signal rddackreg_66_23_next: unsigned((1 - 1) downto 0); signal rddackreg_66_23: unsigned((1 - 1) downto 0) := "0"; signal wrdackreg_70_23_next: unsigned((1 - 1) downto 0); signal wrdackreg_70_23: unsigned((1 - 1) downto 0) := "0"; signal rddbusreg_84_23_next: unsigned((32 - 1) downto 0); signal rddbusreg_84_23: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal bankaddr_20_1_slice: unsigned((2 - 1) downto 0); signal linearaddr_21_1_slice: unsigned((8 - 1) downto 0); signal addrpref_in_32_1_slice: unsigned((20 - 1) downto 0); signal rel_33_4: boolean; signal ps1_join_33_1: boolean; signal ps_42_1_bit: boolean; signal bitnot_49_49: boolean; signal bitnot_49_73: boolean; signal bit_49_49: boolean; signal addrack_49_1_convert: unsigned((1 - 1) downto 0); signal bit_55_43: unsigned((1 - 1) downto 0); signal bitnot_72_35: unsigned((1 - 1) downto 0); signal wrdackreg_72_1_bit: unsigned((1 - 1) downto 0); signal rdsel_76_1_bit: unsigned((1 - 1) downto 0); signal rel_78_4: boolean; signal rddbus1_join_78_1: unsigned((32 - 1) downto 0); signal plbwrdbusreg_97_1_slice: unsigned((32 - 1) downto 0); signal plbrstreg_12_24_next_x_000000: boolean; signal plbpavalidreg_14_28_next_x_000000: boolean; begin plbrst_1_110 <= std_logic_vector_to_unsigned(plbrst); plbabus_1_118 <= std_logic_vector_to_unsigned(plbabus); plbpavalid_1_127 <= std_logic_vector_to_unsigned(plbpavalid); plbrnw_1_139 <= std_logic_vector_to_unsigned(plbrnw); plbwrdbus_1_147 <= std_logic_vector_to_unsigned(plbwrdbus); rddata_1_158 <= std_logic_vector_to_unsigned(rddata); addrpref_1_166 <= std_logic_vector_to_unsigned(addrpref); proc_plbrstreg_12_24: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbrstreg_12_24 <= plbrstreg_12_24_next; end if; end if; end process proc_plbrstreg_12_24; proc_plbabusreg_13_25: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbabusreg_13_25 <= plbabusreg_13_25_next; end if; end if; end process proc_plbabusreg_13_25; proc_plbpavalidreg_14_28: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbpavalidreg_14_28 <= plbpavalidreg_14_28_next; end if; end if; end process proc_plbpavalidreg_14_28; proc_plbrnwreg_15_24: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbrnwreg_15_24 <= plbrnwreg_15_24_next; end if; end if; end process proc_plbrnwreg_15_24; proc_plbwrdbusreg_16_27: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then plbwrdbusreg_16_27 <= plbwrdbusreg_16_27_next; end if; end if; end process proc_plbwrdbusreg_16_27; proc_avalidreg_28_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then avalidreg_28_23 <= avalidreg_28_23_next; end if; end if; end process proc_avalidreg_28_23; proc_ps1reg_39_20: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then ps1reg_39_20 <= ps1reg_39_20_next; end if; end if; end process proc_ps1reg_39_20; proc_psreg_47_19: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then psreg_47_19 <= psreg_47_19_next; end if; end if; end process proc_psreg_47_19; rdcompdelay_58_25_back <= rdcompdelay_58_25(2); proc_rdcompdelay_58_25: process (clk) is variable i: integer; begin if (clk'event and (clk = '1')) then if ((ce = '1') and (rdcompdelay_58_25_push_front_pop_back_en = '1')) then for i in 2 downto 1 loop rdcompdelay_58_25(i) <= rdcompdelay_58_25(i-1); end loop; rdcompdelay_58_25(0) <= rdcompdelay_58_25_front_din; end if; end if; end process proc_rdcompdelay_58_25; proc_rdcompreg_62_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rdcompreg_62_23 <= rdcompreg_62_23_next; end if; end if; end process proc_rdcompreg_62_23; proc_rddackreg_66_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rddackreg_66_23 <= rddackreg_66_23_next; end if; end if; end process proc_rddackreg_66_23; proc_wrdackreg_70_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then wrdackreg_70_23 <= wrdackreg_70_23_next; end if; end if; end process proc_wrdackreg_70_23; proc_rddbusreg_84_23: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then rddbusreg_84_23 <= rddbusreg_84_23_next; end if; end if; end process proc_rddbusreg_84_23; bankaddr_20_1_slice <= u2u_slice(plbabusreg_13_25, 11, 10); linearaddr_21_1_slice <= u2u_slice(plbabusreg_13_25, 9, 2); addrpref_in_32_1_slice <= u2u_slice(plbabusreg_13_25, 31, 12); rel_33_4 <= addrpref_in_32_1_slice = addrpref_1_166; proc_if_33_1: process (rel_33_4) is begin if rel_33_4 then ps1_join_33_1 <= true; else ps1_join_33_1 <= false; end if; end process proc_if_33_1; ps_42_1_bit <= ((boolean_to_vector(ps1_join_33_1) and boolean_to_vector(plbpavalidreg_14_28)) = "1"); bitnot_49_49 <= ((not boolean_to_vector(plbrstreg_12_24)) = "1"); bitnot_49_73 <= ((not boolean_to_vector(psreg_47_19)) = "1"); bit_49_49 <= ((boolean_to_vector(bitnot_49_49) and boolean_to_vector(ps_42_1_bit) and boolean_to_vector(bitnot_49_73)) = "1"); addrack_49_1_convert <= u2u_cast(std_logic_vector_to_unsigned(boolean_to_vector(bit_49_49)), 0, 1, 0); bit_55_43 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(plbrnwreg_15_24)); bitnot_72_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(plbrnwreg_15_24)); wrdackreg_72_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(bitnot_72_35)); rdsel_76_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rdcompdelay_58_25_back) or unsigned_to_std_logic_vector(rdcompreg_62_23)); rel_78_4 <= rdsel_76_1_bit = std_logic_vector_to_unsigned("1"); proc_if_78_1: process (rddata_1_158, rel_78_4) is begin if rel_78_4 then rddbus1_join_78_1 <= rddata_1_158; else rddbus1_join_78_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); end if; end process proc_if_78_1; plbwrdbusreg_97_1_slice <= u2u_slice(plbwrdbus_1_147, 31, 0); plbrstreg_12_24_next_x_000000 <= (plbrst_1_110 /= "0"); plbrstreg_12_24_next <= plbrstreg_12_24_next_x_000000; plbabusreg_13_25_next <= plbabus_1_118; plbpavalidreg_14_28_next_x_000000 <= (plbpavalid_1_127 /= "0"); plbpavalidreg_14_28_next <= plbpavalidreg_14_28_next_x_000000; plbrnwreg_15_24_next <= plbrnw_1_139; plbwrdbusreg_16_27_next <= plbwrdbusreg_97_1_slice; avalidreg_28_23_next <= plbpavalidreg_14_28; ps1reg_39_20_next <= ps1_join_33_1; psreg_47_19_next <= ps_42_1_bit; rdcompdelay_58_25_front_din <= bit_55_43; rdcompdelay_58_25_push_front_pop_back_en <= '1'; rdcompreg_62_23_next <= rdcompdelay_58_25_back; rddackreg_66_23_next <= rdcompreg_62_23; wrdackreg_70_23_next <= wrdackreg_72_1_bit; rddbusreg_84_23_next <= rddbus1_join_78_1; wrdbusreg <= unsigned_to_std_logic_vector(plbwrdbusreg_16_27); addrack <= unsigned_to_std_logic_vector(addrack_49_1_convert); rdcomp <= unsigned_to_std_logic_vector(rdcompreg_62_23); wrdack <= unsigned_to_std_logic_vector(wrdackreg_70_23); bankaddr <= unsigned_to_std_logic_vector(bankaddr_20_1_slice); rnwreg <= unsigned_to_std_logic_vector(plbrnwreg_15_24); rddack <= unsigned_to_std_logic_vector(rddackreg_66_23); rddbus <= unsigned_to_std_logic_vector(rddbusreg_84_23); linearaddr <= unsigned_to_std_logic_vector(linearaddr_21_1_slice); end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity mcode_block_189a35de81 is port ( wrdbus : in std_logic_vector((32 - 1) downto 0); bankaddr : in std_logic_vector((2 - 1) downto 0); linearaddr : in std_logic_vector((8 - 1) downto 0); rnwreg : in std_logic_vector((1 - 1) downto 0); addrack : in std_logic_vector((1 - 1) downto 0); read_bank_out : out std_logic_vector((32 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end mcode_block_189a35de81; architecture behavior of mcode_block_189a35de81 is signal wrdbus_1_39: unsigned((32 - 1) downto 0); signal bankaddr_1_47: unsigned((2 - 1) downto 0); signal linearaddr_1_57: unsigned((8 - 1) downto 0); signal rnwreg_1_69: unsigned((1 - 1) downto 0); signal addrack_1_77: unsigned((1 - 1) downto 0); signal read_bank_out_reg_53_31_next: unsigned((32 - 1) downto 0); signal read_bank_out_reg_53_31: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000"; signal bankaddr_reg_56_26_next: unsigned((2 - 1) downto 0); signal bankaddr_reg_56_26: unsigned((2 - 1) downto 0) := "00"; signal opcode_21_1_concat: unsigned((12 - 1) downto 0); signal rel_58_4: boolean; signal rel_61_8: boolean; signal rel_64_8: boolean; signal rel_67_8: boolean; signal read_bank_out_reg_join_58_1: unsigned((32 - 1) downto 0); begin wrdbus_1_39 <= std_logic_vector_to_unsigned(wrdbus); bankaddr_1_47 <= std_logic_vector_to_unsigned(bankaddr); linearaddr_1_57 <= std_logic_vector_to_unsigned(linearaddr); rnwreg_1_69 <= std_logic_vector_to_unsigned(rnwreg); addrack_1_77 <= std_logic_vector_to_unsigned(addrack); proc_read_bank_out_reg_53_31: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then read_bank_out_reg_53_31 <= read_bank_out_reg_53_31_next; end if; end if; end process proc_read_bank_out_reg_53_31; proc_bankaddr_reg_56_26: process (clk) is begin if (clk'event and (clk = '1')) then if (ce = '1') then bankaddr_reg_56_26 <= bankaddr_reg_56_26_next; end if; end if; end process proc_bankaddr_reg_56_26; opcode_21_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_77) & unsigned_to_std_logic_vector(rnwreg_1_69) & unsigned_to_std_logic_vector(bankaddr_1_47) & unsigned_to_std_logic_vector(linearaddr_1_57)); rel_58_4 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("00"); rel_61_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("01"); rel_64_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("10"); rel_67_8 <= bankaddr_reg_56_26 = std_logic_vector_to_unsigned("11"); proc_if_58_1: process (read_bank_out_reg_53_31, rel_58_4, rel_61_8, rel_64_8, rel_67_8) is begin if rel_58_4 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_61_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_64_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); elsif rel_67_8 then read_bank_out_reg_join_58_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000"); else read_bank_out_reg_join_58_1 <= read_bank_out_reg_53_31; end if; end process proc_if_58_1; read_bank_out_reg_53_31_next <= read_bank_out_reg_join_58_1; bankaddr_reg_56_26_next <= bankaddr_1_47; read_bank_out <= unsigned_to_std_logic_vector(read_bank_out_reg_53_31); end behavior; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; entity concat_d0d1b9533e is port ( in0 : in std_logic_vector((8 - 1) downto 0); in1 : in std_logic_vector((8 - 1) downto 0); in2 : in std_logic_vector((8 - 1) downto 0); y : out std_logic_vector((24 - 1) downto 0); clk : in std_logic; ce : in std_logic; clr : in std_logic); end concat_d0d1b9533e; architecture behavior of concat_d0d1b9533e is signal in0_1_23: unsigned((8 - 1) downto 0); signal in1_1_27: unsigned((8 - 1) downto 0); signal in2_1_31: unsigned((8 - 1) downto 0); signal y_2_1_concat: unsigned((24 - 1) downto 0); begin in0_1_23 <= std_logic_vector_to_unsigned(in0); in1_1_27 <= std_logic_vector_to_unsigned(in1); in2_1_31 <= std_logic_vector_to_unsigned(in2); y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31)); y <= unsigned_to_std_logic_vector(y_2_1_concat); end behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity xlregister is generic (d_width : integer := 5; init_value : bit_vector := b"00"); port (d : in std_logic_vector (d_width-1 downto 0); rst : in std_logic_vector(0 downto 0) := "0"; en : in std_logic_vector(0 downto 0) := "1"; ce : in std_logic; clk : in std_logic; q : out std_logic_vector (d_width-1 downto 0)); end xlregister; architecture behavior of xlregister is component synth_reg_w_init generic (width : integer; init_index : integer; init_value : bit_vector; latency : integer); port (i : in std_logic_vector(width-1 downto 0); ce : in std_logic; clr : in std_logic; clk : in std_logic; o : out std_logic_vector(width-1 downto 0)); end component; -- synopsys translate_off signal real_d, real_q : real; -- synopsys translate_on signal internal_clr : std_logic; signal internal_ce : std_logic; begin internal_clr <= rst(0) and ce; internal_ce <= en(0) and ce; synth_reg_inst : synth_reg_w_init generic map (width => d_width, init_index => 2, init_value => init_value, latency => 1) port map (i => d, ce => internal_ce, clr => internal_clr, clk => clk, o => q); end architecture behavior; ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.conv_pkg.all; entity xlslice is generic ( new_msb : integer := 9; new_lsb : integer := 1; x_width : integer := 16; y_width : integer := 8); port ( x : in std_logic_vector (x_width-1 downto 0); y : out std_logic_vector (y_width-1 downto 0)); end xlslice; architecture behavior of xlslice is begin y <= x(new_msb downto new_lsb); end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "sg_xsvi_fanout/EDK Processor" entity edk_processor_entity_0cfccb8238 is port ( plb_abus: in std_logic_vector(31 downto 0); plb_ce_1: in std_logic; plb_clk_1: in std_logic; plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; constant5_x0: out std_logic; plb_decode_x0: out std_logic; plb_decode_x1: out std_logic; plb_decode_x2: out std_logic; plb_decode_x3: out std_logic; plb_decode_x4: out std_logic_vector(31 downto 0) ); end edk_processor_entity_0cfccb8238; architecture structural of edk_processor_entity_0cfccb8238 is signal bankaddr: std_logic_vector(1 downto 0); signal linearaddr: std_logic_vector(7 downto 0); signal plb_abus_net_x0: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x0: std_logic; signal plb_clk_1_sg_x0: std_logic; signal plb_pavalid_net_x0: std_logic; signal plb_rnw_net_x0: std_logic; signal plb_wrdbus_net_x0: std_logic_vector(31 downto 0); signal rddata: std_logic_vector(31 downto 0); signal rnwreg: std_logic; signal sg_plb_addrpref_net_x0: std_logic_vector(19 downto 0); signal sl_addrack_x0: std_logic; signal sl_rdcomp_x0: std_logic; signal sl_rddack_x0: std_logic; signal sl_rddbus_x0: std_logic_vector(31 downto 0); signal sl_wait_x0: std_logic; signal sl_wrdack_x0: std_logic; signal splb_rst_net_x0: std_logic; signal wrdbusreg: std_logic_vector(31 downto 0); begin plb_abus_net_x0 <= plb_abus; plb_ce_1_sg_x0 <= plb_ce_1; plb_clk_1_sg_x0 <= plb_clk_1; plb_pavalid_net_x0 <= plb_pavalid; plb_rnw_net_x0 <= plb_rnw; plb_wrdbus_net_x0 <= plb_wrdbus; sg_plb_addrpref_net_x0 <= sg_plb_addrpref; splb_rst_net_x0 <= splb_rst; constant5_x0 <= sl_wait_x0; plb_decode_x0 <= sl_addrack_x0; plb_decode_x1 <= sl_rdcomp_x0; plb_decode_x2 <= sl_wrdack_x0; plb_decode_x3 <= sl_rddack_x0; plb_decode_x4 <= sl_rddbus_x0; constant5: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => sl_wait_x0 ); plb_decode: entity work.mcode_block_f4d0462e0e port map ( addrpref => sg_plb_addrpref_net_x0, ce => plb_ce_1_sg_x0, clk => plb_clk_1_sg_x0, clr => '0', plbabus => plb_abus_net_x0, plbpavalid(0) => plb_pavalid_net_x0, plbrnw(0) => plb_rnw_net_x0, plbrst(0) => splb_rst_net_x0, plbwrdbus => plb_wrdbus_net_x0, rddata => rddata, addrack(0) => sl_addrack_x0, bankaddr => bankaddr, linearaddr => linearaddr, rdcomp(0) => sl_rdcomp_x0, rddack(0) => sl_rddack_x0, rddbus => sl_rddbus_x0, rnwreg(0) => rnwreg, wrdack(0) => sl_wrdack_x0, wrdbusreg => wrdbusreg ); plb_memmap: entity work.mcode_block_189a35de81 port map ( addrack(0) => sl_addrack_x0, bankaddr => bankaddr, ce => plb_ce_1_sg_x0, clk => plb_clk_1_sg_x0, clr => '0', linearaddr => linearaddr, rnwreg(0) => rnwreg, wrdbus => wrdbusreg, read_bank_out => rddata ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "sg_xsvi_fanout" entity sg_xsvi_fanout is port ( active_video_i: in std_logic; ce_1: in std_logic; clk_1: in std_logic; hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(31 downto 0); plb_ce_1: in std_logic; plb_clk_1: in std_logic; plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(23 downto 0); vsync_i: in std_logic; active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(31 downto 0); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(23 downto 0); vsync_o: out std_logic ); end sg_xsvi_fanout; architecture structural of sg_xsvi_fanout is attribute core_generation_info: string; attribute core_generation_info of structural : architecture is "sg_xsvi_fanout,sysgen_core,{clock_period=10.00000000,clocking=Clock_Enables,sample_periods=1.00000000000 1.00000000000,testbench=0,total_blocks=102,xilinx_bit_slice_extractor_block=3,xilinx_bus_concatenator_block=1,xilinx_constant_block_block=1,xilinx_edk_processor_block=1,xilinx_gateway_in_block=12,xilinx_gateway_out_block=13,xilinx_mcode_block_block=2,xilinx_register_block=8,xilinx_system_generator_block=1,}"; signal active_video_i_net: std_logic; signal active_video_o_net: std_logic; signal blue: std_logic_vector(7 downto 0); signal ce_1_sg_x0: std_logic; signal clk_1_sg_x0: std_logic; signal green: std_logic_vector(7 downto 0); signal hblank_i_net: std_logic; signal hblank_o_net: std_logic; signal hsync_i_net: std_logic; signal hsync_o_net: std_logic; signal plb_abus_net: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x1: std_logic; signal plb_clk_1_sg_x1: std_logic; signal plb_pavalid_net: std_logic; signal plb_rnw_net: std_logic; signal plb_wrdbus_net: std_logic_vector(31 downto 0); signal red: std_logic_vector(7 downto 0); signal register5_q_net: std_logic_vector(7 downto 0); signal register6_q_net: std_logic_vector(7 downto 0); signal register7_q_net: std_logic_vector(7 downto 0); signal sg_plb_addrpref_net: std_logic_vector(19 downto 0); signal sl_addrack_net: std_logic; signal sl_rdcomp_net: std_logic; signal sl_rddack_net: std_logic; signal sl_rddbus_net: std_logic_vector(31 downto 0); signal sl_wait_net: std_logic; signal sl_wrdack_x1: std_logic; signal splb_rst_net: std_logic; signal vblank_i_net: std_logic; signal vblank_o_net: std_logic; signal video_data_i_net: std_logic_vector(23 downto 0); signal video_data_o_net: std_logic_vector(23 downto 0); signal vsync_i_net: std_logic; signal vsync_o_net: std_logic; begin active_video_i_net <= active_video_i; ce_1_sg_x0 <= ce_1; clk_1_sg_x0 <= clk_1; hblank_i_net <= hblank_i; hsync_i_net <= hsync_i; plb_abus_net <= plb_abus; plb_ce_1_sg_x1 <= plb_ce_1; plb_clk_1_sg_x1 <= plb_clk_1; plb_pavalid_net <= plb_pavalid; plb_rnw_net <= plb_rnw; plb_wrdbus_net <= plb_wrdbus; sg_plb_addrpref_net <= sg_plb_addrpref; splb_rst_net <= splb_rst; vblank_i_net <= vblank_i; video_data_i_net <= video_data_i; vsync_i_net <= vsync_i; active_video_o <= active_video_o_net; hblank_o <= hblank_o_net; hsync_o <= hsync_o_net; sl_addrack <= sl_addrack_net; sl_rdcomp <= sl_rdcomp_net; sl_rddack <= sl_rddack_net; sl_rddbus <= sl_rddbus_net; sl_wait <= sl_wait_net; sl_wrcomp <= sl_wrdack_x1; sl_wrdack <= sl_wrdack_x1; vblank_o <= vblank_o_net; video_data_o <= video_data_o_net; vsync_o <= vsync_o_net; concat: entity work.concat_d0d1b9533e port map ( ce => '0', clk => '0', clr => '0', in0 => register5_q_net, in1 => register6_q_net, in2 => register7_q_net, y => video_data_o_net ); edk_processor_0cfccb8238: entity work.edk_processor_entity_0cfccb8238 port map ( plb_abus => plb_abus_net, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1, plb_pavalid => plb_pavalid_net, plb_rnw => plb_rnw_net, plb_wrdbus => plb_wrdbus_net, sg_plb_addrpref => sg_plb_addrpref_net, splb_rst => splb_rst_net, constant5_x0 => sl_wait_net, plb_decode_x0 => sl_addrack_net, plb_decode_x1 => sl_rdcomp_net, plb_decode_x2 => sl_wrdack_x1, plb_decode_x3 => sl_rddack_net, plb_decode_x4 => sl_rddbus_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => vsync_i_net, en => "1", rst => "0", q(0) => vsync_o_net ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => hsync_i_net, en => "1", rst => "0", q(0) => hsync_o_net ); register3: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => vblank_i_net, en => "1", rst => "0", q(0) => vblank_o_net ); register4: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => hblank_i_net, en => "1", rst => "0", q(0) => hblank_o_net ); register5: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => red, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => green, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 8, init_value => b"00000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => blue, en => "1", rst => "0", q => register7_q_net ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => active_video_i_net, en => "1", rst => "0", q(0) => active_video_o_net ); slice15downto8: entity work.xlslice generic map ( new_lsb => 8, new_msb => 15, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => green ); slice23downto16: entity work.xlslice generic map ( new_lsb => 16, new_msb => 23, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => red ); slice7downto0: entity work.xlslice generic map ( new_lsb => 0, new_msb => 7, x_width => 24, y_width => 8 ) port map ( x => video_data_i_net, y => blue ); end structural;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_xsvi_fanout_plbw_v1_01_a/hdl/vhdl/sg_xsvi_fanout_cw.vhd
1
20514
------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- ------------------------------------------------------------------- -- System Generator version 13.2 VHDL source file. -- -- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.conv_pkg.all; -- synopsys translate_off library unisim; use unisim.vcomponents.all; -- synopsys translate_on entity xlclockdriver is generic ( period: integer := 2; log_2_period: integer := 0; pipeline_regs: integer := 5; use_bufg: integer := 0 ); port ( sysclk: in std_logic; sysclr: in std_logic; sysce: in std_logic; clk: out std_logic; clr: out std_logic; ce: out std_logic; ce_logic: out std_logic ); end xlclockdriver; architecture behavior of xlclockdriver is component bufg port ( i: in std_logic; o: out std_logic ); end component; component synth_reg_w_init generic ( width: integer; init_index: integer; init_value: bit_vector; latency: integer ); port ( i: in std_logic_vector(width - 1 downto 0); ce: in std_logic; clr: in std_logic; clk: in std_logic; o: out std_logic_vector(width - 1 downto 0) ); end component; function size_of_uint(inp: integer; power_of_2: boolean) return integer is constant inp_vec: std_logic_vector(31 downto 0) := integer_to_std_logic_vector(inp,32, xlUnsigned); variable result: integer; begin result := 32; for i in 0 to 31 loop if inp_vec(i) = '1' then result := i; end if; end loop; if power_of_2 then return result; else return result+1; end if; end; function is_power_of_2(inp: std_logic_vector) return boolean is constant width: integer := inp'length; variable vec: std_logic_vector(width - 1 downto 0); variable single_bit_set: boolean; variable more_than_one_bit_set: boolean; variable result: boolean; begin vec := inp; single_bit_set := false; more_than_one_bit_set := false; -- synopsys translate_off if (is_XorU(vec)) then return false; end if; -- synopsys translate_on if width > 0 then for i in 0 to width - 1 loop if vec(i) = '1' then if single_bit_set then more_than_one_bit_set := true; end if; single_bit_set := true; end if; end loop; end if; if (single_bit_set and not(more_than_one_bit_set)) then result := true; else result := false; end if; return result; end; function ce_reg_init_val(index, period : integer) return integer is variable result: integer; begin result := 0; if ((index mod period) = 0) then result := 1; end if; return result; end; function remaining_pipe_regs(num_pipeline_regs, period : integer) return integer is variable factor, result: integer; begin factor := (num_pipeline_regs / period); result := num_pipeline_regs - (period * factor) + 1; return result; end; function sg_min(L, R: INTEGER) return INTEGER is begin if L < R then return L; else return R; end if; end; constant max_pipeline_regs : integer := 8; constant pipe_regs : integer := 5; constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs); constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period); constant period_floor: integer := max(2, period); constant power_of_2_counter: boolean := is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned)); constant cnt_width: integer := size_of_uint(period_floor, power_of_2_counter); constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned); constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) := integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned); signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0'); signal ce_vec : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT : string; attribute MAX_FANOUT of ce_vec:signal is "REDUCE"; signal ce_vec_logic : std_logic_vector(num_pipeline_regs downto 0); attribute MAX_FANOUT of ce_vec_logic:signal is "REDUCE"; signal internal_ce: std_logic_vector(0 downto 0); signal internal_ce_logic: std_logic_vector(0 downto 0); signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0); begin clk <= sysclk; clr <= sysclr; cntr_gen: process(sysclk) begin if sysclk'event and sysclk = '1' then if (sysce = '1') then if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then clk_num <= (others => '0'); else clk_num <= clk_num + 1; end if; end if; end if; end process; clr_gen: process(clk_num, sysclr) begin if power_of_2_counter then cnt_clr(0) <= sysclr; else if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1 or sysclr = '1') then cnt_clr(0) <= '1'; else cnt_clr(0) <= '0'; end if; end if; end process; clr_reg: synth_reg_w_init generic map ( width => 1, init_index => 0, init_value => b"0000", latency => 1 ) port map ( i => cnt_clr, ce => sysce, clr => sysclr, clk => sysclk, o => cnt_clr_dly ); pipelined_ce : if period > 1 generate ce_gen: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec(num_pipeline_regs) <= '1'; else ce_vec(num_pipeline_regs) <= '0'; end if; end process; ce_pipeline: for index in num_pipeline_regs downto 1 generate ce_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec(index-1 downto index-1) ); end generate; internal_ce <= ce_vec(0 downto 0); end generate; pipelined_ce_logic: if period > 1 generate ce_gen_logic: process(clk_num) begin if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then ce_vec_logic(num_pipeline_regs) <= '1'; else ce_vec_logic(num_pipeline_regs) <= '0'; end if; end process; ce_logic_pipeline: for index in num_pipeline_regs downto 1 generate ce_logic_reg : synth_reg_w_init generic map ( width => 1, init_index => ce_reg_init_val(index, period), init_value => b"0000", latency => 1 ) port map ( i => ce_vec_logic(index downto index), ce => sysce, clr => sysclr, clk => sysclk, o => ce_vec_logic(index-1 downto index-1) ); end generate; internal_ce_logic <= ce_vec_logic(0 downto 0); end generate; use_bufg_true: if period > 1 and use_bufg = 1 generate ce_bufg_inst: bufg port map ( i => internal_ce(0), o => ce ); ce_bufg_inst_logic: bufg port map ( i => internal_ce_logic(0), o => ce_logic ); end generate; use_bufg_false: if period > 1 and (use_bufg = 0) generate ce <= internal_ce(0); ce_logic <= internal_ce_logic(0); end generate; generate_system_clk: if period = 1 generate ce <= sysce; ce_logic <= sysce; end generate; end architecture behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity default_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; ce_1: out std_logic; clk_1: out std_logic ); end default_clock_driver; architecture structural of default_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; ce_1 <= xlclockdriver_1_ce; clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity plb_clock_driver is port ( sysce: in std_logic; sysce_clr: in std_logic; sysclk: in std_logic; plb_ce_1: out std_logic; plb_clk_1: out std_logic ); end plb_clock_driver; architecture structural of plb_clock_driver is attribute syn_noprune: boolean; attribute syn_noprune of structural : architecture is true; attribute optimize_primitives: boolean; attribute optimize_primitives of structural : architecture is false; attribute dont_touch: boolean; attribute dont_touch of structural : architecture is true; signal sysce_clr_x0: std_logic; signal sysce_x0: std_logic; signal sysclk_x0: std_logic; signal xlclockdriver_1_ce: std_logic; signal xlclockdriver_1_clk: std_logic; begin sysce_x0 <= sysce; sysce_clr_x0 <= sysce_clr; sysclk_x0 <= sysclk; plb_ce_1 <= xlclockdriver_1_ce; plb_clk_1 <= xlclockdriver_1_clk; xlclockdriver_1: entity work.xlclockdriver generic map ( log_2_period => 1, period => 1, use_bufg => 0 ) port map ( sysce => sysce_x0, sysclk => sysclk_x0, sysclr => sysce_clr_x0, ce => xlclockdriver_1_ce, clk => xlclockdriver_1_clk ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity sg_xsvi_fanout_cw is port ( active_video_i: in std_logic; ce: in std_logic := '1'; clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(31 downto 0); plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(31 downto 0); sg_plb_addrpref: in std_logic_vector(19 downto 0); splb_rst: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(23 downto 0); vsync_i: in std_logic; xps_ce: in std_logic := '1'; xps_clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz) active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(31 downto 0); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(23 downto 0); vsync_o: out std_logic ); end sg_xsvi_fanout_cw; architecture structural of sg_xsvi_fanout_cw is component xlpersistentdff port ( clk: in std_logic; d: in std_logic; q: out std_logic ); end component; attribute syn_black_box: boolean; attribute syn_black_box of xlpersistentdff: component is true; attribute box_type: string; attribute box_type of xlpersistentdff: component is "black_box"; attribute syn_noprune: boolean; attribute optimize_primitives: boolean; attribute dont_touch: boolean; attribute syn_noprune of xlpersistentdff: component is true; attribute optimize_primitives of xlpersistentdff: component is false; attribute dont_touch of xlpersistentdff: component is true; signal active_video_i_net: std_logic; signal active_video_o_net: std_logic; signal ce_1_sg_x0: std_logic; attribute MAX_FANOUT: string; attribute MAX_FANOUT of ce_1_sg_x0: signal is "REDUCE"; signal clkNet: std_logic; signal clkNet_x0: std_logic; signal clk_1_sg_x0: std_logic; signal hblank_i_net: std_logic; signal hblank_o_net: std_logic; signal hsync_i_net: std_logic; signal hsync_o_net: std_logic; signal persistentdff_inst_q: std_logic; attribute syn_keep: boolean; attribute syn_keep of persistentdff_inst_q: signal is true; attribute keep: boolean; attribute keep of persistentdff_inst_q: signal is true; attribute preserve_signal: boolean; attribute preserve_signal of persistentdff_inst_q: signal is true; signal plb_abus_net: std_logic_vector(31 downto 0); signal plb_ce_1_sg_x1: std_logic; attribute MAX_FANOUT of plb_ce_1_sg_x1: signal is "REDUCE"; signal plb_clk_1_sg_x1: std_logic; signal plb_pavalid_net: std_logic; signal plb_rnw_net: std_logic; signal plb_wrdbus_net: std_logic_vector(31 downto 0); signal sg_plb_addrpref_net: std_logic_vector(19 downto 0); signal sl_addrack_net: std_logic; signal sl_rdcomp_net: std_logic; signal sl_rddack_net: std_logic; signal sl_rddbus_net: std_logic_vector(31 downto 0); signal sl_wait_net: std_logic; signal sl_wrdack_x1: std_logic; signal sl_wrdack_x2: std_logic; signal splb_rst_net: std_logic; signal vblank_i_net: std_logic; signal vblank_o_net: std_logic; signal video_data_i_net: std_logic_vector(23 downto 0); signal video_data_o_net: std_logic_vector(23 downto 0); signal vsync_i_net: std_logic; signal vsync_o_net: std_logic; begin active_video_i_net <= active_video_i; clkNet <= clk; hblank_i_net <= hblank_i; hsync_i_net <= hsync_i; plb_abus_net <= plb_abus; plb_pavalid_net <= plb_pavalid; plb_rnw_net <= plb_rnw; plb_wrdbus_net <= plb_wrdbus; sg_plb_addrpref_net <= sg_plb_addrpref; splb_rst_net <= splb_rst; vblank_i_net <= vblank_i; video_data_i_net <= video_data_i; vsync_i_net <= vsync_i; clkNet_x0 <= xps_clk; active_video_o <= active_video_o_net; hblank_o <= hblank_o_net; hsync_o <= hsync_o_net; sl_addrack <= sl_addrack_net; sl_rdcomp <= sl_rdcomp_net; sl_rddack <= sl_rddack_net; sl_rddbus <= sl_rddbus_net; sl_wait <= sl_wait_net; sl_wrcomp <= sl_wrdack_x2; sl_wrdack <= sl_wrdack_x1; vblank_o <= vblank_o_net; video_data_o <= video_data_o_net; vsync_o <= vsync_o_net; default_clock_driver_x0: entity work.default_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet, ce_1 => ce_1_sg_x0, clk_1 => clk_1_sg_x0 ); persistentdff_inst: xlpersistentdff port map ( clk => clkNet, d => persistentdff_inst_q, q => persistentdff_inst_q ); plb_clock_driver_x0: entity work.plb_clock_driver port map ( sysce => '1', sysce_clr => '0', sysclk => clkNet_x0, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1 ); sg_xsvi_fanout_x0: entity work.sg_xsvi_fanout port map ( active_video_i => active_video_i_net, ce_1 => ce_1_sg_x0, clk_1 => clk_1_sg_x0, hblank_i => hblank_i_net, hsync_i => hsync_i_net, plb_abus => plb_abus_net, plb_ce_1 => plb_ce_1_sg_x1, plb_clk_1 => plb_clk_1_sg_x1, plb_pavalid => plb_pavalid_net, plb_rnw => plb_rnw_net, plb_wrdbus => plb_wrdbus_net, sg_plb_addrpref => sg_plb_addrpref_net, splb_rst => splb_rst_net, vblank_i => vblank_i_net, video_data_i => video_data_i_net, vsync_i => vsync_i_net, active_video_o => active_video_o_net, hblank_o => hblank_o_net, hsync_o => hsync_o_net, sl_addrack => sl_addrack_net, sl_rdcomp => sl_rdcomp_net, sl_rddack => sl_rddack_net, sl_rddbus => sl_rddbus_net, sl_wait => sl_wait_net, sl_wrcomp => sl_wrdack_x2, sl_wrdack => sl_wrdack_x1, vblank_o => vblank_o_net, video_data_o => video_data_o_net, vsync_o => vsync_o_net ); end structural;
gpl-3.0
tghaefli/ADD
EDK/IVK_Repos/IVK_IPLib/pcores/sg_i2c_controller_s6_plbw_v1_01_a/hdl/vhdl/fifo_generator_spartan6_6_1_ba61a4be12cec537.vhd
1
6195
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file fifo_generator_spartan6_6_1_ba61a4be12cec537.vhd when simulating -- the core, fifo_generator_spartan6_6_1_ba61a4be12cec537. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY fifo_generator_spartan6_6_1_ba61a4be12cec537 IS port ( rst: IN std_logic; wr_clk: IN std_logic; rd_clk: IN std_logic; din: IN std_logic_VECTOR(31 downto 0); wr_en: IN std_logic; rd_en: IN std_logic; dout: OUT std_logic_VECTOR(31 downto 0); full: OUT std_logic; empty: OUT std_logic; wr_data_count: OUT std_logic_VECTOR(0 downto 0)); END fifo_generator_spartan6_6_1_ba61a4be12cec537; ARCHITECTURE fifo_generator_spartan6_6_1_ba61a4be12cec537_a OF fifo_generator_spartan6_6_1_ba61a4be12cec537 IS -- synthesis translate_off component wrapped_fifo_generator_spartan6_6_1_ba61a4be12cec537 port ( rst: IN std_logic; wr_clk: IN std_logic; rd_clk: IN std_logic; din: IN std_logic_VECTOR(31 downto 0); wr_en: IN std_logic; rd_en: IN std_logic; dout: OUT std_logic_VECTOR(31 downto 0); full: OUT std_logic; empty: OUT std_logic; wr_data_count: OUT std_logic_VECTOR(0 downto 0)); end component; -- Configuration specification for all : wrapped_fifo_generator_spartan6_6_1_ba61a4be12cec537 use entity XilinxCoreLib.fifo_generator_v6_1(behavioral) generic map( c_has_int_clk => 0, c_wr_response_latency => 1, c_rd_freq => 1, c_has_srst => 0, c_enable_rst_sync => 1, c_has_rd_data_count => 0, c_din_width => 32, c_has_wr_data_count => 1, c_full_flags_rst_val => 1, c_implementation_type => 2, c_family => "spartan6", c_use_embedded_reg => 0, c_has_wr_rst => 0, c_wr_freq => 1, c_use_dout_rst => 1, c_underflow_low => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_preload_latency => 1, c_dout_width => 32, c_msgon_val => 1, c_rd_depth => 32, c_default_value => "BlankString", c_mif_file_name => "BlankString", c_error_injection_type => 0, c_has_underflow => 0, c_has_rd_rst => 0, c_has_almost_full => 0, c_has_rst => 1, c_data_count_width => 5, c_has_wr_ack => 0, c_use_ecc => 0, c_wr_ack_low => 0, c_common_clock => 0, c_rd_pntr_width => 5, c_use_fwft_data_count => 0, c_has_almost_empty => 0, c_rd_data_count_width => 5, c_enable_rlocs => 0, c_wr_pntr_width => 5, c_overflow_low => 0, c_prog_empty_type => 0, c_optimization_mode => 0, c_wr_data_count_width => 1, c_preload_regs => 0, c_dout_rst_val => "0", c_has_data_count => 0, c_prog_full_thresh_negate_val => 28, c_wr_depth => 32, c_prog_empty_thresh_negate_val => 3, c_prog_empty_thresh_assert_val => 2, c_has_valid => 0, c_init_wr_pntr_val => 0, c_prog_full_thresh_assert_val => 29, c_use_fifo16_flags => 0, c_has_backup => 0, c_valid_low => 0, c_prim_fifo_type => "512x36", c_count_type => 0, c_prog_full_type => 0, c_memory_type => 2); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fifo_generator_spartan6_6_1_ba61a4be12cec537 port map ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty, wr_data_count => wr_data_count); -- synthesis translate_on END fifo_generator_spartan6_6_1_ba61a4be12cec537_a;
gpl-3.0
Feuerwerk/fpgaNES
vga_pll.vhd
1
17827
-- megafunction wizard: %PLL Intel FPGA IP v18.0% -- GENERATION: XML -- vga_pll.vhd -- Generated using ACDS version 18.0 614 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity vga_pll is port ( refclk : in std_logic := '0'; -- refclk.clk rst : in std_logic := '0'; -- reset.reset outclk_0 : out std_logic; -- outclk0.clk locked : out std_logic -- locked.export ); end entity vga_pll; architecture rtl of vga_pll is component vga_pll_0002 is port ( refclk : in std_logic := 'X'; -- clk rst : in std_logic := 'X'; -- reset outclk_0 : out std_logic; -- clk locked : out std_logic -- export ); end component vga_pll_0002; begin vga_pll_inst : component vga_pll_0002 port map ( refclk => refclk, -- refclk.clk rst => rst, -- reset.reset outclk_0 => outclk_0, -- outclk0.clk locked => locked -- locked.export ); end architecture rtl; -- of vga_pll -- Retrieval info: <?xml version="1.0"?> --<!-- -- Generated by Altera MegaWizard Launcher Utility version 1.0 -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2018 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. ----> -- Retrieval info: <instance entity-name="altera_pll" version="18.0" > -- Retrieval info: <generic name="debug_print_output" value="false" /> -- Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" /> -- Retrieval info: <generic name="device_family" value="Cyclone V" /> -- Retrieval info: <generic name="device" value="Unknown" /> -- Retrieval info: <generic name="gui_device_speed_grade" value="2" /> -- Retrieval info: <generic name="gui_pll_mode" value="Fractional-N PLL" /> -- Retrieval info: <generic name="gui_reference_clock_frequency" value="50.0" /> -- Retrieval info: <generic name="gui_channel_spacing" value="0.0" /> -- Retrieval info: <generic name="gui_operation_mode" value="direct" /> -- Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" /> -- Retrieval info: <generic name="gui_fractional_cout" value="32" /> -- Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" /> -- Retrieval info: <generic name="gui_use_locked" value="true" /> -- Retrieval info: <generic name="gui_en_adv_params" value="false" /> -- Retrieval info: <generic name="gui_number_of_clocks" value="1" /> -- Retrieval info: <generic name="gui_multiply_factor" value="1" /> -- Retrieval info: <generic name="gui_frac_multiply_factor" value="1" /> -- Retrieval info: <generic name="gui_divide_factor_n" value="1" /> -- Retrieval info: <generic name="gui_cascade_counter0" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency0" value="25.175" /> -- Retrieval info: <generic name="gui_divide_factor_c0" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units0" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift0" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift0" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle0" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter1" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency1" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c1" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units1" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift1" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg1" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift1" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle1" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter2" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency2" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c2" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units2" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift2" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg2" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift2" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle2" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter3" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency3" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c3" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units3" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift3" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift3" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle3" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter4" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency4" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c4" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units4" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift4" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift4" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle4" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter5" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c5" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units5" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift5" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift5" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle5" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter6" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c6" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units6" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift6" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift6" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle6" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter7" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c7" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units7" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift7" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift7" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle7" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter8" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c8" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units8" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift8" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift8" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle8" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter9" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c9" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units9" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift9" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift9" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle9" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter10" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c10" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units10" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift10" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift10" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle10" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter11" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c11" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units11" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift11" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift11" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle11" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter12" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c12" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units12" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift12" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift12" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle12" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter13" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c13" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units13" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift13" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift13" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle13" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter14" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c14" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units14" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift14" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift14" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle14" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter15" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c15" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units15" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift15" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift15" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle15" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter16" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c16" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units16" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift16" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift16" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle16" value="50" /> -- Retrieval info: <generic name="gui_cascade_counter17" value="false" /> -- Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" /> -- Retrieval info: <generic name="gui_divide_factor_c17" value="1" /> -- Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" /> -- Retrieval info: <generic name="gui_ps_units17" value="ps" /> -- Retrieval info: <generic name="gui_phase_shift17" value="0" /> -- Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" /> -- Retrieval info: <generic name="gui_actual_phase_shift17" value="0" /> -- Retrieval info: <generic name="gui_duty_cycle17" value="50" /> -- Retrieval info: <generic name="gui_pll_auto_reset" value="Off" /> -- Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" /> -- Retrieval info: <generic name="gui_en_reconf" value="false" /> -- Retrieval info: <generic name="gui_en_dps_ports" value="false" /> -- Retrieval info: <generic name="gui_en_phout_ports" value="false" /> -- Retrieval info: <generic name="gui_phout_division" value="1" /> -- Retrieval info: <generic name="gui_mif_generate" value="false" /> -- Retrieval info: <generic name="gui_enable_mif_dps" value="false" /> -- Retrieval info: <generic name="gui_dps_cntr" value="C0" /> -- Retrieval info: <generic name="gui_dps_num" value="1" /> -- Retrieval info: <generic name="gui_dps_dir" value="Positive" /> -- Retrieval info: <generic name="gui_refclk_switch" value="false" /> -- Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" /> -- Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" /> -- Retrieval info: <generic name="gui_switchover_delay" value="0" /> -- Retrieval info: <generic name="gui_active_clk" value="false" /> -- Retrieval info: <generic name="gui_clk_bad" value="false" /> -- Retrieval info: <generic name="gui_enable_cascade_out" value="false" /> -- Retrieval info: <generic name="gui_cascade_outclk_index" value="0" /> -- Retrieval info: <generic name="gui_enable_cascade_in" value="false" /> -- Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" /> -- Retrieval info: </instance> -- IPFS_FILES : vga_pll.vho -- RELATED_FILES: vga_pll.vhd, vga_pll_0002.v
gpl-3.0
MartinCura/SistDig-TP4
old/External_RAM/buffer_almacenamiento.vhd
1
2469
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity buffer_almacenamiento is generic ( N,M : natural ); port ( clk : in std_logic; rst : in std_logic; flag_rotar : in std_logic;--flag que indica cuando mandar los datos al cordic data_in_rdy : in std_logic; data_in : in std_logic_vector (N-1 downto 0); datax_out : out std_logic_vector (N-1 downto 0); datay_out : out std_logic_vector (N-1 downto 0); dataz_out : out std_logic_vector (N-1 downto 0); data_out_rdy : out std_logic ); end; architecture beh of buffer_almacenamiento is type memo is array (0 to M-1) of std_logic_vector(N-1 downto 0); --M = 300 signal posicion : memo; signal contador_carga : unsigned(8 downto 0):= (others => '0'); signal contador_salida : unsigned(8 downto 0):= (others => '0'); --variable flag_aux_rotar is std_logic;--flag auxiliar para que no cambie una vez que cambia el flag de afuera begin --Process carga de datos-- process(clk,rst) begin if rst='1' then contador_carga <= (others => '0'); elsif rising_edge(clk) then if data_in_rdy = '1' then posicion(to_integer(contador_carga)) <= data_in; contador_carga <= contador_carga + 1; end if; end if; end process; --Process salida de datos-- process(clk,rst) variable flag_aux_rotar,aux_data_out_rdy : std_logic; variable aux_data_buffer : unsigned(3 downto 0) := "0000"; begin if rst='1' then contador_salida <= (others => '0'); elsif rising_edge(clk) then --aux_data_out_rdy := '0'; if flag_rotar = '1' then flag_aux_rotar := '1'; aux_data_buffer := "0000"; end if; if flag_aux_rotar = '1' then if to_integer(contador_salida) >= M then -- M=300 contador_salida <= (others => '0'); flag_aux_rotar := '0'; aux_data_buffer := "0000"; aux_data_out_rdy := '0'; elsif aux_data_buffer > "0000" then aux_data_buffer := aux_data_buffer +1; if aux_data_buffer = "1111" then aux_data_out_rdy := '1'; aux_data_buffer := "0000"; end if; else datax_out <= posicion(to_integer(contador_salida)); datay_out <= posicion(to_integer(contador_salida + 1)); dataz_out <= posicion(to_integer(contador_salida + 2)); contador_salida <= contador_salida + 3; aux_data_buffer := "0001"; aux_data_out_rdy := '0'; end if; end if; end if; data_out_rdy <= aux_data_out_rdy; end process; end beh;
gpl-3.0
MartinCura/SistDig-TP4
src/ctrl_global.vhd
1
5024
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity global_ctrl is generic ( Nangle : natural := 16 ); port ( clock : in std_logic; write_rst_i: in std_logic; read_rst_i : in std_logic; sw_x_pos, sw_x_neg: in std_logic; sw_y_pos, sw_y_neg: in std_logic; sw_z_pos, sw_z_neg: in std_logic; delta_angle: in std_logic_vector(Nangle-1 downto 0); alfa, beta, gama: out std_logic_vector(Nangle-1 downto 0); clear_reset, clear_enable: out std_logic; clear_stop: in std_logic; read_start: out std_logic; read_stop: in std_logic; read_reset_out, write_reset_out: out std_logic; vga_start, vga_stop: in std_logic ); end; architecture global_ctrl_arq of global_ctrl is type t_estado is (IDLE, CLEARING, READING, REFRESHING); signal estado : t_estado := IDLE; type t_subestado is (WAITING, REFRESHING); signal refresh_subestado : t_subestado := WAITING; signal ctrl_alfa, ctrl_beta, ctrl_gama : std_logic_vector(1 downto 0) := (others => '0'); signal alfa_aux, beta_aux, gama_aux: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal delta_alfa, delta_beta, delta_gama: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal minus_delta_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal button_down : std_logic := '0'; begin button_down <= (sw_x_pos or sw_x_neg or sw_y_pos or sw_y_neg or sw_z_pos or sw_z_neg or write_rst_i or read_rst_i); --button_down <= ( (sw_x_pos XOR sw_x_neg) OR (sw_y_pos XOR sw_y_neg) OR (sw_z_pos XOR sw_z_neg) -- OR write_rst_i OR read_rst_i ); clear_enable <= '1' when (estado = CLEARING) else '0'; read_reset_out <= '1' when (estado = CLEARING) else '0'; read_start <= '1' when (estado = READING) else '0'; write_reset_out <= write_rst_i; process(clock, button_down) begin if rising_edge(clock) then case estado is when IDLE => refresh_subestado <= WAITING; if button_down = '1' then estado <= CLEARING; clear_reset <= '0'; end if; -- Borro la memoria de video when CLEARING => if clear_stop = '1' then clear_reset <= '1'; estado <= READING; end if; -- Leo los nuevos datos when READING => clear_reset <= '0'; if read_stop = '1' then estado <= REFRESHING; end if; -- Espero a que refresque la pantalla con los nuevos datos leídos when REFRESHING => clear_reset <= '0'; case refresh_subestado is when WAITING => if vga_start = '1' then refresh_subestado <= REFRESHING; -- else refresh_subestado <= WAITING; end if; when REFRESHING => if vga_stop = '1' then estado <= IDLE; refresh_subestado <= WAITING; -- else -- refresh_subestado <= REFRESHING; end if; end case; end case; end if; end process; -- Ángulos -- Ctrl +/- (selector del mux) ctrl_alfa <= sw_x_pos & sw_x_neg; ctrl_beta <= sw_y_pos & sw_y_neg; ctrl_gama <= sw_z_pos & sw_z_neg; -- Menos delta (-delta) minus_delta_angle <= std_logic_vector(unsigned(not delta_angle) + 1); -- Mux delta_alfa <= delta_angle when ctrl_alfa = "10" else minus_delta_angle when ctrl_alfa = "01" else (others => '0'); delta_beta <= delta_angle when ctrl_beta = "10" else minus_delta_angle when ctrl_beta = "01" else (others => '0'); delta_gama <= delta_angle when ctrl_gama = "10" else minus_delta_angle when ctrl_gama = "01" else (others => '0'); alfa <= alfa_aux; beta <= beta_aux; gama <= gama_aux; -- Acumulador de ángulos process(clock, estado) begin if rising_edge(clock) then if estado = IDLE then alfa_aux <= std_logic_vector( unsigned(alfa_aux) + unsigned(delta_alfa) ); beta_aux <= std_logic_vector( unsigned(beta_aux) + unsigned(delta_beta) ); gama_aux <= std_logic_vector( unsigned(gama_aux) + unsigned(delta_gama) ); end if; end if; end process; end;
gpl-3.0
MartinCura/SistDig-TP4
src/ext_ram/pos_loader.vhd
1
2174
-- Loader de posiciones (x,y,z) desde la RAM externa library ieee; use ieee.std_logic_1164.all; entity pos_loader is port ( clock: in std_logic; reset: in std_logic; enable: in std_logic; start: in std_logic; data_in: in std_logic_vector(15 downto 0); go_ram: out std_logic; busy_ram: in std_logic; RxRdy_ram: in std_logic; RxRdy_out: out std_logic; x, y, z: out std_logic_vector(15 downto 0) ); end; architecture pos_loader_arq of pos_loader is -- Para indicar qué coordenada se está cargando type t_estado is (IDLE, X_st, Y_st, Z_st); signal estado : t_estado := IDLE; begin process(clock, reset, start, RxRdy_ram, busy_ram) begin -- reset if reset = '1' then go_ram <= '0'; estado <= IDLE; elsif rising_edge(clock) then RxRdy_out <= '0'; go_ram <= '0'; if enable = '1' then case estado is when IDLE => if start = '1' and busy_ram = '0' then -- pido X go_ram <= '1'; estado <= X_st; end if; when X_st => if RxRdy_ram = '1' then -- Ya X, pido Y x <= data_in; go_ram <= '1'; estado <= Y_st; end if; when Y_st => if RxRdy_ram = '1' then -- Ya Y, pido Z y <= data_in; go_ram <= '1'; estado <= Z_st; end if; when Z_st => if RxRdy_ram = '1' then -- Ya Z, vuelvo a IDLE z <= data_in; RxRdy_out <= '1'; -- Posición lista go_ram <= '1'; estado <= X_st;--- end if; end case; end if; end if; end process; end;
gpl-3.0
MartinCura/SistDig-TP4
old/testers/rotador3d_tb.vhd
1
3904
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cordic_lib.all; --library ieee_proposed; --use ieee_proposed.float_pkg.all; library floatfixlib; use floatfixlib.float_pkg.all; entity rotador3d_tb is generic( N_BITS_COORD : integer := 32 --- REVISAR ); port( vec_pos_pixel: out t_vec := (others => CERO) ); end; architecture rotador3d_tb_arq of rotador3d_tb is type memo_t is array(0 to 39) of std_logic_vector(15 downto 0); constant testmemo : memo_t := ( "0000000000000000", "0000000000000100", "0000000000001100", "0000000001100000", "0000000000000010", "0000000000000001", "1000001100000000", "1000000000010000", "0100000000010000", "1111111111111111", "1111110111111110", "1111101111101111", "0000000000000010", "1000000000000011", "0000000000000011", "0000000001100000", "0000000000000010", "0000000000000001", "1000001100000000", "1000000000010000", "0100000000010000", "1111111101111111", "1111111111111110", "1111111111111110", "1111101111101111", "0000000000000010", "1000000000000011", "0000000000000011", "0000000001100000", "0000000000000010", "0100000000010000", "1111111111111111", "1111111111111110", "1111101111101111", "0000000000000010", "1000000000000011", "0000000000000011", "0000000001100000", "0000000000000001", "0000000000000010" ); constant c1 : t_float := PI_PF * to_float( 0.703125) / 180; constant c2 : t_float := PI_PF * to_float( 1.406250) / 180; constant c_1 : t_float := PI_PF * to_float(-0.703125) / 180; constant c_2 : t_float := PI_PF * to_float(-1.406250) / 180; signal clk_t : std_logic := '1'; signal ena_o: std_logic := '0'; -- Enable de rotar signal pos_mem_leida: t_pos_mem := (others => (others => '0')); signal alfa, beta, gama: t_float := CERO; signal pos_leida, pos_rotada: t_pos := (others => CERO); begin clk_t <= not clk_t after 5 ns; ena_o <= '1' after 10 ns; pos_mem_leida(1) <= (15 downto 1 => '0') & '1'; pos_mem_leida(2) <= (15 downto 1 => '0') & '1'; pos_mem_leida(3) <= (15 downto 1 => '0') & '1'; ---pos_mem_leida(1) <= testmemo(3) after 0 ns;---, testmemo(10) after 100 ns, testmemo(20) after 200 ns; ---pos_mem_leida(2) <= testmemo(4) after 0 ns;---, testmemo(11) after 100 ns, testmemo(21) after 200 ns; ---pos_mem_leida(3) <= testmemo(5) after 0 ns;---, testmemo(12) after 100 ns, testmemo(22) after 200 ns; -- Paso a formato punto flotante ---process(pos_mem_leida) ---begin --- pos_leida(1) <= to_float(std_logic_vector(to_signed(to_integer(signed(pos_mem_leida(1))),N_BITS_COORD))); --- pos_leida(2) <= to_float(std_logic_vector(to_signed(to_integer(signed(pos_mem_leida(2))),N_BITS_COORD))); --- pos_leida(3) <= to_float(std_logic_vector(to_signed(to_integer(signed(pos_mem_leida(3))),N_BITS_COORD))); ---end process; pos_leida(1) <= to_float(1); pos_leida(2) <= to_float(2); pos_leida(3) <= to_float(3); alfa <= c1 after 20 ns, (PI_PF/2) after 100 ns; beta <= c1 after 20 ns, CERO after 100 ns; gama <= c1 after 20 ns, (PI_PF/2) after 100 ns, (PI_PF) after 600 ns; -- Roto la posición leída según los ángulos de rotación rotador: entity work.rotador3d port map( --- clk => clk_t, ena => ena_o, ---ena => rot_ena, pos_in => pos_leida, alfa => alfa, beta => beta, gama => gama, pos_rotada => pos_rotada ); -- Aplano a ejes (y,z) vec_pos_pixel(1) <= pos_rotada(2); vec_pos_pixel(2) <= pos_rotada(3); process(clk_t, pos_rotada) begin if falling_edge(clk_t) then report "pos x: " & integer'image(to_integer(to_signed(pos_rotada(1)*(1000000),32))) & " pos y: " & integer'image(to_integer(to_signed(pos_rotada(2)*(1000000),32))) & " pos z: " & integer'image(to_integer(to_signed(pos_rotada(3)*(1000000),32))) severity note; end if; end process; end;
gpl-3.0
kuba-moo/VHDL-lib
test/tb_bus_tail_strip.vhd
1
2956
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity tb_bus_tail_strip is end tb_bus_tail_strip; architecture behavior of tb_bus_tail_strip is -- Component Declaration for the Unit Under Test (UUT) component bus_tail_strip generic (N_BYTES : integer); port (Clk : in std_logic; Rst : in std_logic; PktIn : in std_logic; DataIn : in std_logic_vector(7 downto 0); PktOut : out std_logic; DataOut : out std_logic_vector(7 downto 0)); end component; --Inputs signal Clk : std_logic := '0'; signal Rst : std_logic := '0'; signal PktIn : std_logic := '0'; signal DataIn : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal PktOut, PktOut_1 : std_logic; signal DataOut, DataOut_1 : std_logic_vector(7 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; begin -- Instantiate the Unit Under Test (UUT) strip_3 : bus_tail_strip generic map (N_BYTES => 3) port map ( Clk => Clk, Rst => Rst, PktIn => PktIn, DataIn => DataIn, PktOut => PktOut_1, DataOut => DataOut_1 ); strip_1 : bus_tail_strip generic map (N_BYTES => 1) port map ( Clk => Clk, Rst => Rst, PktIn => PktOut_1, DataIn => DataOut_1, PktOut => PktOut, DataOut => DataOut ); -- Clock process definitions Clk_process : process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc : process begin -- hold reset state for 100 ns. wait for 100 ns; wait for Clk_period*10; PktIn <= '1'; for i in 1 to 10 loop DataIn <= CONV_std_logic_vector(i, 8); wait for Clk_period; end loop; PktIn <= '0'; wait; end process; end;
gpl-3.0
MartinCura/SistDig-TP4
old/rotador/gen_dirs.vhd
1
1069
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cordic_lib.all; ---use work.float_pkg.all; --library ieee_proposed; --use ieee_proposed.float_pkg.all; library floatfixlib; use floatfixlib.float_pkg.all; -- A partir de una posición 2D (x,y) mapea a una dirección de pantalla (i,j) entity gen_dirs is generic( -- Bits por fila/columna BR : integer := 10; -- n_bits_row BC : integer := 10 -- n_bits_col ); port( pos_2d: in t_vec; -- Posición 2D dir: out t_dir -- Dirección en pantalla de píxel correspondiente ); end entity; architecture gen_dirs_arq of gen_dirs is constant SCR_W : integer := 640; constant SCR_H : integer := 480; constant SIZE : integer := 160; begin process(pos_2d) variable x, y : integer := 0; begin x := SCR_W / 2 + to_integer( SIZE * pos_2d(1) ); y := SCR_H / 2 + to_integer( SIZE * pos_2d(2) ); ---dir := x + SCR_W * y; dir(1) <= std_logic_vector(to_unsigned(x, BR)); dir(2) <= std_logic_vector(to_unsigned(y, BC)); end process; end;
gpl-3.0
MartinCura/SistDig-TP4
src/pos_rotator.vhd
1
7375
-- Rota posiciones (x,y,z) usando el algoritmo CORDIC iterativamente library ieee; use ieee.std_logic_1164.all; entity pos_rotator is generic( Nxy : natural := 16; Nangle : natural := 16; Nits : natural := 16 ); port ( clock : in std_logic; reset : in std_logic; load : in std_logic; RxRdy : out std_logic; alfa, beta, gama : in std_logic_vector(Nangle-1 downto 0); x0, y0, z0 : in std_logic_vector(Nxy-1 downto 0); x1, y1, z1 : out std_logic_vector(Nxy-1 downto 0) ); end; architecture pos_rotator_arq of pos_rotator is constant n_reg_in : natural := 1+3*Nxy+3*Nangle-1; -- tamaño de registro de entrada -- Señales entre etapas signal xrot_load : std_logic := '0'; signal xrot_RxRdy : std_logic := '0'; signal xrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal xrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal xrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal xrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal xrot_x1_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal xrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal yrot_load : std_logic := '0'; signal yrot_RxRdy : std_logic := '0'; signal yrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal yrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal yrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal yrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal yrot_x1_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal yrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal zrot_load : std_logic := '0'; signal zrot_RxRdy : std_logic := '0'; signal zrot_angle: std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal zrot_x0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal zrot_y0: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal zrot_x1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal zrot_y1: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal out_reg_in : std_logic_vector(1+3*Nxy-1 downto 0) := (others => '0'); signal out_reg_out : std_logic_vector(1+3*Nxy-1 downto 0) := (others => '0'); signal in_reg_in : std_logic_vector(n_reg_in downto 0) := (others => '0'); signal in_reg_out : std_logic_vector(n_reg_in downto 0) := (others => '0'); signal load_delay : std_logic := '0'; signal x0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal x0_delay_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal y0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal z0_delay: std_logic_vector(Nxy-1 downto 0) := (others => '0'); signal alfa_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal beta_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0'); signal gama_delay : std_logic_vector(Nangle-1 downto 0) := (others => '0'); begin -- Registro de entrada in_reg_in <= load & x0 & y0 & z0 & alfa & beta & gama; pipe_reg_in: entity work.registroNb generic map( N => 1 + 3*Nxy + 3*Nangle ) port map( clk => clock, rst => reset, ena => '1', d => in_reg_in, q => in_reg_out ); load_delay <= in_reg_out(n_reg_in); x0_delay <= in_reg_out( n_reg_in -1 downto n_reg_in -Nxy); y0_delay <= in_reg_out( n_reg_in -Nxy-1 downto n_reg_in -2*Nxy); z0_delay <= in_reg_out( n_reg_in -2*Nxy-1 downto n_reg_in -3*Nxy); alfa_delay <= in_reg_out(n_reg_in -3*Nxy -1 downto n_reg_in -3*Nxy -Nangle); beta_delay <= in_reg_out(n_reg_in -3*Nxy -Nangle-1 downto n_reg_in -3*Nxy -2*Nangle); gama_delay <= in_reg_out(n_reg_in -3*Nxy -2*Nangle-1 downto n_reg_in -3*Nxy -3*Nangle); ------------------------------------- -- Rotador según eje X ------------------------------------- xrot_load <= load_delay; xrot_x0 <= y0_delay; xrot_y0 <= z0_delay; xrot_angle <= alfa_delay; X_rot: entity work.cordic generic map( ---Nxy => Nxy, ---Nangle => Nangle, P => Nits ) port map( clk => clock, rst => reset, load => xrot_load, x_in => xrot_x0, y_in => xrot_y0, angle => xrot_angle, x_rot => xrot_x1, y_rot => xrot_y1, rotRdy => xrot_RxRdy ); ------------------------------------- -- Delay para x ------------------------------------- X_del: entity work.delay_reg generic map( N => Nxy, DELAY => Nits +1 ) port map( clock => clock, reset => reset, enable => '1', A => x0_delay, B => x0_delay_delay ); ------------------------------------- -- Rotador según eje Y ------------------------------------- yrot_load <= xrot_RxRdy; yrot_x0 <= xrot_y1; yrot_y0 <= x0_delay_delay; yrot_angle <= beta_delay; Y_rot: entity work.cordic generic map( ---Nxy => Nxy, ---Nangle => Nangle, P => Nits ) port map( clk => clock, rst => reset, load => yrot_load, x_in => yrot_x0, y_in => yrot_y0, angle => yrot_angle, x_rot => yrot_x1, y_rot => yrot_y1, rotRdy => yrot_RxRdy ); ------------------------------------- -- Delay para y ------------------------------------- Y_del: entity work.delay_reg generic map( N => Nxy, DELAY => Nits+1 ) port map( clock => clock, reset => reset, enable => '1', A => xrot_x1, B => xrot_x1_delay ); ------------------------------------- -- Rotador según eje Z (plano XY) ------------------------------------- zrot_load <= yrot_RxRdy; zrot_x0 <= yrot_y1; zrot_y0 <= xrot_x1_delay; zrot_angle <= gama_delay; Z_rot: entity work.cordic generic map( ---Nxy => Nxy, ---Nangle => Nangle, P => Nits ) port map( clk => clock, rst => reset, load => zrot_load, x_in => zrot_x0, y_in => zrot_y0, angle => zrot_angle, x_rot => zrot_x1, y_rot => zrot_y1, rotRdy => zrot_RxRdy ); ------------------------------------- -- Delay para Z ------------------------------------- Z_del: entity work.delay_reg generic map( N => Nxy, DELAY => Nits +1 ) port map( clock => clock, reset => reset, enable => '1', A => yrot_x1, B => yrot_x1_delay ); -- Registro de salida out_reg_in <= zrot_RxRdy & zrot_x1 & zrot_y1 & yrot_x1_delay; pipe_reg_out: entity work.registroNb generic map( N => 1 + 3*Nxy ) port map( clk => clock, rst => reset, ena => '1', d => out_reg_in, q => out_reg_out ); RxRdy <= out_reg_out(1+3*Nxy-1); x1 <= out_reg_out(1+3*Nxy-1-1 downto 1+3*Nxy-1-Nxy); y1 <= out_reg_out(1+3*Nxy-1-Nxy-1 downto 1+3*Nxy-1-2*Nxy); z1 <= out_reg_out(1+3*Nxy-1-2*Nxy-1 downto 0); end;
gpl-3.0
kuba-moo/VHDL-lib
reg_master_uart.vhd
2
5493
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.math_real.all; use work.globals.all; -- UART interface for registers entity reg_master_uart is generic (REQ_SIZE : integer); -- #bytes per req port (Clk : in std_logic; Rst : in std_logic; RxByte : in byte_t; RxValid : in std_logic; TxByte : out byte_t; TxKick : out std_logic; TxBusy : in std_logic; BusO : out reg_bus_t; BusI : in reg_bus_t); end reg_master_uart; -- Operation: -- 1. receive Request from UART; -- 2. convert Request to reg_bus_t format; -- 3. send Request onto bus; -- 4. read Response from the bus (bus is looped); -- 5. convert Response to Request format; -- 6. send Response to UART. -- -- All UART frames have identical format. -- - in read requests data is treated as default value (value which will -- be returned if no register matches the address -- - in write responses data is just an echo of the request and should NOT -- be treated as new value of the register -- -- Request bit format: -- offset | field -- ---------------+------------------------------ -- 0 | read(0)/write(1) -- 1 - REG_ADDR_W | address -- ------------align-to-byte-boundary------------ -- REQ_SIZE * 8 | data (default value for read) -- -- -- WARNING: generating ny operation targeting invalid address (read or write -- that encompasses address with all 1's) will HUNG the bus master!!! architecture Behavioral of reg_master_uart is constant REQ_HDR_LEN : integer := integer(ceil(real(1 + REG_ADDR_W)/8.0)); constant REQ_MAX_LEN : integer := REQ_HDR_LEN + REQ_SIZE; type state_t is (WAIT_REQ, EMIT, WAIT_LOOP, RESPOND, WAIT_UART); subtype req_buffer_t is std_logic_vector(REQ_MAX_LEN*8 - 1 downto 0); constant ReqRWBit : integer := 0; subtype ReqAddr is natural range REG_ADDR_W downto 1; signal state, NEXT_state : state_t; signal cnt, NEXT_cnt : integer range 0 to REQ_MAX_LEN := 0; signal req_buf, NEXT_req_buf : req_buffer_t; signal res_cnt, NEXT_res_cnt : integer range 0 to REQ_MAX_LEN; begin NEXT_fsm : process (state, cnt, req_buf, res_cnt, RxValid, RxByte, TxBusy, BusI, NEXT_cnt) begin NEXT_state <= state; NEXT_cnt <= cnt; NEXT_req_buf <= req_buf; NEXT_res_cnt <= res_cnt; TxByte <= req_buf(cnt*8 + 7 downto cnt*8); TxKick <= '0'; BusO.wr <= req_buf(ReqRWBit); BusO.addr <= reg_addr_invl; BusO.data <= (others => '0'); if BusI.addr /= reg_addr_invl then -- data coming back NEXT_req_buf((res_cnt + REQ_HDR_LEN)*8 + 7 downto (res_cnt + REQ_HDR_LEN)*8) <= BusI.data; NEXT_res_cnt <= res_cnt + 1; end if; case state is when WAIT_REQ => if RxValid = '1' then NEXT_req_buf(cnt*8 + 7 downto cnt*8) <= RxByte; NEXT_cnt <= cnt + 1; end if; if cnt = REQ_MAX_LEN then NEXT_state <= EMIT; NEXT_cnt <= 0; NEXT_res_cnt <= 0; end if; when EMIT => NEXT_cnt <= cnt + 1; BusO.addr <= CONV_std_logic_vector(cnt + CONV_integer(req_buf(ReqAddr)), REG_ADDR_W); BusO.data <= req_buf((cnt + REQ_HDR_LEN)*8 + 7 downto (cnt + REQ_HDR_LEN)*8); if NEXT_cnt = REQ_SIZE then -- wait until data loops back NEXT_state <= WAIT_LOOP; end if; when WAIT_LOOP => if res_cnt = REQ_SIZE then NEXT_state <= RESPOND; NEXT_cnt <= 0; end if; when RESPOND => NEXT_state <= WAIT_UART; NEXT_cnt <= cnt + 1; TxKick <= '1'; when WAIT_UART => if TxBusy = '0' then NEXT_state <= RESPOND; end if; if cnt = REQ_MAX_LEN then NEXT_state <= WAIT_REQ; NEXT_cnt <= 0; end if; end case; end process; fsm : process (Clk) begin if rising_edge(Clk) then state <= NEXT_state; cnt <= NEXT_cnt; req_buf <= NEXT_req_buf; res_cnt <= NEXT_res_cnt; if Rst = '1' then state <= WAIT_REQ; cnt <= 0; end if; end if; end process; end Behavioral;
gpl-3.0
MartinCura/SistDig-TP4
old/testers/cordic_tb.vhd
1
1145
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cordic_lib.all; library floatfixlib; use floatfixlib.float_pkg.all; entity cordic_tb is generic( N_BITS_COORD : integer := 32 --- REVISAR ); end; architecture cordic_tb_arq of cordic_tb is signal clk_i : std_logic := '1'; signal ang : t_float := CERO; signal x, y, z : t_coordenada := CERO; signal vec_i, vec_o : t_vec; begin clk_i <= not clk_i after 5 ns; ang <= PI_PF/4 after 49 ns, PI_PF/2 after 100 ns, 3*PI_PF/4 after 150 ns, PI_PF after 200 ns, 3*PI_PF/2 after 250 ns, -PI_PF/2 after 300 ns, 2*PI_PF after 350 ns, to_float(0.5) after 400 ns; x <= to_float(1); y <= to_float(2); z <= to_float(3); vec_i(1) <= x; vec_i(2) <= y; process(vec_i, ang) begin vec_o <= cordic(vec_i, ang); end process; process(clk_i, vec_o) begin if rising_edge(clk_i) then report "pos x: " & integer'image(to_integer(to_signed(vec_o(1)*(1000),32))) & " pos y: " & integer'image(to_integer(to_signed(vec_o(2)*(1000),32))) severity note; end if; end process; end;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rdmux.vhd
18
69394
------------------------------------------------------------------------------- -- axi_datamover_rdmux.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_rdmux.vhd -- -- Description: -- This file implements the DataMover Master Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the width of the AXI Stream Data Channel ); port ( -- AXI MMap Data Channel Input ----------------------------------------------- -- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- ------------------------------------------------------------------------------- -- AXI Master Stream --------------------------------------------------------- -- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- --Mux data output -- ------------------------------------------------------------------------------- -- Command Calculator Interface ----------------------------------------------- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ------------------------------------------------------------------------------- ); end entity axi_datamover_rdmux; architecture implementation of axi_datamover_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- 1024-bit channel case var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when others => -- 1 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when others => -- 3 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when others => -- 7 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when others => -- 15 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when others => -- 31 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); end case; end process DO_32XN_NUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel input mux case -- -- ------------------------------------------------------------ GEN_64XN : if (NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_64XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when others => -- 63 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); end case; end process DO_64XN_NUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel input mux case -- -- ------------------------------------------------------------ GEN_128XN : if (NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer := 0; signal sig_mux_sel_int_local : integer := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_NUX -- -- Process Description: -- Implement the 64XN Mux -- ------------------------------------------------------------- DO_128XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 0 => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0) ; when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1) ; when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2) ; when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3) ; when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4) ; when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5) ; when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6) ; when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7) ; when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8) ; when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9) ; when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when 32 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*33)-1 downto CHANNEL_DWIDTH*32); when 33 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*34)-1 downto CHANNEL_DWIDTH*33); when 34 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*35)-1 downto CHANNEL_DWIDTH*34); when 35 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*36)-1 downto CHANNEL_DWIDTH*35); when 36 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*37)-1 downto CHANNEL_DWIDTH*36); when 37 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*38)-1 downto CHANNEL_DWIDTH*37); when 38 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*39)-1 downto CHANNEL_DWIDTH*38); when 39 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*40)-1 downto CHANNEL_DWIDTH*39); when 40 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*41)-1 downto CHANNEL_DWIDTH*40); when 41 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*42)-1 downto CHANNEL_DWIDTH*41); when 42 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*43)-1 downto CHANNEL_DWIDTH*42); when 43 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*44)-1 downto CHANNEL_DWIDTH*43); when 44 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*45)-1 downto CHANNEL_DWIDTH*44); when 45 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*46)-1 downto CHANNEL_DWIDTH*45); when 46 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*47)-1 downto CHANNEL_DWIDTH*46); when 47 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*48)-1 downto CHANNEL_DWIDTH*47); when 48 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*49)-1 downto CHANNEL_DWIDTH*48); when 49 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*50)-1 downto CHANNEL_DWIDTH*49); when 50 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*51)-1 downto CHANNEL_DWIDTH*50); when 51 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*52)-1 downto CHANNEL_DWIDTH*51); when 52 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*53)-1 downto CHANNEL_DWIDTH*52); when 53 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*54)-1 downto CHANNEL_DWIDTH*53); when 54 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*55)-1 downto CHANNEL_DWIDTH*54); when 55 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*56)-1 downto CHANNEL_DWIDTH*55); when 56 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*57)-1 downto CHANNEL_DWIDTH*56); when 57 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*58)-1 downto CHANNEL_DWIDTH*57); when 58 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*59)-1 downto CHANNEL_DWIDTH*58); when 59 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*60)-1 downto CHANNEL_DWIDTH*59); when 60 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*61)-1 downto CHANNEL_DWIDTH*60); when 61 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*62)-1 downto CHANNEL_DWIDTH*61); when 62 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*63)-1 downto CHANNEL_DWIDTH*62); when 63 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*64)-1 downto CHANNEL_DWIDTH*63); when 64 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*65)-1 downto CHANNEL_DWIDTH*64) ; when 65 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*66)-1 downto CHANNEL_DWIDTH*65) ; when 66 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*67)-1 downto CHANNEL_DWIDTH*66) ; when 67 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*68)-1 downto CHANNEL_DWIDTH*67) ; when 68 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*69)-1 downto CHANNEL_DWIDTH*68) ; when 69 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*70)-1 downto CHANNEL_DWIDTH*69) ; when 70 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*71)-1 downto CHANNEL_DWIDTH*70) ; when 71 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*72)-1 downto CHANNEL_DWIDTH*71) ; when 72 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*73)-1 downto CHANNEL_DWIDTH*72) ; when 73 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*74)-1 downto CHANNEL_DWIDTH*73) ; when 74 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*75)-1 downto CHANNEL_DWIDTH*74) ; when 75 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*76)-1 downto CHANNEL_DWIDTH*75) ; when 76 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*77)-1 downto CHANNEL_DWIDTH*76) ; when 77 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*78)-1 downto CHANNEL_DWIDTH*77) ; when 78 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*79)-1 downto CHANNEL_DWIDTH*78) ; when 79 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*80)-1 downto CHANNEL_DWIDTH*79) ; when 80 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*81)-1 downto CHANNEL_DWIDTH*80) ; when 81 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*82)-1 downto CHANNEL_DWIDTH*81) ; when 82 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*83)-1 downto CHANNEL_DWIDTH*82) ; when 83 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*84)-1 downto CHANNEL_DWIDTH*83) ; when 84 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*85)-1 downto CHANNEL_DWIDTH*84) ; when 85 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*86)-1 downto CHANNEL_DWIDTH*85) ; when 86 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*87)-1 downto CHANNEL_DWIDTH*86) ; when 87 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*88)-1 downto CHANNEL_DWIDTH*87) ; when 88 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*89)-1 downto CHANNEL_DWIDTH*88) ; when 89 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*90)-1 downto CHANNEL_DWIDTH*89) ; when 90 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*91)-1 downto CHANNEL_DWIDTH*90) ; when 91 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*92)-1 downto CHANNEL_DWIDTH*91) ; when 92 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*93)-1 downto CHANNEL_DWIDTH*92) ; when 93 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*94)-1 downto CHANNEL_DWIDTH*93) ; when 94 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*95)-1 downto CHANNEL_DWIDTH*94) ; when 95 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*96)-1 downto CHANNEL_DWIDTH*95) ; when 96 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*97 )-1 downto CHANNEL_DWIDTH*96 ) ; when 97 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*98 )-1 downto CHANNEL_DWIDTH*97 ) ; when 98 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*99 )-1 downto CHANNEL_DWIDTH*98 ) ; when 99 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*100)-1 downto CHANNEL_DWIDTH*99 ) ; when 100 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*101)-1 downto CHANNEL_DWIDTH*100) ; when 101 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*102)-1 downto CHANNEL_DWIDTH*101) ; when 102 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*103)-1 downto CHANNEL_DWIDTH*102) ; when 103 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*104)-1 downto CHANNEL_DWIDTH*103) ; when 104 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*105)-1 downto CHANNEL_DWIDTH*104) ; when 105 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*106)-1 downto CHANNEL_DWIDTH*105) ; when 106 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*107)-1 downto CHANNEL_DWIDTH*106) ; when 107 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*108)-1 downto CHANNEL_DWIDTH*107) ; when 108 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*109)-1 downto CHANNEL_DWIDTH*108) ; when 109 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*110)-1 downto CHANNEL_DWIDTH*109) ; when 110 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*111)-1 downto CHANNEL_DWIDTH*110) ; when 111 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*112)-1 downto CHANNEL_DWIDTH*111) ; when 112 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*113)-1 downto CHANNEL_DWIDTH*112) ; when 113 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*114)-1 downto CHANNEL_DWIDTH*113) ; when 114 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*115)-1 downto CHANNEL_DWIDTH*114) ; when 115 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*116)-1 downto CHANNEL_DWIDTH*115) ; when 116 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*117)-1 downto CHANNEL_DWIDTH*116) ; when 117 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*118)-1 downto CHANNEL_DWIDTH*117) ; when 118 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*119)-1 downto CHANNEL_DWIDTH*118) ; when 119 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*120)-1 downto CHANNEL_DWIDTH*119) ; when 120 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*121)-1 downto CHANNEL_DWIDTH*120) ; when 121 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*122)-1 downto CHANNEL_DWIDTH*121) ; when 122 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*123)-1 downto CHANNEL_DWIDTH*122) ; when 123 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*124)-1 downto CHANNEL_DWIDTH*123) ; when 124 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*125)-1 downto CHANNEL_DWIDTH*124) ; when 125 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*126)-1 downto CHANNEL_DWIDTH*125) ; when 126 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*127)-1 downto CHANNEL_DWIDTH*126) ; when others => -- 127 case sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*128)-1 downto CHANNEL_DWIDTH*127) ; end case; end process DO_128XN_NUX; end generate GEN_128XN; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_mm2s_dre.vhd
4
87948
------------------------------------------------------------------------------- -- axi_datamover_mm2s_dre.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_mm2s_dre.vhd -- -- Description: -- This VHDL design implements a 64 bit wide (8 byte lane) function that -- realigns an arbitrarily aligned input data stream to an arbitrarily aligned -- output data stream. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_9; use axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n; use axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n; use axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n; ------------------------------------------------------------------------------- entity axi_datamover_mm2s_dre is Generic ( C_DWIDTH : Integer := 64; -- Sets the native data width of the DRE C_ALIGN_WIDTH : Integer := 3 -- Sets the alignment port widths. The value should be -- log2(C_DWIDTH) ); port ( -- Clock and Reset inputs --------------- dre_clk : In std_logic; -- dre_rst : In std_logic; -- ---------------------------------------- -- Alignment Controls ------------------------------------------------ dre_new_align : In std_logic; -- dre_use_autodest : In std_logic; -- dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- dre_flush : In std_logic; -- ---------------------------------------------------------------------- -- Input Stream Interface -------------------------------------------- dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- dre_in_tlast : In std_logic; -- dre_in_tvalid : In std_logic; -- dre_in_tready : Out std_logic; -- ---------------------------------------------------------------------- -- Output Stream Interface ------------------------------------------- dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); -- dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- dre_out_tlast : Out std_logic; -- dre_out_tvalid : Out std_logic; -- dre_out_tready : In std_logic -- ---------------------------------------------------------------------- ); end entity axi_datamover_mm2s_dre; architecture implementation of axi_datamover_mm2s_dre is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Functions ------------------------------------------------------------------- -- Function -- -- Function Name: get_start_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the MSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_start_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_start : Integer := 0; begin bit_index_start := lane_index*lane_width; return(bit_index_start); end function get_start_index; ------------------------------------------------------------------- -- Function -- -- Function Name: get_end_index -- -- Function Description: -- This function calculates the bus bit index corresponding -- to the LSB of the Slice lane index input and the Slice width. -- ------------------------------------------------------------------- function get_end_index (lane_index : integer; lane_width : integer) return integer is Variable bit_index_end : Integer := 0; begin bit_index_end := (lane_index*lane_width) + (lane_width-1); return(bit_index_end); end function get_end_index; -- Constants Constant BYTE_WIDTH : integer := 8; -- bits Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH; Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1; Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1; Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0'); Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH; Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH; Constant NO_STRB_SET_VALUE : integer := 0; -- Types type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of std_logic_vector(SLICE_WIDTH-1 downto 0); -- Signals signal sig_input_data_reg : sig_byte_lane_type; signal sig_delay_data_reg : sig_byte_lane_type; signal sig_output_data_reg : sig_byte_lane_type; signal sig_pass_mux_bus : sig_byte_lane_type; signal sig_delay_mux_bus : sig_byte_lane_type; signal sig_final_mux_bus : sig_byte_lane_type; Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0'); Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_dre_flush_i : std_logic := '0'; Signal sig_pipeline_halt : std_logic := '0'; Signal sig_dre_tvalid_i : std_logic := '0'; Signal sig_input_accept : std_logic := '0'; Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); signal sig_final_mux_has_tlast : std_logic := '0'; signal sig_tlast_out : std_logic := '0'; Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0'); Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0'); Signal sig_auto_flush : std_logic := '0'; Signal sig_flush_db1 : std_logic := '0'; Signal sig_flush_db2 : std_logic := '0'; signal sig_flush_db1_complete : std_logic := '0'; signal sig_flush_db2_complete : std_logic := '0'; signal sig_output_xfer : std_logic := '0'; signal sig_advance_pipe_data : std_logic := '0'; Signal sig_flush_reg : std_logic := '0'; Signal sig_input_flush_stall : std_logic := '0'; signal sig_enable_input_rdy : std_logic := '0'; signal sig_input_ready : std_logic := '0'; begin --(architecture implementation) -- Misc assignments --dre_in_tready <= sig_input_accept ; dre_in_tready <= sig_input_ready ; dre_out_tstrb <= sig_dre_strb_out_i ; dre_out_tdata <= sig_dre_data_out_i ; dre_out_tvalid <= sig_dre_tvalid_i ; dre_out_tlast <= sig_tlast_out ; sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready); sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready; sig_advance_pipe_data <= (dre_in_tvalid or sig_dre_flush_i) and not(sig_pipeline_halt) and sig_enable_input_rdy; sig_dre_flush_i <= sig_auto_flush ; sig_input_accept <= dre_in_tvalid and sig_input_ready; sig_flush_db1_complete <= sig_flush_db1 and not(sig_pipeline_halt); sig_flush_db2_complete <= sig_flush_db2 and not(sig_pipeline_halt); sig_auto_flush <= sig_flush_db1 or sig_flush_db2; sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation sig_last_written_strb <= sig_dre_strb_out_i; sig_input_ready <= sig_enable_input_rdy and not(sig_pipeline_halt) and not(sig_input_flush_stall) ; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_FLOP -- -- Process Description: -- Just a flop for generating an input disable while reset -- is in progress. -- ------------------------------------------------------------- IMP_RESET_FLOP : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_enable_input_rdy <= '0'; else sig_enable_input_rdy <= '1'; end if; end if; end process IMP_RESET_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_FLUSH_IN -- -- Process Description: -- Register for the flush signal -- ------------------------------------------------------------- REG_FLUSH_IN : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db2 = '1') then sig_flush_reg <= '0'; elsif (sig_input_accept = '1') then sig_flush_reg <= dre_flush; else null; -- hold current state end if; end if; end process REG_FLUSH_IN; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_FINAL_MUX_TLAST_OR -- -- Process Description: -- Look at all associated tlast bits in the Final Mux output -- and detirmine if any are set. -- -- ------------------------------------------------------------- DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus) Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0); begin lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX); for tlast_index in 1 to NUM_BYTE_LANES-1 loop lvar_finalmux_or(tlast_index) := lvar_finalmux_or(tlast_index-1) or sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX); end loop; sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1); end process DO_FINAL_MUX_TLAST_OR; ------------------------------------------------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB1 -- -- Process Description: -- Creates the first sequential flag indicating that the DRE needs to flush out -- current contents before allowing any new inputs. This is -- triggered by the receipt of the TLAST. -- ------------------------------------------------------------- GEN_FLUSH_DB1 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db1 <= '0'; Elsif (sig_input_accept = '1') Then sig_flush_db1 <= dre_flush or dre_in_tlast; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_FLUSH_DB2 -- -- Process Description: -- Creates a second sequential flag indicating that the DRE -- is flushing out current contents. This is -- triggered by the assertion of the first sequential flush -- flag. -- ------------------------------------------------------------- GEN_FLUSH_DB2 : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then If (dre_rst = '1' or sig_flush_db2_complete = '1') Then sig_flush_db2 <= '0'; elsif (sig_pipeline_halt = '0') then sig_flush_db2 <= sig_flush_db1; else null; -- hold state end if; -- else -- null; end if; end process GEN_FLUSH_DB2; ------------------------------------------------------------- -- Combinational Process -- -- Label: CALC_DEST_STRB_ALIGN -- -- Process Description: -- This process calculates the byte lane position of the -- left-most STRB that is unasserted on the DRE output STRB bus. -- The resulting value is used as the Destination Alignment -- Vector for the DRE. -- ------------------------------------------------------------- CALC_DEST_STRB_ALIGN : process (sig_last_written_strb) Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES; Variable lvar_strb_hole_detected : Boolean; Variable lvar_first_strb_assert_found : Boolean; Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES; Begin lvar_loop_count := NUM_BYTE_LANES; lvar_last_strb_hole_position := 0; lvar_strb_hole_detected := FALSE; lvar_first_strb_assert_found := FALSE; -- Search through the output STRB bus starting with the MSByte while (lvar_loop_count > 0) loop If (sig_last_written_strb(lvar_loop_count-1) = '0' and lvar_first_strb_assert_found = FALSE) Then lvar_strb_hole_detected := TRUE; lvar_last_strb_hole_position := lvar_loop_count-1; Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then lvar_first_strb_assert_found := true; else null; -- do nothing End if; lvar_loop_count := lvar_loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last Strobe encountered If (lvar_strb_hole_detected) Then sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH)); else sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH)); End if; end process CALC_DEST_STRB_ALIGN; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ -- For Generate -- -- Label: FORMAT_OUTPUT_DATA_STRB -- -- For Generate Description: -- Connect the output Data and Strobe ports to the appropriate -- bits in the sig_output_data_reg. -- ------------------------------------------------------------ FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate begin sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto get_start_index(byte_lane_index, BYTE_WIDTH)) <= sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0); sig_dre_strb_out_i(byte_lane_index) <= sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2); end generate FORMAT_OUTPUT_DATA_STRB; ------------------------------------------------------------ ------------------------------------------------------------ ------------------------------------------------------------ --------------------------------------------------------------------------------- -- Registers ------------------------------------------------------------ -- For Generate -- -- Label: GEN_INPUT_REG -- -- For Generate Description: -- -- Implements a programble number of input register slices. -- -- ------------------------------------------------------------ GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_INPUTREG_SLICE -- -- Process Description: -- Implement a single register slice for the Input Register. -- ------------------------------------------------------------- DO_INPUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or sig_flush_db1_complete = '1' or -- clear on reset or if (dre_in_tvalid = '1' and sig_pipeline_halt = '0' and -- the pipe is being advanced and dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded sig_input_data_reg(slice_index) <= ZEROED_SLICE; elsif (dre_in_tstrb(slice_index) = '1' and sig_input_accept = '1') then sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) & dre_in_tstrb(slice_index) & dre_in_tdata((slice_index*8)+7 downto slice_index*8); else null; -- don't change state end if; end if; end process DO_INPUTREG_SLICE; end generate GEN_INPUT_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_DELAY_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DELAYREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_DELAYREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_advance_pipe_data = '1' and -- the pipe is being advanced and sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_delay_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_DELAYREG_SLICE; end generate GEN_DELAY_REG; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_OUTPUT_REG -- -- For Generate Description: -- -- Implements a programble number of output register slices -- -- ------------------------------------------------------------ GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_OUTREG_SLICE -- -- Process Description: -- Implement a single register slice -- ------------------------------------------------------------- DO_OUTREG_SLICE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1' or -- clear on reset or if (sig_output_xfer = '1' and -- the output is being transfered and sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded sig_output_data_reg(slice_index) <= ZEROED_SLICE; elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and sig_advance_pipe_data = '1') then sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index); else null; -- don't change state end if; end if; end process DO_OUTREG_SLICE; end generate GEN_OUTPUT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TVALID -- -- Process Description: -- This sync process generates the Write request for the -- destination interface. -- ------------------------------------------------------------- GEN_TVALID : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_dre_tvalid_i <= '0'; elsif (sig_advance_pipe_data = '1') then sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or sig_final_mux_has_tlast; -- the Last data beat of a packet Elsif (dre_out_tready = '1' and -- a completed write but no sig_dre_tvalid_i = '1') Then -- new input data so clear -- until more input data shows up sig_dre_tvalid_i <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TVALID; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: GEN_TLAST_OUT -- -- Process Description: -- This sync process generates the TLAST output for the -- destination interface. -- ------------------------------------------------------------- GEN_TLAST_OUT : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_tlast_out <= '0'; elsif (sig_advance_pipe_data = '1') then sig_tlast_out <= sig_final_mux_has_tlast; Elsif (dre_out_tready = '1' and -- a completed transfer sig_dre_tvalid_i = '1') Then -- so clear tlast sig_tlast_out <= '0'; else null; -- hold state end if; -- else -- null; end if; end process GEN_TLAST_OUT; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_64 -- -- If Generate Description: -- Support Logic and Mux Farm for 64-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0'); Signal s_case_i_64 : Integer range 0 to 7 := 0; Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0'); Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0'); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_8 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_8 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00000000"; elsif (sig_tlast_strobes(7) = '1') then sig_tlast_enables <= "10000000"; elsif (sig_tlast_strobes(6) = '1') then sig_tlast_enables <= "01000000"; elsif (sig_tlast_strobes(5) = '1') then sig_tlast_enables <= "00100000"; elsif (sig_tlast_strobes(4) = '1') then sig_tlast_enables <= "00010000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "00001000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "00000100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "00000010"; else sig_tlast_enables <= "00000001"; end if; end process FIND_MS_STRB_SET_8; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_64 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_64 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_64) -- signal sig_cntl_state_64 : std_logic_vector(5 downto 0); -- Signal s_case_i_64 : Integer range 0 to 7; begin sig_cntl_state_64 <= dre_src_align & sig_dest_align_i; case sig_cntl_state_64 is when "000000" => s_case_i_64 <= 0; when "000001" => s_case_i_64 <= 7; when "000010" => s_case_i_64 <= 6; when "000011" => s_case_i_64 <= 5; when "000100" => s_case_i_64 <= 4; when "000101" => s_case_i_64 <= 3; when "000110" => s_case_i_64 <= 2; when "000111" => s_case_i_64 <= 1; when "001000" => s_case_i_64 <= 1; when "001001" => s_case_i_64 <= 0; when "001010" => s_case_i_64 <= 7; when "001011" => s_case_i_64 <= 6; when "001100" => s_case_i_64 <= 5; when "001101" => s_case_i_64 <= 4; when "001110" => s_case_i_64 <= 3; when "001111" => s_case_i_64 <= 2; when "010000" => s_case_i_64 <= 2; when "010001" => s_case_i_64 <= 1; when "010010" => s_case_i_64 <= 0; when "010011" => s_case_i_64 <= 7; when "010100" => s_case_i_64 <= 6; when "010101" => s_case_i_64 <= 5; when "010110" => s_case_i_64 <= 4; when "010111" => s_case_i_64 <= 3; when "011000" => s_case_i_64 <= 3; when "011001" => s_case_i_64 <= 2; when "011010" => s_case_i_64 <= 1; when "011011" => s_case_i_64 <= 0; when "011100" => s_case_i_64 <= 7; when "011101" => s_case_i_64 <= 6; when "011110" => s_case_i_64 <= 5; when "011111" => s_case_i_64 <= 4; when "100000" => s_case_i_64 <= 4; when "100001" => s_case_i_64 <= 3; when "100010" => s_case_i_64 <= 2; when "100011" => s_case_i_64 <= 1; when "100100" => s_case_i_64 <= 0; when "100101" => s_case_i_64 <= 7; when "100110" => s_case_i_64 <= 6; when "100111" => s_case_i_64 <= 5; when "101000" => s_case_i_64 <= 5; when "101001" => s_case_i_64 <= 4; when "101010" => s_case_i_64 <= 3; when "101011" => s_case_i_64 <= 2; when "101100" => s_case_i_64 <= 1; when "101101" => s_case_i_64 <= 0; when "101110" => s_case_i_64 <= 7; when "101111" => s_case_i_64 <= 6; when "110000" => s_case_i_64 <= 6; when "110001" => s_case_i_64 <= 5; when "110010" => s_case_i_64 <= 4; when "110011" => s_case_i_64 <= 3; when "110100" => s_case_i_64 <= 2; when "110101" => s_case_i_64 <= 1; when "110110" => s_case_i_64 <= 0; when "110111" => s_case_i_64 <= 7; when "111000" => s_case_i_64 <= 7; when "111001" => s_case_i_64 <= 6; when "111010" => s_case_i_64 <= 5; when "111011" => s_case_i_64 <= 4; when "111100" => s_case_i_64 <= 3; when "111101" => s_case_i_64 <= 2; when "111110" => s_case_i_64 <= 1; when "111111" => s_case_i_64 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_64; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0) , I0 => sig_input_data_reg(1) , I1 => sig_input_data_reg(0) , Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- Pass Mux Byte 4 (8-1 x8 Mux) I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(4) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => ZEROED_SLICE , I4 => sig_input_data_reg(0) , I5 => sig_input_data_reg(1) , I6 => sig_input_data_reg(2) , I7 => sig_input_data_reg(3) , Y => sig_pass_mux_bus(4) ); -- Pass Mux Byte 5 (8-1 x8 Mux) I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(5) , I1 => ZEROED_SLICE , I2 => ZEROED_SLICE , I3 => sig_input_data_reg(0) , I4 => sig_input_data_reg(1) , I5 => sig_input_data_reg(2) , I6 => sig_input_data_reg(3) , I7 => sig_input_data_reg(4) , Y => sig_pass_mux_bus(5) ); -- Pass Mux Byte 6 (8-1 x8 Mux) I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(6) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , I4 => sig_input_data_reg(2) , I5 => sig_input_data_reg(3) , I6 => sig_input_data_reg(4) , I7 => sig_input_data_reg(5) , Y => sig_pass_mux_bus(6) ); -- Pass Mux Byte 7 (8-1 x8 Mux) I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , I4 => sig_input_data_reg(3) , I5 => sig_input_data_reg(4) , I6 => sig_input_data_reg(5) , I7 => sig_input_data_reg(6) , Y => sig_pass_mux_bus(7) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (8-1 x8 Mux) I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0) , I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , I4 => sig_input_data_reg(4) , I5 => sig_input_data_reg(5) , I6 => sig_input_data_reg(6) , I7 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (8-1 x8 Mux) I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(2) , I2 => sig_input_data_reg(3) , I3 => sig_input_data_reg(4) , I4 => sig_input_data_reg(5) , I5 => sig_input_data_reg(6) , I6 => sig_input_data_reg(7) , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (8-1 x8 Mux) I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux8_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(2 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(3) , I2 => sig_input_data_reg(4) , I3 => sig_input_data_reg(5) , I4 => sig_input_data_reg(6) , I5 => sig_input_data_reg(7) , I6 => ZEROED_SLICE , I7 => ZEROED_SLICE , Y => sig_delay_mux_bus(2) ); -- Delay Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(7) , I1 => sig_input_data_reg(4) , I2 => sig_input_data_reg(5) , I3 => sig_input_data_reg(6) , Y => sig_delay_mux_bus(3) ); -- Delay Mux Byte 4 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(5) , I2 => sig_input_data_reg(6) , I3 => sig_input_data_reg(7) , Y => sig_delay_mux_bus(4) ); -- Delay Mux Byte 5 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH -- : Integer := 8 ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(7), I1 => sig_input_data_reg(6), Y => sig_delay_mux_bus(5) ); -- Delay Mux Byte 6 (Wire) sig_delay_mux_bus(6) <= sig_input_data_reg(7); -- Delay Mux Byte 7 (Zeroed) sig_delay_mux_bus(7) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Byte 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(0) <= '0'; when "001" => sig_final_mux_sel(0) <= '1'; when "010" => sig_final_mux_sel(0) <= '1'; when "011" => sig_final_mux_sel(0) <= '1'; when "100" => sig_final_mux_sel(0) <= '1'; when "101" => sig_final_mux_sel(0) <= '1'; when "110" => sig_final_mux_sel(0) <= '1'; when "111" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_input_data_reg(0), I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Byte 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(1) <= '0'; when "001" => sig_final_mux_sel(1) <= '1'; when "010" => sig_final_mux_sel(1) <= '1'; when "011" => sig_final_mux_sel(1) <= '1'; when "100" => sig_final_mux_sel(1) <= '1'; when "101" => sig_final_mux_sel(1) <= '1'; when "110" => sig_final_mux_sel(1) <= '1'; when "111" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Byte 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(2) <= '0'; when "001" => sig_final_mux_sel(2) <= '1'; when "010" => sig_final_mux_sel(2) <= '1'; when "011" => sig_final_mux_sel(2) <= '1'; when "100" => sig_final_mux_sel(2) <= '1'; when "101" => sig_final_mux_sel(2) <= '1'; when "110" => sig_final_mux_sel(2) <= '0'; when "111" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Byte 3 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B3_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 3 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(3) <= '0'; when "001" => sig_final_mux_sel(3) <= '1'; when "010" => sig_final_mux_sel(3) <= '1'; when "011" => sig_final_mux_sel(3) <= '1'; when "100" => sig_final_mux_sel(3) <= '1'; when "101" => sig_final_mux_sel(3) <= '0'; when "110" => sig_final_mux_sel(3) <= '0'; when "111" => sig_final_mux_sel(3) <= '0'; when others => sig_final_mux_sel(3) <= '0'; end case; end process MUX2_1_FINAL_B3_CNTL; I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(3) , I0 => sig_pass_mux_bus(3) , I1 => sig_delay_data_reg(3), Y => sig_final_mux_bus(3) ); -- Final Mux Byte 4 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B4_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 4 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(4) <= '0'; when "001" => sig_final_mux_sel(4) <= '1'; when "010" => sig_final_mux_sel(4) <= '1'; when "011" => sig_final_mux_sel(4) <= '1'; when "100" => sig_final_mux_sel(4) <= '0'; when "101" => sig_final_mux_sel(4) <= '0'; when "110" => sig_final_mux_sel(4) <= '0'; when "111" => sig_final_mux_sel(4) <= '0'; when others => sig_final_mux_sel(4) <= '0'; end case; end process MUX2_1_FINAL_B4_CNTL; I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(4) , I0 => sig_pass_mux_bus(4) , I1 => sig_delay_data_reg(4), Y => sig_final_mux_bus(4) ); -- Final Mux Byte 5 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B5_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 5 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(5) <= '0'; when "001" => sig_final_mux_sel(5) <= '1'; when "010" => sig_final_mux_sel(5) <= '1'; when "011" => sig_final_mux_sel(5) <= '0'; when "100" => sig_final_mux_sel(5) <= '0'; when "101" => sig_final_mux_sel(5) <= '0'; when "110" => sig_final_mux_sel(5) <= '0'; when "111" => sig_final_mux_sel(5) <= '0'; when others => sig_final_mux_sel(5) <= '0'; end case; end process MUX2_1_FINAL_B5_CNTL; I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(5) , I0 => sig_pass_mux_bus(5) , I1 => sig_delay_data_reg(5), Y => sig_final_mux_bus(5) ); -- Final Mux Byte 6 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B6_CNTL -- -- Process Description: -- This process generates the Select Control for Byte 6 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "000" => sig_final_mux_sel(6) <= '0'; when "001" => sig_final_mux_sel(6) <= '1'; when "010" => sig_final_mux_sel(6) <= '0'; when "011" => sig_final_mux_sel(6) <= '0'; when "100" => sig_final_mux_sel(6) <= '0'; when "101" => sig_final_mux_sel(6) <= '0'; when "110" => sig_final_mux_sel(6) <= '0'; when "111" => sig_final_mux_sel(6) <= '0'; when others => sig_final_mux_sel(6) <= '0'; end case; end process MUX2_1_FINAL_B6_CNTL; I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(6) , I0 => sig_pass_mux_bus(6) , I1 => sig_delay_data_reg(6), Y => sig_final_mux_bus(6) ); -- Final Mux Byte 7 (wire) sig_final_mux_sel(7) <= '0'; sig_final_mux_bus(7) <= sig_pass_mux_bus(7); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_64; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_32 -- -- If Generate Description: -- Support Logic and Mux Farm for 32-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate signal sig_cntl_state_32 : std_logic_vector(3 downto 0); Signal s_case_i_32 : Integer range 0 to 3; Signal sig_shift_case_i : std_logic_vector(1 downto 0); Signal sig_shift_case_reg : std_logic_vector(1 downto 0); Signal sig_final_mux_sel : std_logic_vector(3 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_4 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_4 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "0000"; elsif (sig_tlast_strobes(3) = '1') then sig_tlast_enables <= "1000"; elsif (sig_tlast_strobes(2) = '1') then sig_tlast_enables <= "0100"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "0010"; else sig_tlast_enables <= "0001"; end if; end process FIND_MS_STRB_SET_4; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to sld_logic_vector --sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2); sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2)); ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_32 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_32 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_32) begin sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0); case sig_cntl_state_32 is when "0000" => s_case_i_32 <= 0; when "0001" => s_case_i_32 <= 3; when "0010" => s_case_i_32 <= 2; when "0011" => s_case_i_32 <= 1; when "0100" => s_case_i_32 <= 1; when "0101" => s_case_i_32 <= 0; when "0110" => s_case_i_32 <= 3; when "0111" => s_case_i_32 <= 2; when "1000" => s_case_i_32 <= 2; when "1001" => s_case_i_32 <= 1; when "1010" => s_case_i_32 <= 0; when "1011" => s_case_i_32 <= 3; when "1100" => s_case_i_32 <= 3; when "1101" => s_case_i_32 <= 2; when "1110" => s_case_i_32 <= 1; when "1111" => s_case_i_32 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_32; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= (others => '0'); elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- Pass Mux Byte 2 (4-1 x8 Mux) I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(2) , I1 => ZEROED_SLICE , I2 => sig_input_data_reg(0) , I3 => sig_input_data_reg(1) , Y => sig_pass_mux_bus(2) ); -- Pass Mux Byte 3 (4-1 x8 Mux) I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => sig_input_data_reg(3) , I1 => sig_input_data_reg(0) , I2 => sig_input_data_reg(1) , I3 => sig_input_data_reg(2) , Y => sig_pass_mux_bus(3) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Byte 0 (4-1 x8 Mux) I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux4_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(1 downto 0), I0 => ZEROED_SLICE , I1 => sig_input_data_reg(1) , I2 => sig_input_data_reg(2) , I3 => sig_input_data_reg(3) , Y => sig_delay_mux_bus(0) ); -- Delay Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg(0), I0 => sig_input_data_reg(3), I1 => sig_input_data_reg(2), Y => sig_delay_mux_bus(1) ); -- Delay Mux Byte 2 (Wire) sig_delay_mux_bus(2) <= sig_input_data_reg(3); -- Delay Mux Byte 3 (Zeroed) sig_delay_mux_bus(3) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(0) <= '0'; when "01" => sig_final_mux_sel(0) <= '1'; when "10" => sig_final_mux_sel(0) <= '1'; when "11" => sig_final_mux_sel(0) <= '1'; when others => sig_final_mux_sel(0) <= '0'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B1_CNTL -- -- Process Description: -- This process generates the Select Control for slice 1 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(1) <= '0'; when "01" => sig_final_mux_sel(1) <= '1'; when "10" => sig_final_mux_sel(1) <= '1'; when "11" => sig_final_mux_sel(1) <= '0'; when others => sig_final_mux_sel(1) <= '0'; end case; end process MUX2_1_FINAL_B1_CNTL; I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(1) , I0 => sig_pass_mux_bus(1) , I1 => sig_delay_data_reg(1), Y => sig_final_mux_bus(1) ); -- Final Mux Slice 2 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B2_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 2 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when "00" => sig_final_mux_sel(2) <= '0'; when "01" => sig_final_mux_sel(2) <= '1'; when "10" => sig_final_mux_sel(2) <= '0'; when "11" => sig_final_mux_sel(2) <= '0'; when others => sig_final_mux_sel(2) <= '0'; end case; end process MUX2_1_FINAL_B2_CNTL; I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(2) , I0 => sig_pass_mux_bus(2) , I1 => sig_delay_data_reg(2), Y => sig_final_mux_bus(2) ); -- Final Mux Slice 3 (wire) sig_final_mux_sel(3) <= '0'; sig_final_mux_bus(3) <= sig_pass_mux_bus(3); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_32; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MUXFARM_16 -- -- If Generate Description: -- Support Logic and Mux Farm for 16-bit data path case -- -- ------------------------------------------------------------ GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate signal sig_cntl_state_16 : std_logic_vector(1 downto 0); Signal s_case_i_16 : Integer range 0 to 1; Signal sig_shift_case_i : std_logic; Signal sig_shift_case_reg : std_logic; Signal sig_final_mux_sel : std_logic_vector(1 downto 0); begin ------------------------------------------------------------- -- Combinational Process -- -- Label: FIND_MS_STRB_SET_2 -- -- Process Description: -- This process finds the most significant asserted strobe -- position. This position is used to enable the input flop -- for TLAST that is associated with that byte position. The -- TLAST can then flow through the DRE pipe with the last -- valid byte of data. -- ------------------------------------------------------------- FIND_MS_STRB_SET_2 : process (dre_in_tlast, dre_in_tstrb, sig_tlast_strobes) begin sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static if (dre_in_tlast = '0') then sig_tlast_enables <= "00"; elsif (sig_tlast_strobes(1) = '1') then sig_tlast_enables <= "10"; else sig_tlast_enables <= "01"; end if; end process FIND_MS_STRB_SET_2; --------------------------------------------------------------------------------- -- Shift Case logic -- The new auto-destination alignment is based on the last -- strobe alignment written into the output register. sig_next_auto_dest <= sig_current_dest_align; -- Select the destination alignment to use sig_dest_align_i <= sig_next_auto_dest When (dre_use_autodest = '1') Else dre_dest_align; -- Convert shift case to std_logic sig_shift_case_i <= '1' When s_case_i_16 = 1 Else '0'; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_SHIFT_CASE_16 -- -- Process Description: -- Implements the DRE Control State Calculator -- ------------------------------------------------------------- DO_SHIFT_CASE_16 : process (dre_src_align , sig_dest_align_i, sig_cntl_state_16) begin sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0); case sig_cntl_state_16 is when "00" => s_case_i_16 <= 0; when "01" => s_case_i_16 <= 1; when "10" => s_case_i_16 <= 1; when "11" => s_case_i_16 <= 0; when others => NULL; end case; end process DO_SHIFT_CASE_16; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_SHIFT_CASE -- -- Process Description: -- This process registers the Shift Case output from the -- Shift Case Generator. This will be used to control the -- select inputs of the Shift Muxes for the duration of the -- data transfer session. If Pass Through is requested, then -- Shift Case 0 is forced regardless of source and destination -- alignment values. -- ------------------------------------------------------------- REG_SHIFT_CASE : process (dre_clk) begin if (dre_clk'event and dre_clk = '1') then if (dre_rst = '1') then sig_shift_case_reg <= '0'; elsif (dre_new_align = '1' and sig_input_accept = '1') then sig_shift_case_reg <= sig_shift_case_i; else null; -- hold state end if; -- else -- null; end if; end process REG_SHIFT_CASE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start PASS Mux Farm Design------------------------------------------------- -- Pass Mux Byte 0 (wire) -- This is a wire so..... sig_pass_mux_bus(0) <= sig_input_data_reg(0); -- Pass Mux Byte 1 (2-1 x8 Mux) I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_shift_case_reg, I0 => sig_input_data_reg(1), I1 => sig_input_data_reg(0), Y => sig_pass_mux_bus(1) ); -- End PASS Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Delay Mux Farm Design------------------------------------------------- -- Delay Mux Slice 0 (Wire) sig_delay_mux_bus(0) <= sig_input_data_reg(1); -- Delay Mux Slice 1 (Zeroed) sig_delay_mux_bus(1) <= ZEROED_SLICE; -- End Delay Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Start Final Mux Farm Design------------------------------------------------- -- Final Mux Slice 0 (2-1 x8 Mux) ------------------------------------------------------------- -- Combinational Process -- -- Label: MUX2_1_FINAL_B0_CNTL -- -- Process Description: -- This process generates the Select Control for Slice 0 of -- the Final 2-1 Mux of the DRE. -- ------------------------------------------------------------- MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg) begin case sig_shift_case_reg is when '0' => sig_final_mux_sel(0) <= '0'; when others => sig_final_mux_sel(0) <= '1'; end case; end process MUX2_1_FINAL_B0_CNTL; I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1_9.axi_datamover_dre_mux2_1_x_n generic map( C_WIDTH => SLICE_WIDTH ) port map( Sel => sig_final_mux_sel(0) , I0 => sig_pass_mux_bus(0) , I1 => sig_delay_data_reg(0), Y => sig_final_mux_bus(0) ); -- Final Mux Slice 1 (wire) sig_final_mux_sel(1) <= '0'; sig_final_mux_bus(1) <= sig_pass_mux_bus(1); -- End Final Mux Farm Design--------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- end generate GEN_MUXFARM_16; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_register_s2mm.vhd
4
174357
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_register_s2mm.vhd -- -- Description: This entity encompasses the channel register set. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_register_s2mm is generic( C_NUM_REGISTERS : integer := 11 ; C_INCLUDE_SG : integer := 1 ; C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14 ; C_S_AXI_LITE_DATA_WIDTH : integer range 32 to 32 := 32 ; C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; C_NUM_S2MM_CHANNELS : integer range 1 to 16 := 1 ; C_MICRO_DMA : integer range 0 to 1 := 0 ; C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 --C_CHANNEL_IS_S2MM : integer range 0 to 1 := 0 CR603034 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- AXI Interface Control -- axi2ip_wrce : in std_logic_vector -- (C_NUM_REGISTERS-1 downto 0) ; -- axi2ip_wrdata : in std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- -- -- DMASR Control -- stop_dma : in std_logic ; -- halted_clr : in std_logic ; -- halted_set : in std_logic ; -- idle_set : in std_logic ; -- idle_clr : in std_logic ; -- ioc_irq_set : in std_logic ; -- dly_irq_set : in std_logic ; -- irqdelay_status : in std_logic_vector(7 downto 0) ; -- irqthresh_status : in std_logic_vector(7 downto 0) ; -- irqthresh_wren : out std_logic ; -- irqdelay_wren : out std_logic ; -- dlyirq_dsble : out std_logic ; -- CR605888 -- -- Error Control -- dma_interr_set : in std_logic ; -- dma_slverr_set : in std_logic ; -- dma_decerr_set : in std_logic ; -- ftch_interr_set : in std_logic ; -- ftch_slverr_set : in std_logic ; -- ftch_decerr_set : in std_logic ; -- ftch_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- updt_interr_set : in std_logic ; -- updt_slverr_set : in std_logic ; -- updt_decerr_set : in std_logic ; -- updt_error_addr : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- error_in : in std_logic ; -- error_out : out std_logic ; -- introut : out std_logic ; -- soft_reset_in : in std_logic ; -- soft_reset_clr : in std_logic ; -- -- -- CURDESC Update -- update_curdesc : in std_logic ; -- tdest_in : in std_logic_vector (5 downto 0) ; new_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- TAILDESC Update -- tailpntr_updated : out std_logic ; -- -- -- Channel Register Out -- sg_ctl : out std_logic_vector (7 downto 0) ; dmacr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- dmasr : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc1_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc1_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc1_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc1_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc2_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc2_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc2_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc2_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc3_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc3_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc3_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc3_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc4_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc4_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc4_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc4_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc5_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc5_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc5_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc5_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc6_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc6_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc6_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc6_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc7_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc7_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc7_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc7_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc8_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc8_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc8_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc8_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc9_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc9_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc9_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc9_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc10_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc10_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc10_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc10_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc11_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc11_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc11_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc11_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc12_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc12_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc12_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc12_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc13_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc13_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc13_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc13_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc14_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc14_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc14_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc14_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc15_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- curdesc15_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc15_lsb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- taildesc15_msb : out std_logic_vector -- (C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- buffer_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- buffer_length : out std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- buffer_length_wren : out std_logic ; -- bytes_received : in std_logic_vector -- (C_SG_LENGTH_WIDTH-1 downto 0) ; -- bytes_received_wren : in std_logic -- ); -- end axi_dma_register_s2mm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_register_s2mm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- constant SGCTL_INDEX : integer := 0; constant DMACR_INDEX : integer := 1; -- DMACR Register index constant DMASR_INDEX : integer := 2; -- DMASR Register index constant CURDESC_LSB_INDEX : integer := 3; -- CURDESC LSB Reg index constant CURDESC_MSB_INDEX : integer := 4; -- CURDESC MSB Reg index constant TAILDESC_LSB_INDEX : integer := 5; -- TAILDESC LSB Reg index constant TAILDESC_MSB_INDEX : integer := 6; -- TAILDESC MSB Reg index constant CURDESC1_LSB_INDEX : integer := 17; -- CURDESC LSB Reg index constant CURDESC1_MSB_INDEX : integer := 18; -- CURDESC MSB Reg index constant TAILDESC1_LSB_INDEX : integer := 19; -- TAILDESC LSB Reg index constant TAILDESC1_MSB_INDEX : integer := 20; -- TAILDESC MSB Reg index constant CURDESC2_LSB_INDEX : integer := 25; -- CURDESC LSB Reg index constant CURDESC2_MSB_INDEX : integer := 26; -- CURDESC MSB Reg index constant TAILDESC2_LSB_INDEX : integer := 27; -- TAILDESC LSB Reg index constant TAILDESC2_MSB_INDEX : integer := 28; -- TAILDESC MSB Reg index constant CURDESC3_LSB_INDEX : integer := 33; -- CURDESC LSB Reg index constant CURDESC3_MSB_INDEX : integer := 34; -- CURDESC MSB Reg index constant TAILDESC3_LSB_INDEX : integer := 35; -- TAILDESC LSB Reg index constant TAILDESC3_MSB_INDEX : integer := 36; -- TAILDESC MSB Reg index constant CURDESC4_LSB_INDEX : integer := 41; -- CURDESC LSB Reg index constant CURDESC4_MSB_INDEX : integer := 42; -- CURDESC MSB Reg index constant TAILDESC4_LSB_INDEX : integer := 43; -- TAILDESC LSB Reg index constant TAILDESC4_MSB_INDEX : integer := 44; -- TAILDESC MSB Reg index constant CURDESC5_LSB_INDEX : integer := 49; -- CURDESC LSB Reg index constant CURDESC5_MSB_INDEX : integer := 50; -- CURDESC MSB Reg index constant TAILDESC5_LSB_INDEX : integer := 51; -- TAILDESC LSB Reg index constant TAILDESC5_MSB_INDEX : integer := 52; -- TAILDESC MSB Reg index constant CURDESC6_LSB_INDEX : integer := 57; -- CURDESC LSB Reg index constant CURDESC6_MSB_INDEX : integer := 58; -- CURDESC MSB Reg index constant TAILDESC6_LSB_INDEX : integer := 59; -- TAILDESC LSB Reg index constant TAILDESC6_MSB_INDEX : integer := 60; -- TAILDESC MSB Reg index constant CURDESC7_LSB_INDEX : integer := 65; -- CURDESC LSB Reg index constant CURDESC7_MSB_INDEX : integer := 66; -- CURDESC MSB Reg index constant TAILDESC7_LSB_INDEX : integer := 67; -- TAILDESC LSB Reg index constant TAILDESC7_MSB_INDEX : integer := 68; -- TAILDESC MSB Reg index constant CURDESC8_LSB_INDEX : integer := 73; -- CURDESC LSB Reg index constant CURDESC8_MSB_INDEX : integer := 74; -- CURDESC MSB Reg index constant TAILDESC8_LSB_INDEX : integer := 75; -- TAILDESC LSB Reg index constant TAILDESC8_MSB_INDEX : integer := 76; -- TAILDESC MSB Reg index constant CURDESC9_LSB_INDEX : integer := 81; -- CURDESC LSB Reg index constant CURDESC9_MSB_INDEX : integer := 82; -- CURDESC MSB Reg index constant TAILDESC9_LSB_INDEX : integer := 83; -- TAILDESC LSB Reg index constant TAILDESC9_MSB_INDEX : integer := 84; -- TAILDESC MSB Reg index constant CURDESC10_LSB_INDEX : integer := 89; -- CURDESC LSB Reg index constant CURDESC10_MSB_INDEX : integer := 90; -- CURDESC MSB Reg index constant TAILDESC10_LSB_INDEX : integer := 91; -- TAILDESC LSB Reg index constant TAILDESC10_MSB_INDEX : integer := 92; -- TAILDESC MSB Reg index constant CURDESC11_LSB_INDEX : integer := 97; -- CURDESC LSB Reg index constant CURDESC11_MSB_INDEX : integer := 98; -- CURDESC MSB Reg index constant TAILDESC11_LSB_INDEX : integer := 99; -- TAILDESC LSB Reg index constant TAILDESC11_MSB_INDEX : integer := 100; -- TAILDESC MSB Reg index constant CURDESC12_LSB_INDEX : integer := 105; -- CURDESC LSB Reg index constant CURDESC12_MSB_INDEX : integer := 106; -- CURDESC MSB Reg index constant TAILDESC12_LSB_INDEX : integer := 107; -- TAILDESC LSB Reg index constant TAILDESC12_MSB_INDEX : integer := 108; -- TAILDESC MSB Reg index constant CURDESC13_LSB_INDEX : integer := 113; -- CURDESC LSB Reg index constant CURDESC13_MSB_INDEX : integer := 114; -- CURDESC MSB Reg index constant TAILDESC13_LSB_INDEX : integer := 115; -- TAILDESC LSB Reg index constant TAILDESC13_MSB_INDEX : integer := 116; -- TAILDESC MSB Reg index constant CURDESC14_LSB_INDEX : integer := 121; -- CURDESC LSB Reg index constant CURDESC14_MSB_INDEX : integer := 122; -- CURDESC MSB Reg index constant TAILDESC14_LSB_INDEX : integer := 123; -- TAILDESC LSB Reg index constant TAILDESC14_MSB_INDEX : integer := 124; -- TAILDESC MSB Reg index constant CURDESC15_LSB_INDEX : integer := 129; -- CURDESC LSB Reg index constant CURDESC15_MSB_INDEX : integer := 130; -- CURDESC MSB Reg index constant TAILDESC15_LSB_INDEX : integer := 131; -- TAILDESC LSB Reg index constant TAILDESC15_MSB_INDEX : integer := 132; -- TAILDESC MSB Reg index -- CR603034 moved s2mm back to offset 6 --constant SA_ADDRESS_INDEX : integer := 6; -- Buffer Address Reg (SA) --constant DA_ADDRESS_INDEX : integer := 8; -- Buffer Address Reg (DA) -- -- --constant BUFF_ADDRESS_INDEX : integer := address_index_select -- Buffer Address Reg (SA or DA) -- (C_CHANNEL_IS_S2MM, -- Channel Type 1=rx 0=tx -- SA_ADDRESS_INDEX, -- Source Address Index -- DA_ADDRESS_INDEX); -- Destination Address Index constant BUFF_ADDRESS_INDEX : integer := 7; constant BUFF_ADDRESS_MSB_INDEX : integer := 8; constant BUFF_LENGTH_INDEX : integer := 11; -- Buffer Length Reg constant ZERO_VALUE : std_logic_vector(31 downto 0) := (others => '0'); constant DMA_CONFIG : std_logic_vector(0 downto 0) := std_logic_vector(to_unsigned(C_INCLUDE_SG,1)); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal dmacr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal dmasr_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal curdesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 6) := (others => '0'); signal taildesc_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_address_64_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal buffer_length_i : std_logic_vector (C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0'); signal curdesc1_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc1_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc1_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc1_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc2_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc2_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc2_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc2_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc3_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc3_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc3_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc3_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc4_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc4_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc4_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc4_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc5_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc5_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc5_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc5_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc6_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc6_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc6_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc6_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc7_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc7_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc7_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc7_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc8_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc8_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc8_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc8_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc9_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc9_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc9_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc9_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc10_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc10_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc10_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc10_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc11_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc11_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc11_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc11_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc12_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc12_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc12_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc12_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc13_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc13_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc13_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc13_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc14_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc14_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc14_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc14_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc15_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc15_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc15_lsb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal taildesc15_msb_i : std_logic_vector (C_S_AXI_LITE_DATA_WIDTH-1 downto 0) := (others => '0'); signal update_curdesc1 : std_logic := '0'; signal update_curdesc2 : std_logic := '0'; signal update_curdesc3 : std_logic := '0'; signal update_curdesc4 : std_logic := '0'; signal update_curdesc5 : std_logic := '0'; signal update_curdesc6 : std_logic := '0'; signal update_curdesc7 : std_logic := '0'; signal update_curdesc8 : std_logic := '0'; signal update_curdesc9 : std_logic := '0'; signal update_curdesc10 : std_logic := '0'; signal update_curdesc11 : std_logic := '0'; signal update_curdesc12 : std_logic := '0'; signal update_curdesc13 : std_logic := '0'; signal update_curdesc14 : std_logic := '0'; signal update_curdesc15 : std_logic := '0'; signal dest0 : std_logic := '0'; signal dest1 : std_logic := '0'; signal dest2 : std_logic := '0'; signal dest3 : std_logic := '0'; signal dest4 : std_logic := '0'; signal dest5 : std_logic := '0'; signal dest6 : std_logic := '0'; signal dest7 : std_logic := '0'; signal dest8 : std_logic := '0'; signal dest9 : std_logic := '0'; signal dest10 : std_logic := '0'; signal dest11 : std_logic := '0'; signal dest12 : std_logic := '0'; signal dest13 : std_logic := '0'; signal dest14 : std_logic := '0'; signal dest15 : std_logic := '0'; -- DMASR Signals signal halted : std_logic := '0'; signal idle : std_logic := '0'; signal cmplt : std_logic := '0'; signal error : std_logic := '0'; signal dma_interr : std_logic := '0'; signal dma_slverr : std_logic := '0'; signal dma_decerr : std_logic := '0'; signal sg_interr : std_logic := '0'; signal sg_slverr : std_logic := '0'; signal sg_decerr : std_logic := '0'; signal ioc_irq : std_logic := '0'; signal dly_irq : std_logic := '0'; signal error_d1 : std_logic := '0'; signal error_re : std_logic := '0'; signal err_irq : std_logic := '0'; signal sg_ftch_error : std_logic := '0'; signal sg_updt_error : std_logic := '0'; signal error_pointer_set : std_logic := '0'; signal error_pointer_set1 : std_logic := '0'; signal error_pointer_set2 : std_logic := '0'; signal error_pointer_set3 : std_logic := '0'; signal error_pointer_set4 : std_logic := '0'; signal error_pointer_set5 : std_logic := '0'; signal error_pointer_set6 : std_logic := '0'; signal error_pointer_set7 : std_logic := '0'; signal error_pointer_set8 : std_logic := '0'; signal error_pointer_set9 : std_logic := '0'; signal error_pointer_set10 : std_logic := '0'; signal error_pointer_set11 : std_logic := '0'; signal error_pointer_set12 : std_logic := '0'; signal error_pointer_set13 : std_logic := '0'; signal error_pointer_set14 : std_logic := '0'; signal error_pointer_set15 : std_logic := '0'; -- interrupt coalescing support signals signal different_delay : std_logic := '0'; signal different_thresh : std_logic := '0'; signal threshold_is_zero : std_logic := '0'; -- soft reset support signals signal soft_reset_i : std_logic := '0'; signal run_stop_clr : std_logic := '0'; signal tail_update_lsb : std_logic := '0'; signal tail_update_msb : std_logic := '0'; signal sg_cache_info : std_logic_vector (7 downto 0); signal halt_free : std_logic := '0'; signal tmp11 : std_logic := '0'; signal sig_cur_updated : std_logic := '0'; signal tailpntr_updated_d1 : std_logic; signal tailpntr_updated_d2 : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin GEN_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 1 generate begin halt_free <= '1'; end generate GEN_MULTI_CH; GEN_NOMULTI_CH : if C_ENABLE_MULTI_CHANNEL = 0 generate begin halt_free <= dmasr_i(DMASR_HALTED_BIT); end generate GEN_NOMULTI_CH; GEN_DESC_UPDATE_FOR_SG : if C_NUM_S2MM_CHANNELS = 1 generate begin update_curdesc1 <= '0'; update_curdesc2 <= '0'; update_curdesc3 <= '0'; update_curdesc4 <= '0'; update_curdesc5 <= '0'; update_curdesc6 <= '0'; update_curdesc7 <= '0'; update_curdesc8 <= '0'; update_curdesc9 <= '0'; update_curdesc10 <= '0'; update_curdesc11 <= '0'; update_curdesc12 <= '0'; update_curdesc13 <= '0'; update_curdesc14 <= '0'; update_curdesc15 <= '0'; end generate GEN_DESC_UPDATE_FOR_SG; dest0 <= '1' when tdest_in (4 downto 0) = "00000" else '0'; dest1 <= '1' when tdest_in (4 downto 0) = "00001" else '0'; dest2 <= '1' when tdest_in (4 downto 0) = "00010" else '0'; dest3 <= '1' when tdest_in (4 downto 0) = "00011" else '0'; dest4 <= '1' when tdest_in (4 downto 0) = "00100" else '0'; dest5 <= '1' when tdest_in (4 downto 0) = "00101" else '0'; dest6 <= '1' when tdest_in (4 downto 0) = "00110" else '0'; dest7 <= '1' when tdest_in (4 downto 0) = "00111" else '0'; dest8 <= '1' when tdest_in (4 downto 0) = "01000" else '0'; dest9 <= '1' when tdest_in (4 downto 0) = "01001" else '0'; dest10 <= '1' when tdest_in (4 downto 0) = "01010" else '0'; dest11 <= '1' when tdest_in (4 downto 0) = "01011" else '0'; dest12 <= '1' when tdest_in (4 downto 0) = "01100" else '0'; dest13 <= '1' when tdest_in (4 downto 0) = "01101" else '0'; dest14 <= '1' when tdest_in (4 downto 0) = "01110" else '0'; dest15 <= '1' when tdest_in (4 downto 0) = "01111" else '0'; GEN_DESC_UPDATE_FOR_SG_CH : if C_NUM_S2MM_CHANNELS > 1 generate update_curdesc1 <= update_curdesc when tdest_in (4 downto 0) = "00001" else '0'; update_curdesc2 <= update_curdesc when tdest_in (4 downto 0) = "00010" else '0'; update_curdesc3 <= update_curdesc when tdest_in (4 downto 0) = "00011" else '0'; update_curdesc4 <= update_curdesc when tdest_in (4 downto 0) = "00100" else '0'; update_curdesc5 <= update_curdesc when tdest_in (4 downto 0) = "00101" else '0'; update_curdesc6 <= update_curdesc when tdest_in (4 downto 0) = "00110" else '0'; update_curdesc7 <= update_curdesc when tdest_in (4 downto 0) = "00111" else '0'; update_curdesc8 <= update_curdesc when tdest_in (4 downto 0) = "01000" else '0'; update_curdesc9 <= update_curdesc when tdest_in (4 downto 0) = "01001" else '0'; update_curdesc10 <= update_curdesc when tdest_in (4 downto 0) = "01010" else '0'; update_curdesc11 <= update_curdesc when tdest_in (4 downto 0) = "01011" else '0'; update_curdesc12 <= update_curdesc when tdest_in (4 downto 0) = "01100" else '0'; update_curdesc13 <= update_curdesc when tdest_in (4 downto 0) = "01101" else '0'; update_curdesc14 <= update_curdesc when tdest_in (4 downto 0) = "01110" else '0'; update_curdesc15 <= update_curdesc when tdest_in (4 downto 0) = "01111" else '0'; end generate GEN_DESC_UPDATE_FOR_SG_CH; GEN_DA_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin buffer_address <= buffer_address_64_i & buffer_address_i ; end generate GEN_DA_ADDR_EQL64; GEN_DA_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin buffer_address <= buffer_address_i ; end generate GEN_DA_ADDR_EQL32; dmacr <= dmacr_i ; dmasr <= dmasr_i ; curdesc_lsb <= curdesc_lsb_i (31 downto 6) & "000000" ; curdesc_msb <= curdesc_msb_i ; taildesc_lsb <= taildesc_lsb_i (31 downto 6) & "000000" ; taildesc_msb <= taildesc_msb_i ; buffer_length <= buffer_length_i ; curdesc1_lsb <= curdesc1_lsb_i ; curdesc1_msb <= curdesc1_msb_i ; taildesc1_lsb <= taildesc1_lsb_i ; taildesc1_msb <= taildesc1_msb_i ; curdesc2_lsb <= curdesc2_lsb_i ; curdesc2_msb <= curdesc2_msb_i ; taildesc2_lsb <= taildesc2_lsb_i ; taildesc2_msb <= taildesc2_msb_i ; curdesc3_lsb <= curdesc3_lsb_i ; curdesc3_msb <= curdesc3_msb_i ; taildesc3_lsb <= taildesc3_lsb_i ; taildesc3_msb <= taildesc3_msb_i ; curdesc4_lsb <= curdesc4_lsb_i ; curdesc4_msb <= curdesc4_msb_i ; taildesc4_lsb <= taildesc4_lsb_i ; taildesc4_msb <= taildesc4_msb_i ; curdesc5_lsb <= curdesc5_lsb_i ; curdesc5_msb <= curdesc5_msb_i ; taildesc5_lsb <= taildesc5_lsb_i ; taildesc5_msb <= taildesc5_msb_i ; curdesc6_lsb <= curdesc6_lsb_i ; curdesc6_msb <= curdesc6_msb_i ; taildesc6_lsb <= taildesc6_lsb_i ; taildesc6_msb <= taildesc6_msb_i ; curdesc7_lsb <= curdesc7_lsb_i ; curdesc7_msb <= curdesc7_msb_i ; taildesc7_lsb <= taildesc7_lsb_i ; taildesc7_msb <= taildesc7_msb_i ; curdesc8_lsb <= curdesc8_lsb_i ; curdesc8_msb <= curdesc8_msb_i ; taildesc8_lsb <= taildesc8_lsb_i ; taildesc8_msb <= taildesc8_msb_i ; curdesc9_lsb <= curdesc9_lsb_i ; curdesc9_msb <= curdesc9_msb_i ; taildesc9_lsb <= taildesc9_lsb_i ; taildesc9_msb <= taildesc9_msb_i ; curdesc10_lsb <= curdesc10_lsb_i ; curdesc10_msb <= curdesc10_msb_i ; taildesc10_lsb <= taildesc10_lsb_i ; taildesc10_msb <= taildesc10_msb_i ; curdesc11_lsb <= curdesc11_lsb_i ; curdesc11_msb <= curdesc11_msb_i ; taildesc11_lsb <= taildesc11_lsb_i ; taildesc11_msb <= taildesc11_msb_i ; curdesc12_lsb <= curdesc12_lsb_i ; curdesc12_msb <= curdesc12_msb_i ; taildesc12_lsb <= taildesc12_lsb_i ; taildesc12_msb <= taildesc12_msb_i ; curdesc13_lsb <= curdesc13_lsb_i ; curdesc13_msb <= curdesc13_msb_i ; taildesc13_lsb <= taildesc13_lsb_i ; taildesc13_msb <= taildesc13_msb_i ; curdesc14_lsb <= curdesc14_lsb_i ; curdesc14_msb <= curdesc14_msb_i ; taildesc14_lsb <= taildesc14_lsb_i ; taildesc14_msb <= taildesc14_msb_i ; curdesc15_lsb <= curdesc15_lsb_i ; curdesc15_msb <= curdesc15_msb_i ; taildesc15_lsb <= taildesc15_lsb_i ; taildesc15_msb <= taildesc15_msb_i ; --------------------------------------------------------------------------- -- DMA Control Register --------------------------------------------------------------------------- -- DMACR - Interrupt Delay Value ------------------------------------------------------------------------------- DMACR_DELAY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT); end if; end if; end process DMACR_DELAY; -- If written delay is different than previous value then assert write enable different_delay <= '1' when dmacr_i(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQDELAY_MSB_BIT downto DMACR_IRQDELAY_LSB_BIT) else '0'; -- delay value different, drive write of delay value to interrupt controller NEW_DELAY_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqdelay_wren <= '0'; -- If AXI Lite write to DMACR and delay different than current -- setting then update delay value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_delay = '1')then irqdelay_wren <= '1'; else irqdelay_wren <= '0'; end if; end if; end process NEW_DELAY_WRITE; ------------------------------------------------------------------------------- -- DMACR - Interrupt Threshold Value ------------------------------------------------------------------------------- threshold_is_zero <= '1' when axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) = ZERO_THRESHOLD else '0'; DMACR_THRESH : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- On AXI Lite write elsif(axi2ip_wrce(DMACR_INDEX) = '1')then -- If value is 0 then set threshold to 1 if(threshold_is_zero='1')then dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= ONE_THRESHOLD; -- else set threshold to axi lite wrdata value else dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) <= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT); end if; end if; end if; end process DMACR_THRESH; -- If written threshold is different than previous value then assert write enable different_thresh <= '1' when dmacr_i(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) /= axi2ip_wrdata(DMACR_IRQTHRESH_MSB_BIT downto DMACR_IRQTHRESH_LSB_BIT) else '0'; -- new treshold written therefore drive write of threshold out NEW_THRESH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then irqthresh_wren <= '0'; -- If AXI Lite write to DMACR and threshold different than current -- setting then update threshold value elsif(axi2ip_wrce(DMACR_INDEX) = '1' and different_thresh = '1')then irqthresh_wren <= '1'; else irqthresh_wren <= '0'; end if; end if; end process NEW_THRESH_WRITE; ------------------------------------------------------------------------------- -- DMACR - Remainder of DMA Control Register, Key Hole write bit (3) ------------------------------------------------------------------------------- DMACR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 downto DMACR_RESERVED5_BIT) <= (others => '0'); elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_IRQTHRESH_LSB_BIT-1 -- bit 15 downto DMACR_RESERVED5_BIT) <= ZERO_VALUE(DMACR_RESERVED15_BIT) -- bit 14 & axi2ip_wrdata(DMACR_ERR_IRQEN_BIT) -- bit 13 & axi2ip_wrdata(DMACR_DLY_IRQEN_BIT) -- bit 12 & axi2ip_wrdata(DMACR_IOC_IRQEN_BIT) -- bits 11 downto 3 & ZERO_VALUE(DMACR_RESERVED11_BIT downto DMACR_RESERVED5_BIT); end if; end if; end process DMACR_REGISTER; DMACR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or C_ENABLE_MULTI_CHANNEL = 1)then dmacr_i(DMACR_KH_BIT) <= '0'; dmacr_i(CYCLIC_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_KH_BIT) <= axi2ip_wrdata(DMACR_KH_BIT); dmacr_i(CYCLIC_BIT) <= axi2ip_wrdata(CYCLIC_BIT); end if; end if; end process DMACR_REGISTER1; ------------------------------------------------------------------------------- -- DMACR - Reset Bit ------------------------------------------------------------------------------- DMACR_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset_clr = '1')then dmacr_i(DMACR_RESET_BIT) <= '0'; -- If soft reset set in other channel then set -- reset bit here too elsif(soft_reset_in = '1')then dmacr_i(DMACR_RESET_BIT) <= '1'; -- If DMACR Write then pass axi lite write bus to DMARC reset bit elsif(soft_reset_i = '0' and axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RESET_BIT) <= axi2ip_wrdata(DMACR_RESET_BIT); end if; end if; end process DMACR_RESET; soft_reset_i <= dmacr_i(DMACR_RESET_BIT); ------------------------------------------------------------------------------- -- Tail Pointer Enable fixed at 1 for this release of axi dma ------------------------------------------------------------------------------- dmacr_i(DMACR_TAILPEN_BIT) <= '1'; ------------------------------------------------------------------------------- -- DMACR - Run/Stop Bit ------------------------------------------------------------------------------- run_stop_clr <= '1' when error = '1' -- MM2S DataMover Error or error_in = '1' -- S2MM Error or stop_dma = '1' -- Stop due to error or soft_reset_i = '1' -- MM2S Soft Reset or soft_reset_in = '1' -- S2MM Soft Reset else '0'; DMACR_RUNSTOP : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dmacr_i(DMACR_RS_BIT) <= '0'; -- Clear on sg error (i.e. error) or other channel -- error (i.e. error_in) or dma error or soft reset elsif(run_stop_clr = '1')then dmacr_i(DMACR_RS_BIT) <= '0'; elsif(axi2ip_wrce(DMACR_INDEX) = '1')then dmacr_i(DMACR_RS_BIT) <= axi2ip_wrdata(DMACR_RS_BIT); end if; end if; end process DMACR_RUNSTOP; --------------------------------------------------------------------------- -- DMA Status Halted bit (BIT 0) - Set by dma controller indicating DMA -- channel is halted. --------------------------------------------------------------------------- DMASR_HALTED : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or halted_set = '1')then halted <= '1'; elsif(halted_clr = '1')then halted <= '0'; end if; end if; end process DMASR_HALTED; --------------------------------------------------------------------------- -- DMA Status Idle bit (BIT 1) - Set by dma controller indicating DMA -- channel is IDLE waiting at tail pointer. Update of Tail Pointer -- will cause engine to resume. Note: Halted channels return to a -- reset condition. --------------------------------------------------------------------------- DMASR_IDLE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or idle_clr = '1' or halted_set = '1')then idle <= '0'; elsif(idle_set = '1')then idle <= '1'; end if; end if; end process DMASR_IDLE; --------------------------------------------------------------------------- -- DMA Status Error bit (BIT 3) -- Note: any error will cause entire engine to halt --------------------------------------------------------------------------- error <= dma_interr or dma_slverr or dma_decerr or sg_interr or sg_slverr or sg_decerr; -- Scatter Gather Error --sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; -- SG Update Errors or DMA errors assert flag on descriptor update -- Used to latch current descriptor pointer --sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set -- or dma_interr or dma_slverr or dma_decerr; -- Map out to halt opposing channel error_out <= error; SG_FTCH_ERROR_PROC : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_ftch_error <= '0'; sg_updt_error <= '0'; else sg_ftch_error <= ftch_interr_set or ftch_slverr_set or ftch_decerr_set; sg_updt_error <= updt_interr_set or updt_slverr_set or updt_decerr_set or dma_interr or dma_slverr or dma_decerr; end if; end if; end process SG_FTCH_ERROR_PROC; --------------------------------------------------------------------------- -- DMA Status DMA Internal Error bit (BIT 4) --------------------------------------------------------------------------- DMASR_DMAINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_interr <= '0'; elsif(dma_interr_set = '1' )then dma_interr <= '1'; end if; end if; end process DMASR_DMAINTERR; --------------------------------------------------------------------------- -- DMA Status DMA Slave Error bit (BIT 5) --------------------------------------------------------------------------- DMASR_DMASLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_slverr <= '0'; elsif(dma_slverr_set = '1' )then dma_slverr <= '1'; end if; end if; end process DMASR_DMASLVERR; --------------------------------------------------------------------------- -- DMA Status DMA Decode Error bit (BIT 6) --------------------------------------------------------------------------- DMASR_DMADECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dma_decerr <= '0'; elsif(dma_decerr_set = '1' )then dma_decerr <= '1'; end if; end if; end process DMASR_DMADECERR; --------------------------------------------------------------------------- -- DMA Status SG Internal Error bit (BIT 8) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGINTERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_interr <= '0'; elsif(ftch_interr_set = '1' or updt_interr_set = '1')then sg_interr <= '1'; end if; end if; end process DMASR_SGINTERR; --------------------------------------------------------------------------- -- DMA Status SG Slave Error bit (BIT 9) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGSLVERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_slverr <= '0'; elsif(ftch_slverr_set = '1' or updt_slverr_set = '1')then sg_slverr <= '1'; end if; end if; end process DMASR_SGSLVERR; --------------------------------------------------------------------------- -- DMA Status SG Decode Error bit (BIT 10) -- (SG Mode only - trimmed at build time if simple mode) --------------------------------------------------------------------------- DMASR_SGDECERR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_decerr <= '0'; elsif(ftch_decerr_set = '1' or updt_decerr_set = '1')then sg_decerr <= '1'; end if; end if; end process DMASR_SGDECERR; --------------------------------------------------------------------------- -- DMA Status IOC Interrupt status bit (BIT 11) --------------------------------------------------------------------------- DMASR_IOCIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ioc_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then ioc_irq <= (ioc_irq and not(axi2ip_wrdata(DMASR_IOCIRQ_BIT))) or ioc_irq_set; elsif(ioc_irq_set = '1')then ioc_irq <= '1'; end if; end if; end process DMASR_IOCIRQ; --------------------------------------------------------------------------- -- DMA Status Delay Interrupt status bit (BIT 12) --------------------------------------------------------------------------- DMASR_DLYIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then dly_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then dly_irq <= (dly_irq and not(axi2ip_wrdata(DMASR_DLYIRQ_BIT))) or dly_irq_set; elsif(dly_irq_set = '1')then dly_irq <= '1'; end if; end if; end process DMASR_DLYIRQ; -- CR605888 Disable delay timer if halted or on delay irq set --dlyirq_dsble <= dmasr_i(DMASR_HALTED_BIT) -- CR606348 dlyirq_dsble <= not dmacr_i(DMACR_RS_BIT) -- CR606348 or dmasr_i(DMASR_DLYIRQ_BIT); --------------------------------------------------------------------------- -- DMA Status Error Interrupt status bit (BIT 12) --------------------------------------------------------------------------- -- Delay error setting for generation of error strobe GEN_ERROR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then error_d1 <= '0'; else error_d1 <= error; end if; end if; end process GEN_ERROR_RE; -- Generate rising edge pulse on error error_re <= error and not error_d1; DMASR_ERRIRQ : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then err_irq <= '0'; -- CPU Writing a '1' to clear - OR'ed with setting to prevent -- missing a 'set' during the write. elsif(axi2ip_wrce(DMASR_INDEX) = '1' )then err_irq <= (err_irq and not(axi2ip_wrdata(DMASR_ERRIRQ_BIT))) or error_re; elsif(error_re = '1')then err_irq <= '1'; end if; end if; end process DMASR_ERRIRQ; --------------------------------------------------------------------------- -- DMA Interrupt OUT --------------------------------------------------------------------------- REG_INTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or soft_reset_i = '1')then introut <= '0'; else introut <= (dly_irq and dmacr_i(DMACR_DLY_IRQEN_BIT)) or (ioc_irq and dmacr_i(DMACR_IOC_IRQEN_BIT)) or (err_irq and dmacr_i(DMACR_ERR_IRQEN_BIT)); end if; end if; end process; --------------------------------------------------------------------------- -- DMA Status Register --------------------------------------------------------------------------- dmasr_i <= irqdelay_status -- Bits 31 downto 24 & irqthresh_status -- Bits 23 downto 16 & '0' -- Bit 15 & err_irq -- Bit 14 & dly_irq -- Bit 13 & ioc_irq -- Bit 12 & '0' -- Bit 11 & sg_decerr -- Bit 10 & sg_slverr -- Bit 9 & sg_interr -- Bit 8 & '0' -- Bit 7 & dma_decerr -- Bit 6 & dma_slverr -- Bit 5 & dma_interr -- Bit 4 & DMA_CONFIG -- Bit 3 & '0' -- Bit 2 & idle -- Bit 1 & halted; -- Bit 0 -- Generate current descriptor and tail descriptor register for Scatter Gather Mode GEN_DESC_REG_FOR_SG : if C_INCLUDE_SG = 1 generate begin GEN_SG_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 1 generate begin MM2S_SGCTL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_cache_info <= "00000011"; --(others => '0'); elsif(axi2ip_wrce(SGCTL_INDEX) = '1' ) then sg_cache_info <= axi2ip_wrdata(11 downto 8) & axi2ip_wrdata(3 downto 0); else sg_cache_info <= sg_cache_info; end if; end if; end process MM2S_SGCTL; sg_ctl <= sg_cache_info; end generate GEN_SG_CTL_REG; GEN_SG_NO_CTL_REG : if C_ENABLE_MULTI_CHANNEL = 0 generate begin sg_ctl <= "00000011"; --(others => '0'); end generate GEN_SG_NO_CTL_REG; -- Signals not used for Scatter Gather Mode, only simple mode buffer_address_i <= (others => '0'); buffer_length_i <= (others => '0'); buffer_length_wren <= '0'; --------------------------------------------------------------------------- -- Current Descriptor LSB Register --------------------------------------------------------------------------- CURDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_lsb_i <= (others => '0'); error_pointer_set <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest0 = '1')then curdesc_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest0 = '1')then -- curdesc_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest0 = '1')then curdesc_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 6); error_pointer_set <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_LSB_INDEX) = '1' and halt_free = '1')then curdesc_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(CURDESC_RESERVED_BIT5 -- downto CURDESC_RESERVED_BIT0); error_pointer_set <= '0'; end if; end if; end if; end process CURDESC_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_LSB_INDEX) = '1')then taildesc_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT); -- & ZERO_VALUE(TAILDESC_RESERVED_BIT5 -- downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC_LSB_REGISTER; GEN_DESC1_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 1 generate CURDESC1_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc1_lsb_i <= (others => '0'); error_pointer_set1 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set1 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest1 = '1')then curdesc1_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set1 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest1 = '1')then -- curdesc1_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set1 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc1 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest1 = '1')then curdesc1_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set1 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC1_LSB_INDEX) = '1' and halt_free = '1')then curdesc1_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set1 <= '0'; end if; end if; end if; end process CURDESC1_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC1_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc1_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC1_LSB_INDEX) = '1')then taildesc1_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC1_LSB_REGISTER; end generate GEN_DESC1_REG_FOR_SG; GEN_DESC2_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 2 generate CURDESC2_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc2_lsb_i <= (others => '0'); error_pointer_set2 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set2 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest2 = '1')then curdesc2_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set2 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest2 = '1')then -- curdesc2_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set2 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc2 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest2 = '1')then curdesc2_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set2 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC2_LSB_INDEX) = '1' and halt_free = '1')then curdesc2_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set2 <= '0'; end if; end if; end if; end process CURDESC2_LSB_REGISTER; TAILDESC2_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc2_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC2_LSB_INDEX) = '1')then taildesc2_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC2_LSB_REGISTER; end generate GEN_DESC2_REG_FOR_SG; GEN_DESC3_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 3 generate CURDESC3_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc3_lsb_i <= (others => '0'); error_pointer_set3 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set3 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest3 = '1')then curdesc3_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set3 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest3 = '1')then -- curdesc3_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set3 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc3 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest3 = '1')then curdesc3_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set3 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC3_LSB_INDEX) = '1' and halt_free = '1')then curdesc3_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set3 <= '0'; end if; end if; end if; end process CURDESC3_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC3_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc3_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC3_LSB_INDEX) = '1')then taildesc3_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC3_LSB_REGISTER; end generate GEN_DESC3_REG_FOR_SG; GEN_DESC4_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 4 generate CURDESC4_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc4_lsb_i <= (others => '0'); error_pointer_set4 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set4 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest4 = '1')then curdesc4_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set4 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest4 = '1')then -- curdesc4_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set4 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc4 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest4 = '1')then curdesc4_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set4 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC4_LSB_INDEX) = '1' and halt_free = '1')then curdesc4_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set4 <= '0'; end if; end if; end if; end process CURDESC4_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC4_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc4_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC4_LSB_INDEX) = '1')then taildesc4_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC4_LSB_REGISTER; end generate GEN_DESC4_REG_FOR_SG; GEN_DESC5_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 5 generate CURDESC5_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc5_lsb_i <= (others => '0'); error_pointer_set5 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set5 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest5 = '1')then curdesc5_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set5 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest5 = '1')then -- curdesc5_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set5 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc5 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest5 = '1')then curdesc5_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set5 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC5_LSB_INDEX) = '1' and halt_free = '1')then curdesc5_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set5 <= '0'; end if; end if; end if; end process CURDESC5_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC5_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc5_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC5_LSB_INDEX) = '1')then taildesc5_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC5_LSB_REGISTER; end generate GEN_DESC5_REG_FOR_SG; GEN_DESC6_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 6 generate CURDESC6_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc6_lsb_i <= (others => '0'); error_pointer_set6 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set6 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest6 = '1')then curdesc6_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set6 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest6 = '1')then -- curdesc6_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set6 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc6 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest6 = '1')then curdesc6_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set6 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC6_LSB_INDEX) = '1' and halt_free = '1')then curdesc6_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set6 <= '0'; end if; end if; end if; end process CURDESC6_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC6_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc6_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC6_LSB_INDEX) = '1')then taildesc6_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC6_LSB_REGISTER; end generate GEN_DESC6_REG_FOR_SG; GEN_DESC7_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 7 generate CURDESC7_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc7_lsb_i <= (others => '0'); error_pointer_set7 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set7 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest7 = '1')then curdesc7_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set7 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest7 = '1')then -- curdesc7_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set7 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc7 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest7 = '1')then curdesc7_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set7 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC7_LSB_INDEX) = '1' and halt_free = '1')then curdesc7_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set7 <= '0'; end if; end if; end if; end process CURDESC7_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC7_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc7_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC7_LSB_INDEX) = '1')then taildesc7_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC7_LSB_REGISTER; end generate GEN_DESC7_REG_FOR_SG; GEN_DESC8_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 8 generate CURDESC8_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc8_lsb_i <= (others => '0'); error_pointer_set8 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set8 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest8 = '1')then curdesc8_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set8 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest8 = '1')then -- curdesc8_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set8 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc8 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest8 = '1')then curdesc8_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set8 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC8_LSB_INDEX) = '1' and halt_free = '1')then curdesc8_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set8 <= '0'; end if; end if; end if; end process CURDESC8_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC8_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc8_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC8_LSB_INDEX) = '1')then taildesc8_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC8_LSB_REGISTER; end generate GEN_DESC8_REG_FOR_SG; GEN_DESC9_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 9 generate CURDESC9_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc9_lsb_i <= (others => '0'); error_pointer_set9 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set9 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest9 = '1')then curdesc9_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set9 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest9 = '1')then -- curdesc9_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set9 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc9 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest9 = '1')then curdesc9_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set9 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC9_LSB_INDEX) = '1' and halt_free = '1')then curdesc9_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set9 <= '0'; end if; end if; end if; end process CURDESC9_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC9_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc9_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC9_LSB_INDEX) = '1')then taildesc9_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC9_LSB_REGISTER; end generate GEN_DESC9_REG_FOR_SG; GEN_DESC10_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 10 generate CURDESC10_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc10_lsb_i <= (others => '0'); error_pointer_set10 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set10 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest10 = '1')then curdesc10_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set10 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest10 = '1')then -- curdesc10_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set10 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc10 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest10 = '1')then curdesc10_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set10 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC10_LSB_INDEX) = '1' and halt_free = '1')then curdesc10_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set10 <= '0'; end if; end if; end if; end process CURDESC10_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC10_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc10_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC10_LSB_INDEX) = '1')then taildesc10_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC10_LSB_REGISTER; end generate GEN_DESC10_REG_FOR_SG; GEN_DESC11_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 11 generate CURDESC11_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc11_lsb_i <= (others => '0'); error_pointer_set11 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set11 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest11 = '1')then curdesc11_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set11 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest11 = '1')then -- curdesc11_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set11 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc11 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest11 = '1')then curdesc11_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set11 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC11_LSB_INDEX) = '1' and halt_free = '1')then curdesc11_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set11 <= '0'; end if; end if; end if; end process CURDESC11_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC11_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc11_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC11_LSB_INDEX) = '1')then taildesc11_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC11_LSB_REGISTER; end generate GEN_DESC11_REG_FOR_SG; GEN_DESC12_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 12 generate CURDESC12_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc12_lsb_i <= (others => '0'); error_pointer_set12 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set12 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest12 = '1')then curdesc12_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set12 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest12 = '1')then -- curdesc12_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set12 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc12 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest12 = '1')then curdesc12_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set12 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC12_LSB_INDEX) = '1' and halt_free = '1')then curdesc12_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set12 <= '0'; end if; end if; end if; end process CURDESC12_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC12_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc12_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC12_LSB_INDEX) = '1')then taildesc12_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC12_LSB_REGISTER; end generate GEN_DESC12_REG_FOR_SG; GEN_DESC13_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 13 generate CURDESC13_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc13_lsb_i <= (others => '0'); error_pointer_set13 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set13 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest13 = '1')then curdesc13_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set13 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest13 = '1')then -- curdesc13_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set13 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc13 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest13 = '1')then curdesc13_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set13 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC13_LSB_INDEX) = '1' and halt_free = '1')then curdesc13_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set13 <= '0'; end if; end if; end if; end process CURDESC13_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC13_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc13_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC13_LSB_INDEX) = '1')then taildesc13_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC13_LSB_REGISTER; end generate GEN_DESC13_REG_FOR_SG; GEN_DESC14_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 14 generate CURDESC14_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc14_lsb_i <= (others => '0'); error_pointer_set14 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set14 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest14 = '1')then curdesc14_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set14 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest14 = '1')then -- curdesc14_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set14 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc14 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest14 = '1')then curdesc14_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set14 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC14_LSB_INDEX) = '1' and halt_free = '1')then curdesc14_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set14 <= '0'; end if; end if; end if; end process CURDESC14_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC14_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc14_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC14_LSB_INDEX) = '1')then taildesc14_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC14_LSB_REGISTER; end generate GEN_DESC14_REG_FOR_SG; GEN_DESC15_REG_FOR_SG : if C_NUM_S2MM_CHANNELS > 15 generate CURDESC15_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc15_lsb_i <= (others => '0'); error_pointer_set15 <= '0'; -- Detected error has NOT register a desc pointer elsif(error_pointer_set15 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest15 = '1')then curdesc15_lsb_i <= ftch_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set15 <= '1'; -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest15 = '1')then -- curdesc15_lsb_i <= updt_error_addr(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); -- error_pointer_set15 <= '1'; -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc15 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest15 = '1')then curdesc15_lsb_i <= new_curdesc(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); error_pointer_set15 <= '0'; -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC15_LSB_INDEX) = '1' and halt_free = '1')then curdesc15_lsb_i <= axi2ip_wrdata(CURDESC_LOWER_MSB_BIT downto CURDESC_LOWER_LSB_BIT) & ZERO_VALUE(CURDESC_RESERVED_BIT5 downto CURDESC_RESERVED_BIT0); error_pointer_set15 <= '0'; end if; end if; end if; end process CURDESC15_LSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor LSB Register --------------------------------------------------------------------------- TAILDESC15_LSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc15_lsb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC15_LSB_INDEX) = '1')then taildesc15_lsb_i <= axi2ip_wrdata(TAILDESC_LOWER_MSB_BIT downto TAILDESC_LOWER_LSB_BIT) & ZERO_VALUE(TAILDESC_RESERVED_BIT5 downto TAILDESC_RESERVED_BIT0); end if; end if; end process TAILDESC15_LSB_REGISTER; end generate GEN_DESC15_REG_FOR_SG; --------------------------------------------------------------------------- -- Current Descriptor MSB Register --------------------------------------------------------------------------- -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_SG_ADDR_EQL64 :if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin CURDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc_msb_i <= (others => '0'); elsif(error_pointer_set = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest0 = '1')then curdesc_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error elsif(sg_updt_error = '1' and dest0 = '1')then curdesc_msb_i <= updt_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest0 = '1')then curdesc_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC_MSB_INDEX) = '1' and halt_free = '1')then curdesc_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC_MSB_INDEX) = '1')then taildesc_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC_MSB_REGISTER; GEN_DESC1_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 1 generate CURDESC1_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc1_msb_i <= (others => '0'); elsif(error_pointer_set1 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest1 = '1')then curdesc1_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest1 = '1')then -- curdesc1_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc1 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest1 = '1')then curdesc1_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC1_MSB_INDEX) = '1' and halt_free = '1')then curdesc1_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC1_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC1_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc1_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC1_MSB_INDEX) = '1')then taildesc1_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC1_MSB_REGISTER; end generate GEN_DESC1_MSB_FOR_SG; GEN_DESC2_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 2 generate CURDESC2_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc2_msb_i <= (others => '0'); elsif(error_pointer_set2 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest2 = '1')then curdesc2_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest2 = '1')then -- curdesc2_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc2 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest2 = '1')then curdesc2_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC2_MSB_INDEX) = '1' and halt_free = '1')then curdesc2_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC2_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC2_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc2_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC2_MSB_INDEX) = '1')then taildesc2_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC2_MSB_REGISTER; end generate GEN_DESC2_MSB_FOR_SG; GEN_DESC3_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 3 generate CURDESC3_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc3_msb_i <= (others => '0'); elsif(error_pointer_set3 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest3 = '1')then curdesc3_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest3 = '1')then -- curdesc3_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc3 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest3 = '1')then curdesc3_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC3_MSB_INDEX) = '1' and halt_free = '1')then curdesc3_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC3_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC3_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc3_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC3_MSB_INDEX) = '1')then taildesc3_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC3_MSB_REGISTER; end generate GEN_DESC3_MSB_FOR_SG; GEN_DESC4_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 4 generate CURDESC4_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc4_msb_i <= (others => '0'); elsif(error_pointer_set4 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest4 = '1')then curdesc4_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest4 = '1')then -- curdesc4_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc4 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest4 = '1')then curdesc4_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC4_MSB_INDEX) = '1' and halt_free = '1')then curdesc4_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC4_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC4_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc4_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC4_MSB_INDEX) = '1')then taildesc4_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC4_MSB_REGISTER; end generate GEN_DESC4_MSB_FOR_SG; GEN_DESC5_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 5 generate CURDESC5_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc5_msb_i <= (others => '0'); elsif(error_pointer_set5 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest5 = '1')then curdesc5_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest5 = '1')then -- curdesc5_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc5 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest5 = '1')then curdesc5_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC5_MSB_INDEX) = '1' and halt_free = '1')then curdesc5_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC5_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC5_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc5_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC5_MSB_INDEX) = '1')then taildesc5_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC5_MSB_REGISTER; end generate GEN_DESC5_MSB_FOR_SG; GEN_DESC6_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 6 generate CURDESC6_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc6_msb_i <= (others => '0'); elsif(error_pointer_set6 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest6 = '1')then curdesc6_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest6 = '1')then -- curdesc6_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc6 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest6 = '1')then curdesc6_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC6_MSB_INDEX) = '1' and halt_free = '1')then curdesc6_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC6_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC6_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc6_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC6_MSB_INDEX) = '1')then taildesc6_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC6_MSB_REGISTER; end generate GEN_DESC6_MSB_FOR_SG; GEN_DESC7_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 7 generate CURDESC7_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc7_msb_i <= (others => '0'); elsif(error_pointer_set7 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest7 = '1')then curdesc7_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest7 = '1')then -- curdesc7_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc7 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest7 = '1')then curdesc7_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC7_MSB_INDEX) = '1' and halt_free = '1')then curdesc7_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC7_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC7_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc7_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC7_MSB_INDEX) = '1')then taildesc7_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC7_MSB_REGISTER; end generate GEN_DESC7_MSB_FOR_SG; GEN_DESC8_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 8 generate CURDESC8_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc8_msb_i <= (others => '0'); elsif(error_pointer_set8 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest8 = '1')then curdesc8_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest8 = '1')then -- curdesc8_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc8 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest8 = '1')then curdesc8_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC8_MSB_INDEX) = '1' and halt_free = '1')then curdesc8_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC8_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC8_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc8_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC8_MSB_INDEX) = '1')then taildesc8_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC8_MSB_REGISTER; end generate GEN_DESC8_MSB_FOR_SG; GEN_DESC9_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 9 generate CURDESC9_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc9_msb_i <= (others => '0'); elsif(error_pointer_set9 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest9 = '1')then curdesc9_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest9 = '1')then -- curdesc9_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc9 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest9 = '1')then curdesc9_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC9_MSB_INDEX) = '1' and halt_free = '1')then curdesc9_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC9_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC9_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc9_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC9_MSB_INDEX) = '1')then taildesc9_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC9_MSB_REGISTER; end generate GEN_DESC9_MSB_FOR_SG; GEN_DESC10_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 10 generate CURDESC10_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc10_msb_i <= (others => '0'); elsif(error_pointer_set10 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest10 = '1')then curdesc10_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest10 = '1')then -- curdesc10_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc10 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest10 = '1')then curdesc10_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC10_MSB_INDEX) = '1' and halt_free = '1')then curdesc10_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC10_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC10_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc10_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC10_MSB_INDEX) = '1')then taildesc10_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC10_MSB_REGISTER; end generate GEN_DESC10_MSB_FOR_SG; GEN_DESC11_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 11 generate CURDESC11_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc11_msb_i <= (others => '0'); elsif(error_pointer_set11 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest11 = '1')then curdesc11_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest11 = '1')then -- curdesc11_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc11 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest11 = '1')then curdesc11_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC11_MSB_INDEX) = '1' and halt_free = '1')then curdesc11_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC11_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC11_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc11_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC11_MSB_INDEX) = '1')then taildesc11_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC11_MSB_REGISTER; end generate GEN_DESC11_MSB_FOR_SG; GEN_DESC12_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 12 generate CURDESC12_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc12_msb_i <= (others => '0'); elsif(error_pointer_set12 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest12 = '1')then curdesc12_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest12 = '1')then -- curdesc12_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc12 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest12 = '1')then curdesc12_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC12_MSB_INDEX) = '1' and halt_free = '1')then curdesc12_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC12_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC12_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc12_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC12_MSB_INDEX) = '1')then taildesc12_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC12_MSB_REGISTER; end generate GEN_DESC12_MSB_FOR_SG; GEN_DESC13_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 13 generate CURDESC13_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc13_msb_i <= (others => '0'); elsif(error_pointer_set13 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest13 = '1')then curdesc13_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest13 = '1')then -- curdesc13_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc13 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest13 = '1')then curdesc13_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC13_MSB_INDEX) = '1' and halt_free = '1')then curdesc13_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC13_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC13_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc13_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC13_MSB_INDEX) = '1')then taildesc13_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC13_MSB_REGISTER; end generate GEN_DESC13_MSB_FOR_SG; GEN_DESC14_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 14 generate CURDESC14_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc14_msb_i <= (others => '0'); elsif(error_pointer_set14 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest14 = '1')then curdesc14_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest14 = '1')then -- curdesc14_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc14 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest14 = '1')then curdesc14_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC14_MSB_INDEX) = '1' and halt_free = '1')then curdesc14_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC14_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC14_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc14_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC14_MSB_INDEX) = '1')then taildesc14_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC14_MSB_REGISTER; end generate GEN_DESC14_MSB_FOR_SG; GEN_DESC15_MSB_FOR_SG : if C_NUM_S2MM_CHANNELS > 15 generate CURDESC15_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then curdesc15_msb_i <= (others => '0'); elsif(error_pointer_set15 = '0')then -- Scatter Gather Fetch Error if((sg_ftch_error = '1' or sg_updt_error = '1') and dest15 = '1')then curdesc15_msb_i <= ftch_error_addr(C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- Scatter Gather Update Error -- elsif(sg_updt_error = '1' and dest15 = '1')then -- curdesc15_msb_i <= updt_error_addr((C_M_AXI_SG_ADDR_WIDTH -- - C_S_AXI_LITE_DATA_WIDTH)-1 -- downto 0); -- Commanded to update descriptor value - used for indicating -- current descriptor begin processed by dma controller elsif(update_curdesc15 = '1' and dmacr_i(DMACR_RS_BIT) = '1' and dest15 = '1')then curdesc15_msb_i <= new_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto C_S_AXI_LITE_DATA_WIDTH); -- CPU update of current descriptor pointer. CPU -- only allowed to update when engine is halted. elsif(axi2ip_wrce(CURDESC15_MSB_INDEX) = '1' and halt_free = '1')then curdesc15_msb_i <= axi2ip_wrdata; end if; end if; end if; end process CURDESC15_MSB_REGISTER; --------------------------------------------------------------------------- -- Tail Descriptor MSB Register --------------------------------------------------------------------------- TAILDESC15_MSB_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then taildesc15_msb_i <= (others => '0'); elsif(axi2ip_wrce(TAILDESC15_MSB_INDEX) = '1')then taildesc15_msb_i <= axi2ip_wrdata; end if; end if; end process TAILDESC15_MSB_REGISTER; end generate GEN_DESC15_MSB_FOR_SG; end generate GEN_SG_ADDR_EQL64; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_SG_ADDR_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin curdesc_msb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); -- Extending this to the extra registers curdesc1_msb_i <= (others => '0'); taildesc1_msb_i <= (others => '0'); curdesc2_msb_i <= (others => '0'); taildesc2_msb_i <= (others => '0'); curdesc3_msb_i <= (others => '0'); taildesc3_msb_i <= (others => '0'); curdesc4_msb_i <= (others => '0'); taildesc4_msb_i <= (others => '0'); curdesc5_msb_i <= (others => '0'); taildesc5_msb_i <= (others => '0'); curdesc6_msb_i <= (others => '0'); taildesc6_msb_i <= (others => '0'); curdesc7_msb_i <= (others => '0'); taildesc7_msb_i <= (others => '0'); curdesc8_msb_i <= (others => '0'); taildesc8_msb_i <= (others => '0'); curdesc9_msb_i <= (others => '0'); taildesc9_msb_i <= (others => '0'); curdesc10_msb_i <= (others => '0'); taildesc10_msb_i <= (others => '0'); curdesc11_msb_i <= (others => '0'); taildesc11_msb_i <= (others => '0'); curdesc12_msb_i <= (others => '0'); taildesc12_msb_i <= (others => '0'); curdesc13_msb_i <= (others => '0'); taildesc13_msb_i <= (others => '0'); curdesc14_msb_i <= (others => '0'); taildesc14_msb_i <= (others => '0'); curdesc15_msb_i <= (others => '0'); taildesc15_msb_i <= (others => '0'); end generate GEN_SG_ADDR_EQL32; -- Scatter Gather Interface configured for 32-Bit SG Addresses GEN_TAILUPDATE_EQL32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin -- Added dest so that BD can be dynamically updated GENERATE_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 1 generate tail_update_lsb <= (axi2ip_wrce(TAILDESC_LSB_INDEX) and dest0) or (axi2ip_wrce(TAILDESC1_LSB_INDEX) and dest1) or (axi2ip_wrce(TAILDESC2_LSB_INDEX) and dest2) or (axi2ip_wrce(TAILDESC3_LSB_INDEX) and dest3) or (axi2ip_wrce(TAILDESC4_LSB_INDEX) and dest4) or (axi2ip_wrce(TAILDESC5_LSB_INDEX) and dest5) or (axi2ip_wrce(TAILDESC6_LSB_INDEX) and dest6) or (axi2ip_wrce(TAILDESC7_LSB_INDEX) and dest7) or (axi2ip_wrce(TAILDESC8_LSB_INDEX) and dest8) or (axi2ip_wrce(TAILDESC9_LSB_INDEX) and dest9) or (axi2ip_wrce(TAILDESC10_LSB_INDEX) and dest10) or (axi2ip_wrce(TAILDESC11_LSB_INDEX) and dest11) or (axi2ip_wrce(TAILDESC12_LSB_INDEX) and dest12) or (axi2ip_wrce(TAILDESC13_LSB_INDEX) and dest13) or (axi2ip_wrce(TAILDESC14_LSB_INDEX) and dest14) or (axi2ip_wrce(TAILDESC15_LSB_INDEX) and dest15); end generate GENERATE_MULTI_CH; GENERATE_NO_MULTI_CH : if C_ENABLE_MULTI_CHANNEL = 0 generate tail_update_lsb <= (axi2ip_wrce(TAILDESC_LSB_INDEX) and dest0); end generate GENERATE_NO_MULTI_CH; TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif (tail_update_lsb = '1' and tdest_in(5) = '0')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL32; -- Scatter Gather Interface configured for 64-Bit SG Addresses GEN_TAILUPDATE_EQL64 : if C_M_AXI_SG_ADDR_WIDTH = 64 generate begin -- Added dest so that BD can be dynamically updated GENERATE_NO_MULTI_CH1 : if C_ENABLE_MULTI_CHANNEL = 1 generate tail_update_msb <= (axi2ip_wrce(TAILDESC_MSB_INDEX) and dest0) or (axi2ip_wrce(TAILDESC1_MSB_INDEX) and dest1) or (axi2ip_wrce(TAILDESC2_MSB_INDEX) and dest2) or (axi2ip_wrce(TAILDESC3_MSB_INDEX) and dest3) or (axi2ip_wrce(TAILDESC4_MSB_INDEX) and dest4) or (axi2ip_wrce(TAILDESC5_MSB_INDEX) and dest5) or (axi2ip_wrce(TAILDESC6_MSB_INDEX) and dest6) or (axi2ip_wrce(TAILDESC7_MSB_INDEX) and dest7) or (axi2ip_wrce(TAILDESC8_MSB_INDEX) and dest8) or (axi2ip_wrce(TAILDESC9_MSB_INDEX) and dest9) or (axi2ip_wrce(TAILDESC10_MSB_INDEX) and dest10) or (axi2ip_wrce(TAILDESC11_MSB_INDEX) and dest11) or (axi2ip_wrce(TAILDESC12_MSB_INDEX) and dest12) or (axi2ip_wrce(TAILDESC13_MSB_INDEX) and dest13) or (axi2ip_wrce(TAILDESC14_MSB_INDEX) and dest14) or (axi2ip_wrce(TAILDESC15_MSB_INDEX) and dest15); end generate GENERATE_NO_MULTI_CH1; GENERATE_NO_MULTI_CH2 : if C_ENABLE_MULTI_CHANNEL = 0 generate tail_update_msb <= (axi2ip_wrce(TAILDESC_MSB_INDEX) and dest0); end generate GENERATE_NO_MULTI_CH2; TAILPNTR_UPDT_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or dmacr_i(DMACR_RS_BIT)='0')then tailpntr_updated_d1 <= '0'; elsif (tail_update_msb = '1' and tdest_in(5) = '0')then tailpntr_updated_d1 <= '1'; else tailpntr_updated_d1 <= '0'; end if; end if; end process TAILPNTR_UPDT_PROCESS; TAILPNTR_UPDT_PROCESS_DEL : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then tailpntr_updated_d2 <= '0'; else tailpntr_updated_d2 <= tailpntr_updated_d1; end if; end if; end process TAILPNTR_UPDT_PROCESS_DEL; tailpntr_updated <= tailpntr_updated_d1 and (not tailpntr_updated_d2); end generate GEN_TAILUPDATE_EQL64; end generate GEN_DESC_REG_FOR_SG; -- Generate Buffer Address and Length Register for Simple DMA Mode GEN_REG_FOR_SMPL : if C_INCLUDE_SG = 0 generate begin -- Signals not used for simple dma mode, only for sg mode curdesc_lsb_i <= (others => '0'); curdesc_msb_i <= (others => '0'); taildesc_lsb_i <= (others => '0'); taildesc_msb_i <= (others => '0'); -- Extending this to new registers curdesc1_msb_i <= (others => '0'); taildesc1_msb_i <= (others => '0'); curdesc2_msb_i <= (others => '0'); taildesc2_msb_i <= (others => '0'); curdesc3_msb_i <= (others => '0'); taildesc3_msb_i <= (others => '0'); curdesc4_msb_i <= (others => '0'); taildesc4_msb_i <= (others => '0'); curdesc5_msb_i <= (others => '0'); taildesc5_msb_i <= (others => '0'); curdesc6_msb_i <= (others => '0'); taildesc6_msb_i <= (others => '0'); curdesc7_msb_i <= (others => '0'); taildesc7_msb_i <= (others => '0'); curdesc8_msb_i <= (others => '0'); taildesc8_msb_i <= (others => '0'); curdesc9_msb_i <= (others => '0'); taildesc9_msb_i <= (others => '0'); curdesc10_msb_i <= (others => '0'); taildesc10_msb_i <= (others => '0'); curdesc11_msb_i <= (others => '0'); taildesc11_msb_i <= (others => '0'); curdesc12_msb_i <= (others => '0'); taildesc12_msb_i <= (others => '0'); curdesc13_msb_i <= (others => '0'); taildesc13_msb_i <= (others => '0'); curdesc14_msb_i <= (others => '0'); taildesc14_msb_i <= (others => '0'); curdesc15_msb_i <= (others => '0'); taildesc15_msb_i <= (others => '0'); curdesc1_lsb_i <= (others => '0'); taildesc1_lsb_i <= (others => '0'); curdesc2_lsb_i <= (others => '0'); taildesc2_lsb_i <= (others => '0'); curdesc3_lsb_i <= (others => '0'); taildesc3_lsb_i <= (others => '0'); curdesc4_lsb_i <= (others => '0'); taildesc4_lsb_i <= (others => '0'); curdesc5_lsb_i <= (others => '0'); taildesc5_lsb_i <= (others => '0'); curdesc6_lsb_i <= (others => '0'); taildesc6_lsb_i <= (others => '0'); curdesc7_lsb_i <= (others => '0'); taildesc7_lsb_i <= (others => '0'); curdesc8_lsb_i <= (others => '0'); taildesc8_lsb_i <= (others => '0'); curdesc9_lsb_i <= (others => '0'); taildesc9_lsb_i <= (others => '0'); curdesc10_lsb_i <= (others => '0'); taildesc10_lsb_i <= (others => '0'); curdesc11_lsb_i <= (others => '0'); taildesc11_lsb_i <= (others => '0'); curdesc12_lsb_i <= (others => '0'); taildesc12_lsb_i <= (others => '0'); curdesc13_lsb_i <= (others => '0'); taildesc13_lsb_i <= (others => '0'); curdesc14_lsb_i <= (others => '0'); taildesc14_lsb_i <= (others => '0'); curdesc15_lsb_i <= (others => '0'); taildesc15_lsb_i <= (others => '0'); tailpntr_updated <= '0'; error_pointer_set <= '0'; -- Buffer Address register. Used for Source Address (SA) if MM2S -- and used for Destination Address (DA) if S2MM BUFFER_ADDR_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_INDEX) = '1')then buffer_address_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER; GEN_BUF_ADDR_EQL64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin BUFFER_ADDR_REGISTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_address_64_i <= (others => '0'); elsif(axi2ip_wrce(BUFF_ADDRESS_MSB_INDEX) = '1')then buffer_address_64_i <= axi2ip_wrdata; end if; end if; end process BUFFER_ADDR_REGISTER1; end generate GEN_BUF_ADDR_EQL64; -- Buffer Length register. Used for number of bytes to transfer if MM2S -- and used for size of receive buffer is S2MM BUFFER_LNGTH_REGISTER : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_i <= (others => '0'); -- Update with actual bytes received (Only for S2MM channel) elsif(bytes_received_wren = '1' and C_MICRO_DMA = 0)then buffer_length_i <= bytes_received; elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1')then buffer_length_i <= axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0); end if; end if; end process BUFFER_LNGTH_REGISTER; -- Buffer Length Write Enable control. Assertion of wren will -- begin a transfer if channel is Idle. BUFFER_LNGTH_WRITE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then buffer_length_wren <= '0'; -- Non-zero length value written elsif(axi2ip_wrce(BUFF_LENGTH_INDEX) = '1' and axi2ip_wrdata(C_SG_LENGTH_WIDTH-1 downto 0) /= ZERO_VALUE(C_SG_LENGTH_WIDTH-1 downto 0))then buffer_length_wren <= '1'; else buffer_length_wren <= '0'; end if; end if; end process BUFFER_LNGTH_WRITE; end generate GEN_REG_FOR_SMPL; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rddata_cntl.vhd
4
75293
------------------------------------------------------------------------------- -- axi_datamover_rddata_cntl.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_rddata_cntl.vhd -- -- Description: -- This file implements the DataMover Master Read Data Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_9; use axi_datamover_v5_1_9.axi_datamover_rdmux; ------------------------------------------------------------------------------- entity axi_datamover_rddata_cntl is generic ( C_INCLUDE_DRE : Integer range 0 to 1 := 0; -- Indicates if the DRE interface is used C_ALIGN_WIDTH : Integer range 1 to 3 := 3; -- Sets the width of the DRE Alignment controls C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS bits of the transfer address that -- are being used to Mux read data from a wider AXI4 Read -- Data Bus C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; -- Sets the depth of the internal command fifo used for the -- command queue C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the native data width of the Read Data port C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream output data port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Indicates the width of the Tag field of the input command C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1; C_FAMILY : String := "virtex7" -- Indicates the device family of the target FPGA ); port ( -- Clock and Reset inputs ---------------------------------------- -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------ -- Soft Shutdown internal interface ----------------------------------- -- rst2data_stop_request : in std_logic; -- -- Active high soft stop request to modules -- -- data2addr_stop_req : Out std_logic; -- -- Active high signal requesting the Address Controller -- -- to stop posting commands to the AXI Read Address Channel -- -- data2rst_stop_cmplt : Out std_logic; -- -- Active high indication that the Data Controller has completed -- -- any pending transfers committed by the Address Controller -- -- after a stop has been requested by the Reset module. -- ----------------------------------------------------------------------- -- External Address Pipelining Contol support ------------------------- -- mm2s_rd_xfer_cmplt : out std_logic; -- -- Active high indication that the Data Controller has completed -- -- a single read data transfer on the AXI4 Read Data Channel. -- -- This signal escentially echos the assertion of rlast received -- -- from the AXI4. -- ----------------------------------------------------------------------- -- AXI Read Data Channel I/O --------------------------------------------- -- mm2s_rdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- -- AXI Read data input -- -- mm2s_rresp : In std_logic_vector(1 downto 0); -- -- AXI Read response input -- -- mm2s_rlast : In std_logic; -- -- AXI Read LAST input -- -- mm2s_rvalid : In std_logic; -- -- AXI Read VALID input -- -- mm2s_rready : Out std_logic; -- -- AXI Read data READY output -- -------------------------------------------------------------------------- -- MM2S DRE Control ------------------------------------------------------------- -- mm2s_dre_new_align : Out std_logic; -- -- Active high signal indicating new DRE aligment required -- -- mm2s_dre_use_autodest : Out std_logic; -- -- Active high signal indicating to the DRE to use an auto- -- -- calculated desination alignment based on the last transfer -- -- mm2s_dre_src_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the byte lane of the first valid data byte -- -- being sent to the DRE -- -- mm2s_dre_dest_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- -- Bit field indicating the desired byte lane of the first valid data byte -- -- to be output by the DRE -- -- mm2s_dre_flush : Out std_logic; -- -- Active high signal indicating to the DRE to flush the current -- -- contents to the output register in preparation of a new alignment -- -- that will be comming on the next transfer input -- --------------------------------------------------------------------------------- -- AXI Master Stream Channel------------------------------------------------------ -- mm2s_strm_wvalid : Out std_logic; -- -- AXI Stream VALID Output -- -- mm2s_strm_wready : In Std_logic; -- -- AXI Stream READY input -- -- mm2s_strm_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- -- AXI Stream data output -- -- mm2s_strm_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- AXI Stream STRB output -- -- mm2s_strm_wlast : Out std_logic; -- -- AXI Stream LAST output -- --------------------------------------------------------------------------------- -- MM2S Store and Forward Supplimental Control -------------------------------- -- This output is time aligned and qualified with the AXI Master Stream Channel-- -- mm2s_data2sf_cmd_cmplt : out std_logic; -- -- --------------------------------------------------------------------------------- -- Command Calculator Interface ------------------------------------------------- -- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is 8 or 16 bits). -- -- mstr2data_len : In std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the first stream data beat -- -- mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the last stream -- -- data beat -- -- mstr2data_drr : In std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : In std_logic; -- -- The endiing tranfer of a sequence of transfers -- -- mstr2data_sequential : In std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : In std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : In std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : Out std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address Channel -- -- mstr2data_dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the DRE -- -- mstr2data_dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the DRE -- --------------------------------------------------------------------------------- -- Address Controller Interface ------------------------------------------------- -- addr2data_addr_posted : In std_logic ; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel -- --------------------------------------------------------------------------------- -- Data Controller General Halted Status ---------------------------------------- -- data2all_dcntlr_halted : Out std_logic; -- -- When asserted, this indicates the data controller has satisfied -- -- all pending transfers queued by the Address Controller and is halted. -- --------------------------------------------------------------------------------- -- Output Stream Skid Buffer Halt control --------------------------------------- -- data2skid_halt : Out std_logic; -- -- The data controller asserts this output for 1 primary clock period -- -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- -- at the next tlast transmission. -- --------------------------------------------------------------------------------- -- Read Status Controller Interface ------------------------------------------------ -- data2rsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The propagated command tag from the Command Calculator -- -- data2rsc_calc_err : Out std_logic ; -- -- Indication that the current command out from the Cntl FIFO -- -- has a propagated calculation error from the Command Calculator -- -- data2rsc_okay : Out std_logic ; -- -- Indication that the AXI Read transfer completed with OK status -- -- data2rsc_decerr : Out std_logic ; -- -- Indication that the AXI Read transfer completed with decode error status -- -- data2rsc_slverr : Out std_logic ; -- -- Indication that the AXI Read transfer completed with slave error status -- -- data2rsc_cmd_cmplt : Out std_logic ; -- -- Indication by the Data Channel Controller that the -- -- corresponding status is the last status for a parent command -- -- pulled from the command FIFO -- -- rsc2data_ready : in std_logic; -- -- Handshake bit from the Read Status Controller Module indicating -- -- that the it is ready to accept a new Read status transfer -- -- data2rsc_valid : Out std_logic ; -- -- Handshake bit output to the Read Status Controller Module -- -- indicating that the Data Controller has valid tag and status -- -- indicators to transfer -- -- rsc2mstr_halt_pipe : In std_logic -- -- Status Flag indicating the Status Controller needs to stall the command -- -- execution pipe due to a Status flow issue or internal error. Generally -- -- this will occur if the Status FIFO is not being serviced fast enough to -- -- keep ahead of the command execution. -- ------------------------------------------------------------------------------------ ); end entity axi_datamover_rddata_cntl; architecture implementation of axi_datamover_rddata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; end if; Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant OKAY : std_logic_vector(1 downto 0) := "00"; Constant EXOKAY : std_logic_vector(1 downto 0) := "01"; Constant SLVERR : std_logic_vector(1 downto 0) := "10"; Constant DECERR : std_logic_vector(1 downto 0) := "11"; Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant SOF_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant DRE_ALIGN_WIDTH : integer := C_ALIGN_WIDTH; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field SOF_WIDTH + -- SOF Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Calc error flag CMD_CMPLT_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Command Complete Flag DRE_ALIGN_WIDTH + -- DRE Source Align width DRE_ALIGN_WIDTH ; -- DRE Dest Align width -- Caution, the INDEX calculations are order dependent so don't rearrange Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant SOF_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := SOF_STRT_INDEX + SOF_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX + SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX + CMD_CMPLT_WIDTH; Constant DRE_SRC_STRT_INDEX : integer := CALC_ERR_STRT_INDEX + CALC_ERR_WIDTH; Constant DRE_DEST_STRT_INDEX : integer := DRE_SRC_STRT_INDEX + DRE_ALIGN_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; --Constant ADDR_POSTED_CNTR_WIDTH : integer := 5; -- allows up to 32 entry address queue Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_good_dbeat : std_logic := '0'; signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_data2mmap_ready : std_logic := '0'; signal sig_mmap2data_valid : std_logic := '0'; signal sig_mmap2data_last : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_decerr : std_logic := '0'; signal sig_slverr : std_logic := '0'; signal sig_coelsc_okay_reg : std_logic := '0'; signal sig_coelsc_interr_reg : std_logic := '0'; signal sig_coelsc_decerr_reg : std_logic := '0'; signal sig_coelsc_slverr_reg : std_logic := '0'; signal sig_coelsc_cmd_cmplt_reg : std_logic := '0'; signal sig_coelsc_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_pop_coelsc_reg : std_logic := '0'; signal sig_push_coelsc_reg : std_logic := '0'; signal sig_coelsc_reg_empty : std_logic := '0'; signal sig_coelsc_reg_full : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_cmd_cmplt_last_dbeat : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_next_dre_src_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_next_dre_dest_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_no_posted_cmds : std_logic := '0'; Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0); signal sig_fifo_next_sadddr_lsb : std_logic_vector(SADDR_LSB_WIDTH-1 downto 0); signal sig_fifo_next_len : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_fifo_next_strt_strb : std_logic_vector(STRB_WIDTH-1 downto 0); signal sig_fifo_next_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_dre_src_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_dre_dest_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_advance_pipe : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; begin --(architecture implementation) -- AXI MMap Data Channel Port assignments mm2s_rready <= sig_data2mmap_ready; sig_mmap2data_valid <= mm2s_rvalid ; sig_mmap2data_last <= mm2s_rlast ; -- Read Status Block interface data2rsc_valid <= sig_coelsc_reg_full ; sig_rsc2data_ready <= rsc2data_ready ; data2rsc_tag <= sig_coelsc_tag_reg ; data2rsc_calc_err <= sig_coelsc_interr_reg ; data2rsc_okay <= sig_coelsc_okay_reg ; data2rsc_decerr <= sig_coelsc_decerr_reg ; data2rsc_slverr <= sig_coelsc_slverr_reg ; data2rsc_cmd_cmplt <= sig_coelsc_cmd_cmplt_reg ; -- AXI MM2S Stream Channel Port assignments mm2s_strm_wvalid <= (mm2s_rvalid and sig_advance_pipe) or (sig_halt_reg and -- Force tvalid high on a Halt and sig_dqual_reg_full and -- a transfer is scheduled and not(sig_no_posted_cmds) and -- there are cmds posted to AXi and not(sig_calc_error_reg)); -- not a calc error mm2s_strm_wlast <= (mm2s_rlast and sig_next_eof_reg) or (sig_halt_reg and -- Force tvalid high on a Halt and sig_dqual_reg_full and -- a transfer is scheduled and not(sig_no_posted_cmds) and -- there are cmds posted to AXi and not(sig_calc_error_reg)); -- not a calc error; GEN_MM2S_TKEEP_ENABLE5 : if C_ENABLE_MM2S_TKEEP = 1 generate begin -- Generate the Write Strobes for the Stream interface mm2s_strm_wstrb <= (others => '1') When (sig_halt_reg = '1') -- Force tstrb high on a Halt else sig_strt_strb_reg When (sig_first_dbeat = '1') Else sig_last_strb_reg When (sig_last_dbeat = '1') Else (others => '1'); end generate GEN_MM2S_TKEEP_ENABLE5; GEN_MM2S_TKEEP_DISABLE5 : if C_ENABLE_MM2S_TKEEP = 0 generate begin -- Generate the Write Strobes for the Stream interface mm2s_strm_wstrb <= (others => '1'); end generate GEN_MM2S_TKEEP_DISABLE5; -- MM2S Supplimental Controls mm2s_data2sf_cmd_cmplt <= (mm2s_rlast and sig_next_cmd_cmplt_reg) or (sig_halt_reg and sig_dqual_reg_full and not(sig_no_posted_cmds) and not(sig_calc_error_reg)); -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Read Transfer Completed Status output mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt; -- Internal logic ------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RD_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a read data -- transfer has completed. This is an echo of a rlast assertion -- and a qualified data beat on the AXI4 Read Data Channel -- inputs. -- ------------------------------------------------------------- IMP_RD_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_rd_xfer_cmplt <= '0'; else sig_rd_xfer_cmplt <= sig_mmap2data_last and sig_good_mmap_dbeat; end if; end if; end process IMP_RD_CMPLT_FLAG; -- General flag for advancing the MMap Read and the Stream -- data pipelines sig_advance_pipe <= sig_addr_chan_rdy and sig_dqual_rdy and not(sig_coelsc_reg_full) and -- new status back-pressure term not(sig_calc_error_reg); -- test for Kevin's status throttle case sig_data2mmap_ready <= (mm2s_strm_wready or sig_halt_reg) and -- Ignore the Stream ready on a Halt request sig_advance_pipe; sig_good_mmap_dbeat <= sig_data2mmap_ready and sig_mmap2data_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_mmap2data_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------ -- Instance: I_READ_MUX -- -- Description: -- Instance of the MM2S Read Data Channel Read Mux -- ------------------------------------------------------------ I_READ_MUX : entity axi_datamover_v5_1_9.axi_datamover_rdmux generic map ( C_SEL_ADDR_WIDTH => C_SEL_ADDR_WIDTH , C_MMAP_DWIDTH => C_MMAP_DWIDTH , C_STREAM_DWIDTH => C_STREAM_DWIDTH ) port map ( mmap_read_data_in => mm2s_rdata , mux_data_out => mm2s_strm_wdata , mstr2data_saddr_lsb => sig_addr_lsb_reg ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an incoming read data channel -- has been received. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(rsc2mstr_halt_pipe) and -- The Rd Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; sig_fifo_next_dre_src_align <= mstr2data_dre_src_align ; sig_fifo_next_dre_dest_align <= mstr2data_dre_dest_align ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- pop the fifo when dqual reg is pushed -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_dre_dest_align & mstr2data_dre_src_align & mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(SOF_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_fifo_next_dre_src_align <= sig_cmd_fifo_data_out((DRE_SRC_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto DRE_SRC_STRT_INDEX); sig_fifo_next_dre_dest_align <= sig_cmd_fifo_data_out((DRE_DEST_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto DRE_DEST_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0); sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; -- Flag indicating that there are no posted commands to AXI sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0'; sig_next_cmd_cmplt_reg <= '0'; sig_next_sequential_reg <= '0'; sig_next_calc_error_reg <= '0'; sig_next_dre_src_align_reg <= (others => '0'); sig_next_dre_dest_align_reg <= (others => '0'); sig_dqual_reg_empty <= '1'; sig_dqual_reg_full <= '0'; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_fifo_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_next_dre_src_align_reg <= sig_fifo_next_dre_src_align ; sig_next_dre_dest_align_reg <= sig_fifo_next_dre_dest_align ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Read Data Mux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1' and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; ----- Address posted Counter logic -------------------------------- sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max); sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a register for the Address -- Posted FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detirmination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; else null; -- hols current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds and (sig_calc_error_reg or rst2data_stop_request); ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------ Read Response Status Logic ------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: LD_NEW_CMD_PULSE -- -- Process Description: -- Generate a 1 Clock wide pulse when a new command has been -- loaded into the Command Register -- ------------------------------------------------------------- LD_NEW_CMD_PULSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; elsif (sig_ld_new_cmd = '1') then sig_ld_new_cmd_reg <= '1'; else null; -- hold State end if; end if; end process LD_NEW_CMD_PULSE; sig_pop_coelsc_reg <= sig_coelsc_reg_full and sig_rsc2data_ready ; sig_push_coelsc_reg <= (sig_good_mmap_dbeat and not(sig_coelsc_reg_full)) or (sig_ld_new_cmd_reg and sig_calc_error_reg) ; sig_cmd_cmplt_last_dbeat <= (sig_cmd_cmplt_reg and sig_mmap2data_last) or sig_calc_error_reg; ------- Read Response Decode -- Decode the AXI MMap Read Response sig_decerr <= '1' When (mm2s_rresp = DECERR and mm2s_rvalid = '1') Else '0'; sig_slverr <= '1' When (mm2s_rresp = SLVERR and mm2s_rvalid = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: RD_RESP_COELESC_REG -- -- Process Description: -- Implement the Read error/status coelescing register. -- Once a bit is set it will remain set until the overall -- status is written to the Status Controller. -- Tag bits are just registered at each valid dbeat. -- ------------------------------------------------------------- STATUS_COELESC_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_coelsc_reg = '1' and -- Added more qualification here for simultaneus sig_push_coelsc_reg = '0')) then -- push and pop condition per CR590244 sig_coelsc_tag_reg <= (others => '0'); sig_coelsc_cmd_cmplt_reg <= '0'; sig_coelsc_interr_reg <= '0'; sig_coelsc_decerr_reg <= '0'; sig_coelsc_slverr_reg <= '0'; sig_coelsc_okay_reg <= '1'; -- set back to default of "OKAY" sig_coelsc_reg_full <= '0'; sig_coelsc_reg_empty <= '1'; Elsif (sig_push_coelsc_reg = '1') Then sig_coelsc_tag_reg <= sig_tag_reg; sig_coelsc_cmd_cmplt_reg <= sig_cmd_cmplt_last_dbeat; sig_coelsc_interr_reg <= sig_calc_error_reg or sig_coelsc_interr_reg; sig_coelsc_decerr_reg <= sig_decerr or sig_coelsc_decerr_reg; sig_coelsc_slverr_reg <= sig_slverr or sig_coelsc_slverr_reg; sig_coelsc_okay_reg <= not(sig_decerr or sig_slverr or sig_calc_error_reg ); sig_coelsc_reg_full <= sig_cmd_cmplt_last_dbeat; sig_coelsc_reg_empty <= not(sig_cmd_cmplt_last_dbeat); else null; -- hold current state end if; end if; end process STATUS_COELESC_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DRE -- -- If Generate Description: -- Ties off DRE Control signals to logic low when DRE is -- omitted from the MM2S functionality. -- -- ------------------------------------------------------------ GEN_NO_DRE : if (C_INCLUDE_DRE = 0) generate begin mm2s_dre_new_align <= '0'; mm2s_dre_use_autodest <= '0'; mm2s_dre_src_align <= (others => '0'); mm2s_dre_dest_align <= (others => '0'); mm2s_dre_flush <= '0'; end generate GEN_NO_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE_CNTLS -- -- If Generate Description: -- Implements the DRE Control logic when MM2S DRE is enabled. -- -- - The DRE needs to have forced alignment at a SOF assertion -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE_CNTLS : if (C_INCLUDE_DRE = 1) generate -- local signals signal lsig_s_h_dre_autodest : std_logic := '0'; signal lsig_s_h_dre_new_align : std_logic := '0'; begin mm2s_dre_new_align <= lsig_s_h_dre_new_align; -- Autodest is asserted on a new parent command and the -- previous parent command was not delimited with a EOF mm2s_dre_use_autodest <= lsig_s_h_dre_autodest; -- Assign the DRE Source and Destination Alignments -- Only used when mm2s_dre_new_align is asserted mm2s_dre_src_align <= sig_next_dre_src_align_reg ; mm2s_dre_dest_align <= sig_next_dre_dest_align_reg; -- Assert the Flush flag when the MMap Tlast input of the current transfer is -- asserted and the next transfer is not sequential and not the last -- transfer of a packet. mm2s_dre_flush <= mm2s_rlast and not(sig_next_sequential_reg) and not(sig_next_eof_reg); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S_H_NEW_ALIGN -- -- Process Description: -- Generates the new alignment command flag to the DRE. -- ------------------------------------------------------------- IMP_S_H_NEW_ALIGN : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_s_h_dre_new_align <= '0'; Elsif (sig_push_dqual_reg = '1' and sig_fifo_next_drr = '1') Then lsig_s_h_dre_new_align <= '1'; elsif (sig_pop_dqual_reg = '1') then lsig_s_h_dre_new_align <= sig_next_cmd_cmplt_reg and not(sig_next_sequential_reg) and not(sig_next_eof_reg); Elsif (sig_good_mmap_dbeat = '1') Then lsig_s_h_dre_new_align <= '0'; else null; -- hold current state end if; end if; end process IMP_S_H_NEW_ALIGN; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S_H_AUTODEST -- -- Process Description: -- Generates the control for the DRE indicating whether the -- DRE destination alignment should be derived from the write -- strobe stat of the last completed data-beat to the AXI -- stream output. -- ------------------------------------------------------------- IMP_S_H_AUTODEST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_s_h_dre_autodest <= '0'; Elsif (sig_push_dqual_reg = '1' and sig_fifo_next_drr = '1') Then lsig_s_h_dre_autodest <= '0'; elsif (sig_pop_dqual_reg = '1') then lsig_s_h_dre_autodest <= sig_next_cmd_cmplt_reg and not(sig_next_sequential_reg) and not(sig_next_eof_reg); Elsif (lsig_s_h_dre_new_align = '1' and sig_good_mmap_dbeat = '1') Then lsig_s_h_dre_autodest <= '0'; else null; -- hold current state end if; end if; end process IMP_S_H_AUTODEST; end generate GEN_INCLUDE_DRE_CNTLS; ------- Soft Shutdown Logic ------------------------------- -- Assign the output port skid buf control data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the output -- stream skid buffer to shut down its outputs sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/syn/vhdl/ANN_ST_uOut.vhd
7
4489
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ANN_ST_uOut_ram is generic( mem_type : string := "block"; dwidth : integer := 32; awidth : integer := 8; mem_size : integer := 160 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; d1 : in std_logic_vector(dwidth-1 downto 0); we1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of ANN_ST_uOut_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array := (others=>(others=>'0')); attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then if (we1 = '1') then ram(CONV_INTEGER(addr1_tmp)) := d1; end if; q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity ANN_ST_uOut is generic ( DataWidth : INTEGER := 32; AddressRange : INTEGER := 160; AddressWidth : INTEGER := 8); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of ANN_ST_uOut is component ANN_ST_uOut_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR; we1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin ANN_ST_uOut_ram_U : component ANN_ST_uOut_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, d1 => d1, we1 => we1, q1 => q1); end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_noqueue.vhd
7
24940
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_noqueue.vhd -- Description: This entity is the no queue version -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_noqueue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data Width C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; C_SG_WORDS_TO_FETCH : integer range 8 to 13 := 8; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_ENABLE_CH1 : integer range 0 to 1 := 0; C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; -- -- Channel Control -- desc_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; ftch_active : in std_logic ; -- ftch_queue_empty : out std_logic ; -- ftch_queue_full : out std_logic ; -- sof_ftch_desc : in std_logic ; desc2_flush : in std_logic ; -- ftch2_active : in std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch2_queue_full : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- m_axis_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_64 : in std_logic_vector -- (31 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- next_bd : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); data_concat_tlast : in std_logic ; -- data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_ftch_tvalid : out std_logic ; -- m_axis_ftch_tready : in std_logic ; -- m_axis_ftch_tlast : out std_logic ; -- m_axis_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch_tvalid_new : out std_logic ; -- m_axis_ftch_desc_available : out std_logic ; m_axis2_ftch_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis2_ftch_tvalid : out std_logic ; -- m_axis2_ftch_tready : in std_logic ; -- m_axis2_ftch_tlast : out std_logic ; -- m_axis2_ftch_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis2_ftch_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis2_ftch_tdata_mcdma_nxt : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); -- m_axis2_ftch_tvalid_new : out std_logic ; -- m_axis2_ftch_desc_available : out std_logic ; m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_noqueue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_noqueue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Channel 1 internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tready : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal ftch_tdata_new_64 : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; signal ftch_active_int : std_logic := '0'; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal ftch_tdata_new : std_logic_vector (96+31*C_ENABLE_CDMA downto 0); signal queue_wren, queue_rden : std_logic := '0'; signal queue_din : std_logic_vector (32 downto 0); signal queue_dout : std_logic_vector (32 downto 0); signal queue_empty, queue_full : std_logic := '0'; signal sof_ftch_desc_del, sof_ftch_desc_pulse : std_logic := '0'; signal sof_ftch_desc_del1 : std_logic := '0'; signal queue_sinit : std_logic := '0'; signal data_concat_mcdma_nxt : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal current_bd : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin queue_sinit <= not m_axi_sg_aresetn; ftch_active_int <= ftch_active or ftch2_active; ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); ftch_tdata_new (96 downto 65) <= current_bd (31 downto 0); ADDR641 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 <= data_concat_64 & current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate ADDR641; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- NXT_BD_MCDMA : if C_ENABLE_MULTI_CHANNEL = 1 generate begin NEXT_BD_S2MM : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then data_concat_mcdma_nxt <= (others => '0'); elsif (ftch2_active = '1') then data_concat_mcdma_nxt <= next_bd; end if; end if; end process NEXT_BD_S2MM; end generate NXT_BD_MCDMA; WRITE_CURDESC_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' )then current_bd <= (others => '0'); -- -- -- Write LSB Address on command write elsif(ftch_cmnd_wr = '1' and ftch_active_int = '1')then current_bd <= ftch_cmnd_data((C_M_AXI_SG_ADDR_WIDTH-32)+DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process WRITE_CURDESC_PROCESS; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 and C_ENABLE_CH1 = 1 generate begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch_active; queue_rden <= not queue_empty and m_axis_mm2s_cntrl_tready; queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1_2.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => 16, --FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; NO_CONTROL_STREAM : if C_SG_WORDS_TO_FETCH /= 13 or C_ENABLE_CH1 = 0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NO_CONTROL_STREAM; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- Map internal stream to external --------------------------------------------------------------------------- ftch_tready <= (m_axis_ftch_tready and ftch_active) or (m_axis2_ftch_tready and ftch2_active); ADDR64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis_ftch_tdata_new <= ftch_tdata_new_64 & ftch_tdata_new; end generate ADDR64; ADDR32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis_ftch_tdata_new <= ftch_tdata_new; end generate ADDR32; m_axis_ftch_tdata_mcdma_new <= data_concat_mcdma; m_axis_ftch_tvalid_new <= data_concat_valid and ftch_active; m_axis_ftch_desc_available <= data_concat_tlast and ftch_active; REG_FOR_STS_CNTRL : if C_SG_WORDS_TO_FETCH = 13 generate begin LATCH_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis2_ftch_tvalid_new <= '0'; m_axis2_ftch_desc_available <= '0'; else m_axis2_ftch_tvalid_new <= data_concat_valid and ftch2_active; m_axis2_ftch_desc_available <= data_concat_valid and ftch2_active; end if; end if; end process LATCH_PROCESS; LATCH2_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis2_ftch_tdata_new <= (others => '0'); elsif (data_concat_valid = '1' and ftch2_active = '1') then m_axis2_ftch_tdata_new <= ftch_tdata_new; end if; end if; end process LATCH2_PROCESS; end generate REG_FOR_STS_CNTRL; NO_REG_FOR_STS_CNTRL : if C_SG_WORDS_TO_FETCH /= 13 generate begin ADDR64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis2_ftch_tdata_new <= ftch_tdata_new_64 & ftch_tdata_new; end generate ADDR64; ADDR32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis2_ftch_tdata_new <= ftch_tdata_new; end generate ADDR32; m_axis2_ftch_tvalid_new <= data_concat_valid and ftch2_active; m_axis2_ftch_desc_available <= data_concat_valid and ftch2_active; m_axis2_ftch_tdata_mcdma_new <= data_concat_mcdma; m_axis2_ftch_tdata_mcdma_nxt <= data_concat_mcdma_nxt; end generate NO_REG_FOR_STS_CNTRL; m_axis_mm2s_tready <= ftch_tready; m_axis2_mm2s_tready <= ftch_tready; --------------------------------------------------------------------------- -- generate psuedo empty flag for Idle generation --------------------------------------------------------------------------- Q_EMPTY_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk='1')then if(m_axi_sg_aresetn = '0' or desc_flush = '1')then ftch_queue_empty <= '1'; -- Else on valid and ready modify empty flag elsif(ftch_tvalid = '1' and m_axis_ftch_tready = '1' and ftch_active = '1')then -- On last mark as empty if(ftch_tlast = '1' )then ftch_queue_empty <= '1'; -- Otherwise mark as not empty else ftch_queue_empty <= '0'; end if; end if; end if; end process Q_EMPTY_PROCESS; Q2_EMPTY_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk='1')then if(m_axi_sg_aresetn = '0' or desc2_flush = '1')then ftch2_queue_empty <= '1'; -- Else on valid and ready modify empty flag elsif(ftch_tvalid = '1' and m_axis2_ftch_tready = '1' and ftch2_active = '1')then -- On last mark as empty if(ftch_tlast = '1' )then ftch2_queue_empty <= '1'; -- Otherwise mark as not empty else ftch2_queue_empty <= '0'; end if; end if; end if; end process Q2_EMPTY_PROCESS; -- do not need to indicate full to axi_sg_ftch_sm. Only -- needed for queue case to allow other channel to be serviced -- if it had queue room ftch_queue_full <= '0'; ftch2_queue_full <= '0'; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port writing_curdesc_out <= writing_curdesc and ftch_active; writing2_curdesc_out <= writing_curdesc and ftch2_active; -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fadd_3_full_dsp_32/synth/ANN_ap_fadd_3_full_dsp_32.vhd
6
12700
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/ip/ANN_ap_fadd_3_full_dsp_32.vhd
6
12700
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fadd_3_full_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fadd_3_full_dsp_32; ARCHITECTURE ANN_ap_fadd_3_full_dsp_32_arch OF ANN_ap_fadd_3_full_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fadd_3_full_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fadd_3_full_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fadd_3_full_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 1, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fadd_3_full_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_updt_sm.vhd
7
41813
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_sm.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ftch_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- -- ch2_updt_curdesc_wren : in std_logic ; -- -- ch2_updt_curdesc : in std_logic_vector -- -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- DataMover Command -- updt_cmnd_wr : out std_logic ; -- updt_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- -- DataMover Status -- updt_done : in std_logic ; -- updt_error : in std_logic ; -- updt_interr : in std_logic ; -- updt_slverr : in std_logic ; -- updt_decerr : in std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type -- Always set to INCR type constant UPDATE_CMD_TYPE : std_logic := '1'; -- DataMover Cmnd Reserved Bits constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant UPDATE_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- DataMover Cmnd Address Offset for channel 1 constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4; -- DataMover Cmnd Address Offset for channel 2 constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_UPDATE_STATE_TYPE is ( IDLE, GET_UPDATE_PNTR, UPDATE_DESCRIPTOR, UPDATE_STATUS, UPDATE_ERROR ); signal updt_cs : SG_UPDATE_STATE_TYPE; signal updt_ns : SG_UPDATE_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_updt_sm_idle : std_logic := '0'; signal ch2_updt_sm_idle : std_logic := '0'; -- Misc Signals signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; signal update_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal update_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); signal update_tag : std_logic_vector (3 downto 0); signal updt_ioc_irq_set : std_logic := '0'; signal ch1_interr_catch : std_logic := '0'; signal ch2_interr_catch : std_logic := '0'; signal ch1_decerr_catch : std_logic := '0'; signal ch2_decerr_catch : std_logic := '0'; signal ch1_slverr_catch : std_logic := '0'; signal ch2_slverr_catch : std_logic := '0'; signal updt_cmnd_data_int : std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_updt_active <= ch1_active_i; ch2_updt_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_UPDT_MACHINE : process(updt_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ch1_updt_curdesc_wren, -- ch2_updt_curdesc_wren, updt_error, updt_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_updt_sm_idle <= '0'; ch2_updt_sm_idle <= '0'; updt_ns <= updt_cs; case updt_cs is ------------------------------------------------------------------- when IDLE => ch1_updt_sm_idle <= not service_ch1; ch2_updt_sm_idle <= not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; else updt_ns <= IDLE; end if; when GET_UPDATE_PNTR => if(ch1_updt_curdesc_wren = '1')then updt_ns <= UPDATE_DESCRIPTOR; else updt_ns <= GET_UPDATE_PNTR; end if; -- if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then -- updt_ns <= UPDATE_DESCRIPTOR; -- else -- updt_ns <= GET_UPDATE_PNTR; -- end if; ------------------------------------------------------------------- when UPDATE_DESCRIPTOR => -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- write command else ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_STATUS => ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then -- coverage off updt_ns <= UPDATE_ERROR; -- coverage on -- wait until done with update elsif(updt_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, update descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, update descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; else -- coverage off updt_ns <= IDLE; -- coverage on end if; else updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_ERROR => ch1_updt_sm_idle <= '1'; ch2_updt_sm_idle <= '1'; updt_ns <= UPDATE_ERROR; ------------------------------------------------------------------- -- coverage off when others => updt_ns <= IDLE; -- coverage on end case; end process SG_UPDT_MACHINE; ------------------------------------------------------------------------------- -- Register states of state machine ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cs <= IDLE; else updt_cs <= updt_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_active_i <= '0'; elsif(ch1_active_i = '1' and updt_done = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 1 Interrupt On Complete ------------------------------------------------------------------------------- CH1_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch1_updt_ioc = '1')then ch1_updt_ioc_irq_set <= '1'; else ch1_updt_ioc_irq_set <= '0'; end if; end if; end process CH1_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH1_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch1_dma_interr = '1')then ch1_dma_interr_set <= '1'; end if; end if; end process CH1_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH1_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch1_dma_slverr = '1')then ch1_dma_slverr_set <= '1'; end if; end if; end process CH1_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH1_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch1_dma_decerr = '1')then ch1_dma_decerr_set <= '1'; end if; end if; end process CH1_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_slverr_set <= '0'; elsif(ch1_active_i = '1' and updt_slverr = '1')then ch1_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_interr_set <= '0'; elsif(ch1_active_i = '1' and updt_interr = '1')then -- coverage off ch1_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_decerr_set <= '0'; elsif(ch1_active_i = '1' and updt_decerr = '1')then ch1_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch1_updt_idle <= '1'; elsif(service_ch1 = '1')then ch1_updt_idle <= '0'; elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then ch1_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH1_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_done <= '0'; elsif(updt_done = '1' and ch1_active_i = '1')then ch1_updt_done <= '1'; else ch1_updt_done <= '0'; end if; end if; end process REG_CH1_DONE; end generate GEN_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_updt_idle <= '0'; ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set <= '0'; ch1_dma_slverr_set <= '0'; ch1_dma_decerr_set <= '0'; ch1_updt_ioc_irq_set <= '0'; ch1_updt_done <= '0'; end generate GEN_NO_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_active_i <= '0'; elsif(ch2_active_i = '1' and updt_done = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 2 Interrupt On Complete ------------------------------------------------------------------------------- CH2_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch2_updt_ioc = '1')then ch2_updt_ioc_irq_set <= '1'; else ch2_updt_ioc_irq_set <= '0'; end if; end if; end process CH2_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH2_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch2_dma_interr = '1')then ch2_dma_interr_set <= '1'; end if; end if; end process CH2_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH2_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch2_dma_slverr = '1')then ch2_dma_slverr_set <= '1'; end if; end if; end process CH2_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH2_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch2_dma_decerr = '1')then ch2_dma_decerr_set <= '1'; end if; end if; end process CH2_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_slverr_set <= '0'; elsif(ch2_active_i = '1' and updt_slverr = '1')then ch2_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_interr_set <= '0'; elsif(ch2_active_i = '1' and updt_interr = '1')then -- coverage off ch2_updt_interr_set <= '1'; -- coverage on end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_decerr_set <= '0'; elsif(ch2_active_i = '1' and updt_decerr = '1')then ch2_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch2_updt_idle <= '1'; elsif(service_ch2 = '1')then ch2_updt_idle <= '0'; elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then ch2_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH2_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_done <= '0'; elsif(updt_done = '1' and ch2_active_i = '1')then ch2_updt_done <= '1'; else ch2_updt_done <= '0'; end if; end if; end process REG_CH2_DONE; end generate GEN_CH2_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_updt_idle <= '0'; ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set <= '0'; ch2_dma_slverr_set <= '0'; ch2_dma_decerr_set <= '0'; ch2_updt_ioc_irq_set <= '0'; ch2_updt_done <= '0'; end generate GEN_NO_CH2_UPDATE; --------------------------------------------------------------------------- -- Register Current Update Address. Address captured from channel port -- or queue by axi_sg_updt_queue --------------------------------------------------------------------------- REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- Channel 1 descriptor update pointer elsif(ch1_updt_curdesc_wren = '1')then update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; end if; end if; end process REG_UPDATE_ADDRESS; update_tag <= "0000" when ch2_active_i = '1' else "0001"; --REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= (others => '0'); -- update_tag <= "0000"; -- -- Channel 1 descriptor update pointer -- elsif(ch1_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch1_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0001"; -- -- Channel 2 descriptor update pointer -- elsif(ch2_updt_curdesc_wren = '1')then -- update_address (C_M_AXI_SG_ADDR_WIDTH-1 downto 4) <= std_logic_vector(unsigned(ch2_updt_curdesc (C_M_AXI_SG_ADDR_WIDTH-1 downto 4)) -- + 1); -- update_tag <= "0000"; -- end if; -- end if; -- end process REG_UPDATE_ADDRESS; update_address (3 downto 0) <= "1100"; -- Assigne Bytes to Transfer (BTT) update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1' else UPDATE_CH2_CMD_BTT; updt_cmnd_data <= updt_cmnd_data_int; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- When command by sm, drive command to updt_cmdsts_if --GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- updt_cmnd_wr <= '0'; -- updt_cmnd_data_int <= (others => '0'); -- -- Fetch SM issued a command write -- elsif(write_cmnd_cmb = '1')then updt_cmnd_wr <= write_cmnd_cmb; --'1'; updt_cmnd_data_int <= UPDATE_CMD_RSVD & update_tag --UPDATE_CMD_TAG & update_address & UPDATE_MSB_IGNORED & UPDATE_CMD_TYPE & UPDATE_LSB_IGNORED & update_cmd_btt; -- else -- updt_cmnd_wr <= '0'; -- end if; -- end if; -- end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= (others => '0'); elsif(write_cmnd_cmb = '1')then updt_error_addr (C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB); end if; end if; end process LOG_ERROR_ADDR; updt_error_addr (5 downto 0) <= "000000"; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/lib_srl_fifo_v1_0/hdl/src/vhdl/cntr_incr_decr_addn_f.vhd
15
10256
-- cntr_incr_decr_addn_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005 - 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: cntr_incr_decr_addn_f.vhd -- -- Description: This counter can increment, decrement or skip ahead -- by an arbitrary amount. -- -- If Reset is active, the value Cnt synchronously resets -- to all ones. (This reset value, different than the -- customary reset value of zero, caters to the original -- application of cntr_incr_decr_addn_f as the address -- counter for srl_fifo_rbu_f.) -- -- Otherwise, on each Clk, one is added to Cnt if Incr is -- asserted and one is subtracted if Decr is asserted. (If -- both are asserted, then there is no change to Cnt.) -- -- If Decr is not asserted, then the input value, -- Nm_to_add, is added. (Simultaneous assertion of Incr -- would add one more.) If Decr is asserted, then -- N_to_add, is ignored, i.e., it is possible to decrement -- by one or add N, but not both, and Decr overrides. -- -- The value that Cnt will take on at the next clock -- is available as Cnt_p1. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- cntr_incr_decr_addn_f.vhd -- ------------------------------------------------------------------------------- -- -- History: -- FLO 12/30/05 First Version. -- -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- predecessor value by # clks: "*_p#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity cntr_incr_decr_addn_f is generic ( C_SIZE : natural; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Reset : in std_logic; -- Note: the counter resets to all ones! Incr : in std_logic; Decr : in std_logic; N_to_add : in std_logic_vector(C_SIZE-1 downto 0); Cnt : out std_logic_vector(C_SIZE-1 downto 0); Cnt_p1 : out std_logic_vector(C_SIZE-1 downto 0) ); end entity cntr_incr_decr_addn_f; ---( library lib_srl_fifo_v1_0_2; library ieee; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std."+"; library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture imp of cntr_incr_decr_addn_f is -- constant COUNTER_PRIMS_AVAIL : boolean := -- supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDS)); constant COUNTER_PRIMS_AVAIL : boolean := false; signal cnt_i : std_logic_vector(Cnt'range); signal cnt_i_p1 : std_logic_vector(Cnt'range); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY_L port ( LO : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component XORCY port ( O : out std_ulogic; CI : in std_ulogic; LI : in std_ulogic ); end component; component FDS generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; begin -- architecture imp ---( INFERRED_GEN : if COUNTER_PRIMS_AVAIL = false generate -- CNT_I_P1_PROC : process( cnt_i, N_to_add, Decr, Incr ) is -- function qual_n_to_add(N_to_add : std_logic_vector; Decr : std_logic ) return UNSIGNED is variable r: UNSIGNED(N_to_add'range); begin for i in r'range loop r(i) := N_to_add(i) or Decr; end loop; return r; end; -- function to_singleton_unsigned(s : std_logic) return unsigned is variable r : unsigned(0 to 0) := (others => s); begin return r; end; -- begin cnt_i_p1 <= std_logic_vector( UNSIGNED(cnt_i) + qual_n_to_add(N_to_add, Decr) + to_singleton_unsigned(Incr) ); end process; -- CNT_I_PROC : process(Clk) is begin if Clk'event and Clk = '1' then if Reset = '1' then cnt_i <= (others => '1'); else cnt_i <= cnt_i_p1; end if; end if; end process; -- end generate INFERRED_GEN; ---) Cnt <= cnt_i; Cnt_p1 <= cnt_i_p1; end architecture imp; ---)
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_fifo.vhd
7
24380
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_fifo.vhd -- Version: initial -- Description: -- This file is a wrapper file for the Synchronous FIFO used by the DataMover. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; use lib_pkg_v1_0_2.lib_pkg.clog2; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_sfifo_autord; use axi_sg_v4_1_2.axi_sg_afifo_autord; ------------------------------------------------------------------------------- entity axi_sg_fifo is generic ( C_DWIDTH : integer := 32 ; -- Bit width of the FIFO C_DEPTH : integer := 4 ; -- Depth of the fifo in fifo width words C_IS_ASYNC : Integer range 0 to 1 := 0 ; -- 0 = Syncronous FIFO -- 1 = Asynchronous (2 clock) FIFO C_PRIM_TYPE : Integer range 0 to 2 := 2 ; -- 0 = Register -- 1 = Block Memory -- 2 = SRL C_FAMILY : String := "virtex7" -- Specifies the Target FPGA device family ); port ( -- Write Clock and reset ----------------- fifo_wr_reset : In std_logic; -- fifo_wr_clk : In std_logic; -- ------------------------------------------ -- Write Side ------------------------------------------------------ fifo_wr_tvalid : In std_logic; -- fifo_wr_tready : Out std_logic; -- fifo_wr_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); -- fifo_wr_full : Out std_logic; -- -------------------------------------------------------------------- -- Read Clock and reset ----------------------------------------------- fifo_async_rd_reset : In std_logic; -- only used if C_IS_ASYNC = 1 -- fifo_async_rd_clk : In std_logic; -- only used if C_IS_ASYNC = 1 -- ----------------------------------------------------------------------- -- Read Side -------------------------------------------------------- fifo_rd_tvalid : Out std_logic; -- fifo_rd_tready : In std_logic; -- fifo_rd_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); -- fifo_rd_empty : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_sg_fifo; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_sg_fifo is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; -- function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_prim_type -- -- Function Description: -- Sorts out the FIFO Primitive type selection based on fifo -- depth and original primitive choice. -- ------------------------------------------------------------------- -- coverage off function funct_get_prim_type (depth : integer; input_prim_type : integer) return integer is Variable temp_prim_type : Integer := 0; begin If (depth > 64) Then temp_prim_type := 1; -- use BRAM Elsif (depth <= 64 and input_prim_type = 0) Then temp_prim_type := 0; -- use regiaters else temp_prim_type := 1; -- use BRAM End if; Return (temp_prim_type); end function funct_get_prim_type; -- coverage on -- Signal declarations Signal sig_init_reg : std_logic := '0'; Signal sig_init_reg2 : std_logic := '0'; Signal sig_init_done : std_logic := '0'; signal sig_inhibit_rdy_n : std_logic := '0'; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_REG -- -- Process Description: -- Registers the reset signal input. -- ------------------------------------------------------------- IMP_INIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_init_reg <= '1'; sig_init_reg2 <= '1'; else sig_init_reg <= '0'; sig_init_reg2 <= sig_init_reg; end if; end if; end process IMP_INIT_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_INIT_DONE_REG -- -- Process Description: -- Create a 1 clock wide init done pulse. -- ------------------------------------------------------------- IMP_INIT_DONE_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_init_done = '1') then sig_init_done <= '0'; Elsif (sig_init_reg = '1' and sig_init_reg2 = '1') Then sig_init_done <= '1'; else null; -- hold current state end if; end if; end process IMP_INIT_DONE_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RDY_INHIBIT_REG -- -- Process Description: -- Implements a ready inhibit flop. -- ------------------------------------------------------------- IMP_RDY_INHIBIT_REG : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_inhibit_rdy_n <= '0'; Elsif (sig_init_done = '1') Then sig_inhibit_rdy_n <= '1'; else null; -- hold current state end if; end if; end process IMP_RDY_INHIBIT_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SINGLE_REG -- -- If Generate Description: -- Implements a 1 deep register FIFO (synchronous mode only) -- -- ------------------------------------------------------------ USE_SINGLE_REG : if (C_IS_ASYNC = 0 and C_DEPTH <= 1) generate -- Local Constants -- local signals signal sig_data_in : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_dout_reg : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_regfifo_full_reg : std_logic := '0'; signal sig_regfifo_empty_reg : std_logic := '0'; signal sig_push_regfifo : std_logic := '0'; signal sig_pop_regfifo : std_logic := '0'; begin -- Internal signals -- Write signals fifo_wr_tready <= sig_regfifo_empty_reg; fifo_wr_full <= sig_regfifo_full_reg ; sig_push_regfifo <= fifo_wr_tvalid and sig_regfifo_empty_reg; sig_data_in <= fifo_wr_tdata ; -- Read signals fifo_rd_tdata <= sig_regfifo_dout_reg ; fifo_rd_tvalid <= sig_regfifo_full_reg ; fifo_rd_empty <= sig_regfifo_empty_reg; sig_pop_regfifo <= sig_regfifo_full_reg and fifo_rd_tready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_FIFO -- -- Process Description: -- This process implements the data and full flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_FIFO : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1' or sig_pop_regfifo = '1') then sig_regfifo_full_reg <= '0'; elsif (sig_push_regfifo = '1') then sig_regfifo_full_reg <= '1'; else null; -- don't change state end if; end if; end process IMP_REG_FIFO; IMP_REG_FIFO1 : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_regfifo_dout_reg <= (others => '0'); elsif (sig_push_regfifo = '1') then sig_regfifo_dout_reg <= sig_data_in; else null; -- don't change state end if; end if; end process IMP_REG_FIFO1; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_REG_EMPTY_FLOP -- -- Process Description: -- This process implements the empty flag for the -- register fifo. -- ------------------------------------------------------------- IMP_REG_EMPTY_FLOP : process (fifo_wr_clk) begin if (fifo_wr_clk'event and fifo_wr_clk = '1') then if (fifo_wr_reset = '1') then sig_regfifo_empty_reg <= '0'; -- since this is used for the ready (invertd) -- it can't be asserted during reset elsif (sig_pop_regfifo = '1' or sig_init_done = '1') then sig_regfifo_empty_reg <= '1'; elsif (sig_push_regfifo = '1') then sig_regfifo_empty_reg <= '0'; else null; -- don't change state end if; end if; end process IMP_REG_EMPTY_FLOP; end generate USE_SINGLE_REG; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SRL_FIFO -- -- If Generate Description: -- Generates a fifo implementation usinf SRL based FIFOa -- -- ------------------------------------------------------------ USE_SRL_FIFO : if (C_IS_ASYNC = 0 and C_DEPTH <= 64 and C_DEPTH > 1 and C_PRIM_TYPE = 2 ) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant NEED_ALMOST_EMPTY : Integer := 0; Constant NEED_ALMOST_FULL : Integer := 0; -- local signals signal sig_wr_full : std_logic := '0'; signal sig_wr_fifo : std_logic := '0'; signal sig_wr_ready : std_logic := '0'; signal sig_rd_fifo : std_logic := '0'; signal sig_rd_empty : std_logic := '0'; signal sig_rd_valid : std_logic := '0'; signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); begin -- Write side signals fifo_wr_tready <= sig_wr_ready; fifo_wr_full <= sig_wr_full; sig_wr_ready <= not(sig_wr_full) and sig_inhibit_rdy_n; sig_wr_fifo <= fifo_wr_tvalid and sig_wr_ready; sig_fifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_rd_valid; sig_rd_valid <= not(sig_rd_empty); fifo_rd_tdata <= sig_fifo_rd_data ; fifo_rd_empty <= not(sig_rd_valid); sig_rd_fifo <= sig_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_SYNC_FIFO -- -- Description: -- Implement the synchronous FIFO using SRL FIFO elements -- ------------------------------------------------------------ I_SYNC_FIFO : entity lib_srl_fifo_v1_0_2.srl_fifo_f generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_FAMILY => C_FAMILY ) port map ( Clk => fifo_wr_clk , Reset => fifo_wr_reset , FIFO_Write => sig_wr_fifo , Data_In => sig_fifo_wr_data , FIFO_Read => sig_rd_fifo , Data_Out => sig_fifo_rd_data , FIFO_Empty => sig_rd_empty , FIFO_Full => sig_wr_full , Addr => open ); end generate USE_SRL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: USE_SYNC_FIFO -- -- If Generate Description: -- Instantiates a synchronous FIFO design for use in the -- synchronous operating mode. -- ------------------------------------------------------------ USE_SYNC_FIFO : if (C_IS_ASYNC = 0 and (C_DEPTH > 64 or (C_DEPTH > 1 and C_PRIM_TYPE < 2 ))) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant NEED_ALMOST_EMPTY : Integer := 0; Constant NEED_ALMOST_FULL : Integer := 0; Constant DATA_CNT_WIDTH : Integer := clog2(C_DEPTH)+1; Constant PRIM_TYPE : Integer := funct_get_prim_type(C_DEPTH, C_PRIM_TYPE); -- local signals signal sig_wr_full : std_logic := '0'; signal sig_wr_fifo : std_logic := '0'; signal sig_wr_ready : std_logic := '0'; signal sig_rd_fifo : std_logic := '0'; signal sig_rd_valid : std_logic := '0'; signal sig_fifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal sig_fifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); begin -- Write side signals fifo_wr_tready <= sig_wr_ready; fifo_wr_full <= sig_wr_full; sig_wr_ready <= not(sig_wr_full) and sig_inhibit_rdy_n; sig_wr_fifo <= fifo_wr_tvalid and sig_wr_ready; sig_fifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_rd_valid; fifo_rd_tdata <= sig_fifo_rd_data ; fifo_rd_empty <= not(sig_rd_valid); sig_rd_fifo <= sig_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_SYNC_FIFO -- -- Description: -- Implement the synchronous FIFO -- ------------------------------------------------------------ I_SYNC_FIFO : entity axi_sg_v4_1_2.axi_sg_sfifo_autord generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_DATA_CNT_WIDTH => DATA_CNT_WIDTH , C_NEED_ALMOST_EMPTY => NEED_ALMOST_EMPTY , C_NEED_ALMOST_FULL => NEED_ALMOST_FULL , C_USE_BLKMEM => PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Inputs SFIFO_Sinit => fifo_wr_reset , SFIFO_Clk => fifo_wr_clk , SFIFO_Wr_en => sig_wr_fifo , SFIFO_Din => fifo_wr_tdata , SFIFO_Rd_en => sig_rd_fifo , SFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs SFIFO_DValid => sig_rd_valid , SFIFO_Dout => sig_fifo_rd_data , SFIFO_Full => sig_wr_full , SFIFO_Empty => open , SFIFO_Almost_full => open , SFIFO_Almost_empty => open , SFIFO_Rd_count => open , SFIFO_Rd_count_minus1 => open , SFIFO_Wr_count => open , SFIFO_Rd_ack => open ); end generate USE_SYNC_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: USE_ASYNC_FIFO -- -- If Generate Description: -- Instantiates an asynchronous FIFO design for use in the -- asynchronous operating mode. -- ------------------------------------------------------------ USE_ASYNC_FIFO : if (C_IS_ASYNC = 1) generate -- Local Constants Constant LOGIC_LOW : std_logic := '0'; Constant CNT_WIDTH : Integer := clog2(C_DEPTH); -- local signals signal sig_async_wr_full : std_logic := '0'; signal sig_async_wr_fifo : std_logic := '0'; signal sig_async_wr_ready : std_logic := '0'; signal sig_async_rd_fifo : std_logic := '0'; signal sig_async_rd_valid : std_logic := '0'; signal sig_afifo_rd_data : std_logic_vector(C_DWIDTH-1 downto 0); signal sig_afifo_wr_data : std_logic_vector(C_DWIDTH-1 downto 0); signal sig_fifo_ainit : std_logic := '0'; Signal sig_init_reg : std_logic := '0'; begin sig_fifo_ainit <= fifo_async_rd_reset or fifo_wr_reset; -- Write side signals fifo_wr_tready <= sig_async_wr_ready; fifo_wr_full <= sig_async_wr_full; sig_async_wr_ready <= not(sig_async_wr_full) and sig_inhibit_rdy_n; sig_async_wr_fifo <= fifo_wr_tvalid and sig_async_wr_ready; sig_afifo_wr_data <= fifo_wr_tdata; -- Read Side Signals fifo_rd_tvalid <= sig_async_rd_valid; fifo_rd_tdata <= sig_afifo_rd_data ; fifo_rd_empty <= not(sig_async_rd_valid); sig_async_rd_fifo <= sig_async_rd_valid and fifo_rd_tready; ------------------------------------------------------------ -- Instance: I_ASYNC_FIFO -- -- Description: -- Implement the asynchronous FIFO -- ------------------------------------------------------------ I_ASYNC_FIFO : entity axi_sg_v4_1_2.axi_sg_afifo_autord generic map ( C_DWIDTH => C_DWIDTH , C_DEPTH => C_DEPTH , C_CNT_WIDTH => CNT_WIDTH , C_USE_BLKMEM => C_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Inputs AFIFO_Ainit => sig_fifo_ainit , AFIFO_Wr_clk => fifo_wr_clk , AFIFO_Wr_en => sig_async_wr_fifo , AFIFO_Din => sig_afifo_wr_data , AFIFO_Rd_clk => fifo_async_rd_clk , AFIFO_Rd_en => sig_async_rd_fifo , AFIFO_Clr_Rd_Data_Valid => LOGIC_LOW , -- Outputs AFIFO_DValid => sig_async_rd_valid, AFIFO_Dout => sig_afifo_rd_data , AFIFO_Full => sig_async_wr_full , AFIFO_Empty => open , AFIFO_Almost_full => open , AFIFO_Almost_empty => open , AFIFO_Wr_count => open , AFIFO_Rd_count => open , AFIFO_Corr_Rd_count => open , AFIFO_Corr_Rd_count_minus1 => open , AFIFO_Rd_ack => open ); end generate USE_ASYNC_FIFO; end imp;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/vhdl/feedforward_mux_4to1_sel2_32_1.vhd
3
1622
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity feedforward_mux_4to1_sel2_32_1 is generic ( ID :integer := 0; NUM_STAGE :integer := 1; din1_WIDTH :integer := 32; din2_WIDTH :integer := 32; din3_WIDTH :integer := 32; din4_WIDTH :integer := 32; din5_WIDTH :integer := 32; dout_WIDTH :integer := 32); port ( din1 :in std_logic_vector(31 downto 0); din2 :in std_logic_vector(31 downto 0); din3 :in std_logic_vector(31 downto 0); din4 :in std_logic_vector(31 downto 0); din5 :in std_logic_vector(1 downto 0); dout :out std_logic_vector(31 downto 0)); end entity; architecture rtl of feedforward_mux_4to1_sel2_32_1 is -- puts internal signals signal sel : std_logic_vector(1 downto 0); -- level 1 signals signal mux_1_0 : std_logic_vector(31 downto 0); signal mux_1_1 : std_logic_vector(31 downto 0); -- level 2 signals signal mux_2_0 : std_logic_vector(31 downto 0); begin sel <= din5; -- Generate level 1 logic mux_1_0 <= din1 when sel(0) = '0' else din2; mux_1_1 <= din3 when sel(0) = '0' else din4; -- Generate level 2 logic mux_2_0 <= mux_1_0 when sel(1) = '0' else mux_1_1; -- output logic dout <= mux_2_0; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/blk_mem_gen_v8_3/hdl/blk_mem_gen_v8_3.vhd
4
21293
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iMNrs/xGUapAQqnTpsnLPCXUJ51ycUqRibMxluSlWyB4sGVAcCp/ILcZQfgi+JhR2CNq48kyZX1L mH/vf7Kprg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H7Z3IB1v3drLp3rDBCLqQjQmFK6J4MRSgGqazHH7W1T71FHW+dZ/CBfsCeP6t/uw1+viCHeYpiHx Ts0hK/qUX+An4SPp4kqE46H6ObKf9crqnnGOhxhng1dPdEUKSsk/8MHfmqpT03zFHLoUEzGAihye 3amKH29QDLPcCRkaLBw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J+pPV7pcY4Frgq3lWOlY9UHMtz5gA+vrh6UEYfQgFMw/5FMP+YkKz6SNUgSIvfeoyO7C/N8YwIHt o510Zx+PTek07+e6HG5/SAugUDEN9T0O4Dc+gNlTEcx9xxoppkRigIMOWaTn1qiaqCFBzkIqjiwt AqIL4jv53/jaxlNn56cIn49CyVZoiw3Sca4HJoppPdpfWxxpehoVrM11UlnWXWPDoVmnaihVAsqt XrhrYbHbd/eGhvyZ+YA3MoBVdKb12qcPEoiSUxt10/i37LMPNk3zeJNksedA+MxwR6VDDnPPctBI 8VIYG26fh9c+2yro989k49Yxv8UnMbJp/aTx8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zOyj522533FuS4Dhk8EUvy8HWZPAcGO72EdDgsNS9UbFW7UcT7F2oT35Gtlmy3ZJWkJ+PsGoQIxn MaSVerZMjOxGPmhLx1rUWk2CkTxjonEuoM+GL1zTeSaL/bajGb+SyXfh2XjYNqL8DTy6eQaJsO3a BesrzIGgRt6J5OsfRSg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kPXbYUW0nftXjyLFl8kOO+siwO2qim0AenLBtzM7kBzb98F6JQYWX4WPpVsWnySQFapPpyUPgPgd b41JJGid7kQU3QSuH5nxgCj7a5k8Zjg+6hfpM9eHrCluIHZWYYZ40B6kctSXOFA/EdIruSavnNTK BNa3wU1BrF3Xxe/3+pOUbVhiVpEAqtEogWNb5u6vuRJTDU8KMOmfyGpNGlDqT37UZrO++ljGkc2q 1rVIXufoYQIi/E4ACedXsZraxPnY773JmwAhumUzg9DsvPM9jhOc69eUhR7OmSvdzSVSMwcm9O8N HEetPWFYKG5KpJaeOjrP3YrPUAndtYADpefBfQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HeONVLbck70+T3lUDee+OJI3960XbNMkfPLjCjAIeJEQUNGjYdy2cTx8TK5gFIm1Szw7lYhxL2E9 YbrqbEsord1TReD53Kw6IZbGcc4QtQ5weq0gv6AWLIBjMw1m/qtENMRyh+DaJYaZRvbG39WqwSv9 RKdyxcegQjSx0Wi9Mkw5ukKUO1SNBlzYjZZoCNQrh7K1KFjCs5o+icQwipAdSx3UEX++1/NPTSsr z+uZW+pfTKM2BwcOcvUXBstmUIi/8loExiVnke9pDvlKyTCB/1onLpaA2jRpuUE62vHJcTz9m32n uh5OTObd4uPX8Mi2u5vV+Q/TsdiI86XA8c8evA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13632) `protect data_block m31p4DvPR0Op1Hr3mLHwzfsD73KREP/fJkbLzTxgfb68WDLCagDoUVoClZYF7HCu+9MppRWvanHn nNoLoxJ6FG4PvtUyX7xJiXcIBQR8PQhzcTEZg9Lf+cWumFS3791mUYunHkaR1NzDetOV9Ixv0xNM ubPBPwAmeDZ5AWC+RZ/nfRWQzMoWmypoOO9WnwbtE98dh85fARs581uimWdgYkLX5AFqhOFC5bap QSBvXuJbAtD2OzySaukKZsHmNJR61v2q/GfSClokq/jf8M02W19X2pVjaZFlOy5Kph4D4ePPjLq8 mkE6x1pErtJAfXA9OZV9y6/AOJs5450yT+FQA1aHir5f/fl9WToi2dbKloCkZNyAPDiLY/yaFIKw b5e/ed+Rm9sF4d5s9ffcrf0ugw7Fm77CFiCuhK0Jgdyea39kVEASb2KvvtX/zKQiahuVtNsKyaZe f/QtmMQVUMbqgSJ4HyqNaJkjpbGqLLwuiorUI9klpQ91RKyVBvomDx5rany/O8C1tEnI1Qs5L2Y8 jAcOZmU086p7h+RUu1d2B/hqrvObpnmJL2l7eag1GjveS1rRLcRp+OAmn+PBhqALQ6hk2XDttiXV DoiwzD1vg5YyjZvopsxbkcMe3JuRKhqqVw1lIYHywho68cRICGXF6VLm3WaSH+KbJ1h7Fvubs2gf t045TuFOjUzusXxx6j9sXBi/0qXayNRf5kc4p5fMgDZC1znjdMYMXxGRflufonV8V8miheoCFIHs NpKivtEQC05rYqJFEFHmCAY71VLs7+/kTi7BLJ8+60sin43mD0ThGn9ahG/w3+J/gZlPuouW1YDt DnZKWNMgM9MAd0aXJupWecow9vFa3qus0vypBssHrhRCvMJ9Un4R0xJK1WJ9ja8tkDHuEKkrlut3 FP0b4CMS4F27fBTmnQ/NXHcrRHM9tkZXpzBwLOO2QBbgWv6IE7Xk67KpwEM21AZPQxQR0sLPpo0g ASVog4gXtGXmYnQleyZLiO69UEZ/aQexXLxcU0C5N9DnxFiGAeg58wAQJv0YeNYvCfPsVPkCLVWJ 05mCR3aonq4OuVnWDuzK23h/DqdRKmUlGAC0qsRjvHdYmAHlyzDFSLI3lFnfSm5+mcmuOge1RFRG ZQsuEmYeXA4iQ8gkI6Q/Vrh32d3koJMkTNxs1b/dlmsi22Pcx3yTsGb38LtxAeQG9THIH/JLnn4i n0BsUN1qokTwsAOdYACCB24BXBquZ9jvkK+kklvH63gDyXMX1/MbFWB1pBzzPX4exEWQ6vzPX5wr oaAZ8nspDZTDb2uhe4Dj3lBWPinPYusqXNsW0mHMTdFThEL5MlnxIqPRHfobZjsihAw2jQahQRNn bzYWL1Mb/6ig/AOG8jcO/OPT8GnDcU9na9r3Anhwb+7gzzUluAn40bo3Sq+dd159GMhhahzyN8G0 SIcpU1kR0ci5DJi+9ENQ4g5mh/SyJB8yJ/Xp7KDa4mthq7jzktVnPOEsdJ8qejzsSgouKZIskkH9 9gdMew2BZQAoq0vW3APaa1sRFGnjYzmbQ6ctvkTV5Jifhx98iEJFBQe+ZjRTLQ9y/CTvlvf5Wahn H+ffEFu81GYE3Lnb/92mVmNXaUo0uDbaiv5anq8t9JbtGf9bQplgLmu9rr8ED2o/VjRUT4GtEzOR GC3nDCeDCMvWJLnvml0lolD/qQdyPiLfiFbpBSZPUw4dFiZjnCRp7EOJqXLKP5UjkPXSW6ej2p3f UZ6iGuIEvajThAhdfr1fWI7BPngEp32fzu44O0/K9BKpOQH6oiwfttycqGGoNbzCHoTkHwdvnaCb d6OJ7Y3ap5YMP5WaX0Wqvqu+PJ8M4bNIGxwLbwkEyIuOHrzuTvLHHiYpPmv+1GeFdl0AqOY1gKer sj8JaqTuAs3kmxOfSpuB7e8Sd4TVCrxYCHZlQZtByWQqMRjB1fP7lDssdkHMp9rOhEoejFKz9brf imujI4qBjMjfQLY+a5ELsWOmOeg+A9Wyp6fnpff9Xhz8vFhw48M3HCFlKvDyPrBA6Nambjni3ZUi 3I6L+F4rntNZpdvkw4t4zU7FPbTJqYTAE16ExsExpTKX1f7Pckcz+T8AowconBL/c4NirAR7m7fR LSNbt/n6e0nRk5yZq/7zDS1hqbpUOi7ro4zd+TGnAs00dvrIZSjf5Ylw6sHnQcm9YX++Ig8P8Gli YDBjm6UhDatdlTx07pfNmsqcbQO5rOAi86mHjVg6MU5rZnrY2OVHEvwfeKtZFd4IfjNR+Ef7tAAO 6bXuINkDQSGdqkIJrMTGS3CPx1FdhWuCjibI706/bQHvX2cIkMpcqCiIN/C6CxZSoUZzEV5Fv67j gkFORCGtrTXRtbY4gvzSprUv7e0Hk2sgHVL/q3AFNd+lq3aL73IXeydn1IBAfEzZ0z3BgGa/fdun tpgUb37lfegDOCiUCxMZLnAoPnCVKy8nGImJWgbIJ90vuOIUIAma0iJHQcZI6wywsbBqOdWDW6Us VM1hfm+w1ETpkMuFqUc08kxlQG0CsEBx2RA+NA1FHghRNLs8xWhIMMkRO2yx71vCt1p1+qPThm/z SH76zk6AQze+Hhlj0Hf0FAONz9iKODGRcFWTnrkPMzeYtG3JVF1ajpxdEYbJmk4fzt8Ll3g7ilZo dbVQJsQdC8FNz1Lvcr8V3pPop6V+IegRg9wfmVYd04X+ZR0KW96C0nnbCW08mKSUQJ4JFbP0AA7v XZiJACxJQtC8UZScZN1GdW6cadFqmxsLCI3Z9Eta3JdIYjfKZ/Scnrv44m1Kz04KHkyYCyXWwkUb lksmYD41k7nMDa+lvojU41tsBAWruZf2P+zhHFY9VcznqRw16/3gvYcO2eIs8XDu3fxDMPWv1z2o 4/vAS5fn5/GNMHRoGT1tTiOQcJS33d/g4zVcjz1rE8Q8JYSle4YTWsbz7h6zKDnu6zm4M17Z3iie wY9kjonMzJEEhb/lAonJhMCL9herCpYdSEib3FHjZSTg4suyUvemn7YS/feHli8F059s9J3rJ8dT /w1YNf/Xb+F+OCIvh+HZFWSp8KsDNanYznPpPVNXqvoR4ZWbnY8iN+r4X2JtVa0fKpFsTFZwE4Wh r3xGTCxY8QVQs5VfdRWhYbp6PyAsSOi5nTt5+rNNdhJQMFPlkE48wkJvk9pV5bgUrXpKN0ApFGCd cxsLRPKcR6F2/9eCE/c75pwc6V8ngF4SQWH0i0XNEl6Kbd5WfkFvZl2RZA6RpdD7MQbVYEGT95p6 mgpx341n+StwkY8IjEN53rEOGDtbVz9l3krC55l5dawUlzjDvz1U4ixevoYTceYClHHR+T4r76S+ twTo/Gzz5Ml62MYtaAlBgQMJ7Fot5gC0DID+f1nZnhfnpsaXjKwiAXVJ0zk6NHPmHGNJXPH2xW5x F4wCnY/eeiZvhZty/X4wDwBCdhBwfCc4owWgDQP1Th2GDsSJIW7JiSTv4E5+qjHsYJ9J4VcB8tsv yMeigu4VqZnvIwvJHDiEa3uPh/+fgZEnm+L3Q3I8d5Z9Rp9awq5XpP4RBCescsO5x1YL1FRZ4L2v OqP9f8pjGb5oKevszstgznUCTmxL4WWzZqt+pkg1Ighubwp3rrluMkf+rpD/s/kB/jvhgb3I81ko YPwa6gSQe/gCmM2wI0+/OQO40Ov3ixaFe1XwKL5duin21zQKeyFtt24Q9g3rHAF967H+vuF3QiSu L0d1oAvyIwkGIkePerMdiI7zTyhyqDyNX6uoCoOLgykKW1pW3aN/MHoKFMqpg5yih7bWXXrOLQMT p8u703SgFoaAKfVQOCUmC2fbT6IVb1Lmw+LqxLBu0Zh2ZOTUbG4VW/F6OL9PlqbyFYTOvaoWfoaV tca2BVqY6e6dCgqDibC7I8WKorRzg0D8JAfEg1a0t7d5KpXOp8WoH2qGiAB4tKRpxmWTtHb2vR9T INycPWihaLqihW8Umys8GhyvwHbyNrK1Wv3nFNA7WMuuE8wICRdnWDNO5TVQ/MPB3CwILEyX7E65 oQpMZ9/7DsAOVUvuDKEi/1pHrEzSgj6X5MtjxEOYyqg5YBEMlHOviodsZSduLJ3PK0ckah7Ahv+w eOxE+K5LRttRVvFizsH2JsympU/S/zcXwHFU5GppTB5JpG8L3+S695J1Z0kvkyC/2neMypMmG8bn qUzOzcHi2CNxV5nqlf7k/iGhGdmNgRTskn80ba3UnairsVthsJAOLQeuZX4tFmm2qbLh6vrtpo+X EipIdy51k9oPjsfDlP3YwNVJc65t/6cKRykGfCJ0ku8fAGSY59/zffXpULwBa96cXPvWl767OqPY 42uKamu1tncbpOCYjP8DxerNLDRhoLfPnAkBcg1H7j1c2psXRPiD9MPv3peRNd/gN9sQBLMLORDQ XviOVgScnIoKl2N1cIpQSt/84Xd+2H4H+FN/XPBzONPKq6okvcHK0UaArK8g72c3XlQhja+p/vlo ANpahlmTingyfUGbK64Fw7iT9k81T+1n9mAJ3CfM+XNNkIpUt4n6UJpKQMZi4LRB4vgImZQnAlZs 21KWY0K0lIkFC69LyO/YT6V7f7Ud/NE0h0p94i3PmRlYmsgviRcwPhybM99t7W8gDdIu2ebSN8Q9 Biu4dJcJyWVJXJ18a6CNaTaQQVdF5T5QHv1N4OAYIvFEQyi4f4lCh79EnhAx7FvVFlr1v84yXum1 XJb6gddw9qlmNAVQA/3AzI/lgEFzjngs7dPfQJqx4tI8DWYL9EUtEnE/vrdt7Jh6x3IAfevM0vrw 7IINGJmZuAzsSnB/zDpJtlMl8BprpCp/SPh91VxWBRLvh294ag0agzmKXohiNGwVvb9CVeHiO/sK ag2nNMXGMAkKbfU/d8q32BYDZtccFWHsINAvt/fJgeWWE67KLufuL8qrUEjFRq4MMHmv3eBoWDOw yaGO7rqcYbFQCWlvWX9wXXYQ0o37GpzENUvyhRw6LGbGwhv0zWO5ExL8rnTvQAm/xLpUG5XNNW3A 2DLFDmr7d6VKgKMZaxi9Ov99l40GbhG1G6kC+t3cSdSP5fyhvdC9q5iYygiHFcQxr9yT8o0ThC9F liaIt/JUs2GxFJZ4XR1EDrd6j3WUOQkAWiBswLu5MKqK1Dstlib3OCIwlsnAW66C7GBXbEPGDbzp koLP19cBryzTj8bi6hkvM0CT4OPJrKFsqA8cx0FLeJ7jFUH75HzuuY4NgtYIV0afOUWLt6pdQ+33 Vq03pAmYKRqb939qyOJgr9UqkKYKQ8suehAN0VUh6x4hw3nZpz0qatI95OkgSg6PPdgppnUPgADB 91HyUgvYkasabSRy6sGr+H4g/tyGwC0XJakyUjKVE/8D68iYA++ja+DUfRqqLK9cvsyPZPwyJsLn vXSXzb872IVxvPUMq6ipn7ZqoUKL6SvrN7gG9OSNPC7qv3rgNmf/o5pFI4skqXmULLgOJLmBTZea xGgMwvQVKhfBA0NA5i6hfHthoVDkNpD40F8PT4+q7W4gBmlGkRei+PfMrtXX6x/ruJ1flXqJNIjZ By3y5wI2w2ssTIPCV5+CLfSxzY1fimvY7Xclfo2+Dch9Moq6rrruI0ODIqXqbDVCXjU41HcPATep We3jUGlikhLXbAZmLV2xtvsNi+W/+Q8qHBoKbCgeJLLVnZL73D0nspKs4Iq3j1RjiJ1d0mDLpdXL Zav4EI4AxIZIrUQAqrApINMeexfI+tPqud/eK+mWn1dWQLnOM76yDF9G6nxgf2f64kf6T3WRzotG OQwkhgYYrBRFbN/lxlsO3PAvxyoQJn7YpahN8Mo3bdRnadGxXXoQ27u98lkRsbU48cSX2p9suk3A 5NlEtjrTxUPYgHmLd6qYrLU+/ALzywdK9cK3pZueadDrhCEqhiB4InB8TBXkxndVVc3JwJtWqsIW HmQ36btFDMt4mdK79hIEJLK2kLM7Mf74JEAAq3Fp7mLuojEOXYyID4Kzs11R9G4/BouK6cG5eAC0 b7ONC8RTXMMjPBbGRPQwhIwhzpnCnpC0LdF2KIGrs/KOf9K45zl3Ij1vhd0eSf80ranhzlO2SM1p BgOsv1IjTUET3CYzlTVrVaNMC+c2zLxMANh7j1X9U11SijerN+N9agmRICE4xfTnA7E2lhyH8nyC TrqtHPRyXXBkqf8d5nMxAa78Po7QqodTkUamF+CD6dw1ueqCDma0w96PVusuPDr+LdoF1zPmOHU2 AOGS3mFTmJCg+XPl2C22atWHyM4BC58D6HsKeVIA7bytFGqNkEDQ04cUyvifyp3crOU0xgV22CoA X58kQl5ATQnz+J5nAaHDQFY/UcEi+H/046JcxBCwarydkBtE5UJyEGA2aVVTWHcqwKll+w91L8Vh 9nrHy6ToZ3AohtFsB8yff68uDff7b96sphVlJWKbJ3xpxpMEGGPbyr9W/TvsNv+uzA2jGYTz9evr wnPtMLPMQPNW1jte4lgUYdjteSKqQoFS4BtwtnH1d7BLrkGRYSpDPHdc2fj105evlyWvL8f4oWvw A9/VrswEE3jceD+mOGEeALXrSvNkU8T5QjBqfAo9x2vFVTgz4rkuHM/de2UIKJJutO/vbfMxgxeA +VNHaBnoiLbkp5kLLQJvAKfownZ4+KNm7LWMIdHQ2xGZ57Sa0seukABFL/HeRUqJLz8oV1pmmHNN tMqmKNzMlbkVO5KO+86oUKYaJR0o70eESIL8k5fpt8RfOqyIel/vQ7+aL2YouagJvlMnMIPfNYiZ FJRnSse74MOh2PUxYtv7S5sS0/XMn0/+KoSjDhKa3adByGiJ/VrUmo38SkgjH1NdydzKZZir0Uz0 ANBc0DwVwEcotKZirkTUA5uhKiNnflEZTweoevW16gSW0vSeSJrR8cOA2XdmwGcqOwq9KfEUFiFj YcwQzvYezqBwW/nuQRFhl6gCaIA3S0jwMZ8OOoHcP4YtlEtk7zvCBViuANkxzJi0wCxfYT7zCEDo LQzpVo5VBHNwDfzbUO2+kwsvwilecg29wpcALzRld35I7TU98ZJJ0DPhXQPgfbdrYdIJo8EQNkt2 qx9mwTk8ny1xJduzoaZhS7qJs7gZMW9pzbdDtjSN5qT+++s2+ysPhCR0YVSvbVpqeRtkeGx1OEyr z8ZLAMUG3e7q54OhR9zPMmdIBwBegcwg5hMT2kBtnnz8s1b/BGHRK23tY8aR6/MKCqs5c6EZMugZ KFUXACcY7SyUxCk1OVDMO3dxn9/fTRdSEEObmKyeX2jOjgWqbMVrMP0WdIbyYAwSL6C3FXieCFTx J2qqqOA97QjLZr6rrnvPymRxAKIAEVInhObu8rdiLxYludQcGxQSSb/pmbGvpgsnaaw5mkCcN2Y9 PLgt5q1u+n9ew0U9ObPhC2pYuY0Z00a+IsGwP1DGC6fmmveZBGdCsFhB1gf2NDnj8qynps8sAtUY Y3NUBm1FeAy6JOJdwEWj3d5cr7T7bYoCIqFnSxNBOvUiE+kZz7kGJIKhA61TC+KSc14w/DepuTei G9UdcaXWIdI/FcGT8Y4k+iRgsXkiY6OA3MYOD12QHHJmNUywVKAHeR5oBEB+cJUPmM5E+qyRipSc WOkCJK8bKleK/aoMrpZxHwKW8t+FRNb4sRhgqPFNbPCXEyw7owW37ukciPcJi72jNwMxkSifrToB MQ+BYvOoCakROAFp11EuGukyK9+Rxxrp+0hSaQJrWX64u4siEKjPhIa+bu5xeVdvNxYnj74R2oRc 1u+jRzFBeHxUXoIhQNV+aiUBLCnkIjSdbDvVgR4XWEXJ9JT/W+AZ4sVeCPRSnvunUfnkTzXSfQSi GsV3MfH4fjxn6Zgx3XqEse/MByNvQDCMwY4YoYQ2YTNyvcQHWrqCNN2vzjx0sbiHc5JzMegPPKZH v/alg3Uj2VjR6+UNRNfHOlRFmOER5X6M2mi1ShmSYKQSH3CCUL8yMHMdAaJHujlTdEynUMt9nhGF 5iCzk01I5H90nZHZYsJm+EL66ZDhAHUtq7pgPDhisQjpZWfrLu9gajY32M8yB8xRp4/wonejSsNZ J3Z9QDzIEggddFx57fqo+EneLVgzYvWCsPorOAQD/EpdcknNmlpEoV+HcZfP4BhuzAtgUX6K4q3u +plJ+4r8QTdA1rBH/TQZcAXKBhKk4eOrQ0WZKjN/1hUW14b1A3RyBEUjYvWI9lc95h6vyHoCtfDG Bfsbai5+0MSXYzq5NAGnY9cQLfH+lPfVm3Pb1uNJzIZke2t7BWRpk79+fDlqItRvIO/59A+gevhx YwfSoMR8yx7pf1L6bE7TfbIv15rYojLztxgqINkmhZPNpIrjWeqkpHFIiPlSCZcBh6aktC/y2gI3 /J3zk26Kponcu2bOgIPDgGN3svleae+pdU5RvJH4opsTqgq5LUS2fJoiEnGnQGspPC3yHwJeQ+N1 WAzoSbwtiUlsFkw8Qz2tm9Fndt5dhcLYSu3AxG2YxKWtvg/ZzpnEJ0BUNa8U442j1Xo+YSU1VCoD L0YnrHuMR+0AYZvoM15uLI27M6nwa21rskDePJ3koXmGw/kAUCV9kln+JPN/Tfeca8i8PqZEoqXD uCjxveTTmYGhx7pmFTLYDXWP+s8ig+8MuaNw7eSudSBrg+3cQlhZMmG0Hl+4YWUHkU4iFgVLmJPA d5/TJ2o3b8j+prSdQZYn7nWFNxH4A8Ev7+AkQn9MUAl8Clc39qFdEg+4mAO/swSanM0VT3Iu76yi grnlbdfyu0U8WM3ezXeRe+qLdVPA/Jv7jp1JUSJO0RkUV9s1cEenZ0xibPfFq9s/+zwMKyiF6FR7 o2rM0cxTCf2flcPMhZhG1We/B73TAYuM8Llh6zIepCowu66pAq8iGTMHxRJgMeJg84R2vyCL2lI/ VZ/p3ps0urQECGFitSxNT3ugTV7y7Tdq+JTkAkUlXCrEtBliMnJKQ9WJ3VzyB4mPI1rNAX3qxtfC s8GN+GXG4x/fjX2kY5R2EJHKW1/TWwmYDHCG9tAMI1b2x1k40IX9WAWNJ+EvLiw2AEY8ANXw74F6 BIzx18cthpZm4VLTu+7p5KuPX+eGv6iLCGXAlvZSqbTJeBIB2F/+EB1Y522j3K+A2It90pKScONw 6sDDxh8jlTMh4ZkuJgsxwT7eObvYr2WlkPDoL/vhkHs9RXRN2gEP+MxB1uPaMmXjEIQ9yhVPepg2 7R7K9YyDkIHltVc1fJl36mLABBlrhObN8M7Hwgt8vdvddbAw7ZDo/Ftt53zmHXQ5bCzaccPxRPIp QZ5MHIfHovx8euwbzZb88fxS+NDfyWfnvBMN1HSlVSuCeLbHBk5w9zOl3q/GGhjwpFHo4BP1K/j/ xfh5NAUIo6Q5CeQy2AL0Wk1l5AB2BfchqHKBZ0HA0aQhavEpVhjpSp36fH/M0oZ+Dxg+7rFsF3rS FLF7zCjDU7CYoq6gxT39msyuHQY7n33tmNk+tACjQ5HU68re2UihbkI0Dsnv1lRkKIxnPto5LQj1 2hUQLVMVGFHMpf7RxgFYbDo961whFwAhEoEmM8ZhUBJf1Me2XGC6rpw7+W1s9Dd7D88xIPazvbdX TWDxYuDQOmdjGj5p0k7DvKxbVGseWJanuP+2QxPUUeH6h94mRKwy4QuvOGh+D3XXDFl4FVY8B6A9 O0jJG2eRzoHVjAuHSaH11J9ORartv/J7R6s1WGTvlbDcJ0kbI0TBsQ55upIt3dPQ4SDaPxVzEJ5q tgCJNjugs0yk7F6xpdVEb8tI/vzBqZ8H7jPZ18fE3jAT374kQ3nxcsmBog8SIQ2gl6BRteMZfwDH c4skhvIja1caVwqo+ZN/KVObuAXJ8fv61elG47Ws/2B3pQn7GTzPDOkWEDUEQ/n3Ti8cuTK+lj4D JxDZfu1JkRJDGKzKgVE1qZGpbIpKoy/WiycOl+sCXqpYdjEBR1Kb+podS7PWKXOhfxXMH/2+rk1z OLlB1h8X2LbTHcenKkUFBDm+oV9NDmB1rX057C3ukHrTpDkyxZuUc8Ec/wLve+NnKmYPJHDdrhUG 5vdhFyAl/gCWj4Y0Enl66HCw7YPWNeOTs3zh2L0FnFY7NLnm18dYMuzcP/8QqKshmpIJ/e2uz7uD DRChMbcrxepGKNmRhRhOVCcq4gZAXble8tNJi8ciBj4t49L6lfCtX1n4Yn1CJzvCloqdxJBeb8dG ZIB2KmLvlGjTiYs3NK2HC6RUaaahZhmoRC1I44acwUvrIuhbqo9gS9fg1+/ZbYktjxYkBNXNd9MR Ph68mQtir1DkPCPa2Z5HTq6ChIoze5W5G2m4JgRg4SvWcHL4QhEsAob4PQGT3dnVTWZ/njAQ2ReG 47dCA8+MxuFJSPFKs1k8s6GsBQ5AcjVQ1dTcZG+9vxN6RXF3qBITZ1ZD1gWMCVu9c1sKwza4rAyD Np/lCvzmG6ZIjlWXXce6veBx+Bql1cl0hrrBNkpEVVgMFa/5D/B8XAPY3bSOvjP1pFZU/ip9Fh8l jjhL+TmWHpsWBTfs/TVvepZ0MpUEj5DM14F8RI5KXmNIBtzkH6L+8g4pbIhd4zbi8StAm3Qk/A7c nElQ/ueOC9BCtycV5tDJA1Sg/ZZyW/F2MAYp+qcqdmxYZVV0v6e019TeufFClzLlf5UA99fw+j6s 2wzFuwapPVImBIkW+ztXnjSA962ouNImmKbTjY36l3pK1TNZVUihXY1kZQK2cZIW2INfIPKz/j2J V3ABMcOTPZUCMV3uRocS5R+EdwlYQMTjwWid/Ccl+P8JDxXSbbNO0YcTGw6xooldWMTsbYHThKPl /Ai/bbKzJPCxvVPOglXU3A6HRoxqeGXH5tCsTT8WHF5Y8/QcT4mhcLL9nuP7NHFER5rsRmVbdlB3 pFou8faLQRGejzwJ5qEpNPLEIOYCY80JQ7X1hrHKpylghXipfPjcbtpFniSOnxlKQr8/C29G+/n+ F6SjwM3Cx+Mr/GldD6QZyNlpHsNtBQSpk+ujTSicMWrFRsWmMowqbEAERgphf8jMOJGezpcN9uwq pBVSWMG74UXQhDT+gms+uoFl7k0I+WiWPWw9QXQHgw/8UMrUCNF4HCMhx1oHRaVbJv2PkEiDFw/x vLg4en1GHNWnbUQawOgyAUh9UqPVs6W45RTBnqWT/HUdp1t1f18P3fRR6x5nu7aZIfAVGIJnqNxA PevpqXBqt86e+836plrDgKJlgc6TUk/CYhkl26t2QkNuSyCsNtQeDaRfOKZ5tuPF+SqgjKOZtsyO 2hgVuIA6xS4+caizN5/llWcbQtVnPu87x/DE1rp42wt4MumhSFPRUQQ2P2Ik65jxpEc79kOppZvQ Gb6iVL3IYDkVLIjei0/rjE2bM1jde6HkWWgZ5DB28QPcTZdXhA/uwFJA8CW05JL+2ImPO7Ei8w0F Om2ywlLaIrnOucMc35jl3LO6iMKJjOCrEzBsw87d0AS4AUu+E6ZM5MqA0XdhtHxOsjzGIFZ11g/b 32S6i8UDGH/Ocb8f0HlsCbrkABYrV5NhOwnV2f9WamwcgF7KGkArp4+1cJTwzTSKL9RamMqRKijO kNFXa81eXzIl2Il1l9OBe4tU5M0av39GtL9c4972kr+PnEEyo8l/FTtu7kB6n5RWkVZq/Hq00KA0 EEKXmawAH7reblt9FTrrgNnjwUt6U27ixrUGu+DcAXQlC7cWm4koOBFDQdSq43KUkKQ9WICVfR4Q 55DSUHxHNDM2XQlCEi8bby1BKx1EiBuY9bvfd9wXVyHs/tpmrlURZ+FrxnU+BnQxxnAPzr88qyQ4 RqNqLUqTlxzv7XJOCNmQ5NRrurh+6h4SnwS6IkEF976nno7v0GcEAn3anoCT/mDBmRG00EOJoBwX diIGdyP3WL0u2KxfnTwoKGVjCLXB9NKR816X4Rl+MPU2ugLq0FvozAyWrvySs/N5xVdRfuR/sZct 55MSgDB7X4U5J/2YZ5mGBi5ntzroEDbat+DpImixWBsr0iE/73Np8OiCfdTAtq5JXJF3dmCB5e9W 6XXrOPW5NqsDgCdhohmDpvolonWJMvhjUAygnytGXx/AGKiPUhisnqUKvFJPUTUxKtqzaQUjYde9 SQg61cv4AqkcjtgZ1IFPImAKodb1sxYYlU0fv+WruvCdoVBNce/epkNk8HpTDfnJmQeMcT1mX+Qo IaYli2SjpV4W9vPuBkHMv9pA9Ziae1oN1C6Ic/K+vIha2ZpVaYrC8rja0HU1pl6aMP6gn/zmGvRm /FXxVhfmN6Cn2Vk40EPT6p2Km92L4/mv/9SEIpbG0zG+M682V7oRBfEZAvt6WVw62ZRIOhaoTni1 FMoPeikiXESNHXp5Ns6niRnhbZYfK869Ds8EYF9/H7zClPGidWHaWXL7T6Jn20WOE9ue2dVf2/LJ PeoP6QRrH3aer+Vgfg+7XGB6rL/ruaOsuuwkafXBuUbE3Z1pSroDlEP+FKJl3K50RtfLmyxALD/k RuWCq7AIacaQ6b6ddzE1SvtS8tx5RJw85q3avp0JSfpZUgF8CnIUd0hs/UrQfcwvoeN0+QCBiI2t N+j3/E5Sr1gZ/MKwbjrrnjWO47UrSd9mmhraYrBauZidGisvYVvnxhJut26VkpmS02JdVCuSIMD7 hNs/U8+h0V2LCOIVtYrcNnAoW7khst7oOyYMf01j9pdgO9shfH7Utn8x1N0hwJ079c0MTqwPHoP3 Fa6FmUeqnRwMFMdNji6Wt35R25AEm1TME/hzxxTq+KaJ/AIk4efq9sjo/0YYknRfdoqASWWlYKUc S0yOFyz52T0NmDVd/g/U75clwMeoR6Fyp2u7ZeScIWTfSSOlhD593Lxw97QapuPGKLEf83t+QDEG bsgx9k6BNkyE34NqsebDCe6sRnudZlmdycBgk8bSrYbt8HPSH6ywR9eUSkhiwB1FeRD7/Ih+dNO6 jghEoY3IWfGm2W55n2+8leDf7XLMUn58P4huklYCR3WxU0xG66Sb+V+iGMh0UQPNVLzcEyvZTxeb BPgTqL1totJVy4TCdWWsnoWLSyA88uFGBjwMKKfAdU05Ifwo9Yl56F+TPwIoEuhszq4tYKjEL167 8+e32fSoJltNKzgfQWJFk/t6YQvaENKTESj+2nbIh7wOGo3pQFOOooz8AX1OiKGsPAARVm8kvig3 cX06acOncSNxLwvkroxG81/is9tj5FErbcedXpbjWMAJHxXmr+GPtkasfU7vDjEB/2HFKQQZI8zf oI4uIJhAgO8vBEy3ftRAdvhhf3G0JKApoNnOWbghx6INoEvkgKPodeshp5Axb2bW6t/DEwqmMsPS kcNsQOVPeQR+ikDeNtyoYFF42GuXZIpEi0Ggd6Jh24JRjEAzqf74/IOEDJL9f5eNAMdDEnOVchlv FeKD86cT07rYyL1uAm3N9fqB6hOAl3UNze3hHc31JuiW4KtSOFcbvnpE8ROCEhZX9rC3J7ZMp82J +Y4sOli4VdCYo4/TKjVNO0iogXFm+RK1PgOO5HQ/6kzmvmtChy2FbG/7hlPLFiYuoom+23VMmz9O +DZYP8modfB7kbSavwbQvLlA23zTOzv6hxtsusNT/ph43yfwXcQB4x/vtfy9Z7ilCB6iDm8KSwWm KYuuzGSj3LZdardWxq/GNkOUCNZbv54h518lXznSkURcgtAj+XAuvF9gTRw7+LPCNwpjtToqcCYo T/aUQtWKsIP4j+19/erskjTKEcKRiR4OVcBubWjghOr10RaGs+RPyx/61UIQlm5/efNggjJe5WDD 1YKXVhzdUTYjvZ0wg3n8WSje3VGloktFDW/2YodjrWMjdBgOj0bJuwM2DfY3eZtwp98gBsYm4+7J a1yBHEekqrzidoTaB92rtemCcPpeKN/BbGdINkWuvAWoZr/p2R+zD99qZuAgt80nyD1gb+amnaJ/ aKuinYLrc+57y2x9h/7II4z6TycMAeO9rzuYSfA73uowkxWVHoryF+6u/8FJUz18zdryljNyZaNQ gTAhMr72q+r+viV3se14vhZg8IM9XQQjBbm0GC+QJVodlfSBoV+QGyIoqlw2Jg7JYqvcmmMfFcR1 M2FN4kmtLhRX6PenIZgq2C8R1IqX6SYvEOoykYjqut194JXQlx9h2TIHeLewhGyWU3uaQx4D3fO3 XyEmG5xl3FdaVi4RBrf83UVZPcaOzdnmhuaRQ6fFbi+KsgSixyjtw442FiO0AKFjXY1yJoQgIqGJ s7ljIiDgFGz6XDjRS0AWFL7BH2v+5JnrGAu/C8giIMiU3xzrK05gOKUg87aEYWMqAycQkTAnyuV0 c1/E4zTW+WYnA5oZtumaeE1zOXNK4B33ya5Drn5k6Pyrgr4jpQ4UgrN/niLo8UFct/IjG4a3L3tQ kDbkTskvKKJNkk5WkGf6pkhNn3el4+cTQm7PeSpn9KWdvACbW2meuLVa6lu/hbLPbhE8NGxCAJnY T6dFVx1ViW77nsWUmEJLaW5hMtDBZ8TvLs1FIUPaGysLw1mr45Q1M8JlMaiMpI3AQEgMckzQ94Ep iwp7Hs2Wx2E8CcsoSUH3gJ4CvW97Dp3mo7abmymDGLbqsfJRQbq/VVanPz6zpOSL4TelM3JZIGBD aiO4NwpqOX7nVoHfhELcGqwws5Idn21wUH1q60fcQrcu/L3HNzvnm9a1t32e29YBi4wZQWStBuqz tY1XTNoecuB6y5qGjnyey/UJx8pAF08VVU/u6bD4BX4QB0u+Nt5WjjUKWqTQDO7i2XF4sESZVLoV 1nnF0Oj+PYX3FfZxImpJAmJqX2SqrI+4vgDfSeisVzxmiwUf+QQODRuePPdQHUMb25PjY2IZaX/5 We6ICYM5pxRZpRSU/NFWRY9oyOJepwzekwzd+/ORzd/XnVFx2hjo6KJDrpYFBR1SIIRm8Zy9bM1I mafrYgZcrysl96S+/+zRMHBjQpoMplsh0GkmPDZdPqMpheHD2LpgMxocaPbzI4aWdIeQOrT8qhqg ntzY6y88R0Qa9LF9tAIFvCJsATIuFbJhAQSnjuapLJDmWDeTH2z2O5tW8KH8AS8VwDRGEsZll1lq yL/AMOqF7KSwCrkG2kZ0sT9nkC7ersZnNTrDPjLn9kpRp8uTVmFYadL3eJ6UCwRPiaOdeEmERUld Yt1+WHVEAYTgvh4Xwvp+MD1ykCNm8xIBvP5VyDPNHr6vyUTI0+18oMltW0YnWrAR/GkDMkjNlj+N cFhm6FfPzKTe143JscJjKXQISsJVmTZyIdm7TWSJBLjHNMKR/mZl/H5Eq3mTyKQLgHYcanKO53pD 9TBOHvERGHBOVd9+TyiCb9oEk0bIGoAG+63VEgKhrlAXxOAi2t9nTtmf84tv8XeoEnQPGSS5sGUQ appxm9mahBQIRQwoiavjjPBxihn7xY/0BVair8nCQprArmH8A3lqDzk5poUrUEoC/dIhxVVkEGYL lmWyIrFT7QlXVKceayIyerm3DY6pjOPr+9Zs+hk7wLqATkW4/3+4w1+UIPgZi4+AZzxmU0BPycLp SBFjs8/emArhmvWsVrSslp2EBltpqkvLDDmKaxIFbxj1vskCHlAtUrEfb6x+FRSP+vVIkKtH4dkn 1l6/iMiqhOqDJSyO663tTJXbozCfhMi7YXSuTpcey6RInRIO6O1/VB1sMuH4wDJFFQsR4sd0t5cm W7aXvfDDvhQwPG30nRWj99WXxPMNtC0bPX+gtojxbETqMf18Sta3YnTbXg8JMjQ54kMr6I6Ek4ej mX2GlGSx0gniB+7WJdWpAsJrwJUNr5+Gljc+GM8rzjzKBXAXqlVXHe/xPNeM2YaC7W2v3hJ/BZ6R vCWUk/Wpo2Laihc5tt5vJLkoYazActgFoBgjvdth50meKqiajgkkIDcZu+USDlYh4XFkXLjqJ7W/ EwgcrvXga7yHfA/44Jj/HMjRbiuZ9qtJXvQhqA3N7iLLEP8XA7ZrIAFU8FgaaFfVoFxw6lfsT14L ciuP64br9H5u6GHHkxBfpGgVhlZ5RS/c2woPU9IqjO47jL5pUOqjZMyE9i6zP7nZnUxLWbDhd/Mo /gGbubRW4ijqrATP4gWWvOpsBo479zbS3LSZnanHanWxkKh6/uabLr+tbQX0g7VDt4dL9sUdQw3u HgQajh+8K5/DzCctor8K3cKZxeKSSg81fTqPQe8vUTMal6nq2hb3OwAD/RG3fG+4fSKLRZ92sh0m wLEHkoV98VMHmbSDoj3YY6hnLcEfx2DC48O5HT9IdbKKEtMjXVeZ6uSv7/9E5F+gti1MazaRHvF0 pHQmwRYjr/SnUH1SQciCoJFqwQiDUFmvfrrLRNAJ1bh7+S9Vq91Z5QcmcC4ij2UNpTRB25dWB/gu M4fuXbpwgoDy7L/zZsW44rcAtystfieWoNIDVxfdwu951MKHtag2aKv8NdWuK0vvfPITIRg+JFqv 98p4ZQEYL3KJ18WXnBhsw4cVbVX7iM+mxfC4tx4axZ0Sew/lkH3ScTieAcR9WTcjF3qxPFJ5gmy/ VOURchHkQOxbfwLmDoILiVjgCzodSfVu9LuuhmBkOQphLMHzEZ5LR0TNArYDVkcHcgTQfnR+intX P5YdBdGHy8S/mRPSmKW7LeVM6cOrrwK4S3R6jQHJxY99xIYCIVyx53zmFhH+BKgaoI+9EW3Q3wSe Jekt+WwiT6SM0kqfBxLnUjrZzlyCXVm4EvHc7nmYP5Exqkqs76Q3u5MgAqytnaLGUI+QN1RLqt+g ay0Lrzqo3bnnEFI1ZuK1SJGf0z0SMCXHzFYSnJerL6MjFjtrei0U3+0dkMSTNdPd4nDAm+hO5N6N 3ky4BKafjmHhASdcF72YY4y0fNfl6TQzz5sXCpqw4yU6pKntklNMbAEFKWkPVjaGNCnyUMHacFOA 0pqqueGtmHfdDro+No+I5Z24nqpvYpwU3JvD/k6BuvzAM5CLul1Oi9sn7qNRc2wAXXSDWsLKe0PP w7w2x0O7XhN6/ZlwNE0OvXRj2gRyv9SRlzkcp+DljSo/SgUX5lPVpCh3i2rCz8iZhSqxr+hTMFgC GXbU8TmBtrQZcapq0iWqBuMTFTSNJirXvaUkJe1+nhaq4RGh633myxl5pWHKKbn1rCisMVeRKmmz 9roCdGD7Qp8iF8gTMW6yhdjgRPvx+BXRfksFBohpIoylT1zlvDHFrP9SP8NjiBWUoTtB6M67j0Bm GrM995Y/dHRt06snR7DtiKB6xGIu/73TZRs0VJFXqzoNf/bZKrkfgUiMojZlT2hURF3P0pbYGTmY sez4KtCebci/Gdy3DmcXEnOUJNTQFhMXY1+mIq09xhMSRXA00KkrCN56O7CMSnmlZic1gk5tnDrD OxNj1ht6ZzoNdwAJFKqlkZH63n+nn/MEjMElhLtb4N2xUYRoAEPItSR8W/tNe6ItKD9gdZUIWUSs wgSnV0OpWiiqtIBkgXCifRmNAS6oOzkBiZgWkmFssFmGQB1t8c7qSMjn0yohLVXaYLkJGHAb5n84 dlZ8YlfREo3roX9hgP3UufJP9PyeaXtWZevIATypP6MTPE7fV3WiSYd9IpAP2n6zpvlVwYl6leHi Zy+kcoHzu/ZJFl6n5yPG90ntJWfEbJ/1O9rdBmOVh/LWJ2muzimtZyjJ9oX1ZoeES6D7CDdZQLzu mx76mEqs62cPNYzFsRNjTheXrbx4QuVzWUlFO4VQCBf4LXqvPIqxU7YldQkb6zNA6eGNLsi0CHx5 PvhG70L8DmiwrRIMsg0PQzM3El3zSwW+Hq3cNX6s5mwMKfgJQYvEcShiyb1bpPib25jrjJxfrrzd 2h5DNQL1jph/in13sbaCnP5cSnSEc5PABy9Pd4BX9TCa19ng0KtXQueZhTmxa1FsIYnsBrt9ZHsS JGw4DeZXc0Fp+ZjRWRet9+QIAQH1/hUDtx+4rYuugMD4RvGmI3d374SpthtlfRKMwLWPc1+L6Ew1 qN4br1M+Yz1rodzvbvfh+Z+a0Hb0Z+i7axCO0sKQozG9DOEGB2QuP5T/4IFTbyh70/0ehmiP8YCj XDA24wvfdIjyIhWTu3sqZ1hxdGVKC/sVCaIMoFksk+6zrgqmrenwJWYQctQfJcphaSoiX/abyYAb gf4aDe5S57HyLgPScC4ZzeS5TrlaEmLWFElVuj4lM15ca2p/H/y6bYMkCy9FUMyvl+eRhen71fsz +bRQqy1TAwCC `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_gpio_v2_0/hdl/src/vhdl/axi_gpio.vhd
4
33317
------------------------------------------------------------------------------- -- AXI_GPIO - entity/architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: axi_gpio.vhd -- Version: v2.0 -- Description: General Purpose I/O for AXI Interface -- ------------------------------------------------------------------------------- -- Structure: -- axi_gpio.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- gpio_core.vhd ------------------------------------------------------------------------------- -- Author: KSB -- History: -- ~~~~~~~~~~~~~~ -- KSB 07/28/09 -- ^^^^^^^^^^^^^^ -- First version of axi_gpio. Based on xps_gpio 2.00a -- -- KSB 05/20/10 -- ^^^^^^^^^^^^^^ -- Updated for holes in address range -- ~~~~~~~~~~~~~~ -- VB 09/23/10 -- ^^^^^^^^^^^^^^ -- Updated for axi_lite_ipfi_v1_01_a -- ~~~~~~~~~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use std.textio.all; ------------------------------------------------------------------------------- -- AXI common package of the proc common library is used for different -- function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- axi_gpio_v2_0_9 library is used for axi4 component declarations ------------------------------------------------------------------------------- library axi_lite_ipif_v3_0_3; use axi_lite_ipif_v3_0_3.ipif_pkg.calc_num_ce; use axi_lite_ipif_v3_0_3.ipif_pkg.INTEGER_ARRAY_TYPE; use axi_lite_ipif_v3_0_3.ipif_pkg.SLV64_ARRAY_TYPE; ------------------------------------------------------------------------------- -- axi_gpio_v2_0_9 library is used for interrupt controller component -- declarations ------------------------------------------------------------------------------- library interrupt_control_v3_1_3; ------------------------------------------------------------------------------- -- axi_gpio_v2_0_9 library is used for axi_gpio component declarations ------------------------------------------------------------------------------- library axi_gpio_v2_0_9; ------------------------------------------------------------------------------- -- Defination of Generics : -- ------------------------------------------------------------------------------- -- AXI generics -- C_BASEADDR -- Base address of the core -- C_HIGHADDR -- Permits alias of address space -- by making greater than xFFF -- C_S_AXI_ADDR_WIDTH -- Width of AXI Address interface (in bits) -- C_S_AXI_DATA_WIDTH -- Width of the AXI Data interface (in bits) -- C_FAMILY -- XILINX FPGA family -- C_INSTANCE -- Instance name ot the core in the EDK system -- C_GPIO_WIDTH -- GPIO Data Bus width. -- C_ALL_INPUTS -- Inputs Only. -- C_INTERRUPT_PRESENT -- GPIO Interrupt. -- C_IS_BIDIR -- Selects gpio_io_i as input. -- C_DOUT_DEFAULT -- GPIO_DATA Register reset value. -- C_TRI_DEFAULT -- GPIO_TRI Register reset value. -- C_IS_DUAL -- Dual Channel GPIO. -- C_ALL_INPUTS_2 -- Channel2 Inputs only. -- C_IS_BIDIR_2 -- Selects gpio2_io_i as input. -- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value. -- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Defination of Ports -- ------------------------------------------------------------------------------- -- AXI signals -- s_axi_awaddr -- AXI Write address -- s_axi_awvalid -- Write address valid -- s_axi_awready -- Write address ready -- s_axi_wdata -- Write data -- s_axi_wstrb -- Write strobes -- s_axi_wvalid -- Write valid -- s_axi_wready -- Write ready -- s_axi_bresp -- Write response -- s_axi_bvalid -- Write response valid -- s_axi_bready -- Response ready -- s_axi_araddr -- Read address -- s_axi_arvalid -- Read address valid -- s_axi_arready -- Read address ready -- s_axi_rdata -- Read data -- s_axi_rresp -- Read response -- s_axi_rvalid -- Read valid -- s_axi_rready -- Read ready -- GPIO Signals -- gpio_io_i -- Channel 1 General purpose I/O in port -- gpio_io_o -- Channel 1 General purpose I/O out port -- gpio_io_t -- Channel 1 General purpose I/O -- TRI-STATE control port -- gpio2_io_i -- Channel 2 General purpose I/O in port -- gpio2_io_o -- Channel 2 General purpose I/O out port -- gpio2_io_t -- Channel 2 General purpose I/O -- TRI-STATE control port -- System Signals -- s_axi_aclk -- AXI Clock -- s_axi_aresetn -- AXI Reset -- ip2intc_irpt -- AXI GPIO Interrupt ------------------------------------------------------------------------------- entity axi_gpio is generic ( -- -- System Parameter C_FAMILY : string := "virtex7"; -- -- AXI Parameters C_S_AXI_ADDR_WIDTH : integer range 9 to 9 := 9; C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32; -- -- GPIO Parameter C_GPIO_WIDTH : integer range 1 to 32 := 32; C_GPIO2_WIDTH : integer range 1 to 32 := 32; C_ALL_INPUTS : integer range 0 to 1 := 0; C_ALL_INPUTS_2 : integer range 0 to 1 := 0; C_ALL_OUTPUTS : integer range 0 to 1 := 0;--2/28/2013 C_ALL_OUTPUTS_2 : integer range 0 to 1 := 0;--2/28/2013 C_INTERRUPT_PRESENT : integer range 0 to 1 := 0; C_DOUT_DEFAULT : std_logic_vector (31 downto 0) := X"0000_0000"; C_TRI_DEFAULT : std_logic_vector (31 downto 0) := X"FFFF_FFFF"; C_IS_DUAL : integer range 0 to 1 := 0; C_DOUT_DEFAULT_2 : std_logic_vector (31 downto 0) := X"0000_0000"; C_TRI_DEFAULT_2 : std_logic_vector (31 downto 0) := X"FFFF_FFFF" ); port ( -- AXI interface Signals -------------------------------------------------- s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- Interrupt--------------------------------------------------------------- ip2intc_irpt : out std_logic; -- GPIO Signals------------------------------------------------------------ gpio_io_i : in std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio_io_o : out std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio_io_t : out std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio2_io_i : in std_logic_vector(C_GPIO2_WIDTH-1 downto 0); gpio2_io_o : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0); gpio2_io_t : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0) ); ------------------------------------------------------------------------------- -- fan-out attributes for XST ------------------------------------------------------------------------------- attribute MAX_FANOUT : string; attribute MAX_FANOUT of s_axi_aclk : signal is "10000"; attribute MAX_FANOUT of s_axi_aresetn : signal is "10000"; ------------------------------------------------------------------------------- -- Attributes for MPD file ------------------------------------------------------------------------------- attribute IP_GROUP : string ; attribute IP_GROUP of axi_gpio : entity is "LOGICORE"; attribute SIGIS : string ; attribute SIGIS of s_axi_aclk : signal is "Clk"; attribute SIGIS of s_axi_aresetn : signal is "Rst"; attribute SIGIS of ip2intc_irpt : signal is "INTR_LEVEL_HIGH"; end entity axi_gpio; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture imp of axi_gpio is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ------------------------------------------------------------------------------- -- constant added for webtalk information ------------------------------------------------------------------------------- --function chr(sl: std_logic) return character is -- variable c: character; -- begin -- case sl is -- when '0' => c:= '0'; -- when '1' => c:= '1'; -- when 'Z' => c:= 'Z'; -- when 'U' => c:= 'U'; -- when 'X' => c:= 'X'; -- when 'W' => c:= 'W'; -- when 'L' => c:= 'L'; -- when 'H' => c:= 'H'; -- when '-' => c:= '-'; -- end case; -- return c; -- end chr; -- --function str(slv: std_logic_vector) return string is -- variable result : string (1 to slv'length); -- variable r : integer; -- begin -- r := 1; -- for i in slv'range loop -- result(r) := chr(slv(i)); -- r := r + 1; -- end loop; -- return result; -- end str; type bo2na_type is array (boolean) of natural; -- boolean to --natural conversion constant bo2na : bo2na_type := (false => 0, true => 1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- type BOOLEAN_ARRAY_TYPE is array(natural range <>) of boolean; ---------------------------------------------------------------------------- -- This function returns the number of elements that are true in -- a boolean array. ---------------------------------------------------------------------------- function num_set( ba : BOOLEAN_ARRAY_TYPE ) return natural is variable n : natural := 0; begin for i in ba'range loop n := n + bo2na(ba(i)); end loop; return n; end; ---------------------------------------------------------------------------- -- This function returns a num_ce integer array that is constructed by -- taking only those elements of superset num_ce integer array -- that will be defined by the current case. -- The superset num_ce array is given by parameter num_ce_by_ard. -- The current case the ard elements that will be used is given -- by parameter defined_ards. ---------------------------------------------------------------------------- function qual_ard_num_ce_array( defined_ards : BOOLEAN_ARRAY_TYPE; num_ce_by_ard : INTEGER_ARRAY_TYPE ) return INTEGER_ARRAY_TYPE is variable res : INTEGER_ARRAY_TYPE(num_set(defined_ards)-1 downto 0); variable i : natural := 0; variable j : natural := defined_ards'left; begin while i /= res'length loop -- coverage off while defined_ards(j) = false loop j := j+1; end loop; -- coverage on res(i) := num_ce_by_ard(j); i := i+1; j := j+1; end loop; return res; end; ---------------------------------------------------------------------------- -- This function returns a addr_range array that is constructed by -- taking only those elements of superset addr_range array -- that will be defined by the current case. -- The superset addr_range array is given by parameter addr_range_by_ard. -- The current case the ard elements that will be used is given -- by parameter defined_ards. ---------------------------------------------------------------------------- function qual_ard_addr_range_array( defined_ards : BOOLEAN_ARRAY_TYPE; addr_range_by_ard : SLV64_ARRAY_TYPE ) return SLV64_ARRAY_TYPE is variable res : SLV64_ARRAY_TYPE(0 to 2*num_set(defined_ards)-1); variable i : natural := 0; variable j : natural := defined_ards'left; begin while i /= res'length loop -- coverage off while defined_ards(j) = false loop j := j+1; end loop; -- coverage on res(i) := addr_range_by_ard(2*j); res(i+1) := addr_range_by_ard((2*j)+1); i := i+2; j := j+1; end loop; return res; end; function qual_ard_ce_valid( defined_ards : BOOLEAN_ARRAY_TYPE ) return std_logic_vector is variable res : std_logic_vector(0 to 31); begin res := (others => '0'); if defined_ards(defined_ards'right) then res(0 to 3) := "1111"; res(12) := '1'; res(13) := '1'; res(15) := '1'; else res(0 to 3) := "1111"; end if; return res; end; ---------------------------------------------------------------------------- -- This function returns the maximum width amongst the two GPIO Channels -- and if there is only one channel, it returns just the width of that -- channel. ---------------------------------------------------------------------------- function max_width( dual_channel : INTEGER; channel1_width : INTEGER; channel2_width : INTEGER ) return INTEGER is begin if (dual_channel = 0) then return channel1_width; else if (channel1_width > channel2_width) then return channel1_width; else return channel2_width; end if; end if; end; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant C_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant INTR_TYPE : integer := 5; constant INTR_BASEADDR : std_logic_vector(0 to 31):= X"00000100"; constant INTR_HIGHADDR : std_logic_vector(0 to 31):= X"000001FF"; constant GPIO_HIGHADDR : std_logic_vector(0 to 31):= X"0000000F"; constant MAX_GPIO_WIDTH : integer := max_width (C_IS_DUAL,C_GPIO_WIDTH,C_GPIO2_WIDTH); constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := qual_ard_addr_range_array( (true,C_INTERRUPT_PRESENT=1), (ZERO_ADDR_PAD & X"00000000", ZERO_ADDR_PAD & GPIO_HIGHADDR, ZERO_ADDR_PAD & INTR_BASEADDR, ZERO_ADDR_PAD & INTR_HIGHADDR ) ); constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := qual_ard_num_ce_array( (true,C_INTERRUPT_PRESENT=1), (4,16) ); constant ARD_CE_VALID : std_logic_vector(0 to 31) := qual_ard_ce_valid( (true,C_INTERRUPT_PRESENT=1) ); constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE(0 to 0+bo2na(C_IS_DUAL=1)) := (others => 5); constant C_USE_WSTRB : integer := 0; constant C_DPHASE_TIMEOUT : integer := 8; ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal ip2bus_intrevent : std_logic_vector(0 to 1); signal GPIO_xferAck_i : std_logic; signal Bus2IP_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal Bus2IP1_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal Bus2IP2_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); -- IPIC Used Signals signal ip2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal bus2ip_addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1); signal bus2ip_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal bus2ip_rnw : std_logic; signal bus2ip_cs : std_logic_vector(0 to 0 + bo2na (C_INTERRUPT_PRESENT=1)); signal bus2ip_rdce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal bus2ip_wrce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal Intrpt_bus2ip_rdce : std_logic_vector(0 to 15); signal Intrpt_bus2ip_wrce : std_logic_vector(0 to 15); signal intr_wr_ce_or_reduce : std_logic; signal intr_rd_ce_or_reduce : std_logic; signal ip2Bus_RdAck_intr_reg_hole : std_logic; signal ip2Bus_RdAck_intr_reg_hole_d1 : std_logic; signal ip2Bus_WrAck_intr_reg_hole : std_logic; signal ip2Bus_WrAck_intr_reg_hole_d1 : std_logic; signal bus2ip_be : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH / 8) - 1); signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_resetn : std_logic; signal intr2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal intr2bus_wrack : std_logic; signal intr2bus_rdack : std_logic; signal intr2bus_error : std_logic; signal ip2bus_data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal ip2bus_data_i_D1 : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal ip2bus_wrack_i : std_logic; signal ip2bus_wrack_i_D1 : std_logic; signal ip2bus_rdack_i : std_logic; signal ip2bus_rdack_i_D1 : std_logic; signal ip2bus_error_i : std_logic; signal IP2INTC_Irpt_i : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- architecture IMP AXI_LITE_IPIF_I : entity axi_lite_ipif_v3_0_3.axi_lite_ipif generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BRESP => s_axi_bresp, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready, -- IP Interconnect (IPIC) port signals Bus2IP_Clk => bus2ip_clk, Bus2IP_Resetn => bus2ip_resetn, IP2Bus_Data => ip2bus_data_i_D1, IP2Bus_WrAck => ip2bus_wrack_i_D1, IP2Bus_RdAck => ip2bus_rdack_i_D1, --IP2Bus_WrAck => ip2bus_wrack_i, --IP2Bus_RdAck => ip2bus_rdack_i, IP2Bus_Error => ip2bus_error_i, Bus2IP_Addr => bus2ip_addr, Bus2IP_Data => bus2ip_data, Bus2IP_RNW => bus2ip_rnw, Bus2IP_BE => bus2ip_be, Bus2IP_CS => bus2ip_cs, Bus2IP_RdCE => bus2ip_rdce, Bus2IP_WrCE => bus2ip_wrce ); ip2bus_data_i <= intr2bus_data or ip2bus_data; ip2bus_wrack_i <= intr2bus_wrack or (GPIO_xferAck_i and not(bus2ip_rnw)) or ip2Bus_WrAck_intr_reg_hole;-- Holes in Address range ip2bus_rdack_i <= intr2bus_rdack or (GPIO_xferAck_i and bus2ip_rnw) or ip2Bus_RdAck_intr_reg_hole; -- Holes in Address range I_WRACK_RDACK_DELAYS: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2bus_wrack_i_D1 <= '0'; ip2bus_rdack_i_D1 <= '0'; ip2bus_data_i_D1 <= (others => '0'); else ip2bus_wrack_i_D1 <= ip2bus_wrack_i; ip2bus_rdack_i_D1 <= ip2bus_rdack_i; ip2bus_data_i_D1 <= ip2bus_data_i; end if; end if; end process I_WRACK_RDACK_DELAYS; ip2bus_error_i <= intr2bus_error; ---------------------- --REG_RESET_FROM_IPIF: convert active low to active hig reset to rest of -- the core. ---------------------- REG_RESET_FROM_IPIF: process (s_axi_aclk) is begin if(s_axi_aclk'event and s_axi_aclk = '1') then bus2ip_reset <= not(bus2ip_resetn); end if; end process REG_RESET_FROM_IPIF; --------------------------------------------------------------------------- -- Interrupts --------------------------------------------------------------------------- INTR_CTRLR_GEN : if (C_INTERRUPT_PRESENT = 1) generate constant NUM_IPIF_IRPT_SRC : natural := 1; constant NUM_CE : integer := 16; signal errack_reserved : std_logic_vector(0 to 1); signal ipif_lvl_interrupts : std_logic_vector(0 to NUM_IPIF_IRPT_SRC-1); begin ipif_lvl_interrupts <= (others => '0'); errack_reserved <= (others => '0'); --- Addr 0X11c, 0X120, 0X128 valid addresses, remaining are holes Intrpt_bus2ip_rdce <= "0000000" & bus2ip_rdce(11) & bus2ip_rdce(12) & '0' & bus2ip_rdce(14) & "00000"; Intrpt_bus2ip_wrce <= "0000000" & bus2ip_wrce(11) & bus2ip_wrce(12) & '0' & bus2ip_wrce(14) & "00000"; intr_rd_ce_or_reduce <= or_reduce(bus2ip_rdce(4 to 10)) or Bus2IP_RdCE(13) or or_reduce(Bus2IP_RdCE(15 to 19)); intr_wr_ce_or_reduce <= or_reduce(bus2ip_wrce(4 to 10)) or bus2ip_wrce(13) or or_reduce(bus2ip_wrce(15 to 19)); I_READ_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2Bus_RdAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole_d1 <= '0'; else ip2Bus_RdAck_intr_reg_hole_d1 <= intr_rd_ce_or_reduce; ip2Bus_RdAck_intr_reg_hole <= intr_rd_ce_or_reduce and (not ip2Bus_RdAck_intr_reg_hole_d1); end if; end if; end process I_READ_ACK_INTR_HOLES; I_WRITE_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_WrAck_intr_reg_hole_d1 <= '0'; else ip2Bus_WrAck_intr_reg_hole_d1 <= intr_wr_ce_or_reduce; ip2Bus_WrAck_intr_reg_hole <= intr_wr_ce_or_reduce and (not ip2Bus_WrAck_intr_reg_hole_d1); end if; end if; end process I_WRITE_ACK_INTR_HOLES; INTERRUPT_CONTROL_I : entity interrupt_control_v3_1_3.interrupt_control generic map ( C_NUM_CE => NUM_CE, C_NUM_IPIF_IRPT_SRC => NUM_IPIF_IRPT_SRC, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_INCLUDE_DEV_PENCODER => false, C_INCLUDE_DEV_ISC => false, C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH ) port map ( -- Inputs From the IPIF Bus Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => bus2ip_reset, Bus2IP_Data => bus2ip_data, Bus2IP_BE => bus2ip_be, Interrupt_RdCE => Intrpt_bus2ip_rdce, Interrupt_WrCE => Intrpt_bus2ip_wrce, -- Interrupt inputs from the IPIF sources that will -- get registered in this design IPIF_Reg_Interrupts => errack_reserved, -- Level Interrupt inputs from the IPIF sources IPIF_Lvl_Interrupts => ipif_lvl_interrupts, -- Inputs from the IP Interface IP2Bus_IntrEvent => ip2bus_intrevent(IP_INTR_MODE_ARRAY'range), -- Final Device Interrupt Output Intr2Bus_DevIntr => IP2INTC_Irpt_i, -- Status Reply Outputs to the Bus Intr2Bus_DBus => intr2bus_data, Intr2Bus_WrAck => intr2bus_wrack, Intr2Bus_RdAck => intr2bus_rdack, Intr2Bus_Error => intr2bus_error, Intr2Bus_Retry => open, Intr2Bus_ToutSup => open ); -- registering interrupt I_INTR_DELAY: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2intc_irpt <= '0'; else ip2intc_irpt <= IP2INTC_Irpt_i; end if; end if; end process I_INTR_DELAY; end generate INTR_CTRLR_GEN; ----------------------------------------------------------------------- -- Assigning the intr2bus signal to zero's when interrupt is not -- present ----------------------------------------------------------------------- REMOVE_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate intr2bus_data <= (others => '0'); ip2intc_irpt <= '0'; intr2bus_error <= '0'; intr2bus_rdack <= '0'; intr2bus_wrack <= '0'; ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole <= '0'; end generate REMOVE_INTERRUPT; gpio_core_1 : entity axi_gpio_v2_0_9.gpio_core generic map ( C_DW => C_S_AXI_DATA_WIDTH, C_AW => C_S_AXI_ADDR_WIDTH, C_GPIO_WIDTH => C_GPIO_WIDTH, C_GPIO2_WIDTH => C_GPIO2_WIDTH, C_MAX_GPIO_WIDTH => MAX_GPIO_WIDTH, C_INTERRUPT_PRESENT => C_INTERRUPT_PRESENT, C_DOUT_DEFAULT => C_DOUT_DEFAULT, C_TRI_DEFAULT => C_TRI_DEFAULT, C_IS_DUAL => C_IS_DUAL, C_DOUT_DEFAULT_2 => C_DOUT_DEFAULT_2, C_TRI_DEFAULT_2 => C_TRI_DEFAULT_2, C_FAMILY => C_FAMILY ) port map ( Clk => Bus2IP_Clk, Rst => bus2ip_reset, ABus_Reg => Bus2IP_Addr, BE_Reg => Bus2IP_BE(0 to C_S_AXI_DATA_WIDTH/8-1), DBus_Reg => Bus2IP_Data_i(0 to MAX_GPIO_WIDTH-1), RNW_Reg => Bus2IP_RNW, GPIO_DBus => IP2Bus_Data(0 to C_S_AXI_DATA_WIDTH-1), GPIO_xferAck => GPIO_xferAck_i, GPIO_Select => bus2ip_cs(0), GPIO_intr => ip2bus_intrevent(0), GPIO2_intr => ip2bus_intrevent(1), GPIO_IO_I => gpio_io_i, GPIO_IO_O => gpio_io_o, GPIO_IO_T => gpio_io_t, GPIO2_IO_I => gpio2_io_i, GPIO2_IO_O => gpio2_io_o, GPIO2_IO_T => gpio2_io_t ); Bus2IP_Data_i <= Bus2IP1_Data_i when bus2ip_cs(0) = '1' and bus2ip_addr (5) = '0'else Bus2IP2_Data_i; BUS_CONV_ch1 : for i in 0 to C_GPIO_WIDTH-1 generate Bus2IP1_Data_i(i) <= Bus2IP_Data(i+ C_S_AXI_DATA_WIDTH-C_GPIO_WIDTH); end generate BUS_CONV_ch1; BUS_CONV_ch2 : for i in 0 to C_GPIO2_WIDTH-1 generate Bus2IP2_Data_i(i) <= Bus2IP_Data(i+ C_S_AXI_DATA_WIDTH-C_GPIO2_WIDTH); end generate BUS_CONV_ch2; end architecture imp;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fdiv_14_no_dsp_32/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
24
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA zkhz98SR0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1 xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2 Vf/+czWAwGAF78M7eU0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB 4rCaDADltHHwoyn39vQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3 RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf 50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo 3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5 avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR 6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block lHJV8fpNPaAZNP6iIPw0aooXy7VRQ2GLxTpNXR94nT4ghoEdejBJNhfc/Fwc80b61mJwVFxchIdb GWC+ItoNeNoQyj44L4mQHHbqXr11K9g1ah6JdpEBvpbq5r7jAZ96wTtDFnmp2jSnAvlN7D/ZiY0h LGxedS1cvxUNYPKiThD2nGHzCC6Zt/lZul0nauBQBSGS4/+KRCDJUNt2ye73F3xL2EbHXQhpdgJg 27df8BZNsq2V5zRneU3NStGgH/uk3X3hdQUe6vMoRZ0W0G7YMti3H1zH3Hgpi4xXOg27VrODjHlL dB0Q6VieODZVtqwUttrsbF/B22HC/Yn1PwcS0/BygvG+3vvOOyfzUdQQ6UGtKBiwTrX2AgWE06Ll HFicd6drMRluA8EMhKdbEoIPBzEyY9wSHO8HH/jWZnK1Aq52SDISlJi0pbjM4VGD/fc2zeD0eVCs 5JSxpFx4ohZZYKip9eak4gYa9vRS6P1WlewHmIAdrpRw9rWfWjVW1OyqHrm9wtu4TrgY/ZjPCPS3 L9t4IGuBdseVBQcz4cpPKRUI3+zaNA+bT5jyO4D/nQPACA4RnBBL5YFCC79oFIR+R0KTflVM8RyC u70OozzyUV9lP8zQfSunz6zCNP8MqFkUu/7EU8GW+4dxcXoSgLuSqIXnb1gWpiuB67Xm0R5LkcUz 4/LJE8DwhOqX9G+5jg1naCAGJtYjSZ30rBvrDbCSbUeLZNXW0Wzil/heTItm4mGssyhCAklkVh3g s1oIC/oEo0zv6Ff/ShmUgHpfA9tsEjGHKYbz639bm8WH7MJwrj8O4yUmE3cIMll0eI2IFtJ5tlxo LqfnXag5cUzf2U54NcMjHs6lHKI18xnOSB0K8NKex1Ye1Ckq7M2JMVVanS52SpsdXsUc0aK98A6R gZypEAjOxwIjihyoGfs5hIebqkmeqBKG8nd9ZUqYV4luO9tdSHRvI7pfaf41RYSmphJQPxapR8Cm 2P/8D2EnLKAIe8CzBmF18m3WJcnfplRzeqNStxyKggvIUzq40fe9NKhCYfuKoGsNR88HhEt2gp2D kOUt2MviofJK7HZiJfEm53OXDTM96Rz9fbq40lj6AimZVXBtUy6U3BzZfn1i8Xb0DMS0MPje7Ivz PSs1QuFBJI4vtm22OhxyebrCziBvLFgPUbzbNWEwfDY/YVtDIVIH9goDgK8BYQ0vjTsYsFfvJPK1 PLOs0cg/sC8497LnDtQbvyqcQJcbsfbrgljqnEAadBHhVyEeHIha4HlxcgSL0STB8RI2qpCY+CtT hAcJYrnNv6IWHtWHPnmsz9tc6zSFUPphNQ6Jq0qWOA49opP++OB1IK0wXff6koCNHWY+ZN9Bi6jE fBZzmPn9QT5AB9IePJbxZz9IjAhEDVKxRYAoO1zmvY0o6eycX7q2y2xRn6+fL/cuovURdT4cRoi2 /ltueXECIHJPmxOgRCG/b2mbt+MtVnS4EkSI7DVwsS6Y2j6Epc+wpDlpzcu+CjqGE/igCA9jdTil X4N9/IyQB5pJ/B0+Vd7d1VwC2tYn/FxPkTaFClxvL9Qxmh3CQo16tXfti3M3MheQbbagzYKmXdAJ KbL9pX8VeyS5jP5EHBHirCLW3n4d44O3Evi/K9asBNH2wWbx5ByAx7vuX+IiYALaeUey+27YmF0g pO0iiywyR8z3TzMbt/GU7cgOwcF8/Ye/mxavaMHsZmqBbGeKeU8SU4LKGPRRv3ZoUYSm4TXpiyOa BvW7lY8cBtDefvzS7m4/1CPEwXOnv8pWHJTtoIU1tKYzXGBh7nQb/flhd2vOZ7bHwvNhLG3+8bIJ 2MPGHSs167GZRywQWyUDlXxk1OJj8deu4gLE47pQop06E5ZI0R5J/xmtt7OAtgip2lojJIhhDDEH Q/qnGvsWLp5XxrA3G13mpZf3aZEVbMHmiWmXiRQ9Ucc7tze4huWmSAugWR/pGtODa6ArinjVMlYN DXSz66a6n91gv3WSDbdNFyZOau2PI/shZZkQBfFYnqq9CeLuSzgU9ZaReu9vWb9XxebNkmiRAr8R sXs+ctMgK9tjSybqkdTtyJVkSqwQDE9J6UTtdYQnsfO2LwGDjJbTsjlHAKsoDEHdn74CARwIxy3j 7exz0v3S2GNvMaRJ6hh6Nrl0g6yopGnEItQIosjag6QmAwCcBchsKmf7I98HTkTf5tlVZImUcZo5 KfSiifLAqLtop1TezKTwhJtOQPeo99s9BMa6NOG+rzJLxPYuEaxzrEAtLONwrC/cqad7eEZLA6iz bPc4afW5BOXM3q9iYOaglpePfam+GxRv84x4VBtVtmO3pEKtABFvXpCSpxXjHyzQLMBXlCjsqWhJ ICBOecKedmkKca7qDZf8Gyh3I5EO27KZIUZv5CnJ2VQVhv5/D+K4FtPuMZW/i1rXG6fWC8nn976V SqZN7DbjO6ErWWseFLLw6R46LQhLb5UdAqP5wx55NmokExV1fBdFAzahaW78uZIcra475oFZ6eZB /j2z1aQC1FUgaTG+KZVwWIRoxi9lwEqEKbCpdR7F5uqP2EsD1d3p5bi91Gi3kJgXeM0J49Ky7U9I 1v3q8AjPqPFp9QN3eftvsQ2+u8KxkOiq1YNyHGnJVFCisBnECQ1P/t5kwBl64n47kNUxomeqNoj8 5slBpbipoYnzMETKDKgSlofq8ndrdRvysw4juVYDDZxo8iIt7W1a9mgUisS8W7IIHYfbUYo4qczD 42q9a/AqWsfAjnrHNX3mYsu29+aiyyOgJcRfSr7aCJGCZo/diOArrlY6ry4Qw63z5zUDDlyfJfYX 1r5SDoIDXKmR7QXQSdvlXq1TfLiLIwT+W6ko9EZ5zWmBvU1hW4Fmd4RPyaMQVAWoWE5ZoKjUfzRl H7d9OEixt8eUeA9VESIBvASinDRPpUvl4CCtkxVnAM317XWy7f2Bo4zL+smW4LJBlIKVJTkKWw8O LqexlHYh37GOYxtVR3tQpNR1Tt+b68O7RskHirAn5tuoH/px1nHirUyqAwfzj1OvwZ7Zjhj6o8N4 WBNinCbeJ8t+avQXXQlqSOBJ3IbGnrfNzC6xo4zbJzyPtUc0ERTIDFuiTTTI/IAUj6Hdh5V8+k13 wvZeFyTZBMDQyD6hUX8d1LgfKmj5IapG7zQPDxDIFsBRf6wkQO0EG2DazKLbVpccCx/bzD+JzQR8 OT1+yGHPv0DgjoAQYD8xIayspanoAafvCxJPhgqEnxfnZedF89cX/QqFseR/2tlh64dEMI3d4uMj eBzpNkcNrP8aOCkVyx+JxQMo4aDSt1RgA89JKOocPqO+YvXE0o3WGVkoDpo1Gz4M2X55tVYwUAF6 PrgdOhdV2k7nMHAXW6Gqu4TJwHlU4OuFWIZsNhmNNemC6ohz4n2oz6GCxDz4+sewYPulRmTiR9oV ii8WcPIpKvzJHIzPMANy5tJERbXWCsfgYiwSUuDUE5bFkh14pidzL2kGe3FSk7FqSp1B6tUp1Q1k /GHgodnYw5/qXagjac3BQbbasPfK178EZW4ELAhzIW4yBBGj+93mz/8D7b0c4Upo7VJmgXaex28+ Xo/iLa7Xl6uRkwhy16diMu4ShjzFpbS5qDEC66NsMnVfH7v7bS2ezB9UfpQ1bxi65h2NYLl35V2O pVYYodILLRLbgnAZzQISS8pP32De2LsfmR1MAKMnF+1KzJxj0aL/YWF8rDuAwOyswTjQQ9PJPBqo 4y4eZcPc5mBa/VdEncbAOpIb/PRFAuisPa+pZm3gT/l3ZH2TjWdXrV8YIXbBtTJjCE+sxk3XUAY9 5w/VGmKeaeOY4/5rR2zx3WODiTiBucHfQzNQCziKYrjjouNwfifH9X71Qda8asnw3B+NMOdc6Tjm KNiz034y8pLgM0qb/9iaeQ+OOSzQQCBOcy9vlQ+pcm/+DRhdc5J41k60cr63RVnDSN+U7JPhMfL4 CE3h9pNGluctJWn+72yxv+u9Df1UE305wZyWc781/YLI8OwLdCVpebbMIpTJztugl6l0jaW9MJsE /cJbOHthlB0ehOhfkrGYe8aDvk5ilg1YDITAky4gKhfE5orReC0obgTsteE1uN5v/tsNXX42V+om RhwTdGOdgfda24HfB9wNU150/3Ek5vLczU2D2Bn+6hB6jRYMEdx6rDeD9rVQwzXEpvpK1C5vts0B Hhhuj4Xn6jppTeY9KHWP5bqILrnp+kneuSHPziU+9aoamOHe04lXni35BMk8+Bvqr8ssD4JkU8aG WM8AZS4SEZKm0ZKaoFPw19s3VX3dDJZuYx+AuC71oZ48hfhS74RonbUhpNyxhmg2M8bH0QaveSbz hcgA8eflgljoVN+69DRnyPV3mmbIXF+lmFSe5+XOIw+VoOC7wQ3qEgQHleoF0rS0MDl8Khy3BG9d WvclgQycTiQkki1giQ2n9hKTbUlBh0eW9HXXG5dqn6axBb2vEr7mV3O4pxi8nm99raBJI1RIzrmw k9ASYEr9Oh8k8FW7L29vHuj5/Gsp0oybukjh1bLluVYdaWKnD/eOHQyMcFEEAzUJEfevL34RiR6Z t+h1udDO1pbHaD0jtfjnTUMw/H/IqCHXwv4IXyGECvJ5vyr/wjH9qPMHCrIg+MR85GFCyVplXG7K B1mAoOBhjWrorBnVXK12xWjzHABWtsATQem4vnGSyqEYf3l56p53/QnM0k06OHu89Pr8jlx/W+Gp ZGe+/OeY58X5nEX3jk5onAVdI68TBmhYELuYDBcWs8aaSXltp0sD8VyLQ9LRhdRqQLkm/5fBMfFA u8DLFSufFZTh+SigStcXXglittX15hz00rmTREwov6VItTYeVHEuLIyJNPK1d8OVs7c+hHQAoZda /zcG4tPq2hnnWvLY0iszuPE4YA4lryR0PWgYGQxEmRsmkoh/CsOSznQ09lziPVnw77ZpiYAD6fVH 7gbPIAAwLDIUuMOHlIr4WQSgMqQnojpc7b08aOXUSTOhcB173qhpbFXWawo4sEFuKDIxhs+RGe+J Tim0unQ8TPlMFamjdXCbVtPk5glB8zaYHYSoJPrXUEghRh7BFerBO6b5ap9UPVQiDxt+6zCanrnR ww69nmXLbhakNmU0sHzknZIaS0AXSLBqNofLURtBqu92sLRDgczxXmIhTLAJYVrbXdIN3wSJSvwm RDS/6j7lg3BLEWHBkbbBp9u/itMfPUA54Iik/D8rSCOu7baobeb6JK1sK+sHdA5Iscz2ebWfSlzG K5nZIa1aToBS8eE+5sgKKxOnjFgkwNTq7GvBYir+aLMo/a98QI1J3HNw7kjJk2J0DXmCfMTVglZ4 GL0APmFv1sQOfZgP2zCKHyWDS4+Bw+Zeyy/X5R3zxHS9tL1tmhUfQfgr0lPNbHouz8ALAsZOx9Lc RNll64dJQSXQteoJr8aNqYdP2qPeUSVB/0L+WsUvQ05X4jsrWxqO6OcTUTBiWFMthrHeSeg+mOQJ rhP0n6vHO6IxNvFM+pkw+LeSUCruPKzHeiGlDMUZDgMTVm9g8YRhe87CJJCbHRnujVIXQl8FkhMs 3fDCUSYpsQRY9buQIKPKUKlLaEnxD4igWQRfWZRJxwWlrKjpUfReXv0Ia6G8/QJ4LKnYoJfhoHWG n0i+xNmpFZGj55AjI/Rhqgap7ecuR8+lAOZY+vrI3lFXNFsBGmVXgyg8GpCIO7LavvPndD/WoWoA MzKDoq27cST0TeobfWTBsprNvl+gUF8A67ThFnGSqltPj4AUU4Lo/oKY5sGw7HCuHnx+ZrVpk0q4 p2tqSZPtZYdXmdov21m+mGxrZjGPf1HR6iesJ4Bo3+0rGZ7zTZQpYWN8OudB2Nf+LBaPtv4AZDzw JpmIbVvhu0MuoMEugN/BBxEzIi3MSCuv3bFtNqTEZ/9iM5BdyxdQ/ZBL/ZHzY2G3N1lmRZXP9TBa FYrNq5E+5p15wuL0EaGAUILn87wBBy7Kn/BG/n2Syi20H/WjDCJnwQie6OEbR7JtJXbzXPtVVOYg LLO91V4g+OlbazZalqSFQC2I2kCMVr00tBm8KJYx3JtgVkoANOqfZzQpK53a49bb4BisCaqNrVi4 hh9oWMIGlJKfOKA9d2D+k1g5N+poISGOCk3Zqw0YXz+xHNa7+BTux/NMwWFznzVNqLr7FDzKoVvU kJB5EyF97AyPZq5VHSZJZrTtyhbKGyDVxkGAlpIfFW6QuDoM1oka1ETnLSpQUNy1ERmGgGJ9ofow ndU1VUhNNFFW8OmmTJDaCQSrwRaTnUsVAALucv8Cjg0zLecxebFzf9A/VYw3wKz13XPJBgSag66q YA6dBsJA6qeEPsSo+SSWm1ttiMVPDf4ysEgEwkGUg8ou9xZixC2KTWhuA5rhz31LBuenzc77TI4U YbTduqfeDh/t8ILeDjg4GBmTIiLsxH5RzNH5YBtPq8jdez8+vwKrQ+jsx3wQIb9U9558a/3d4Ggk FW4quLm6ic23NvzcK/oxcDv3GmZgHRN3fjLpY13MVfMOgLUvzKSGLg+Sda+1yRAnX268C10waGFO Vdak7HZ6s3BEdXO+cMu8zKQbPHGJsPKDDnU4oebdfcwzYwBzqNNmnXy11heRiTU7uCZExsp0APRq vNdsCKcEAe8KfG3oV/d68UDWR1erm0zQqbESwIxGWKPiGR3Nn6fGbFXQKRanl/+sEgrZiT9cCnVl hNFrM6gv+AZ1tDwk9X6dJtt9yD91SOdsk4XjXeWoteA7eWoHViwcOj0oor6I6xmhQhj1oKCW/Jt4 n48ZK4vPfndwa8r1iiA296nahZ8RPqKB7sS8YZAif6IcZZgRSKPfQCE/j/BqwSddXgWm/txSUAfn yN9rN8kOoKUfwt87cGk2lj8Clt8b5yUw+weVTJUsCuX1gaWVZMFE7XtWWFCUg4S9dereutR8nK9q YCUeN5bPqm5KVD4ERMf1lHmKXqa7iwcpDG6wbQ9AOpqY0Mf5hiBZWXkxIS8MUaYqnXy7YhHVpR4h 5lA1VjL39LYsByu1YyQJgXGXHzlEbcfm/Ezx98gOKCUUClhvp3iUuFkq/WmVsltrwN2z9RZrAKLi S1cYAAZyiVTBB8VxlwNIvhrL7deJZpU/zDeyEtCW8MEEIAD9urHHyprwPA/bb4if8iMOyiThDeY6 to+7ME53J2DmadGGjQgHtTT9EapO3DkSVZWODOlxa4xL9C5Yh1jUgORtK9VMnx1BtcqrH+urDMCT mCzwU/279JPXoN5BqzkCkE6BANr1SuXGtX/ee8UBg2TiPMYbaJ0xGe8n0cZG14Y/7ZPl5oUoMeJK yNzGXtvg6n4+dv1nsmfwvjkXWRsGVEpx/Wujy0do3u1ymKyiHyVzSKuARw692p4zUCjn3w17vmrt jSDW74euYyCzFzIL80Z+Is+GugrNaEX18o/xUA3zf2JSOPREYixSDcy9gE7QpsNkIKeuBPKBlVK0 fYuv/oxLXfwby0FceKYsDptawCAA5xIdOqK+qHs6FNe8XypLowBnOaJMELRvmKTjma62cF1KpKWb XyYgrDbRZd76ITC5SlnFkSvDjFnQuReRChvSwd5nQ8e5Uj+4AaCZytqtyU4NVNVcjDsJsRjruNVj clAcX7Nzs702aUQM64flcgf0S6nhxk4IFq47BMh3rBJCLBpzf8MbO98fruPrUbSCMV477MiQW4G0 RbY4P26/DX48nYwgm00VwOiLSVR0zNrwEfVnf8VzHI9XuCW5AhyYaFXsAhYGxFEVYQRAqeG8aMBk /XSWZZ1TO8N1mqypKlkmNR5sFIzu4PIxwWvEL1qJ3bYSULNWCGH0xu1pik324fWJ+dv14PEi79W5 MFYmEDnTP+EM9SKUjVftvZg3LSOdqEQXjdSCl0Z+G2PegTvaWiCbkKkmax76KFyOXn5paUobvxl2 cnkm4bQkfUlw7KbBeoWvLFjUfUZamspzRSm1XQpeScmbXCVvnA36pAvvV4tij7syucP7Ha9jjMuV IE4KOudvM9U4weoNyZWI3CgpNviFSiHOeSot4+cfY1v21mQn631d9QnMhTclAvAdWQwERqg0la7i g4l/ekgVXtnjxhXKzVRIUjPKSMS8FkCfA3zJR3fL7EgM2BrVhYvUAs5zRzp+H1eXMuz7igqJKh9C 5EVM9Uiaazz8gRcd3o9tRqmSS/7CoRS5qvFzyOdWgsBw/kEC8KZrw5N22doKl19ZBYx+rL/Zks8Z hkChG85fNiviujjU88+EOqbJkQacrwM69BU4dsnLn6f+j951OqOy80+DR9AT0MZZmHZSy3uPhXqx qAZZ1eJbJke4sTy+9+tJS1B3XjQCR4Nv3C6jSust8U7v2hkyRsuVWL3DIVRhdVWDbh5Tf9NpQhpv 94yQ3hq6CO5fnfRvzzFnkn/57UTnLaL2bxer5KopQcsfnq5yn9KQrsb5ExnTz2etS2wejYVLXNR3 DuYDYiVNTSDKj1faTGnbXEK1ONwHq7OfB6pnnZbdafq16cfSlP7KT/vIw1W9UJgo7cCxpO53p6hd 9D3tuWg16tuaPao7H524CNsnEPYcENNbxi2K4QwW6nNA7nm4aR+UuvBVEmq4TudEl1yR29h6tcBd AtbPKD+VJmgUIKgl0duN29T9v2b/LRhOtYUjZEA7J1YFPeg/02NrMbglc1Jlw1DC5SnUvUaU7Y3C ZEnQX98dSUuxNf5QYd+WGopMpIsxboD8/i9o8mABJjWn0MF/V1qTpCDQfzSZxJfDIaPTJdGKkqwS iSsuWLN9KmIV/AsVxLlVVv5pDsgFFjhhuHgcmO1Xvx7THlufhb5P82KkmesH1l2b/Wt3+LEQ515E CL2G0oexeQ6JfsKcA8s4A+PDu4fhsDFS4zPJE5ag0/66rX5Tupu6kY9ikopeDgzqg/UotLDKMNV/ WPmfWtxCjswLG04ud5/RzgHvo0/3dBnwrmLAAwqhvzbrCLPIWhumNFBxhbj7g6RiT05eypuf1fwQ 44OQCHPg5AR4K9dcbuwEnkV1G4/M1p2tifUVJPeroExXjuRUg5hYx1IUQdG01LcvSvX9i7PQgBN6 dRGSv5hrWIEzlsz+Q3BvbijI1BROPsOIX9uj5zvfaiVDDQVYoOQ2RRnqCbfanDBer2xi4lRMM10F lU3okoymNXx1b6Flj6ntnyiZEq7A+2g+0hubtmq3rL0FzthtfHiaW5jHPncm5PTFxD+p0Ck/l8kz xWJS1eQnrgYB9Pjt0OvyFia0c27u8z1O7x6dep+Q2fPoWtht+wGgyPFZe4ofZpcaL3/9nyQmz2SP 1LXo62V+kynGSE4i2r0SbtgN/afoucOn7VC9y+qp4yXX+PGtnEeKTJnPVuWzmcTQWUHIiE7vBRer 6j9lqetfpdGIZf4ZdjlpVj/++NNz4NHT2lKmw1ckUhaa9KCrij8IpgC7JV368hd8l6iERyZ2svxZ Lcy/DylD2z8uPEZZ5wqXJxdywK3i6E/TxKD7oA1uMhFeZcTWi/o4PPGAe4S5UGbEy9E/+tefcWWi afzxKTdpMKP0ziYjs5Nu6cRREyGjFl6bxAy1fU/7JZo6UGklii8pWCLSPc61mN6kciEN1ZlcTOec Pc90kSkV7lKMQP3sSw8Yf7e4deDaEbM4vvQFxS2ylew3RRkntplytNxvMEDort6ciNWLa6x9HTbW RtnPlT8Ch0cqdwx0RPCIstQyCiAO/hI610VoNKlE8/XsOwBHcke3ISYElVeCzPJ1w5HRkLV5AHys iMsS1r4YV9mZJuG+Y5FDe+92CZwDfTmC6QKvKlI82lnhYfUlJPRe7o4qiwO3t6/lt35GTdxJAJoR rLxW08OwXhU8TlqW0p8mKdkPGfjftM+OsmHGqIzuy2G+W0WQvAE9y9JXtfqy77xM4KouCrwop7zt ybYYN0ttPOqJQ7W51O3GOPhAThEkdXVbnk5vZxokT3jIXm1vA/75ZYmf+o6hKZSxlMRUExLuuB4N 1tPs9M4W0PA38/fPKtk+4KQ/R/q9mf+C12ucE9HH9UTtCUtCytxnai5kauJvJwDpJQBvrnQJmlvO 74eJQjO9XKSqGnoTXWLqAPzFI9pZ7XE9cL2F3P5y8Znlh5+AlL27a/qPj5TrMF14XnH/lRpEbUNL 9bMjWrCEnDQd32DbT5GLgX9scITJhSSIV5u702GFRDcIzy7CIh3il3Q2T0ln9ex3REHhyOvMDEQv j1MisnhVLxxv6Joqm07mlind8BQr0GC3Q63NX9k8eJligIf2qMcl2HkMqt0qdUVoIY/3SQ2Q8daa igFjS2VKKKoBxKs8wsJws0/BSVCC7180+DHnV7/0lFL21c68HtbOJClCOdk8btZ5vOIFM9cooVP9 ET+GDlkXFOXV/L4MLZYrWcVTtUpntmY1LmlcDTRO9xXtzYGPssHusJSzopK6L2Zk4BBAz6AcQwtA kd7jEfTK4bhfZiQvR5dhPLqBkdQtObyAUlWYQV1697OO8EDhb443h/JuGb4fbV2QlWz+BKo/Saah 51n4JLIqu6JIJvKOYHbbEm/cf1gGmpjD/VMxQOr4qT+SuHlsMEvV7fxSVUDGqnlqWsdLFyi7pXEc y/83hJjY0AK+PQarr/in35XJA7S6F7BjJ3iBruvbA4tNgwPwbWXuxjRZlcXklXO2eoIWU3ZVpfQA bq6dhAnEshB1dDjCifqxoXoUFGqU/4Vd2qQIMYErwdGPLHUgXLckS4Bg40U9exQQBDtcegF/Tzwr Ocphd564ymGuKBLC639a6tr33C3ZN8wBsydySW+86W3iPoMx9rA7G5DtnnQgGFzIMgoEli1/SvU0 +IjKB7zUsDqEXN3jo1C8nq1JvQ2EuxzFMSdjKQP+lcFoqxIvYc6571ae75ziSwR8JlAoGoTZm0/7 a/rYzxGiDU/JBDqTJ4eZDl/vBlTKlMltoJOkXkARGquFEzNrTcPEew6XSNBpKaDL81WgFyASSUzk 13rtBwCifJcUs3yB+QKjZUB8SXTYcR89pm30R6bgFAmyXA5LUaJwPdLBNgCI33iPbVKosg9Xqbe+ Pk0IW1ke//0Bz7lQvtEd4iHVAyubvV2sthdXrJNiJnkRoZAUGGxDwqiZ2LBqZpA4HZ/lshd4gYQd OJFncfzCG/ultyvGDqJXUZuZ4gHqkJjPqVhnzq9joGU0EE7qBSB9brRTDM9rM4oYWB12GM1tXbas T/jkgZMmm7WnHUbb2/DwsyTJm/ng8rSfOoPp9O/EFM6Xv14ZyeyXDBf9dg+AKWlGvMkCeLSkWH+Y +Ni/svPfg0uVxhE68ubWXGJ+Lgvtx8MUJCasJAlNm8UFXD9Da47ZQTkXOqoNGS7zZx+RhvwzHUkk WlZj8EjNkT+fG86w26qJAUEPOXE0ZAzTWBIUf7FAfvVPgPOcdxwwGKfs4UodkLwLJndlZ/CuMNSu IAmiJ6r6CZpi9YRA80aXzPszSZevSkoyC9sFqJ0bMWqoh+rzea4Qdy0rGS+uQRjvIpA57uIMqSRL T//BzKwnsKn7BrR8ZCS/Oqp6A36XWXJaApOiPeRU20WpaSxJAQ1wRDQuB1sT3Nfk3XxXdKGkYbB1 TCkKZPLRmMh2fp+ERN91uD9kQQM3x2mJE2VSJXef+/VPCR/mphnwZdZCS9Y/5452uNPjBnJv8RBL vFkaxC6CFiHjl+c2nlUOXe3zXwaSWE56rXJ16z7jg/JlCHjrh2Nf/zLR8cv8l//rRbQIiuDefM2l njmCSTdmLvZaMjl4hDAYHkWWYNflV4ylX2bjJsQB4cvFcs8ko9gEXVCBdNF4xvkeABCeQBB2Q0/w cjspsNCBKtgCAiEF1ppPL8xrDoKUrgdSG3/oLl2Slr3yGo51y6KCfhLMEs+DNjRInnKznPh6upwK ldOjnCgfmmMfiYJgqnoQsQZua6Tq3Ngcso77JnMucvgik9d6zUshF9MnkRK0fqQgLb5AHi3bmvEF KDhGY3FdQUyWAkU5dgUyiM587j7/DTI1FOaydyP3+y6pyGyN90m2Gl36qpe1tG49Hyit+tFTLsem f3yHyO5CdCY7uExBJHX3sWdw9Imt7p86De/F0IYzklhOWUg9Im8GhdVWz4JvEY1czuKYR162kylz ZamclvSivafq57pucTgMuWuGULV8mPuNuNK/gc8aO2eUufh20syURlVYm3F73MMQavw1CQFd/E3f hPlMl/gdk9B+bNQz/YGwdxk3cTibcbmbhVNHGZPOTV5nIe9GU4RaY8fxuJTTgnLxoFdp+DqMYFhN MnkMIptxI5YQ6qHxqyrNXVGJXPeF00GOOkHSV3nohQsXSaxpPbjSidJOBeDXfMHc/t8/xxL3nd36 r+C2ihP9E23b5MoGKXKUYSyJyFE9pTs7i/pAGHNNdtcw09y9h20VjE1DGY7sqqYvFNysWk6/OWMh sHstRQGNN0wFwjOfqqmM5vJKgHfMVctfX9IFmdHXQNeNR+OZyNL6KFuMx2j9nDjI3Jn25TYGLg20 MJZWiuzpQer1tzruZfsdNeum+DG+SqKkKC6qAAPCZ1qjnTyafVM8V8MkE9YfDo70gxthsJ2zXxoN TMRYfFoSl95m71fRqPjiD4ikstRVXgSRXT64sdayp2af5oe1mKXXy4VCctkJ8V2jIjCVJTOdJgMn qNiTBL5ZuiGsPtR6HFkchSPbNC/iJT/xSRXOzbgqC6cxAKPCHMQ10ziePuYlw9B6i8e6mM2wG4co x5P6F5rHekI70O0RLwNqfBciRXWek2RgF338W/kcdgArbGMI7q6wtOue73n/ZFAWto5gK+89BPUZ +Ry0vRfJcIXmbd5R9BQrrZXnuY/JDZbFAjL1clVt+0/+u/AN/kruC7L8APi8VWtpiNeqIh35E3jm X9yWli2An7b5+WgJw46nVMqOP7NnCRu4ntVpg6RwfJ+PZGZzNUcDwXfyP8M/mM8j1ZWYK/fSYAmj 7AB0CgUnAjgSXaZnrvbLDEkQ2aOJBsqWwtdEb/+FUYM0OuHbGGfxvLrhsbjZmnN/c6Td0WVy5V7/ aKqknBEqFuSr4aXLBga/fiz+cg7+RQDSyKeMHfVeJpPN8Gcoovp46gqwAx3LPytANY7ZlobfEhGR RKTsk01u+Z+vjsSzUO8JLSVhFktJPf8ptopraLOPTOnm8sEuKYIZOX5tQAgZy5jo/xy0vp6HWcyU W7xip4PfhXLLws367w60pRrjJbuGx40jRIwHEKaNiXAeKhPjEsxq/6iua+n8YyQ+pOowlfZAZqBe PQgXqbVieDgVUPoOWowoqpQre0uyXzi7V/51A1cjyYIqRBM2Yijnknc38uZa4C08Y7LKYqlXJU/Y lS51n2qaGC/mKr2K1kHeNtckMD6MJMFR4kNzxm3cyxU8FX5Ylk1hQcw1HAFEUX9KPuDiJHFLkqPI 8R40bJewdRysHREBtMpvnG+HBZh1PHefaB64k/6PJBweugcRxpODZpxZWPC4mXBTEqfimas7BSLl GvdRAxLTeGh4lp8dG4RmXKbnbYEJsIyAHJN8AKt6f9PJGidzAKdEkiwI41ZoKXp5TS4lxBE3cCTO P8/HunDRMhPcffPAtZNJHbOP1xHfdwvCtJy57BOIEZKOAJdUYiipkpbgu0f59PJv//ViIaq/AXsL Pv2yUk7BUIwtssC3Ib916YKSCqCn2rlsSOOhju+Eewk+3RTSFlNFSPky01ElqqL9riHtlgNxS4E5 TYLoDn0QPT7Gf5Y/7erkg4/IiCIECzWxo+vqLbQ9l+RFAyfSFLmMdt+ppyEmDHZPHIC+e9btAw4u HOdWD58bwyVmD/g6EEaSm24msdPg68QoCjPXEu6xfHtw5vxbfHUdJ/GN3+i5dOsElO1gvY2QATmX QiiMO2m+6seqiD+k4FEkr46Q4sVU4pitXeOpPMEC22QRC+5wSSzsW0lt+JDn+SDvXL3NbGAPxuKi t9X22p+zT5GCFtvWm7OcXbArQeVqVfR53jaBxXb3XdE0vzKNzh504erCZJpt7v00aaoPIYyqoZBI eNWeOxvBlDvnRXLzEADQlUg2SMI04v+lfBlalHRtuavyy6qJH9DKa1/fDsFbXV+45urYlqLlnI4b FV8oiF9mILBcUH0NzInv2z7diPFWP1GhLXpmj1LvTPnY6VbKX7wRzxrgLPIVOAxBIwcK5s6w0rIA cW5iNbxur2KMSbM/r2abctFOAxJdj14pv8ibQujTq99V015hZ+5E32IKlpVeroMEdg0GCvwHFW/n c17MSn8Vw1/hPWAz2lu4F1tTxZxHcPt/ny3rev2MC1TBMYl8bt1MTBaU7jQIQB25R46q2VgSzsIZ 6Ggwq5ViJ7nvydtcVsqdhs1I3Xai6fxMpo1UckiVJ0SoEGe9sIZLBkuxgdXp50RuTjPdrazIbDvq gPX3mHu3eLvr5J5EYGLXeCspuPZPbP/TaoNJccHn6D6LgJYzWRaY+bdat+nWSck2VbyqGQO1VABT UIgVuSARsCOMDUpr6I4zoSuwaxOUkbn44nEtCoiMqW5bMSXzBeIinla2urAu0N+XiH65cr2QOdt6 FgvGVazAMpxBSCh3ohQyh41GLgskfkHPKlZvT6o+wg3wtdT01yn98ru0AwJatfiUjP1FczZq8TEI Bj7jiXOeqs+a5NfPabBWbp0eCZfKuagkW8obCkt4HfqA9sHBfkSeMWpj7z1VsLIO+DP4SmwgM00p ZearmTPBGXReBBnd4Om5uYNqgUqlrW0pGGc7ZAjDYioXu5eU0r/gbSqVD5M5FY8V6OpwA4Cq1Kay l91hRso7nSlZ47UzHf2W9HpEvfy0RhrUCxEJop1OXB2G39zWDxCHOCR3ap5QYe2dLr0J8+6wk7TF IkJuFoIPameJ1CY5j3m2NBcwoeWnh+vbeKW7laqkjxWht8UXK7amJThOvXGfd9L0Vq6oU3KMqplY n9dv1Y0vE2mde+rEoa/UYuRX1ajGojLqnwgPGF7oWSelZv9HBjLobNt2OOqpQFyV3wsTuhXbCyQ+ +vheqG4qE25bOKbHqE3adw56l1bpbwCMRlqsSZMY/DE+oHqPBxYnoFcwdJUvGPeUSWgq5CGFCs1R HlncyrttGZoJR2+zxjhm4xyf0TCpPBOVMbcRSw4Is6OBb9LIC4bpJU+l0RKduguPSQdUYESE8Khr nHF3zGOFKeBsvc+88Pq+sBCh+i4s6MkxgwwgciyC3CbUmgDtMKtfeaMv73YXWnHxqtzALSzNHeQi OJUswWK18hQuBfb7kjoTCLShbgC3ddjA/78Im9XcQ6eFs/g5V3kfsV3G7byktGiIe/UbPSUols7G MJajiljMBPYAbaXgAA3XzHtYtUbGJgYTq4bocdAR1KmYNLnGhkQUUzu5JofvBlABTWx/MADKgHV2 yUJfCWnSCJ65l3ULNyVmDlAbADH8sTbunkejug+Oj8G3att7cQYW1gWsd8/jzLt9JmT5f8bt6/9U U39Kg8/0233mk8mh+bHnj4jk9xVDKivRHIqnn58rcW8spQChezVOKOEpcZrjtF57PTsee24/08if KE8QflkbwbSleY24wJSW4FMkAfOKuoqySI3EVRCKg+9Lkx2/jKyUhprHqsX9TZqBYjCu1f/hege6 4ie3IscmnLGyGGznpYeo6Vc6XsTOauLsN3q6JNC1pPxvr1wVczqghmJtXihBbYNGszbHsD8BWIP0 qZs+/SVNsPoR2gdXm+ouwHr6XHN4v2ra2gHMZvtRisUt/9RYUzjTZYtp2C17RlNefHnwj3gFHmN2 KHPgZuxsiV1Jktt8fT6RUi7QF5MqcDKDy0TS/DAipe5AX4KnThTnuy7UnMdTi+stzQre77vlVjyr OYviqVumaomxQfda2BAKGIg3AkGorMm0vxUFLQhOZ/xxnLFFGEHlwQU0uF2ij6dZH6d1OusFYu++ Eha0HALP7zbcYjV6mLX4zpyedhqcQDikeer5lgKxCEuN1K+WbOtzjRMj8JGLgno33g/3OBYrHVOA rr+hwTaRT7i7j4Ff7PreUULawMJ4NPsi1bGGHnnLmopKh0l9sQIzbo/GStQaX6WQi+GSW8WVUeu2 ZcyrT+GIvhbNw8cXm4D71WW+LloS6124j8X6ErxrcUZu60atqXn8KROLLxF1kc6iUPQhvcsAWxHI 9ZuadFhYYgxZP8m1qe+iDOJzPnS15ceRpk8V5oEGaNckD90PtkiAv8Vk5u0bGhBPZBURMcdABCHl FqZ/Zg2BHetSZRKIjF+S8P3ClbLMs4TaEc7ixFIefv0IIDGL74GlECmbiW2daHXD/MCKadw0nBxl lSoFrs3h03NcTKe2jWpwETfw67ZF7RnY8i53rmGOqJmAN1a3V1kzoo4HrEpn6tVfb+mrf2BJSRgf oa5g/N4ck6pvzigzJpervH82rPasOEOTZGClPlRdylJ2ipSzTnlBzWoaAAicEWBDvKUQQDdck7XX OPSV9iZZDHI0G2WKRG+98hcIqZ+owke148d27rhUW5Y6QineGaeyuTRFrJDmPT9X7auSHfQy1scs fSB7w7bzg54ZwWVgZuTtYXfkgp7l5A9FNtOlneDyddf0myu3mng+O2Su2u0D0H2FW3vAqdFqgkGL f+ezhU0Pi2KDSjbyVnELRjeNp6BeCU/eOhmuO83Jg5f4F9Y1ei23YHOHq9/o+M9JR6PlIX313hIb swAgixgnkKDtxa+LmsGoFvK7Bq9cdVBMqxxWmLG3a1vogfQEvbxM+iNsNWc8RLKKXhstrb+uynlf NgSDvF9S3A8VpX0nq60JiOaiBZSpjJK37ZnKYCXz0/AaOy8Vz3UIMAUia3B3Fksk2jkovlPdFrnT sv4ajXLVgfJQSo8zkrVWZ2q/Ugp2lY5NzJMjFrHcZbW47Vj+PJAB7R6MTp9CG8oGxz/iDw/SXAr5 vtG7dgBb5zg9JWWxnlhMIfca2FCmoQimYeNTmlSdD6D57MXMVqeH9cAgZphp0frbC1ZrraRRScb4 88NJbOsEMMWyd0gJyrQPyPgoqFDCpqLTGxgo5z4RvsUjwRwBEN2G8yXgU6MOh03TXq8gKMI57Fj4 sxIx5qeWmPhEW5830fRQq85PGX33zS/yjvGJgHDZxzJzZroWfYsO3GbRm5FYFgyfwNQ1I7ylMBSO fzNQoNRPaaYGj+49/qFywyBlulZaxpkf78LgleN8PKXZgLVY4nOC+n5TVklUvQcm1rU8dAhICVnQ ugFLlQcug8slgurC4Fe/BV084YF0K8YbZGEHibHsZi0T4rko0O/Lmk7bvSPY+GJcoWYPYARMmjDb 9gZElFyNYpNNra2I81CNqtwgejJOon5Jeh9vWVjOsS+LVt2BVg6dDKYpwxUPI2DFQM4RWYYPZxMT bSnU+tUvJ6DX24120XIn36+t1+GiAQkLrQV99nALP3BIxrb8EZsvvUOKwSVEkekOudJsIJenfBr+ qLgtLnF7aNe5/9kL1BZsSxbr4Sy9+FoK2L8gUlk4oAcUEffteDCx5f6a2A8Pq4DTpq0wwoEA7QI6 +U+OeExFNt7I2q1MQ3f3OqdQ75bJfuPtemg2QXhQahvHappl0DoAerOmv6DJ9MnI/D6ObukYKTkJ IcK/h7hUkI3i/dJaRE5fYpvJXKSyiqzMgDJzqWBXMa67gMNlJIWeNCQ9Iqux11aagq0BO5qU8cXW Rp+x44IChKDQf6i/CnsnC1+mCoaOQkUgWAlVfj2f7Jdeh2dicLXXTOGeK4FHsyeniMimGpBcices 4aKdEDt4WZpiKHBzihdfUhwNFkQNK/fN28UiSfl7a4DqRmx4/DPPrQnvtgkUQqRYW4FGsSX1aGv7 VlZ5y1kOTwWuU76FyNjzRzerT0fZi0Odj8sIGmYP2+JeB4ZErc2fq6tNXdsBkgzIzmb5BO/c3v8r C60Ld8D30/z+e27SiI62CGIUnXPXnZE//Z45lhMIQoBcEFIPyCFG2UvVtbnInoUwcI1Av6edSjss Sl2tgjU5L9z4VSqzhUySFywS8XqrqJRda7J4yGxayV3ME+D/Anxc/HcSfqgmnjQj3dHqZderTo8x /X+tIBpr/BjC8Qk5fOQriE8cdBLIHquD+Dw2Vmxr83g19WiaYi/yL8aznx7etdQ8Ye/uRHRsCqfU QNkb04I2dRQBVRDCcxIlfnD9rY4MC1j78bK+tGfdCaFHuBCBDNYaUGBbWgdgyFZp9z6CuWD1LR4y L/zdiWYVv5tzD7R3go9hTbieuyvkb6YEYrF7hQETqQENvePntrhVAXlTnt/yANbK4JLQinXcUhS/ oKlHi2l2MDUAjta4v9ygxiAjWgRh+FoGpeSoWQDGBF6zA3mYLUBjnYWbiu6ZYZzXT8gbShi4PGVT 3HXTFZUp9jW5peiBCOZhSlnZtG8Xztc5Qs3YItaXHpdYPcAhJZQlmofOV1KQFpay+XOMSivJhuLj usws8avalVtUGaEG+f76Ky4vpxrTU10/CLyOjo1DGB4ezuOcRRdJr1NlNNwP6DezpsitzvOmIZSZ V79x9HK8W3Bp17bXjiluLNbEul4RbNI1zG20+HZxKzm0cPXrmsQNVkPTV9zK9kkiMfZVoXvgtDQb 27zO3tgmFxZvkOYLBsEU+/Cb/A/TgXdk/0N3Mqk3SX5olGOjrZXKLbNMDgrutVQl1oUG/61Y8x86 XwOci7kJee5PMYFLxJqfNBOov3cNvAXNuWCRoQ49O/+nhDu9GHKZ+qKA5y70A8cygybzm6bdGixf xcyVMu8AYHsL2XaY5iRFh/Lfv7ojQIMwOAezexuZKDABXDaOPhmrzQGIR16IOXdxAnMzf0BoYFuJ 70YAy29hfXvEaw/E3dXSmX6hLfX5M9XWbH7KvujhMdjhxclRtNL31Ygbnuz2VfEjvx2XwQgrgwaR QgdYwCQXCoiHxfOGsEfhNs4OlFEiOVKF9uptJ9QSVHeGgfNP2RWceVTs+hen9cKaHptHF3nRPECl J/o9z3mV29QUIVdlxXq5pBjgNdLo0BN/ogd+iGLCS8t3jRIcPLQ67WSF67+GWjbNKLEgmlbECD0r +HGecJo2hWpg3CZFBnC0GCtSw3H1XRByKNcZbQ1A9zS2tQLGt/P0FcXHAIDLMuh1o9Wn9LeF8kwv SnEMS1l+v1OEcTGd4uw7rfxPh5XBINrx8HnecA364ilt7qXmWigGZmaC2o4IUOnevpS8g/GKjUv8 fZrleMPOldMCvPb3cZe3TawYiURXKUyiUgzoefde120oGwfujZb/Wmz10o+Sf1MHvun9ejvOFsiz +mnFMSm7lQTU/te9cf+ita+wN2Oguk0yOS4/iFgKrl6bpfU7w3bB93BLwIXOthz7aqRH/5xg/RrM auCcR9uYD0Ybpd675b9g9VuIIN/P89v7DKpCcZUtD+64K7xZnkF1BOsvyOnLBniivVq1fItTryAa oTSdGKH5hCBL8+PWE5FBGZEcT9kQQRMjdlNRPXI2EDSQpmadzS9OCgXFrnrhPHcjNrdSKD0kZmmH 0NmHRlosZxFBhhndH1G9Jt0mCxGqdT+VgkkfQjyB+bxzsSLcVbn+LsJa+7Mzvn8lhurMaoKP8NKJ bLC7H2nup8Ig1hfP76XlbvlQeOEFbqkx9tIyB5U6cAfdS3Ss+UEsVjp2WTLaks1+gaRLnrIaOtDD hOE05QBHPqWwUQsMyf6FMFsapvdRgQppVAbuCA1gLMSsgZtAtjW3/2QulffGpu8TS3gHbsb7yk10 eZRzF3jE9TVot9QfW7HQyrA+JdnG1kiYE5VrdTrFZxgT6MthDz1qDt/JqUk7OJuj00SSpL02ivzJ eCKWEBXfvozujmwHsh1Yok7I05aEYxWN/gTN8YyyNb2qtARU+rnR2mnWJBMyUBIdsnGaNxzK3D9B HT5Wop6B1Yymc070kAa8n82TwA9jIbC7pcjCu6Sme3YGXzjzNGj8QmYG1gasOZNFOcE7d4G8amQ1 E3k7g4aVPru1SlS3Hf+t6+dc/JWLPlP9cPLQBf+IjNXIT4u0qgpNyVD6S017vG62Ihz4P9x/c71W cFm43W8+78bN7DcX+jBYt9dfLpCHxAn8H3SfWSiiGnp500zfvHKk/hRGatn/S0Jj0Yf9AnBarH8Z phCA1crq4tLSiB8t6TI5+zCrfF3hyr7DIo/KGdtcZeXQJgU6vLeC6gXUsNsuiR8mpKBjfnwNzt7C FaZVr4NDEb9j5mgSeNkbVtui3yOMbb/Qlf0CnOTHPZpLALB6TK3sb0mj1rdYnhwn9YBMRcNcfJD8 62LBRIMPmYakMaqdLJmGk3lH4UEH2MDjkgkcQvlhwa/oXx5IhLfPZiyd8eI3UzZCbytUCPj3m5GS cDdieGaIAA5GiVw31a0NzGAOOgHbHSb6ynWbnTja0YUFSsxUsywFmFdbj09CTwgI5Lg9GYJ6NuD+ jYXpUmNEoHUKZ5TAf6vRv1SnA+Hh3gNiFqdQNm0VhzeDfroXTnBxnHZZ7hMI5SOoI4L6BQxpgm2s NH/CBBpW8f3iRaFcS52+spiHr/U48JrE0wSqFqAqTTxDmQBSczMB9/GQVV0WWSZ6e2oszDJBq17G vfXkxtiPdHpgDsSv/EC590m0A/DiL+3AMJOTH3VFgiVi9NQO8qQo3lD5xhJwujP6/An6IVaPoSOn fa3j0Y77Iou75uMt+GYBf/5kQ8XOJDkWnLgFy2CJBX8rqAdvjk5w2GunA207KX37fosotGhojjMf +ifS6iUwvRVY6GkQD8ne6gw7GaCNB6he7jsViIxhN6S3eZgzk6rKvi3xKCNaPD6zLAHWmujAoeUT zXq3sEDz2WgIxfeT47nlxuKGdTALuvLnB674wYRxmZbVI0jY8CrUvCkrhKPyFeuoBejDjB+dVr8q wYZLLrHicZMijaHCrYc6qgPV6ezNy/Cw9lEgIhs0Ua78vnujVIl5uH7aKTcS4NY9zMYH9xANmL6K aLcD8hDHACNmQMbf2s5cTvt/dOEFDFjNXQEkfkbVyzrR/7fVvlRliV7B/LKnMF4x1nime2tuZebS a+jjuWBHPLbgX1Zdgrc4VA6mv/ajwgOL7RbKdqmzTgrhDqyLuvaaZ4gb7+1kh9IZxeogtKy7HxuE jRXZRnY5Jf7AkNHkikuofH6Kah7un7CENTeNVrQDrTN4lhYcaQOnxhHiYLmcZZyxfm0tV3w/H0Hl +XtR/lzIjfiUiF9VunAODVtc6BkVVQewQ9EZFX26ZWOnwWO9cKeS3D8IDW5CB7ILSujQWGw/01SY newDEYFNUOe3jgoUKpkeaCZOqnujgwj39QL3fvnb5WqAJvbh2HG5LRjuZNyix7hPgzh1E8nMw+Od swddwbZzai/bmiUAC5SAyxpv0IA5tGjD0ROGHeJQuXG5hxLyWRHxaa//xRGv2lkB7n7jp2OvBd40 OyTcypsqmIGE7k24zxprJVzoTrCDiWSYNjwP0J9aKi3ryRy7CqldWKb+GSB8i1Kc9WmNMFcQhJJf 3iLaHVus7W+i/fuOkUR38WsNPa8AOpc7FmJX7x0n14uCAJS9lXgpOSwEVn0zPN8v/S8KR9nsyYJJ imwrE8IuZk14HF29RjOTQyMkZIzGsVGgnZNf6bzze02Lk9xDfnCweaNeI3foXbUId7UEWRNAhkar CecmA9WWMDC+Q5B5waQPHN3OIV7gNu535HJ6HVe9fLicn1FDFy9ALpRGJ1Jq3JaTJ71UbXVY/xDD kVzWtsxGr9IMh64BK58Jy6X9sy/ccX0YCzSQpi/XAwJd+GZ1nKPX/vN7pWa8iRegT4EisPl77YZF Nx/pax+HE/pzCuqi7rpOxLchv+4MzcGOCCUdOmIX/JAE3mdLUxj2liY4Jc6eGZqWPjVY5jhdke79 o4zt+5dhHR27tBKGnA7TNdJcVvUi5mS+26dyr4VzKTsI9r/cZC7mdfgLv5+evwpzbSHY9rF1zBnc 3GaP+Dr6Rxrh31xntpYqbX6u7MohChlFH6YOTfQ3ycWEcxU3X7+91eqEHm8fWG8aH3WCa1wZxig1 sjKMq5p2chQOI++v7lR0YTMELpITREI+mLr9AuMNz5kGf0fre6kYY4LrIn9lyDpPAp93lyJQ2FuI Wy2QlhGcYUD1jogZCrjDpCBhcuWYdY8T9HPaeyhX3cGvDlD4lddWxjQ4V1YREDf8mk3Sdh7aqg0a x/B/RQfY+JFlZII+SU5iWuoYIa3sFX8S7bu8btQC5keSvSHqeVUEv1SYLi4RAFPPVMG2dW6v079l fPM+9EH4IvkH2D1E33xuH1Un4O4zUUt10RBw5enwzUKH3QF0GwmXk3TP20Z0y7xwEWuv+3KpRN+p 6oalPMEdN+trf3XDQkn6lfHqpUlCBvxQlbBdq0/yE14ck8X3Ye4oNfC8csfapL423oPWY5E9jVzY mK7gCn0xQuL511zU2z3fgD3boctClGQCpuWBenK1PdM3H3xkxMwwmre7A1dyutbJLi6zqKkhAJ34 W/GG/ERuAMktcopj3bk6sAyqzphQ/HHFe3WxbWLUtFNMxR6Xo7Hebd0LCTyhjbYbMmv5P1N8S2fL X1Du+jaCB3ThAbo8+nx2qwL+lsZLzr77TSQsYyzoKijFAF+b4+vf+mFUMFsOZgxCfDScfDt2VSnA tHynhG1rhmpp6Be21oYd59hYGXmJ1Ft1INHfdWe63R+4jb19CCojGUNH7PB6FvFIMQjlFDz+Q2wm TncRvJIIPmbGbUieM+DCuFJP6+zok+xZW+M+CaL3fzWhz6QFwbLbzWxWdbH5klrdtumuhG8U9GEm J4THZNwd8/YH7h+g9vw708DXCaPPNr38WHuGNxg9OgUyCE651EhYCOlSLJtJQAyg6l0FTNdcRtaI eNdS2jJI1fkfbOJNKCO0VWwuWFpH+zQUyC3kBhDLXUIj6/am45HU/awDol0e6TtXoZNA8qsyjVMX zKTv6/VnklKoNvopQ4U/lkcyXZxJvzAh0PI6BlxANAruoDQIPDglTIgBg+HSQkr1mJtpipi0d0Hu vbU4BVft7ZjbBQiNpHCuYvC4ocQ+P5LIKefEXC+XFjACViK1wSp9wPYshFtdB8K6bxwgD22X9fwg mLFpzsOgqu6c9EYD2Scymo5AxyWYbdARhrpRyWUDxwiOWPCawpAsrWU5icpDfdBPo+oWuxTbEPge ZNjpK0H8xTTkB9H1Wz0jiEQxWkCv6KJA7ZbeeWheCOLeVR5JRswImMbLsFXnqjZ36lXvxLWz3nwH 1kpfGHcy/ItDrlNvdxxKzXFSQOr0GDhSvx4DOP9jvRHa6q1IouomR99dGFrWYxrq2HGR4oTfvZYa CUfziyYuxBnLA0NC/j5t8g/W+z9IRvd1lmimgzkxkDZagGupcbFFqiTbpiXK4lZti8hpHc2Q8VCz /32u+yVjZTpl/2fN6e/PGy1/mrgJxV8MVN41mA4wGsPKhPQyGvSM39IYlJIYbV2nboZeTSwn3Ak2 MNpw9XoKSWgVJjXp7ln8uu2y1EpClDskO+IEhJpyN7jhIIc9ep2NPCCnMet7cQREsydB6oIZtUv5 vqg65bcySrSnYskKTARn3p8sgaXmH288RDfhicrFZEfD9EGb6AvIB4Z3k9DDIfVVTlFa0LMSRibX sEea08ChXXMypo08LzKJJcnSzUhaxgmrduKkDQ02Gukb5/JBVhzx8r4Zl4BrARxzWKWudkF6iB6Y EFHovl6hRvz/orIAlzr85GY3P0srpafNgN2u5waz5K+E6/bAHPLOFNv+cr1bnLnjAb+56gZy3NkX Iuc6nxnvN+3AOc8kdWUY4zGThfFVkXBCU5+EayCQKxOwpEnlXwQZBktRWuxwi/p8reYZplwNoYJ2 D837iUBhovpP4lOq5hDbf6eBI/MzRErSjKVK3n8oaOVq8Qgh2KZ9H5dfwqw4xT439/d8HUe4D6PB yrBuOnYtIu0VAjfrmSFHwAb0g0RSBsGUxmR/kfAf5jx66xAEVDgD+hlf+sJ0Ra7eV/qbQzgW3GPY gACK8aNaUfNMU4h9hCxuamkoweeCpmOF9yeQuVWDY5XDsu/w6y/dN1IZoUZDPE+oGzJB3eBygRXh umMf3bQMS1sM6/PHN97qQVxhmTGNq5Hpm/t4mfziEBJgi6jn8VM1AA7N9ttVwhXy+5gpD7+JGnJX 9rGKHwaR4frHtNu7D44+FFjxKQLoPwR58GZHO4Rcb/f76gNg6j84xF087pr+3QTOiemLF3lNKjSJ ibV8h1pK6iHRBwMOXzrRWnxEbVIRcb/BIvyEsYJI1Kfrddi5Q5GkUTxGeMQcbNq+NUuo7pMO+uh2 QIEGJ+YR3dFcDxpeGMMyuvcuPwfTDlurhQjFp+yRG7pUvTOAGoBdETwk9cHG5zEI1xA14PDQKrjl qQeXYseBVsqZmyFo07f8473AVMCGhEdam5j1vien2sfuOUH+Lts5ynWMyLl0OA7uT5fGMXW408pi wE+drdgr6eU+66eXTpp9E3j+S7u6ZC9Q/KYHTlURo+IOCCPVnRgJFO+GUYJ6QXhwfupLHqTWaAR1 yIhA8wBT65V1wqo4jnh65cD4HgEwP1Hf88u7A6UBBizLRV+xJhphGU8bVsmWl8M3gRQV3OTLPqe+ TOxPzixl158Ehsq+Kl00CqUzXIVeypghq+4D3/PAdsIK+MuF2lktS7q5rWfkruwjtLV5ITCy2CHJ wl6antY/9Vd5MiPSagU3iOwt8eTFtd+kXDC51zRByAXmFP8su8wyUQYnBj+2vBo+DQSpvXZjISNY lapQ6+79mbx3REszEKtmaSba9Zx6K/OLjLRtfTcOXG8Vz8VPRC/+HIwvOer4M7KIxqHoRJ8J7uVW ZU2aXDLJvf5h6oEq30MNsuWW2PSNfO0CENv8VFWHA4P081wV72kQ60Y+am8AkthqICILs5G1eIee I9GosoHOjJuFznTAUKUHd3HfPfFgTPes7NZ8KyDTwQ3rWjR7K8NeQc2eaA9/wRJtVIYMa6aGcL71 tlMLEuo88dkHDima1iMcAaIRov1/DxPZ5BpieVilqnGl8x1wb1+TCMaKWyIsuTADuq1nTbZqCucV IIe5fonbyStrxo185cTZhN0K6vgwNOGvjoytJR/Dl1Lm6G3OIjEqalGxysaJ45PvZQyLkWSjdHWj oxZ0QPytUy/CKSO/rhxOaF+P4O+yWXIml9VrOHpWo5TjNfcIUisgzdzlk+cpEdpRHS1Qn9V4xfYR pKmNgOCVUy2VAgieoIEVJD3Bgak2+fUJiRfd1Przo8aTUE5X8OARXlLI7yxZCSyq/WonaoEdFpAq SvKQj+pAisrkSCGXO/Mh3BCAy3IZBsLxJKplZkyrzpFG6UIt6qNYvsV/L43l81Jf1zHQKxFrfVts kqMxiUwANO1ubtUqY3UNHEcvRcbpHXsy3y7ZynMeMB+J+vIrDRH3PiKc13XZlRB6b5EDIRxSd99N sTMzRPOiLVfd/wUK288yNX3Nh4Lvf+guwA9IITzxvW8iWswIb2CsJEbyAEKp0uyFW7nhMbswk3BC 5YVGV7RRpifvZA90JKZTkQRzDPCKJkf4WQ91R02fwenQ/8gyrSiLEMsCXvlIlX7UJaXM1WZptqsj 20LN/rLZrgp7XfFlk/RzmtmSVnwIbS16TXurcZ+XRUFDNn8W7UYNWZckb8Hbn20aUtU+LM0Ln/R8 lhiQOK0KusdXk1Wn5YvDug/SGrPKMGsE6zB1E3oRWTFkNGoqw5Fjur98Zm3++3rq0ApCzF7Xps6i FahY3Wu6jVsP0CYcy3OSAG8hd/P2OEoy/n5cmW/Xx+JxK/QbqSdtnatnH76UGQDIZdmmoeYJ2Vc6 4I8FT5oFWQTZgZxg4dJp6X6c2IbnU3qFKaGbumxINmglrkY9nuEGs3VbuHM/jcgniJTfM+FV9v2p Enb483iVOC+OsYVjRnCUxqspV64WHx7V59ikWj61sxap9s0XbwpAvryX3fxUkgqacAMq5ZMsy0qt TLi26PT0nEcv9l1eurA8OKoHl0sjAgKLEn6KlntFjPZRU/0XRROKC98NzTlggC/tDB9pi8Gb5m8V uNAxKOpwqjja+BaQJnj21oIeLikzuOu9wyaFEPFzRJKnHvFVmnniKy5qg6o00xtS4FxXJPNOY2jq YwapcvpwaRHDRdnMyN0640zg54sxX/9LOuAv/T9biJoZ7Eg2zqLGDJGCCCikolh50alAaKHFC7Bs 834ySx47aE3ZZMhRIAk/yQGvOe+Znh6Fz74tpFwVVn5wJCA7yT7zLrFZAKM7C09vk8/7gxlNL03Y BjxgUXK53nxvAvgmRzIdbULcvhnz2ybAiwMZadC9RifF2Z8oW0Twt8Bkol4B3SkFCN7CUROYJgCQ v1mm6p+g5RbTCYpu13mvpD6jcuxN2CVfaXoX8CZzVanfpL5glLQ1vKy75AC2mrJcZGvOCpUqEleh OD9jQOagoELQ8ZWgoPF1t3g/EAzDpnv5SAjd8NoeVOPQGmiQTP4rrMsZXA3yYn4ufzGcUL1uyas9 NziLFyzKuWrx96jSQKA0sPbfEA07zJoSIyCQ4x/uP0Dk9sGDwyGc6c2q9E5EbTYyDcnUV2zYzw2x MejhLzJoKERYcrXFOj+/kSTgZVkiPoEfn9Z+nE3QuM99nlJZ7gERF1fpyBSJJCatK/zxJWdROYhj /nyISm3ETCdEam9jWKV1okB2JucJjqqmaJkUSev637TTRVbxcSZw3SbC/TePjIb26Mvc7E2hJg8G b0HmpZz46HBE1E5id9QeF62CebjFutmeqWIi5UUPcRB+tqtR7UwO36GbxmWWDz8K8JtVQuOXxVdV VasnLEVwqxrM+iBUZLKsONWCeA54hccUyv2hCYO5UKC21l9FUe5alJnysKUjCKZZ7nO4pOGFjR+v dqSKzRxEsnzChMAWu/5a8dTG8qadxZ+z+QqJEF6rysBs4x1IKKe4lk1jD19jYde7+oewUpfIqRo/ TWxupARkY/RcYzJ9mSc0FtSs9n9e7+Oy/cHZWy8cy/Nm0OK2MuUB21JzJ4ngVkrTp2Q/K4NmFFvE qfoCc/8VEea+m+tApQ/ch1RqRRnV7ohKwS8VyEEiE4yz8DyRF9CnQa7ANVJDR83tzU77KnAHxfGq 4i5t2v9kj+3BpZXCt71yVJqEGk5cVkHT4GXeCr02XG5tdVhbGU1c+5jHI4PBS+BNyapOp8vSAF/8 QKpPv+shbZlcrC3T9l2S475IVGrzKvlgM61GJnWT2b86Cu9TBO9E540KL7ntYx/iIwqB02WXLb2U Ci5RQ67mUdHtgkgOdOo3TlUYR91SI26VILmvNsaKmJeaaf4pHZhgfCq6RMWSFF7pibK8tgGJswx3 KuiBdSLQY4Mt0lwwojvabiojcpFZtsBaaiqfIkKr48RBbXfV7eFKQo3gl5YEQiECpCbdbshK68ol eaOP4kE3T80xtaZT2DlYfYdA65Z6zHh1nutjUy/6d2jJ5IU7rRfcOtmPPoWlyqer+oq3xcmTl9mI T2QxiIxGF1LqNipEgM0F0JhizmwNHIwVDOrChPXsjKPcQofIwCBTVweavDsrkq5aIPHd3cxPlBH8 nB2glbomSLfFyqgoSLYP/tyZZaGWgdWEZobGK+7dDxCsH723oaKhDZXwKm9R2jzdCr5GViSCIUoP DbFY6wDzzCOlJZkx1ga4vf8MGjDkZIJobWkrnirodcjU7eB0EHHO5p8KG+2hxKFN/5ZFbr5sBJGY QsJHlx3mDVY+4yq6IXl3xuPafQetUjbJZrMeRp7kksVWONE61+oYgxkm4vr0oWKgCdW4ty8oQF6v JCWJ45eRo51tgM7nWoqboiIwxHCpvtlmCK5/+/En1qMktuXSg80tE6hse1ywBdjB92BT3JUPP3KC 6Dj5QIjWslzOqqxdvvtFHZS6KcqxhrpJn1vMd2pLS9IGMbSdxmFqj+Ne2Cj+m1rTfoLceaScXhfA YFdlSblfJ2kj1au+lkzQzwIlpd3hsLCU+tP2eyS48MnO48VprZH8R3dkda7jdBlN3a0EsYlB8bQY 8ug9Xi7HS4cCleEAkHkxffmMqHIjqg0jOhgylVuzf7m8BI5VaLTwcaBzYp+F5FmDG1t/qZfpuxbT HHz4/9dJy4QqYI4Su5919oPyWU5xkW7XVgAj9tl38lWd0DJMID2tMrYAJhJmBqIp/+s2iUARm8H2 uZhwTXYv1+Nb7F80/7ns4/nM7owFrX4nE4ZoP3t+0jC3wGkw0hj0DHjWu331jz3a9JxlKRx4w2Zg qqcCTGz/uHYHwIIiOLLB24hG20hIqQD+yDmrkuoOIwc4GNcBDhFMosRxsAIcAin7gOPeE+F3XkHD dzq7avtRjZUc7L5SuorfwupVvNcRNGZfkPw5lP3xtu42anAaufAN2/OAGKttqVD/J7fytEcllR9j qg2uHrferdXl4xspj2EkyEh7//gUUWVGkJEHn33vC7jyrRae/AwnIIJ0XJpUIXFtxjNNp7nWeyCv F4+rM0amLMzTCHbpiLXSNujQkW+80xr0PNixUZ5kZk4cJBYN8pm0853kx9XYi0A86bGDUqqIt0Xn vKzdQaHbl8RcjsLOevT2vy7C7jsiINCP8RfNQGAlCJw5bAL8ekno01trF3MLtjsig1XI8v4XZ/kl levvCkMg4M6KmEKpLtuJRkXQ31l7VHg/lsM+NCPSi/F9B2FsSOjo+DxPsratY5sBZKmuEYXMy6pR 2leevHUfV0ge8UCyJ0sbvqYec+7MVpR/j+UQjEBMeXbfwYEqt0CP524vysKoNALJWMAYKuAJuFat mOm1bEyQl7Rnozy4JZ/83aN2CSZpHp1pLlvBKbWTX3dZeq0Qmjyfl65Kg2ecjQMP1pXnStJaMujt +iI2R/wLSF6IuCTXA3i9WPPNjx1NhTf1XIabK8LtKSaB2n1CzEY44bfjshVQROIEXi3Z7KFtMvuL 7/26rohtu8PaWxBwaF2Ys157ESq+pzBwqh/NXbwKaYtpyi9KbLjlSAIddowLkciQOo+FyZY9iIbT RycSQRli26TVlor73pHVKCU+d9ReIjFL5AT12CG/93lzTWEDDOSHGgxz3Adlg48ayQeWkbdGjhJl fL66F/gxuSaFdwQRyJ8ySYFa8EGk21BL58lw1MoVJwspcs1mN0WrW1gAfiKzr5TQwg7eccdLzDGk TpOPQF+9OMM/RK2FbyoWgUhhqzAVFFLRIY9QeynmDZIY3YDIvm3Hw9ePWZ2av8yJgo1qUHnb5ZmI 0X8wTcPog9Y1hOqzemkktv5VYJ9c3P44uVURVMftHYDAYYo4d0BmDgKiMEYoNblrTKV72zd6bAsQ GXKwmAznwczoCmqB6/qCc6ZGvl1HDTYd3zeGuWFHUjLKV/yMmKulXmEwrUCdKH7288nkz6tVgYAs b3+1JN36N5tc57sxtemXoCX24ZpDR8VA2TW6o9B6pxa6Wa3i5ntbzhgJ3PEP5Y4Xdcdi5LyTDGMT 4tH/i/vd0bIIurR5iVcV8cIoWr/AX1qwmTJ+Bczd57zXKI8/dIzxuDz106k01Laq5eWM6lL23OWh idIlOt6N+HuXGSlEaAWZJw51AAKDrRtOnkobi0UCoro6lSnSrBGj5e145c+6av503PrulhCkrjU7 ZtAy2v9xN8RW+6Vjtlt/9TUOKCVMkUHBy1PWl8hr0FeG+HKPo8hyEwsXNB0p/NNXspWOM3oELTAG rsLssDeOTsqDB+AZN8deTFzGkipXLcTYhVNunjiLTqyNhVrwdYbSpr2e/ZmZKErjrEuesPdXYqXX 80AWbbwAFN+j0zqNsNjV/ycQdgFELa8HZmsfuKCUhSBCkdjW24O1VmBH01z+nkIRlMlp8rnGoBH0 cb4bKJiYDN5jk+aWXCZM+k3R1M6DiGpMDOcHxQWVqHG4WWqFy/7SEe3xiVW3xJk2G4duBFSrRTG7 bGtGoYCFalNI8tLOzMbz5tn/me0a4SSiVBd1sLu5PPFWMfl8yLReejh1x8DoluPk1sBq3+uZ2cFb vK+5+1yZMwWFsHq2DIrs9wJ/u8Lsfn6cTT5hCdvmucD8GcB/pM8jr2kKCYkxpZRqSVo5WFw5dqB7 4o2lP4ccs8Yo9cjV7ZP14+HthIrCZXqeJncRujkEHdQgG3nTjUYnck9BlRQldvGNNnSXrLXD1OQJ ueThlGJpk3W0APrb19aYCPhaUd6uVQYuUx9yhp9yFLIPxgasq9UG/3okSIh/46Apns9sS84FS8cG 9q6pIBa7SqwVJr13sEW2XGi6yjrec8lXM+9z0syB5qrfX1igfaeV76b+TKAit1VBtDdhQdFtwLGf eQWCdPIZvk/liLboVLiD/U0yTdY5tnci+yFyAuh+uPQZLIoFYKoNrTOXZrdVAebrRdTpdsc5OIhp x9YKs0m4+31LzNkh/ZnYUSdsJgIXz5ZnwbxgWP4SI+s48206AWqHARxqAdzMefCgDqxfSej4Kqep eJ4ov6jaTAwO8gBSijZiDB4lOgvhzydKANimk3pJhvmo9oxewWK6M9Hh1gjPbBK7GVR4HrOYnWU6 s8u7zBsXKDKAQPWPwE3e5FVdXhj90bTduxy9AaYjLAbZ644N+s/rXNpxKCuQlrYzLVLkltKpYYrq cdGTxCY06SnloNzHTS/uzpKRjV+FCSWA72uBa+1NijBk8sbKU3EH1h+cF7uEI6bANab05kRPMiiw SnZeMeWtg6FS679rBc0KwbY6Qoh6nfUo0oZGwwRUIAa9+j1zr4f/vQkhFuIFNBIFbtxk5lcn2QNv +VBFWEbBlwJNsl39LbMw6kvq7TtwyEkieUemM6rfVrtlrSVIPEBufP0KGexF50DzushdrW52GFBb /k3hP/f8RwhJX75XK7JfWBrQSJrBiPAhjdPvtp1fD7yjGUWXgQgUuUVfMODMgbXyC3Z5fukidcRF Wa/2juayqcUU4htu0XczOir5ahbk1s5ceM3PENnvErlaMj5pqWdoYbBzmjOy5+esYHTMQUQeEMeS 53nnMBKuHQU3d1aVMFVCtkxNIqFyCan9Z6+isSvBQxFlLvtlAtnohhwVxcZvji4fevyRqA/bBpOu ciIXSyf6S6brgd4xv/CI0Mf6taDyhQ74LSo2kwz782zjmzWPdtSZCSEcHTABaBxjH7iWWHh8HzYK eysPMhANFY/v7e1FdJq6bETVBAlESulVaUOIs1HovUKz8VBGWCZ6uLqEts542Lrouor9xDYFyJyt J/RJtfgSLsZGNjaz5tzS9w7YE0THffOMBXXxzXXifhAyzXrQpkvLOon+a0Ovw8fpjQFcwrUmKgbM RsXREgvQQwKiGA4v77QSuFs2rikqYTIBcBk+/4/O01ueLNV+kB102GSrEg7JaHfEacA7KKC1vnFa KNmvLZCFFvj6ZeX7BQ8lBVHG+TUK/VYRXg62tyfoQn3U9kJnMElejbnZYPyw0dKSaSC7w660QwT3 6nYUcjhtnp2vlHpQ3qkm+7OyV1E/GhEo6LzI4MS7Tdq4tcnwRc+zLFRj5izrx6h+JiL/WWcBkwDM Pvak3Y9q69FTuVoFzMXK3Lk3WZwbP+oFj7fyTbAFp42s19Qnc60SixJ3WkFv/0cFZa3RS0Uhasgh 4Y/AoOe+XO4t7TSYI5R4s3deRqLGCRXy56Oo++blYesr/wBe2MJKF3V+zhYtUbhVk5iBr18cqFGn a2DppTY0VIO9SBOcLBFwMbiMopcmF9cURzrlaGU/Nv0+chHgUAQPJcJsF9auDKDvdTB06kD24G/D tQaVoxF2Qwgq5J9V/X67XqGG5KxavWL+qkzqlu9GLzGWjindXkA//tqPnxMsWfUsN6qMvXrrZ8o9 6GQVA0fjLc01+03ZNQ8d3zcBtqqsXAHwZLdVe+I6/3Cd2DD9zXJKW3ySg+Walrs/m+5TYrmcO5NE pTd9IJkI65grDPkJM0vWkYIYeWdQlyysJmTOiY8XICSwuOlLpBMQNeLRxxjn/h5Y4872cXyXBMDb O8azyH+UTsbVfd/YvqOZp/1jpPxoXXDA6H4k1x2aopE7QT2XSRJnQHzM/R4MVn0+CdNs+7JYB8g0 piL8LUbOL4NjljIXR3+QpCeMeNtEuZP2JBmxfgHdtFh8w3+Wt8jff/mXO0Rk/Qw8hvnr/Uw/Bm51 xSzCyfRoETW1aPYLU57ipofTiQ8tn0OPl428QuCWKLc6wGpRssfwmFVjM9/mWkcGV06QGUjb4ZB1 uYkgYT5XL86DG1R3X/FzxV2Xt2EEetGqKvEUPkq8nyEF3YdEjbjy7ERLuO0UkJDL1pvxRH2MtPib wr8z/lAjOx0ymZw5Fyh+PX4uH6wngoPTuEhx7eL/R0+ySQnV+yIz9c+zCxne48h50iKVnwBPgvpo HmIvslTVKdnTwxkJrHO/wYcGzwNYGRk9ygsbZfkx2RAu+P7JXX/BiaLAkYX4WHOvbeFNuofVcI6D eSNy6YIvKveRyc3g6cEqBjFRGcCK7BBnoPIP2e19QYtj3Iyi1x4XI5u8DNU/qMyl4hUhjYGkTNNK T5cBJiIIj/IvsQcJ4o8a/BRTttHfvw8MusoX3yUJ6L7fv2/nakZnzEYOXjNkOi1qV0K868BmpJf4 QBJV18s7FegKJi0r68tzqlFVsFcEkU5LPyLtQkit1Uf4KztNEgMR1EADJrJGPm5y11itqOFFZAuV F1+SeUmMF1Z3YG2jryLPqjUGmA/8FNQsk5AaLH5Pg4MJY1z+yVIW6v+ypG9h4ZZPrXOxclkz8S5U wxjsQCZTkbe1ZHKdn9fDABngnk6M+0Hh/gDmD4C/CgbbeGTemDXE46qQBe70sBrwMAXHnMpNGk0N qxJqXV5kx70Rt6P5ZaazDM1Ns2w+rB9UMaO4HFdRC5C1dAoQTRfUT3c04dP2S78ObSsBDwFQhMcC I7qG51el9qFBNxRn+L9+H6+egV3MZeMXfRbvo4t/iM7XEaigJZeVyilMvfQoknA5NR5lTS3oXcDq GgKDfNdfizNjU03As1+qbNpxvWiII3p/RbynH4vKzAEUgwQcQPydyYM4bNp37gJmK7kltHVUGLK+ WK97SUw9b+g79m+MfwlsuJgPzh8xUhsrOyRr0v+IqnCO1JP29xl3Va2eTOqYi/HOTo+aTO4So3qz 2lZmu9cu5KrphsS4byojrgwaVOTCWRf7THGGabd1ex03NQ8BD2U7/F8LD4Uk4PnpCA8FP+cuz6ut MKle711yuWYFK45gwm58QG+FD9jyhHqj7cVCSSB/F5WgYcRDB69XOmye+GZkEXmAjdd1TWhSXhq6 vD0kYIGRYhdQUEhDwJLS6+ZGjS1yhrUf7LqFBPwsZ9gAM+b0J9mIE1UaeyrUiTUNvRRrbGq42IGv PTv5C60ojTiqFLeyFOuIDEkSXUHaRN4fjdBoJXi1BFOL8nGY6dnAbmYxck1LitRUWkFgbxRScyGr H4IqZ3hKBVsaQ0tOa1TXIUUYl9/OlS+zAkltem6ELGsa2iczP/EUg0kUwxRcvEv0MELk9qx0BtpT Hy+3HZbxE0yMO8rlQvU4aU9GiLQdCprVlTauu1bGqjAP1Maseg1+lqAK06f3rNHTQNlTtQkDpMGt vOrexMBXCPBHLh13BOQFUNMa2ZbBoo/pYcAYGODJzwamNxBI56CWfEWuuTivTy0/HovcRcxAxNjD fEb46RpeFAQrM/FUMdrCLUpuZYhUMNVlOrB2uPl6Js8l+Ewu/YO4s0uRzSwKaZykrcXLC69JEs+9 yrfUJhZkd8peIviYDjTqVujrtzj4tWzf8Fzz7H3b5pGXGjxE4bbw+9xGE0WW4yMHfSmoVV44yYz5 SpMZGzYnMLNrJmESjO/XKpB73L/TQ8gzwPy0hiCkanuIUxdAWi9trdMh2we2952upxvqFrYul5SY LwKoG1/25xhlemto0EVeNj7GyE+ETdWuBoVil2sz8Gzoj005K0VaPG99tu14So04Xt8+6BxXQQ/+ 5cZHAGPgjqHwdCj5fATMqp566ld9jwuDTiGaKZYXd2dQfSfh7UZZV/O8deN6rS4MwHyyFlyRxc0D 6JlzyMmeBW6dgOvOjsikk0oXMv9fBpqTpFhiA29neL63WCOw8HHU4z+cLj3JuzABco1aRYSPH1Tu /bw1GNKHziuHoMI9sAITPcQkBCY0PGGRSZigBR+JJ4AukQaJZRQA5eBPB+1Eus6Rilg+OTjWRBPZ xZyZGMDqgqGFMoTqy8dSnThMNWaEdzRkLyw4/HnSK537ReyIVog/awn7qcCkUoSvoLXZlBpiM4Io aGpanIoBze6SmqB65wQ/3soicsu0zzthqrMZG2owGTp+UyfgDA2PeIFdL6D7bDQFAhpTV5rZOmd0 BGzvRkAXpXTOOvXOmsppP2NKceoQ8iW/wb/B4Du9C+YbPGK3BtpoAvjbJ91TUf5jsj+qCW9bSRKU Sl5QyogOyBJquxgcqOBsrGBWSLL4QaUzCsTmoK1ngOP9OOh22QWHOOFAvzczz8jFEFcnDmKIIbwV Pc7wglqXeU9TogXJnWs6bhtH4c/mCjIiELXCcjl6rq+mf6LSXYRxz/FuPCSl4g2sLGoXPatPJLc9 JK1I/lINYTvO27gcTeWjO81A5v5LnCNcsbi82eKiHjoG+zHuxH6riaq99aBVkyVvfILz1q8KH4v2 M02ucC7QRbidm02QnMYg7U+2h7SWykncc9RLfpRIyXfpck82njaqRp4FPjuYYkFwKsaqGGF1V83Y jPvpBBuaXMmA0vWU46DLJ09lQgUdILsccttHKkxb5NuNyufOdq2oij7XjtWu1D5na+2CaVRp6Trh QZK9ziJ5Hwo+q9FQaMYkGmw77W4X/Bb3lGlk7nMIfVy86WlIagoElBJRq3WTwJSesS+8JEAwRpKs dKnlX7Qrmhh5dow6t2KvSdA+edlRXRhe8Z+WIYVnR7HdPZk+LRfvrgeEbQWJAWCmSoOlBWqs+mE9 aWbUikO3ik0RtPbDzFMOEOFRKtw9ohKxcjmYYv+R4/+oT9JT4IGLoVjN4X5KsAZbJ2cUJafh1OMn Kz2DOBdrOlWfr7gzZjdhGPTfXMkN3DdkRPZZa+8UKLfCm8VLV3sgAk7lkGOS/7IvRiOvIUexva5v QP22Q54s5C2M8z4LgQvFmdXuBPt+0+GTr42HN1Q/I0mMqO5Nr2m7VfyhIDC9CUJtf/ohPUATKg5t bEca27R+DOuLFJlkDLbjqvUgohKRbmyWzX0kJkWBIH0h1FIQWz2IRpqjFtlfrg8AxUhxwA1N3ZZq FaD6g+AAcMVYIh17V49pmCEkZLyQmhijCtOkbzpmW797CCebSdnTNgpVCDRx1Vris1aVaZqOcGKG 11PkBE3r2ThbF2MUROQOW2szJUQcooBubLSYtm4N8UIHe3+L4QjGMdStkyWH2ZV0zsoclYNdvUqt tK/oY+tDdlejfpMgJufiov4nohMkYqkFthdds+TiK8VhrWVB26dW3lla8n1m8JGBD64PH8ZTmq1c 7XefbY4xcU+ehexPEQ3orl0o3iHM0jjjCtBJTS0ZlOEDB1jLq0obz4lFkcf0bzV9Emq5LNwSM2jx AzxmMnoSjxQrQgne/6RCFuYKQKN7fHaKhfiCXit6C4nwV3e0vekksPovyMiRQ2wrCdj+IJBdw9XI sUyNMj7pDWWZGczYsbtFItmM/soP2ma0mG+/YGBtL0KZID6ZNEJeHaF66kOz6ejy0L/oxIRphcBi vcSER6wmCynJMQrTpWh3M/aWUsHs/YyIF6hdYDRVurIQ7iCJxNoWfB0QXwM6v5dH/QTuGEaz6G3O J7bhkVzW2QZyLZXIaAUQrt6jIjSNLZ1g+CHVK+NZ7zdsTmF33/GkYR3ud0ONfxhOm/eAjJ0+vlVV XlUuLqCsH+TO5KcQL5MaCHczb7l3qVPYX7+oLib+aQhgFrrPo+ABcUjAGW1hG8Rh5WCodPkCgAqG c7Kj5AMb/i+oFc6+YV8ERDjx1ecWyZtFMOryCIYLv0RfJ2i/ChfqPlYz5sGQ1/eLtFRxsHN+McF8 YH7l6dgwPkbNd8l2tZcCEpLV1J6fq04zAxHG70MrxLxpZ7KLURtzoZv7DgfTj4BBxsSw898WOQzz BO138lrc3QOj8BKmqNUQLUGpxtWM9+deosGBO9T8hG3QRflHjP1E/nUyBJ8d/MR/qDS4+6vyegER 8OIJyAKCimX31gWNpwfThRA5LaY+tqq8Vi0KNeG9VEV3rzWpNA4HLk1WzHLE1BXCQmZ8DkJDHpoR RdnXy1j4G34KuAxTgyiCEMHHTtgrwqVaUWiZRj1D+7plz4HxJNxb7+nw5qX7vbv5C6Bs3jFQ4ZZP YKVVbLNWbeDZ2X1Mq+QIHVDJHLzUSOHMt5nk0oj0BZgJ4n9/kWZjuF7aYpeNVHW1fQ4OZGn12WvP wo5k5yVFcPNcd0iHc6i7QeoO/vPRou+KtoN0tD54kbC0nBWzXMwcW9AHZAc2iZHgkGqp6aUhlgIx /5eGCgRtvkRJ+dLdNQu7Df5j1mjQ0nnCR87bLA+44MFEb5tnXIV9J9mzdIsSzczLSydb+Hrr/Bq7 9Otm6pwN5cwSt48x2aAnILKSN6OneMFaOcBT+MHLoyShBT8Dwei28ZLnZDQ71JLwUomzstEBFzju mmrXMV8e2e2wYsnFZn0ls+tNQd+g/daasBzpv1GGc2Ke2WbGSVW3osXYLnGqwf+dn4BpqIsYyR8F DmA0iRWxaxSRz/Qxr8P5zQFWwebL543S6QoE/XAzb4DCkR8+ibiA0iRdBaGm17qq5yup5GHFp8oK BlXHHnzv/3xiGVDDj8Pv5w7QPBNQN4PAolqIYDKbsBnT4OXUiBGia1J8/1p6VgOzM8AXYLa1RvKr GuMhiV/d6KUTk+Hl11Vjl3uPUvXlS7SU0LRAZ+5DFCi89peg/3IRFITXHr+RrIY/phhQ0ZDZmx7e 5+PmmS9ZAczePs54eqwyaJAEqWvuhK1Yd2guyI6MHUDv+KAtoNWbPM1rH1iUZbSse+ztvMxrO95m OargXv7bci226U+YSqgwh8CPurKMDswq+rkQ/f9mQPEDCDoIXphulLdtDmJB59vYfcobCkbrIym6 E5dojuivglsHS4AoPtSkw2Nmt/QlWtL3rM3RFEOyejpgj9gx97q7zvsFbJg+KCH5yjVXe6+MwF2+ oEndANZdiTGXj81asHJAT/DVjamaUsF55EN/JKPT73jbmZFmXVD6fqsR9ba0d+d+Uza2/TYYOHwh BM9dkCIJfFzwcph+DdyvC49l5Nk3O/KXbU6825lEsnH/bmO0x+RdE7izBf1LObCuEghg7o5mhMto /t8KQN3g8ZwM86DpnBt/pxCJ2yTps7VPDL5P/EfZNypRMM4vQ5euQZWjI/fOXOC9aleS9g0aYpXL 0JA9+nSTeTKrVdWmndLFg86qGvvZRjxrYX09QtWetzegVPKRt58ATT6wqya1NdeIgKFvAkwIiK6N P8RCHze6JWU3f+EaGYJPENQeMV4q8/Ve9siJ9Hx6mAZ4l4iKDTdGtomOe69ErorKvztOzxYs6TOz 7b90OUsjZlj8fCnlCVHF8+wLt1pZ5B4kSSK+9RhThTps2tcMhf7TohZBl/AQ28pazwxPyt+UxPv2 ln8PPDuOj2Cqzdg76u+EMbG4xeZwF680usPNjypjK8mheUguOGDx/eSFYzAOAEqt+oYXUXXO1Kdr S4F2mcIhuJ6ucQsylzYLx/Z8zQ6dh22eO3qDQENEIOpOeiHvL33OM9fp45erT5+mKYAx7Gv8M+ud rBRWBuwxfUJ+eyYZN+64aOV+WO5eRbqIAesCoij1J4pRhMAr24CiiKeKmY6vhpsZOmwjk3/iAerL 98Hz+xytZnKfqhwcEwakHLNz4ZgVa9bSlR+P7dDIBfV8/ddlAcIYOtjKzvGg1L1Z/k8QaL5KxZnS vQQoXg6KQloaHaJucbrbIPYWW+QoNFMUoK5x6xfY9pN61ezaKPgTiJL6hfjmFnVXWKcaTn2aW6b3 NNCwR5GycIlWOdP89R02jztKxrp9pt+njhdga59m5ST/yfl2vc4Kr2LuY2H67qfQ4MkI99xega0B +CjylDLpBWt9csTAgsOWF8lDhi7fjrcbK8V6zaMkwjOPaT+ddleP8FTOhJ2yuFvuoy8OSI52Mo4D jSLXmr//xTOezCuuo6FWTaE7CwkxNGPQrJqH8m2eUzuxbjtTiNJSlcpiUsE6kOZqlNPPFcZJpwRv xRJ2UftCy3mbtlE9Hq8FJrN8FqgNOvDFr3UFiFtuBLz94/tETqOz7AbfEP250d0qJxFOURvvP2hr sKD5E5BqxBNM4u30r3Lqf3B4G65i1O48xL4khUdqAWdaGs0jPb8bj6DSv9TQR6QWT+PU9LrgAyuk u6XymSXTc5/OnfTSazXi9+nl1hojPssoJcG1Ak8p9pT67FjBNagbHtAkMxlLrYek+Q8Ma9T9qz2q hqJypPLjSPuh35hi62n0igNDBQDh2YxYZRBeEt08nodVavlvFD/QnG//+qyWcKtENE731Hqn+tJe 0k5f/jAXkVRo4n2iIX3ttfwdABb/5MCrZpzWLmKl5VxKLDBmETqyur/8EzlOOWdLHRtRTD9g0kxn +rfvpT87/P4ATxt8gCN9Si8jH4BaCRg3aZAQEHSNkaucrpRPgDDOxaxelonjDgjbquDZjriktSwK i+KLe4fAb5uMGWPTl4+vC0cOpD6Doe8+FI5Hn0EMeu8R7UbeFqc/qWUtUNhCjTyAFGixrremp59t ncOpi7TMCy9j/IVJh7lGl8jY1JRWSxwwi38CiD/fvEZ3cz9rIw3lVw2zcMI8yaC2LavEh5fBeQHy W3RAUixxjfAjkynF/wCxbgKxkQ4JEQ3MTtErdQiWrK/22MB+WCVCnHN+8FId7ITkipuAK+xQ4vut D7f1rAxx7v3cimOC3HVkuwAEw1Tg2NmWTMPSqyUUKTetPJ4u369SN36urC5/vQKmaX7xmV8W3lGC bYTa91THO4aUZ+uX9J4Q+iVgcH4pibGRf0OEz4Hr5+cfLBqXznBNkqtctTJzsJu9GvOhUFXI55mF V5+MIv37cnkl1BdfXx7f6XpDJwuRDtvJf1pRqWrmE2vTL/1niO4xqNONSF+cgAeN2PU4SeaNwWTU h4PyaIiG4g0iUJ7/0HzZKe2rFMpYnDzMNm98Ll5Hdlp6aM1NppKdSJTI7SWvDh4dECxm8tSSsXyd hBnhQGSs+wq4Ez1aMEXwaqQ09tVWhwgQyZdVit2Vauj8kzoLHqbA8PDBili9M2Auc89IygLcIEvS DUb210rXLqVxoj9J1E4dg2w0KGzOHQgBsfhzM64K6TzRG1CnzsgJmHFpMTZ33bpmc11yfMXNtOAK Y8/urkc74mzW63Sq9WtLLlX1ghAjd1AMrIXq2tEDeswOypjrefIj9JLk9Uv600FIlhFv+fPB4yCK Su6S/tGw1efMFCo1RUVQPS+p/E3syB/+7cs/WKRVl/XKcx3YAh+xr1aHaB9SVO3GAom8BPfG3Mpx IPDZOHvI8AP1ga9oAMHhG3AeRXF1FcWO1cbu8jWlks6rFPujOmpjU28Hg4L9knJmEpa/pBi+ZUdU 7tx2ER/uH1zbB+DjU1UZdDKEs9jn5WmgM5srnBzjEGjeUTfsZkJua1inAMnA0rkGIOFDEuANch4i p9H2M3Qz+IEr9184ezwpOyFUIOeSSloIfvCaVU421lXXRp2urtIv9RDrc+xG9RFtepaQ/7Q9hXbr MBZftVsVFtl48SmQXbpa0Kt3YXxJa0sOn5JlRH99EMMJXqThQO9CQhhq9vwGUAxRGSAm3kX31Nvr EwKDAhoprYdg/augq6j3me8wDWPrtzqK1REcLnqHpwovkTGmIY4PCxFf0OH+LIUauGThLCROQ2oQ i1JJ23bTM0cwlxSa7PqypgiG4Aa4qDmZYW2edSwiOaukfxTM8ASrWlJOsMWLANxmRG6wzAAfzlNz 3ENUAVJUsoROhZG3mZzInVy60e5ByUBM7i0Uve89H8AGAX9q4FOMTaLCLrIBtEN7fxLezpDex/C5 L6sfy2NpWb90aeJLzxYM/GxliFzfoM9TuYRib+saFwtxVcfb3vCUlO+zbjbZWoVZHvD+Qst4xpCC y/aVrItEJLhq17ydam7JvNwY01VKxTDgH3MpqZR4NOfWpkHmr8nonWhnNy5nSU44pHWeUNgz3L1G eZwW8WrHbZJg8Ts0/AifxTHfd88irICkE6YkxfG6agLNLAOTBMpUo6Ij3XPDMxyKljqV9bw94zyH AOalVHim/beuu3+vQhlJdi0pGf5beED0wEP7nKzlPPgh34Hd+fItX33EMhtINLbVnz209zOHj2Po EFe8pOI3LJbdYQikhri339Dvl/JKIf9Fdt3001NbCBGxP1J9dOMh4UVW5opsJLQlRIhAAxAvRK2O qjcKmSnCi8r8tWFKIsTwkFzMOYVAxbGDCprzar9bs57QKyIrDAmBjZHhmEQ/4Ei3xr1QOW1fObuJ GdJSM5XHJDmGyR2a8ronMZtCAckN04o8Jbn+lHcIt2R0JMZOdQosHXgmg/N83lfLqXmIAtdLyu5N 2Eqiz0IBAQVGz0WAqwqEjlvjEtPrmgsJ9w7safA+a+bKAFuCLJqV7Vnp0M7LJxhSpWeUUhdSZhsf Ja5JsruSeWND8tXaiiNjdsefL2VB3NjBXUIJyl7PI5It1Dsdx3Eygqf89Sg/LEmBmgQ/jByqD9VK e+H3aeujjpe5lCh/XprIA9GChfhc2ne+crGs+OCWB37ZJ09exyHtDj5WWzUUxeNujKy6cvCI/8kb +5OyPn3F8bgQAi9CTZzJu0KoWRojGrrxcwHj6djoDhFNVR+Q96tsBLhgpGmWRtPeME2Zoec9bVe+ BTDsK9mCD4Hj3mbQK4iyQuJpWyYEVjP7uJo3HirJRjNlytaNc1EEGdkwUbJQCDxdPRV9wxGBVkZe OoqAhtKzEBIuEmV/Gi8jyi7Eury7RiwKrRCUFHsjKrK11RXp4ikl15VKdgfB6XJ/umZbw5aM+Fqr lJ5pREm522ItDHqavUi4+EOsdp0Jq6corbDbbZoGnr00Q6EDxi54lNQVGeQqXZ99Cfd7Pyzpap/a z3Y8kmsJrqM+UuMCJ3cLyBD8pLDJrjTqrrUgXYrDZO14mHz3YRnChDWSrzJM9ikeF3cZMRhIT3BY WdcMfPha7XLGRGjw9CH18yTuyiJfbXG3Fq/LKZL+XFkybBtbY60R7ROQrDXz06OTZIs7Umg/so1C /vUlECpeeSsglz5tO9gYr6x9OK2vhngp2htFDSUk2TF8P3Ywq47xvv65Hq43cekHJvKdubyVpHUq g+zCajSl6/lHHuDlSU6VqO2dEC+cOnbRfeFBp1Sc5UBdLeMQos6fFW787Az1+leevo/do/zP0ABe zoFcGd8kzo4fRFlCJN18jftly/IH8hH0Xw2xJXT67Az2Dl1+aHT/yMlXrXb4wGnNbW61/0O9fzMg XmTRB/asO7lXVkbhFvwmsytPpM4yFgh7y0EyLyz6GtndbDxui92uR9GL1teWxYuSjn/rL7lirAd3 UP3a/BhTXVBr/euwpzMx2mP+hzNxGSrWrBzOHObL/FpT8g2BGOD5ge+PwObvqz1zARHDF5itOadk //X3Y1thrhNJYAQV30iB1GP8rCr1IdQZFXUvJCKOHKk3ZYSIIt2lC/q9txw2YFQdEWZ5J2Bp3d25 d7zNUkL3KL4yap2hSujmcfHy074OH/NN4vLSsAsWWYBZpqsRAeuyybd8KkI8Oyc4bn8xyybR3DvE 6QWi7Wf1yNFsMXfo/MD6CgYMOjIaE0vGJ9ib9xCiS6+BW+QGD0xq88NlJNIVoPuc2V8FAtYgK1pt SdH1eAekr8n9WHmftUoMm0AAjUXdhSRA8JPjGt9mM/dqyooYOJHRvxCI33uQWeb34HOnxMOzQw2J 4n8V2q6UQPa4D2cled8KXVMwURtH6TFU0dBvlLSHENMptcm+2/EHC2mHQqDpyJWnx3l48Wtgms0R 1GFFWfL1yqOvBR+S9sBQP/hKdrQXgbsqxkLSZR4kXXPo+yXluP/Tc2GF7txGZ7MAw/INE88rMxak Id1mHA6t9QJAROQ3cdGbD5W7T8bQXfJeyXw2cLxq7HUu5otWC6KxHsSoYyoDwe7xiU2XYKyiEwZX HCDGZW0HxcAaxYJV5Ha2GGcp2G+PQkrIa5xWvsz1fhrkHn/NrE9qMg63yPV3eojjMQ0EsPkwuEgM ciY7yjXJt8yixXLPHuGMXuaCKqWWFIYD8dkI18V2QS+r4OjFrKGJ3JgQ68e4CLLhDKlpkb5GB5UX VMQqhmgunn24y6HFkXMlltGF0maOKJJzECz4OIQ7LKealp/2wenZ5z0NXiNqTyoVe2fMfV9x5Sjx dkwgla4KYktcspHHOQtukcSiLf77deVBg/3TGQ6cU3UUu00D6loFgjAnTaERjoxqhPWzsTj1OkcU QYnk3v+mAjIRdbFJSNkcyc8icr867+mrh2DXUrti5xRJlYIW8ANczoo5nPAJ1byGq5DFAYOlFmkl ZqUyr29kDOgyiu4GtITMQtHVDlHCzwIOWSS1/4WLO4tO8sCrNqV+wVbOtnX9us3/YqY1BYw5LjvT dPnjy4q2DR2+K4kyZpA9TM/Czt0BPRwrP/FVKJYkQZMlVYRBP0J6ZSHcJZpviFUU0MuGtMC/4Xhk HD8YZm02fjpuJFAHzAD1ETi15tPByJlCeAMjah1pD8YCAMge+1B5dXGbWHE3xSXqFe/Af7b2jITb Bw6mvH5gQhzIaVF0mAyA6iwLIE7MlEXUl2Qo/cnEnXbKuBZUmfNA9fVud5rICDgQYEaqPaa0q0bp 2vZYGOKn+IaKr82s0KFM6396rOXGBcUay/2HnTDCxhh1M+s00JBsNQKlzJMCjBKBlAvenaKzsGHy cMEIgZRoM5ocqWBGY24UMw6Hd1F9Jf7rEus9/f+CHKGGiG3edTNlqO9EEs5AwtV5+AqRaPbw9df4 pPZBSdRclCqo0iCPac2VnMAyP0vaPDd2DeNQydAXzG68rAemODqstvBiqfqEJR8gC13vHbYX+LNw fVCjKgdMrICK1eJraeFHexkUkDCo3zHUTbX6fV2t7by4gRistcnzHMKIymEFy7GEXmADrhp3NupX o5AGdYPpCowJs//QOv9bmqCS02VP++Xhqck/1FuHA5Zx3D007nw8xrXACY4GRUfp06UU6SmzcgCR ghWLdfNt7c3YRqY60YEP5n4WeL2ZcMZEk6j18wJn6JooYbgBw/1kLPBcsUka9buKUtNBpVACG4YO xMtBYXS2cmOuUnjNuftTox0xK1FJ6KylyBn9G3LXcD47LBin2SIjZ+vitRoVuzRSE2boLd5x9+r3 F2L2ne+1UIcti2W15CpPsXMDL+LVQdXZzNdP7GVHH/4S92ClyR7dpxG0R9YeAaNCI90SvDs9vjhq NEdPAT20cbgXcjVw9Gn6MxZ/D7nZ8Ap8WVA6eQlshLFan5A3/iP3kHR4Iy5xGySZdavutpgws2Io 7RhsNliadzB9kzSkoKDlbRMd3parsbC4sLEViBzoYY+g5ySX65KIfLm151C1ysLrBtGywsHA+2Tv lvyfeZbQh4ORvc1kOVY+Why9nzoUJL/EwVLpdyURT+Vpsp05eZRV7CUYHaqWRfTxPMaRmBsLFcek Tby5J93goPU4D22KVjsBnglzRfi5KoF6/P0FwKCMeZ133VsIu1Eh7Ifypeza/5uNSGDGkJmIGUR1 o4ZJnPHXm3InHWx0nEPVl7BqKCfU4Pe6essCXpxYfm+YdiZTmF/EAqtBl8NPXyhLS05D1gickQX9 bD09hQ+U+2f0OX1kgNnQofEg/pBKa4cTnQIgkf0ZJDFw83kf38LUEUCaQi8zT5w4X7xBBrfhy1k+ oE2tltBPN4WnT0uKMal2Lr3y55FBGCKw61xkZhlkp2tyFx/n6w6EvUHDtJRwhhZdm0TBFku5lAdn krdAu7AScya5nYuipUrfdt2JgC1ssn6OeNkHPOJ8IlYgFUXt0n3KBayshDqp9Q+5j9Hl0HJQ300i wq61hedLw3REHFJnITQL3otRL9ohyhoNLCBeZS97nwZAtIvmOU/5LwjdTZRjAeHaLr6xaW+pVlxH vfTtoUHc30OEywBUB2SGlriXJ/zSMz49aUFRo+EN3Ka7lpBYEzq80zLLMB0DrTUdvLIu2Q0keKOu wTjp/DVi4bEku9gSJamN5Qkxsj+pbfQ3pFWjYntP3o2wTAQOYg5fpHotFR+n4mF2COi4o3Pdan5A k2lYEJESJTwbt4S+Wc+5FBc2tSOk4jggG16fW+9bsGS2lRO+lOoreoEkEG0UEZ25CZlQn6LgcgBK cMOOlRKIlstE7tjZIBQDPjV3bi/QKF9PLwbsd0xnstPArWYBekVzv3JtdPvMYxSvCSq4YBbSFDtW Z1MRlmvV5Xf6MeCy59axB21gP4Olu+mDkyVX+KFJSzyL0rJOiCpjrQlWB68cOyNwPSWmEjMV4AYP mniepo86Pc30zCvjtej6Dj9eFK/lx58O3ZQXRa888pAEHj0Eu5tG1i3b8QmfWwIL6QzfYGG44/Wm UNCy7w0ZIWLQw5v+17rbMC0zUfXRzy7jjKD1MPZrJre5AFL0EWIUmjQHaQGQJve6+R6W34rblVu+ qE+6aJbWBi8e24W2tqU/Tm8uxndCXAtWmRvOq6mKQEKd7uqjnqdVxOFzwJABB3Gkh2c/P1Dydzmn DUBTw+f3zJsnXw1rFyHLNGduhPJB50aPr4cjHtj6VWFMkI7kK5Sl16xlp1kKCvWYZnLZL9TBbA10 GwLPcceUdruS+c0bmzxY8JR9luH6bIXECAdQUzYim+iC5bxs3z6UYRwes48kA5Hj0OqnLxM1V1+E kW336lOYYSG7ZQGsB7gUxHGTmb+5wqjowQ9YVnf0YCzNpyu12YtDv12/0JnsbosFllHMybPkQ8wu JwJMLO6bDZQkuA5GtfGVg1ti0vMssCyZ+93cjc/rMAczDRqD4HndamRm2cfJ4ZOrNnZucDO4x6Y/ FUvk1Gq8ezOmQEfMSfWTixUVWNgxv8DUDQ9iyCMrfbi6MBQFf7jiE51NEeTvzeqRfqrp1K11WDTj L5s4uWdyIoYmn62G5nkhZ74+0BAXKIYI/b6n2p26itjdqWKyPwVDsSBrkDe4AQhvuIzhk2DsGpyf QXb4Gy+XbbyURbRE+XYxL9IDnmOlRsBDShAV21wLESm1ll84VywZckT4FLiwT+MJ9FsWWZNb+Aem 2ZIkCUDb2Qc13MrmArW4kpMSHHyuBhY9QUzuFSO+qnCDY7c/a3uszQ4dCm2oqDXYzQluKQN5tHZM 6p/0Qi8fXpH4OBTn8oW9frLK8gYsi6HbBluVSIYyW7Rz2leXArbfsru0+ZwtPCGnAedV7g951PaB /6hm9FYkQGEs532PFldCiPys9CIPgq7MXAZJ6ff1cL7C7gYUUX6dfRCsroN1/joef18ay/FAK/x3 RUFhOw8UIyk/5Ete6cRllPeKXMaiWUsqyINkfpX3WWElHfxxr8l7MGjK3cRpNfd4OQ2yX3ADOpnl 0qKotdpTSD/UIEsAKYHSAYI2HBP6N58BiC+3ovRjiNKJlsVcJprRMqKeZEy2dsdY8yMB/h13W4w9 VWJzs1w//vk2ClsZ0ALa5VQyXFnEFaaS6pK+aaTkv0xOJqB9FRsFVSt72HYrhvvp5cc0dmCqhMmY ZWiD12D2evpfCnmGZuj5+ay3+wSHIiaYHwB6vgLYYndFT+up1wQv612dlZi5liwn+dX6AHSAOAbN B7MpnNqsI4uXC5Xb2XlqnROADuMlAYcHzekywOaFkre74SKiVtUwn/MXV0IrrVCjSFBjGEN0CXuG 1Pjaqe3K80TmMGhkCdX22V3knu0PryCwDWAyo44NveSbIgL6KJs4g6gPpxUQ7aQ8U7wLnjGQqNIi LfQAb0V/YqC6GcE55fcMTEoi9ZqNOA2l57eRAHjaSk2sdJN0GnPpicI6iF2njnU5r9r8ycnJgWsc //KOiqGK4DAIt1VwYJRn5XBoGSrp/cg56Ik/8lSIcdAd6RdqgII7ViQng6iGoZWwENaMwYFI4zMI vIkH6XAhKE2Pheh/f/r8O2onQCoxRa68XcKVq+Qe7E7NA+fZfMvHwcwWghk2aqG4fAbzo7h+f2Uv NEOlkoYoQw4jJvn6nJS6H7wGYi6rvdoccYGFN2RXhR6CvBxM9JRjHYMZ6Ive+REmwaE2PHaas9Kc HsT5jXezNGMolDMv1I5Gt8sdLuyFEYBCum7kzd30Ip1Aavt7CboyP+OIMYSxYD+il+Abz2Vn0fwz ZXC8tXwJoUEjA4jGonFzR22bYkdHr+cohfLe4L7HHB6aaZxB2a6d06h+K81aEUZShmU8kbhvrAfO /jK2uyJ62E3BuVDkEGDHuLe1jGnCkONI2K+WMgwhTW1QULso71ELiUuz8qs9YiPN0fnFpz4DGdXP LDoOGffxhyth5VW/8l9BZx57CYGU9p5YMHJJ5JnyvT3hq4Gem3wM3UHB4etzEjenEj27BlB2nmec XxEa8tblVdVJ0wV1LgBLkeilPNdsI7zpDDC9YgfsNtGYVHXD/DYlHV8Bk9Asc8y08uWOad/l+PSW dR2vIddCn7LMvO5Otknfpbek/m4/C1VF3M3YIqUzCuzN4iFzI3DCLwLR/nQK2XFgJijyb/FKrEjE +RwYXtqO/Fa3y5hiCqRYynJPDKf862i3U7Q5nceiWFiUUY+sVKrpbYxQgurPbQlRVIl1wmzpWgGv eOmnH+bUl3MxdEMmUQDXO2dB+rXmorGaWeaSr3zC+++Y/PTDuLVUjUogaW1LiCBAVBW30biZxPga tCidaqf7UeygvIGTIdctGeWgrC3y/fKByMXhbAYEVU55s0wQkSqw+SZWxr/2F+4OZadER6E863wr nmG7O6eNwSQCCg56nvmtjm7cWtdpaHxFB0gWJrX0jN8Xf6HM4smO8+LTmF6JTKPReKqQdvD8TQpj 7rCFrIqClVSgjpS8QjNstMboKHcC1H2ZcUBxAQkYy/LNXFaR4qGboth/ZqflemuR8hUJcF2Iq/yM 1oM75PhF0IotM1kTvr6g/QRhaRR9Rjf6ojOp1EfMYlXFHrXiwEtXVejQE01lbGMc/r65Nngyw1X0 vsUGomP1R51fpP4J1IW6ryqhxQEoHy1rdkoc44/TObMrKF2lNFa/Aa+4HdxS/KGE+udHjG5dfwnA rzpHyUi6mXUC2JZeJzcsZdfA69t7FtD7OiK8jDVG/rCwSp+IRu9F0AKs/LViK/r4VKh1iuKtpRlh FFGXZqp7GVtdcOhQ4ECgZwQW25xsY7bnWKx1JOs9VehFo0H0QcSq8eSZalykCZzEf4LMToyghug6 +gxOaY4+xU8ZPskOIj4E06DITXrbyXB+OEjai3bJEXmNyaKi0+SiulIPW5MQC7vlmzwOlGbjk+VB 0EbPIylFadM+Fx1zVc2vz/LYTkfJCc3sFnRrXdO+cxfbfZAU/FuX+208fjfvOl3GTgBDEydQpJvN jPqQozOyPBwesc6CW3RQ3p0FYS19rHXood0sYoF7RZu7p8kC7ssklWJOZpLck/OBQciyRNqNcm4R XCMN3N24SRG50SXu/ph/LUaYmxF5//dANysh/0rQH4Mqjz5bMQt5XHscEKdQayCKSDH3r/NZgBzu hb8f4gryEH+z2NDrgIB7ZMH9THUcK1peYfNzInmq+EdR8R45OOvvg1vQx+RmflFvJy4z5DNgFXVX zzETJR7O1dz9KLrIYKhsj+/sQOXF15UfRomVI3/+Drv9H1zN5jgHte+OIt4jExZ9z74Y7EbMeU1E rXS4FtDXmDbAjO3TWAmc5d0ig0Tybxot+sg4UWY7w0Xe9PhnXrN6OP9Aq7ilidW1Zn7H805maRpB T+o0kmxXBTy/bjVHkx7LQmS/I9pDuADyJ9m6bbXv/4HSb/xvT/Nq1GQxtm+Uc1tsJ5xeCfm1FRID J6gDobqnjaTa6ZjSxtIDT7K6dOrHvdJJ427xFg4MWz2jDQ7SzyIsjmSEK1p258Fjp57kqZtdH/P+ mGnaFiiW+VQeW+L+NnsgzITaVXSPb8bTbzLG2kf04LYekCBY5+B1cxLprhJ3lyBvyTfAdGaNgmc0 7PmUSjXjIVDN+7THtf/J0azUab2uJwkBdyRiQ8pXpGKDWbtsr6LKaJs13gEC9fI/IAoGxDDCBAD2 FyUOu8HTJ4ZwSpf+ulVUTqrSLAdc4WD6xGyxO4F5MURmJ3p95BcFezv7ZXWIC0j8gv//Whm2txAX k9Meur7H4YhXdbF8FFacWWXCOXJC/NALt60ZjcKmXdIMYMSC0oemnshFxJC3Fe4Zq+LhtwHyzbOl PYIJQSBuG4OC7k7CrmZdS2Bk8JkGBExRvaKapU91vFufyCp+boGPdjEksAng8vuphVjEgUb79fA3 xUHB7gW3ifIDFCfaQCRbGjjjoaaVyo9tXnVyLEj741+aDJND8MDH/a6fa9REDnpyECRfLIliL6Iq NbGaJhlZ4JEvhvOI81WCNMVmUhOGIcQDX/OOi+V/2q0UFLARXprIJb2WvF1qcnECWkKeBbkotf2G K40ohA6YXdP/MU1IvYuwRJkko0QNjDucRu/wAlmPSgAQWhL/tV4c3lfgcDxujECXJXgLYHQU/gmK rz+vGG1bI++dCc8PD3Prl3gTep9ajcnES57ovIloJVhKwViNq48jAfwF8O3uWpGQaOS6yKwc3dD5 +QB3Ey1ECCKeJNOfkBeDn8N0AVlyWowODX6fjqvxYym8A6j+WZ0GmP5KNlHbJqPgtraeBwuiFGas vcZfbBO1Fv81UWX3I6O4nW3+FOZqnwvm0jkYPAdiQG8Z+Kx6Ppf4JBuDMa8LL++4nGzJNnHTzVqp U27eSpbiQ+JgTemqRHNzj2a4WAwLTa1wIoaqP30a+0u6J45scI1a+DO6cLe3xTSlRNwM0eVLbRL2 3LeTa1ZDxtdBpeL3IR81kBG6KcX8CXdxSb3knu5GmgeCtF5dBgQnsY3YALlVC/p3PP5JAGB+fB3z 66jxfrfJzvo9jO8RIZPPpIHafrIiTomqfScUgY7Gkt94ns5ovzHiP5fBz4NChHh2YXbTyZnqdJh3 bE4O9NviCQESygAIlYvuB69YgHsTlmJ8i3MwxVeYG1mD9n39ikuZrUkl70D+xSkmE3N+BYUra7HH 4KxuwyjOS6GP4tnWPwuZmm+lK56+8HJvule8jW5U98GYBQ9rr4DAohUK1ZY1/MRXbc3gCxn7V0L6 n4vBbrM7ICpgZgP8VkoXQtl9955mdFiLu8ThSX0yrG0a/TS/6RrB/IVv7XE0D8mxIZLaJ50noK2e i3I4LfTCKvuOWITEER6QjdEokKqNeLNmGgrTHfHG622/fmZIXtRhfaI+tNsrOBlmkUo9CgFOz9vD 0n8a6QisXueKkEWV3M4k2jaXWq2jNVCkJHQE6S41sf3Z9JNC7ogLeYw0z48SJc8qpVzQB02oCz8w RFp+SiaS7tN6zTi73anWrjsfaWwABeyypT2GGK9jYswiHhYU6632YM96lt2KEATwGBj5bk4DL/bn MSvnnGWwwyOEFDHA5qDu9pZ5wnidyqgr7UaJLqAm6HMt6kyqUDrTGUGKVLaJI2wheQcwpO0QHoJ3 QUecpMx1N2+Vx/z83xz6cLedfIZRA+dLTeYi5QnaHdWpFMJ9uuYEMfmqiSaEx1q780FVKQak0wNd XdeS0HbAtXRUATKu2Se21hS3Yj498o3327PMJvXyCeH3vMYJczcgun0z2n0vrX4e9Znda7a4MExB c12gHMp3uQ1MfWPoo4RwI9BJD/I9D84Q1k8Qt5q/64uB/9/k7Cjm6JqlSxp1rAcqxLOiaI75pZHL mw0b1K4a9LH2bz5RK94W3H4BUr+Yvr6kebIM8xuUGsd/St8wbM/GCezsyHjSM+pD6B41P5DdLMgo r7rlB8Y3p6b+7beC6tcCo/dUzLg36v/k+r8HlZkzFXy9uwf+7dEJDIRwkrngiRXbUPrUEq0P2iLg Vx5V4F9/NKKYC8gTXYeOJIG7dNLrExs6D/6XGeSytD/vJjVVulMKmtXtQWg4ZxDcmaqJ/s9vcC8u e4VVu1PmghHY3lXQkqOpPKREf+Sv0zepyJANxwNj9/+jxFFtPM/j3gDqVdPQaodncB2e4NXCnsqq Bg2K2/VNTd0EX9biQ8ysycDrlDrEkeRhrbFqg0i3CaSl3FtpjsRVgToVBTBKQTeIQioRsPE6eADw IG4o0vFxKPbdQjRFDX265sGlvhTmIXVBuv7OiifVXVq031WDtP8HiUfLfl2lvkhKuapL3D0rkwqg v7ep7iytv9pme8wDsmk+CbkcRu1xEqZEhMD9Rj0mgqGomorFicaD1FEzk574x8zE6lX9EmzJViPO aIH76tKTsfWDLk+Xmxz4hbbd+Tj921j78IkV078psAfKH4FFY0BSavvWIwMaZC8SFxxGLO2pS1ey OaG+N8u6E+cHRBuMjHixr+9md/TbEEkpKf2lFdEpIHDj3xiWHErr2aeO6qtJHpDkuz9rE6HAqcTO CT0/+kni1XJMGjQuvICJtDaaDsyOr5oISOgDgMkPXk3TxaLnJ5OVTL1vxqgLDpbjivcI/6F7c4Ui aWqEEmhHURMs0Rr3SBjgCzCDkCHyQlzR+h1O+nn97Z6Ts8tqMoHs0Yl/KTP+MTLO3NVe7R1RJx8z Ze8gHJVPk9Td4gFV4OH9EjQ14q4acM771E2EQ8YQKglMMjX2sPYCCdh6XNPXz6xD87ZR7yy8UZUi z96yVvSEJ5JoGrKS0cqdfBt7v9ahTcvpj3rOHXKUOpE7oExoIYOUE3Sk62K61MUDx/P4vesrZxUq Nu1eHIpHdGtJDpgYf7evu6E8MQNaw4aVpJ+/lQD7/s60Irg41vPPT0I5wL68sE2uIbuLid36Yg4f tLo0Ss/GtZ4TwZc5A6xMLZtHmT3TwE61yvcVqk4+ZHHtblAcihZlSHYAQ9Nh0s6mKI3FmTEZJiK3 05z/Vn5H+QbrD9N0t7mrv/WMUWhl7dr9mRMWRA+3D0GT6nH/u109poLlWtkOErdDXRpBlLFcvkoy g3qPrQjipS3/SeMfWo1pevOpCpQVzDrIOI6xHRISrNkW9JJ4+mQj4ddsOgkCpVK9DaO9BhceSprA u30YHyfzkvr3xu/dSeomAEPZkJBMlOhQvQdXpmoC9tPnTlkjoFUkPA4ClRnQAcCuh29+Vb81sQl0 AuGAfb94cZe5U/tgGqKD8ojfGv9D7jvOQB+JFtnyZZ2/5hvBlObSRaGVsEvCDvlxaHSby9i2VBUa NdCIYb8QkpFCN9eblyrKPPtGl15YvW3lS62uWitSopsz7ABnKRPC8InmfMhDpUKbivOBscrI5zej Sx1NhNmgFZGn6H3Zz62PXRG5+BPM5h4MxBn0BlW47PDWQJgifUfpTI1JeVwxt69MvKzWA9R0Lael zlNyutWeZKhKgeienKDV+g9BXjDmxg2y4MQBKUmF9S8RND5katNWzG/MEl/I2hr3VBy+D13hhp7l EgwrCUutEZeB+9PdFpwddRta2dhRLCRZeVdNWrfoDpy1L8R4wJnnqAJxArGQWBOwodzdwneNBHnd 8fEVCFR9DMiT4TNhzo5rxRK8UPHgxsVyNp1FDm/w0KJ+05VHWCZSfcExPCvUW6SvETQ1GdvpeyvZ 5ZQgfvbB8fKhEsBgzaDp+sByw+i+WkI6lmvBhfeg99LeilfSRgW/hOTf9pohTKrdSQM588cbCCUk nVkuGhlxZmDr92mRxzN53JCRtbwoCkUfTOKSt1UTiEWOv2I/lUkcL8U0X1FQOzZwFSaivydQH3DJ g9D24Chsfji7hL2veqX4l2AtIIZpb4TrFhGHXPdsXhmt7vw2yhhONmKlQCAHVHyfQ7OnNizs+nS5 d5HcB7dMIERhalsyvtZ/SzZCrp/KbTvXtGUj0FC4V/fnGAEjnBSYQ2OKmLCr9UxcA+r/S0Y0+1dq EvV5ncnhu6S/tfZmUanJ+vOZp+ejc2aNBY/gmvFHE7ww4Srbup36l9aMSK3adwG6cxSbI/O1UIFd OUzZ7D81brKTj4ds/rlA7o3b0qN03qhfZ9Jq+aC5O4QsLMZoMUA4TObakGja6IG/17mEnauHDGkx aB7p4/II0MM9CWMCID5ATDeeDTSJ38lm8RLRcAcUrkBdctv4SLy2UtEuX5tk4NCMO2dB5Y6tXKMr s8soKfFMvZDpeqAP/v2Qq/ltBHtq9YvPIcPocr7xm2b8G/NDYjllVVsNhrvfLhl8UDRKE24c1NJL F08P4CxdG8NhpWZWW+hK+BARr9YK+gjqaIUquIaPTsLkp2p/6LVvbqzY8EunbLoTSpPwf2xUccXk pI3w6xc84wZHGwfrO0WLYSN2nTmRDD54QRnIygDczOCableHCw3zY0fjAuzbGK1RJe8x4JFWooxC mgTcz7b/2CRjOES5OsGg8/GeOqVgjFWHosUEzJZcamjMTEwSzQR7ViUHQ/4lz/Nblz5fGCDiJd1Z VkpdUStWTEDZE1BfA8ZvxI71kdL3/aoWjz9PLYz5S1TSDkiY0H3rwYBGu3eou72qGHVYEQqGU5Vl ctGIjvV2bnniHVeiOJiNPNFc7Tn9eTKURk8n1KEw8yX1d4F9ikpuPCv+/YlXTaRVqSKCfCnlq1+Y LKFAOfbeqsI5kc2DcCjX/I5PKAF1FwsP/YfOHsVgNN0nWP/KLHiAfiNYK2Qrk/SMIPI4Vp7MHTqj ADWwLWR4eGy+e2a/eU2k/wcvYfHb2y2hhxsbn4RYoUWX5c/OrzmsTKc95JzuRal6KWfvGMoDI7J1 RKEsALDZ8uWWgyOT2lP4tllfT/9BeCFav0Ao+gUxbKgDDzDj6/D0h+p0emDn8XXZInKkeO0Pu7uJ GGZMNuUFNVx//2/AljKxZK2kPXghnX+d40xk8I0jGvwnsxTyimYTAZVgiIBvdtwzkK0Hnx/CAqvP cr4/SvT5/G/wZkA056BIrq+1MFwFeAOYr7tpYogqZEF9Dj6pSvXbohgHPwnoc+4m6mlP6D1SArYl fRGeYqDZBMCrdYa/4Hg+xFUv/XDRp9enuxCeaQYB3b18ds81c2GZLiM+pJZ37S6MWJtJcXbrU2NN KTF2owdT1od+jr72nVL+/4Q4osATOhgPw8ynjjGF3E/H0zkjXvGi0dgI/CqVAQyWNr+twrytWwES u+yxuYvJpyNc7inKw5GarWc/5X9xyuXGCyoxhK2RDuop4dcTfh0+edEKj9jIpD2aMCt5MsYqFj0N J89lH32kqtgHM9Yd7DfoznZxSEE0hNRakHFn3Sqg8/Oov9FYQ8QkwtgF0e2u/Rv1RTI39N5taDp+ dIVqfP7l9mbTPSGDAY0+yR1WZiDGzQGVnTHWFKZx67jsK3pQ+qi8tWjdeQF0HfSxjlSPefYzG7lf bxaZcZa8HCgApt6xqEJ3ysUPEWaL1bPZUaikf4lTl1YnBI+11rU+9AZaDUwxBEV8xT1HLajbfwOp wNgmeoJ9lqY3/eUOpppp7hibHk2AkJ5L7KbtslILmBHK4vM30GL7lqr1PIS5orUzd5W84ic8GQzh 5pod+Ze6VpPhd3MJmG5vKyUNGGRvSzQyC6wt9HlxGRlP/UXXHUKgDs7KnMDv7JsKYY31EK0bUueV NvzRt5pxgRBy6PH6oLXQYbnpkkzyAuLvjRz9LZEGLK3BpIyR53//dW22owT1GBqcmy5VKZ6QKXd4 XbVmpXpX1jgDoIeu+86WhVVAeMpg5vTb8u/CzL1k5fJKVjIR5dcmxfZv0YM2E7gFKmJikaEcd7Kp Nf1jsG+ol0muntNDOBx6B2E+uQrdchKs9pgIO/yk9gdEG1jnMC6ae+pJ+5kWYBzPT4uRPt2/jcLf GqxMLIED2f06v4WTqYhy0wfVJ7kaLrQQCB+HIgVTMFOaQBKRbZXp6e5impC57tXVmgEbLi+d3dxn hpqf6gA7h4fAandqPnh59fQFQ8Vj0TGUYO2VbILH3KtqbJZtko5IH46etem3mVf2PmVfKh2Ivut6 pOJbpc2w6xTsyMU8PUExhoqAVjL5pzFHVQrAPvHrGn5wEOx5ci9cMTAk9++tKIEYjNf66b24c5lA qqmNhb4PB20gyb7WH1y/opR6OeDrQ7wZUQDJQNF2q8W1xJnh6HcLuuv5kstvXxuEiq2obJHBpaNV l8On3tAqoTglSPjhQyn15weVp8df2UrX2UD7YRpsHCvkUUHnYFnHMblUoCiwORCzSMY8CZbTFZ2s A/2nXh98uSPGZlhadJrt5el0ExKQNFWToE+6PgCK2uqSW98SpQRV0Cc5wVWkqc6TTR9qdBUW1BI7 BPpY4YduFLCFNNWMJRgIh+yV39TLHbxinM8QR8mcuNzuU1/bG7OpA/6t+iF00s5e80oCG9KlSGEv 6pB0SXiqxNiMKZUSLOoeNjpsGMV/0TNkcrA9pBDfGTIOL7WR2SMzlLjssW9husdtDHBbmC1Bw7Wp gS+SVKfIRkwhhFbSH8hP/5rzWwyt9dyT82oIVfjhlqz8Lw9tWRGFjLPZD1FZ7+rKtgTbN/IVzbLV Kpp46OKdN6Jhycq053awE2RkklmY0kTwSAgULz43yZ2I3zoB/en5dHPyWUdBi7h2jg0sEe8cSWUE 89Mr2Z7jSHzt7XBAFcaWaVgmagecxF4XMz9/0y/sCbJHknHNlSeiGkOdt5pUAfrw3XGM+7qoSEtS KdCH6zZ5i4C4glu8Iil0fP+EK0S3ktv0srqGHdIG6ubVa0jdrrb6MJJj8jOB0dDWzKm5v4BYnbi+ zaVFG/g1nyQn77Bwo+dUN/+Zq0kZlCxRcN7packIi38dlG0Wie9X+kwMItvoLsjQfHhvUrKezCxF 5UOgigf0WmNe4NBjY7XLAiVEIA/Fh+w4/xUdWIz6F3fFxqtIsdqB+Z4vToFzQxCGoey9V08FrCpU iTcFl3vpAPgOSj8iJGQ5dizyWK9n1yvB/PadjjQetpn4eQibUkhhAuvyMcNZbH9xKvliZvayl+Ni ys0tMQA9i0RHqiYR+hMl8tM2cfFz3mLGlgUjqZiZXN31gkL11Rx3LSbYznWc/MrfYvCjwbFWNff4 HNotOoiuDPXCZzebrQgYUl0Bb3Uet7QTpM9xRBv6NoC+EymjqFraZ1MmrmIrKFzDOnk1fVUB8aiI 7shwaKNfVDvQlyw1K/tXDtEOAp1BwQQ1sngZT/HI4Q+KST66T2vwjdEVzm0WABdNTeZtIGKqT+EU pmaBXjWWFlA3YlY0Nac0FseocWbHoWHluEFqm9OAvks1HtF+5jg2fFYfFNXNq6hwbK6eS/yEo1Kx JKANaruN5DMuIj9MzNLBx/40T3Wz6Sz1ji46RKutveOaUCBMFrd/9Be2rBCaL//ZKP5ksxhqm4Sc WT0hm+39lQ0zU0/EqdOLmThIRcLJtzmTMElW8YMevs2fWS+aa2xTbE0YoAOvu1K+xmJTwqWV8sgi fMnL98ulWvDtpQ/LxGAf7xLSJbTMrgBx5NLcBcvhzjoIxjWHeXNlNOvXPydkzwOd/eD/7A+/0k4I p67g9VMS8lJ/8gtrfuc5SNu7MxWbiJQVIqlAUnAiccRk9hUAj/96uMz2G5m3F4Nv1XiP0s8GBxkR lT1pNs84gHQNMe3bOSQAsVnpokzveKlLVmcA7mj/5HFGrCTHyUFJh2h1DdQ0g4HtnFBH2zTzDrht V8ya8tzC4YjwFFFybpuqVBFIB70eByo07dP/B3z6HdwpOBvdS7EvGyBESSwAXkMDJ9EePvAc68ey V7z1QE8di4O9S06+8SWS/kKM6KQrPzo2AnaheRxTcJmyGf14+PX7V97w/T3DFlwDKyPKY5CYphMn 2qqsUCJ7Ba3ud5IdrbfkTxh3xZU7e+9MLLAsMy2zIu2DtXW0iexECcYyNht1sFvhBvTsx/MHjs4G QYpEjpWYu4yphndu5xf2etpQD17xuDaA/84vSCa1ghruj8dYr0eUMzWgRG8kN13cJIy4eMyBohfx pjndaHdnevY/LhBKKbss0Ngc9KWXCx87dP7cbtOMD52hHFIs2Rsxe/NmFTIzwCkF2TiaBq18jKS4 oYxLudeuQQxA/Y6XJYAMFJuhptKv/GAnXrRhDIRHgsD7j/NfoQZHbIYhZ661LQxAFg3pAFuB6O7c 9yu+EilCuDyWtFiimfd2R6xqvlU46QKQisp6uWIX5V9K3g+mu6xEDFsEmafnG9o6il8OjsO8hL9v EkgcQIky3FPlf2T9G/ruMJCUYHdWxslbkIynrKnoU6EO9j/3q/vR6EA5Nx5fQUDIXUWVOqvt1mjN 7gxlzTIkhoGwPC9OUUuEsy9zf8Dkb53VwxlJ3/xc8AUFIc8tPMVp1s6aIGTCuSAp5hJvupg2vWUN ty5bOSKTBZc2yTsTDzNIy1T/vX3OT4c0tmbdg7nobjAE/2ElgJAGGmvpwcZV/qDPux4EBABcuCbD j1UAJApVPCl+OGPvtBsmvhMrjk1ySHmk74c0leQPegYMZ4THBh1fKFrsAvFHaK5LqlOLmd4ALer0 kijj54590lp63hP/qr1dsjTuuMs+YBcMnw1PPwbNcyykNGH1pPajw3kMzfDAJj7r8etMpzSXylYc 5lvBVQrIFs0hbyUGsQW2AMg4PiNyj02XAhJlCLlv39sToFUCsXdQeS0+B6aojxn60i+LeSv67FCP l5PKPaphfDLOcHniB4DAaalYWBkTXWAKs3oeEkuqEmEre/xxERYkKNyOYonYROa4wVkApC2D5a1D OINgUpml0SMpA1gvLwGj5g8pVZpMwM2HQgMdiUuYMKHetf2VYcsmx5iKxWu7HAGkcxUYsBP5c3M/ JWtTdmJVk3klJ5B3Hl4WO9UPrpcRXn9HPz15dNUU9DGyj0bSspvs5weubTxuF3gRfOXR+OjoepFs ZzSzUejpeI2YCCqdjq3fwsYNnwffgk5AXO2B4nKqWYPE1QGmqPw59bPR+5aFBmUWtKVWVWblNx+r Ane1DNNrYxAUNYkCgKxEUghNAdEfC5e7oKBBIhNbRTBAXbFvvg/d2C/JPokxQ/gYHcOSZwpTFeqw OMzriMthm8/DOniMwIZAlkR/HWjAe3ReZV7WLsXcUkGyKYMxr3B96HGQGxgCzg5Cs4180cGy6jVk B9UT5bKbJqPDl2FoCTR+BXoOpU27/eOPX7UuA72ZE9qYltxbpGL3necWr9f37A+Z7pgiynouTrOT pdr/6C0KctHigchaSwCtnLNL19CZnpLpyGGRgA/1Tax0SZq4AH3a3lVa9hGNOzN5gH9V9tzZgPqo gqrzU987lMx3GeQJQK8wujAH/lrBBIqr+8rIbG7+E2MpN2SyssKkHcH817yRBfob6W4hhmnKnXdd EYXkUWlIpXmA2HKN8noJPlDWXQD4mslObLdq+Km6UuCxQWIP8ymQCObPvWqqXQk7TnKd0RoFYeRg PEKmO4U7uP+qjuYGx2PFK3ynsWgcvtIOODlQBqZVIsYTRyNh8L7UvAZ1ZhkmCsP6cnNTJJ9XEn4W HC3rLAomeZUdLzxG1VlY6F47ljZvub7BaIvqsbQSzcs/7NCl7vEB4neEiWy+KXl7mC1k7sUJj6aM rmLLo60SdOwP/AiIDbLew3qqZKR0489EtUKefBcpQAsaoxA8Ff72O5RvdT8DNs7Uwcam1l4t0k7V ohSd1n/UL4sK0RToUa2gysV7vufB4xNG6iBaszEVLLMaVw715iYCDbWr0sK4rqZ/xhuVBoDZcL5l RpykvhU9xoOCgk4McBRDO55RkjD18D2IXOyxbj/c8Y6y+Nagq3rMxRZXjDSia/mHzh4gQ6KRWRT+ QUhY73wQjGBFCgj5KHiD1i8ZR3C0rnCtCjPtnYV50mKDY6sTjbGhhUOzwr82b7Bk570b/hcIiPdv dWvffuUwS0rtN4KIZIlSP/coVX0tTg3HYL44uShpfNlpLAxwbNMxfw7LzKhoV+W5gt/LeEiPQo4I ePCJruHAayY5TInZbJnWux1BpGHoPPWukaVPr7UkivvDbLDGot5GXvx3B2wTk5bJcV7ykQrQW8ax YNBDUf/0HTW6b4mS8kwa8zvD7dlZFfvVav/WOlOvStqDzs9ve8E9Kyzq0mLk0FXBEt1Fb7kEXumh tXra0DZJ4u/bffz6poUyWKJyPEF9pi61TlLfj5TJHu30OYwYMZC4YXmlPvlwBVdHrFG61JCs5IAG KXCxW+8xuqsPIIpPOvXAjJyYn7mK5Ht5bIRWCwuPXXNJCOhpV2TK/S8gTxC5VapcJp4XZ9dQ0+ih OdF/kl0WUYyHs+FdeS+OZGS5TANPj4iB0yjJCf1031ML9WJVPbzCy1JZF+biOL8O/+aD0xE84dF2 91O0b3Kn5FJ/Nikfi/3YCFhdeGwxa82ttc51XJw7Fi4i/do4deYpC2rE39Ic7TIAfSlstgOeXnlc nGDSHMM6134kXDyO0jF1+HkAGGwJWipxQgh0YlyhMF15pi8lA/pJO/WSAl2fOqpZCGwdh4cmOBSu m0VfihHXxgUmAch0/9XHyjZcYbZ0eRhY6EHzvMEiueMz0umIHW1mCe0DX7tdsiblMTGVJxl9cpOn VCt79aMCor/7g10yBB14bRJGiA1fEhLX5lTBv/Z4mfylwq+H7AQETgim/zW/Lf8JkkHw3+w4Xp/X 5OcbBLc0NbWqm+ZcnFOiriF9sADwLZdxmeOyHpbqqyqlExZ4SN8OxPa/1z+9K8/7WnTSdN2Vjjy2 +Aig3qSqKTGR/CKet6dOEsTwv+tX4OratDujWtp+uBRaRnbKdRtWFDWwCcFn5D8PKzlKtn+QNicr wnp0qUjYn0yjdVPbqe62fJx9a+fZ+p1tHBaB51c4fApzOQD7TUfT86RFDdgZH92VihAufGRChrHa JODv0JTi4mUA1qIZfPDPkM1W27l0SC43+2ty/W3e/vgeWFMIyRmyXE2CNFd/GZGgX0wPa0nxPeVQ Vvgw7cT5Z4D1IQ/hympbTRbMRsBQdnN6K1TqYm0zmQBs2nbez0yQmHKXGgqJS1UuSd/qbacln4aI +yfkxHKd6cJP1RQoSSO8v2bvY09TaaFIM6K/NQaQFR8hWYebsIc6vzJw7uGktEdfqIRta3JGOTmm jJk2i3qSxdUmr/13yxqtyN98ArH/qI4fCgb0tfahH4UEGfkkYT1rIxoRulQrDze2XBiC8KFuAgaa SqWQJ8pWW6e5u8KJcK9F34QphK2y1FdZJYoHymgSPZr1DixPO7CQ8JxviheV9nxpPmTLEae+c/gG 7o4pnr/pvVg/Qm/LKM5jivd48dskBOjRTOiT/9B+7GT5N3tWbtq7t3ZDzrfXfk2rmSWPTCXdZose 7Q9V0xyLFZTNAGk6TnzNgMI804lM2WS22a1zvrm48Jv06UeDMlfQuDajSStouisacHnBzga3vb6X dHTnw48isTSempISwGBhsL7iJfMu4GGLewM4cwvFvqFZXCCnCYCY6FVVT/2Ab16NHTZ2qb6zVglo g9lD1c0MyvUEsd3Z5y7i3iHRcDc0CzhP83XRDLx86mqQ5AI7vR888JgLbUNAFYMNvxCKlwQM9Vw/ BL53Ar9C5VQYst45kJH70I1oD/QfeTuhi4SOdZ0bxKweZxqZtaWBg5+C7Rtl7B0FXiIr3r+vlUG0 +ckG2OP1B9J8yz24kqFGCxq3cx7tcaGYBUm1WeAHsTzKr71LAusyViq5C3VGWgKtxIWVbA2rMPDE mALlZcNORNV2WnLLhKbcVCX2CiSKQi5iUGJj+4fp8w6aP6bVOAqY6F1YjoSrfH7gdvNykRsdSVFe KqXRfMUiJkbcdYLhssvJAOrWyUFSgnUB1xOj6IaoNOGeUryYqGePS3nKlxJApgVG+Ih2035ou/B2 eLAc+lW06Gi9w085Blw04+K6XV18mIeW1cd8PfIV7FlbZFEoWhwGf6ZBtZjv0JQYDtFKIpdtGzu+ qQTfb6+zpN0q8K6tUS+KAQrPN+all+53wR3pjy7V+Be/2qnlIPOO3tGwl2jDhITgmo7SKfnzzGQF dZwPnzezkAZbq88rz8qrhaWN6+PJsNpRK4R4BeWTvR2oND1BDpOxAQdAX/buT4X5TjlTCHFNP5pU YuJH7+D4AJS8UTcO0EUvb4sh8XVwDNDWkNVBG9LmDRRrhVAAH2YqKODMd/zd2nL+nO4kZ041jw17 QIeFKxIpHLFOq/C6qpcVRJQh11GE6Fi8W/a3oaXepD+PF6ur/6Q/vmjW6Qhchrd+y5G6246hM/dQ Fd8uY+zOsTFR4MXQwB/wXoyEAihb0bi7MZLhcEtNhQ7f7nAPpIk4fuVtU1U8dprBpqGl0xVFzivX LJvIWFjxA4HxClMl+0Himpb8Lk4GKPaAGl7IZIqYGK0izf/syBdaJyO4LI9WmQEZfOawncHZADn7 am80rhco5CdlUx4Pa/oqjpaHWKv4LQ+p7KP25y8GVFNRMtWGoZR3IjPMMEopPmLR0dgkHURe3tti Vtx+Y19O0jxHnbMIS0Jlu9gp/zUJcywtr45DWFkLi3iylxfUKlvs9sSHdX+88jna6NCAcr2Uvh4o NJoWKcZRsCbL2uvDfbc4zaxg8XrmngQhvAyiFhGTCc5e4Kvl2I9n/O7FlHTGWk8SY0jwZK33Xrur iIFvcoG8WTDmTyZ6R+r7YEhmpYFhE1qdVZ/HSkmF39gxf2lF6u3Rf1vvW1u2+vblSfqrDoLrzAVl qoPgARjBFNptMhveMJh6oWeJ1Ha3JA7gk/xAnL6b2r/TKmKWPCGA/147sBsdMJP2D7X2u3sKGEGd T1Apnae2QxJdrZPtF+Jbxx/UQ3U1fAINwL8jWIbinfbyElBPIdRUtI0T94wpAQXsr9NBYQuxWan6 hmUAeWmQNoWxS0RbPZqLCeimdJTlGFOuKzyrMFmmHk4blj8vUyTj8Jyr59fNiGL03htf9leRxgZE nMESxJObt0JVBuPxeTNOr+BXlq0A6FuFBSg48wJLY+uqstJ4cajksCmhcpURP1r4ju7akV236GGc 4lC3pTYUe1wq+P3qzR9jKBYJXUMivZNmK7eDYvXRH/8wGgk3ydz75u/sDbjE37OHk0x4xy04G5Wk WqLVFtDTeB33C429KlgyqIrXS+YNy6JGBjJadbs1tKQdzMTUmjUKBHDSagXDGMWC6C5vnAA+baWF 90fszXd/WFx6sB4+toFua+U8pKVE7OGwSHeD7fnhphEh+egtWIoFxMncZVKEFtkC8CFfopqWIoOP LjgKKdnHjy+sRxoQDYBMtieQ8SqMdHwRabF932NLzPWPa50zEWOqQSUrQN7KtXpoV7CPZcU/o7BB /0MNSFvSP+n2dXSpnO6VIyQn8Zn1MVQL3dXlafQGVF2utweYK/Wg3g77UkBGl3ygbajiE3nRHHfV 66SrUaaDx3OyjWuhWi0GVb4WwBDL8/0889TFKcWQkjYP+x60i8znL6juSiW8vmWx/QqPzoIv5ujD 6j0/cGYwUDHzLO3YAI6LqIjMLCtw/+wotR+IlKXjs5WAMqG5r5JgO5oPNYhJJjQ3dN+RwBO7QF2J ytOay4SpZ68IHDtIJ4lK5teVSK54rXVwljS/MNDC6ua0iaNw16X3R2DqkCtuS3z2oBCATAgYfYsn Z+CPHkl8OLlr4PHIkelrTU544vUBJ9fX1aRSR4RRH9QWN/pmwU3PlXRq4GeqX9CSwlgpi0Tj1OCH 4zgb04UXsWi2pzXZ7X1F+zqOQvZUioa/IQkfkFGOKv23DBiE/8n7GlmlljvK9Z+9hzfQBp8se3Ig MIcxh1HpkSMZFbvVsoXTPGmlWerG4SJUqlW1wXTcr3oclwTDwvRZBNA/MNDgKroqTDbryWlPd/vS uQqI7v2YD8VyEw2rogi77Ak3LvSMSiDrc7TkCtJWqBZunewhiTua/cR8GgzC1uLWlYCg2U1Ef7y9 WqhOCjFGlki+Mm9ceX5z+joF/twbD9MkHn+r2KcfFbI8UmzB5xcxzGksympOofRyFdjSrSp1PJwZ ZEonLnj2LN90k3I8k1WYOVDzaCKGCOyTFEViFf8l3P6POhzaRrtuon6bp/ne/EHTis2lzM3M9Bb9 jA2kB62wPZiqL9C2CYRYeztuE6cJp8HuU7DOfaKtM0KFaZAAqXUeSBp9t9pCYiNrpps++aKmcCyw 5jA//x3pfwL+VDfdkLFADNZtps9nYIedGdLFIKxdVMyxchgl9MZPcpHfSS8wl5+Ld47mVulo09nK TAV1JHdvvO/tILZXWrEo47zRYyXBVe9mB5+YZklY8LDG0BNEpDqgtGF3ig6JM8mj6o5NGA3Y7UAa MtYC9H7vEFZZKjesz7S2Gj7ciwRWxJ9noLgKlr36ihO/fFFAc5zgj4hedyI/XArRUtBh3F3WNNHF /IWZB/xQLr3edBfrxH4QQcFJKfweuxmgxIyFWpH+VMH1g3w4ev7EBgrMGq9ss1gdi3xQpV2WMEo2 flqCsKW2Z+GOfUynnY1Vz97JiFerZ94wWtB8fz+ej6Ha6DT86KP1amYtQKROGkT5JdOXymCZZAKP sN30sDx6TooNj2eSw0zHcmrbJRpwOKz9TiIUjtC88fmpKzPst1iEkPcZ6fdjFBPKB+/cUSVAv87T ipiVp7v5UicrRM8VpJBacJF+Co4j/21boR4vYfsdg/yPkR9CEVtnCbrgAo+EO0150+cFbPe4l8Qk v0W8EehzJ7/cayEwt2h8tc8KE/UyXoH2n8n9dIN24MDfQtExIZIlG4mWWRxzFXpgKfRSG6JsEa2D pLFKcT4DyZylSSGj0Xfh8HJNCF9Dj/MMKw+a11uXJ5quP+Ggufjy2GRWsxo9HUhyevx10CQqW8EM mogSHFaEzRhCfZWAsIOTn57I3iwsfVP1t6VJlCsHyA8WMMvBSXORYS2Jl9l1jQoqkey8DYMXNeLM DRr4rkDQFxhBoK0U7qTKL6Sp/g3AycoykmVVZcDRQV3tpM8J5h5FwHWHhW1UTtDIKIf8Rw7f3Ec6 2SM+Fbjd1CNCvS7UeOVnXHMSuCBWrxyN6YOFybs9hw/ja/bSH15TVzOymQGEyPCgRMNrhBzTf76h tjYsa9CCVQzh1GHzoT14IxtQcd/mub+D0RNcf+hNf4peI9kMzEj1eXQbIZBPzSFzlORrk7JtHcXZ uiZZRcKiFd/YvDLNpuu4mYrOZ7fd03nt/oRFH9LevhcEunuoOsGO6VLOyBCsY4Dpc4MiOvzX5XaD 9Hh/WESFHKUiIrKG9qTveuie85AQyJYfjUenUq/dWY0b2dWn+hmBm5Y9bbILAnr5vtKx/OW5ebb5 Qmp+pddtV+TV9SzuCDv03UCM+KdzrbN9wF/zwNTsF/x4PSBvtMYmhkFb2QfA7C7PQlPODfgRqF96 bkzAk+ASqjQuM4yihqJ26vRT3ScSve4XzjwYfWkP+C5nu47TFhYw35Kwu8gG5PCcbKHq5Ny1zBbm 5l0GL76XwKk4fbrbK4yz4aV0hbG2tFRJmdVbPLQS+83BYJq+6HmPpI1bOZ1n+Y6zHF6TyTKZTNzb p1Db+utW5kEyB97XmwVjGwhZlkCph5T8xBCdCFt8kD7GH+A/4Se6BTvdhJ/0czrKEgB4DSfeJMEa DXOAE643bCilJUbF3M+Pf1SUZ718NNwc94KUpWqoGTEMvDTKHV+URHjp0EtNdewsiowLQvB7kn8B 3i9DR1aP6rg4eY4NFbOyB4yqt0jEN4DarMGped5b5JDDn9qgHyLiIEz2QgBFz0dNR2leUQO7gTY8 AHtPjeIJ/D4FJbEDS2rms/PkT2cK4jIrA8v4GBF01/QtgSe/2Bt3LzOk2KueDemKNmbPd06T44wI EkYLRLqSqL9VfD5h95CymhwURRSTIeC0WQbSQeDag0P8R2nTEsh6IGfxwbY4bPIXWxug8jBlmtFL ki7ESJkbU/WD7yPdwV4IztZptvRgeJAsW0Zi5P901prpXUNP9DfSxAYCGmmxiL5/AFcUXSaDRnmL 7nSRep/IvhSIZJkXetkpNzucV8UyXD4w0anhiAcCFq4vPcvv6gOELwAghTS+4HQKqy22u/Lz1+q2 54PtfsbFvs4BWmWnwM/WHn2vbZsOfyjfaDIfG0lKJ5E8Y952IlbQsDmHcxkKd8BiCrIptxt/bwtO 5PmXROt7WAULH5FthmBoEmwdwJX+a2nMy07fIeKONHptORnmtv+3wbGCFt9WJjs+iEaSXSLjTfL4 MzjGCeTr8VxVzdA3lwdedaC+boQC518vCD0Wa0RvQ8tnp1Oo9CzPfhFqF8WxkkJ1YbOxmdJXxDzS t+XFqiXvDG71JgvQsWlL4Ju5S12ql3SiStexKmScisKwQmLR4hXVSTxhdOT1BL9ushafjzqYXZhP cMgezUHiMiaj4exqU5tt+x25ouA6w3DxGq0oAH5tgPWzdcXypg8lWji4OPzx2fN/t0Ha3zUZ+Xwj mGjwJcYDPQq8ytmQuhmEi/sYw7UWCwsA4pfBsGB42SSIPjkYYPIA1Th/Plsp+SdGrgfEZamGPr51 RAn8pKih86m3QpXwJ0cdd06qP+kKeI68ZdA4A74534PC8Uhybez7butFYk/C2wULCSS6h6OLMWcc Z/us74nFT5FERX1HwHaTcec3c/ZZ60sJc/m+CIenUAd9Nd2sF0qungU9xgpMPp8peJ/M1I+1gLu0 jMMGLfv4y4URCkom7LkqDOmLICZJGHUH/L540eWwx6MjiwQOLeZN2V72bEFFQQ76lC8dmj7I3aEc cBwujJBU0fhI4LS3Udz1H8YjfSOY5CeSMAstO7NhJm7/ajiJ7D+57UAHSAj74TxMehuBFrTi/7TT knIptWdWuqnxA6BdetFKrMrnf+JEcr862B4u6zs5wt3tgKT+NxmkILLe5/lBToUQAh1Kk4X70Vuq wGDAsPefhNDes8pbS0txRy2JyUHBs1Ih8VvipXQEf/M3xuF61F1Kc9zvslTc0SwU5kCRbtGyvtiX 4ISVeyvf/am5YDfwMzp+C9IVsRxCCbnrsUq4W3ppUL4rTYNZjI5yVXHThhp4rqenQXcKui5mgBKZ vdBZwJRONPo58ukU1sLyuGoXbH9L7n6yUnEve/0/emQE3mruVtjmXIFyYiMB8sJ6f8e/HbsoZkkb hIr4kyf9NLBrQLN00X/Kk5osqgtkg6B68gQvwLnxzUjtg1DmEvbhZtXq4fKy/BEX1WRIKsS6pvou hRNXEuj2n64XruRdrJic0livKSwQWkM1wxx0hevEcaaRotGdYUt7JET5NaRwRkiSUhU6BUeDXJUx HGDkYxHFlG6v7kaIGQHaseugJk2NrqVpmO98Cmytx/wPwl2SZRfiYIDcmzfDmemV7EqNF6u0V9+5 X0wCF+mUqyJwzEiCszQveHotFfYRnLsx/14nkTf5BWx/laxWupkspRtFAf62g/2Tnm6dxSr4zfVo 5Xv5GihmCJa+UlYVIVBq+fNSL3SLE8wUKuDLZTMl2qBArouDZkQfQcAJ5Gz11TYNIubuWe1AcjRM tqOGAN20hK52DWWNLoP8mHoiZSvTLcmI4jDf8OpKs3Jl/Cu7nCTW/WULat/LsbAHX6hMph9Kq8mE pF669bhWzMS2JO4pD4b635iOwKOkTo8QRtFUWMsb3EkhtQp0FDncQUlUY76aEyh5TwpZYfiaPZ8w 2VYbCfv9u2Qa1cpMQS+ibYhqcy7+RInXGtecsnUyY018pwkyz0eKvSVWUHam0TllCcZLKkEzq9si +1qO/DC6mwImo8oc21tCEJ6eR8YSs7UUTNjNTmWX8FrhEN8cbusCc7JC5IJwmtcEb0jB9VPwMZFM GVPj9yCOaUye1/Q4Jl7DEyDzgMKKQjYoZl3tscNp32MYqSZBVgL5I++oR/ev2PD7ERNdQJNrsa9y 8C4c9BCjT6fC8iwu743tDBwcZ7LV4qVuYXiA/3+nlSH5VXjSdwAaWCYacq/8nLMNHg4cxT7B1MKN 4SSs/0tQoQgjBaAsPThWHZLKb1T+9AaJDQfjqwcwkM0nQRGzbEshFdaxgWtGB8Xa6OFoyPNDJ7hI HaHCFRFljqIK7PTZvsC1gLOHX1CsPxT0QloD5+NJZGWepP0q04qdppPyEk7W1hsdeKKekN5l+9m3 Mx+Tgbs/MFkINyjz5dGgni5evLD4OsuNQrP+a0nrtVQbKYsjzhqcUEXuTfJqkGPyZv4dkxkIUa0z NI4dhYMKriui4MtVtjifUNvzjsztyj1TiWYduVreCw+MRH1vONGqpZ13Ep3rYJ+rrpUW84Q1GB1p Geh+epwNowSUfOfxBXpxho/SvzpYggZ722qkCYB4UExikLcG+/40a/l6iMY9scHOHWOWfaFAdFpl UrmwOBclaE803dvdhE4DOrJqmbIh7bjxgk2TdF6bBP09qvsNXFs1l6/ttNJ834JVgdpxFPDDMiHk NsSSbd7TPEesTOj7LJOOQ4afWB+b5IW7PJpQV2tjOGQmyS7oLA31fAIVWuJSFP18fL1TCSk3zn1S 0pu2SdA+m7LX39y210gVU2mNmUTfcyWFrMsMRFc8B3QYdnkKGh8XjxJIZOeyrD5+uRXIa9gj46to HY1Z2jTq0ZcvFMdKpMPifKKYO5vJF6HX8c/4ZzUCWo/wMT5E72v5FKeZ5auDZmVS8m3FP7MWckkh FZbbDd3BRAOmji6iqNjYaz4WFDREJTREr9Ysi6Qb4ATNZucEQu4qF8JIUms5isaSGlB/zaHg5gn9 aXN5cyGQ67zfbEg28rkjC6rIW/I4nn/ch68ZtxEFrsX0PN/B4bM8pfBroR2Bwh96m43+SftTfEc7 OALt6xETvlC53WK3zwuk17vvuTKJz2yejX2J+5naJiCsSiJK0Vs7jN/2G5aUoi7lWxh9LKCN5EdE 3Cs4fUNT1l1wLaPqcencClRoXvWtp7XJme6Ly/PgFOmD8W6Vqa71yZz7zdMkZekFjMq16i5TGHmH f0cl+/HhD6KNuTSX9UV8HEt7qDXzQG4tqxVRoso/LN/kxP979MOSuGynS/No+FfgKhh0VTtrpu7I XdXeVuPhV82dmpdlP4tzkl+S/8r+9/+ea7bB/I8+7yB4Gftc0O+L8b/tfszxweZnmdoguypWoYtm 5smkBbR1+NECAyjg2RCy4uC1ox31tbTR7SDb6mzwNDhiiIBpecAk7cevcb/GkyfiFLxb8H9hOgf2 Ly7r6LqjLI9VQhJ7LymS0jU4a41TH9vbIYQIspdNyqYqaNPTEHP9RnPzU9hYjetl5o/NqjG7U61c 8y1l2PAXsRyUTFtwxKiMTiTirMbcD8cqgFGJiORnq8aTxv+JMw32e19r0JTPW3uS8BflI3bdmmoE CLxcxo6JVMG9kmKCJGIEsREtrMpdq4n4629KBQ3BWBEjWNcP5XQKIQklYbOp64QL0BUX1A5Gcfru Ny48jM+xHwbT+9DoYrvzlsyLKAxDLAdASt6lB2o3AQb83LY2dPocjEa6xfZkJw9JvqaWiBKaWb6k DOb439YpJjttSOs5A405zrDlIRzUlBUjbn9uiic5GGuo2OB/jOUz/5gUq1Uq279oUhAuDHK2GPNa H8cKvbPLg8/tbRhBvDyiLCwzE4YG+RWWzMvWMnfz4iH8NEPaN7HGo4gzuATcOgZ+fGtU6hYYCAY4 IiCELHPu6URZKSGRa0nNBsz0aEVVbK6yjjq86qfRxavcg3v/y6aTByoDoBcERDjT59Yf6eJ7ihwF QW3gU5MD6ZTOc76J24JOBLlROb0tCmvw829rrqnJNM2dAKMNcZL7YJZ7wzRkR7DVdfq2JxwUd5Iu L3EJEcv27R+qi31dPQypWZyaj20DJf4DmRPe4mupte4rL+YRC7tWqaMCoYW9NKlpVQbHrlpMKSy9 8FSfaff1eI6UXLFsdBjO+bf0/AxZChOuiPad/TLegNcT3QOED0DL/B/M7SCO9Kjf9DLPtKgj4ZRE 0eutl0IS/ik3HOoZwYCF45+vT/NWsLTm5pmIYEoZC++z0t70FATwCE2u4LCQbd6HX9zqir0j3DyI +zuLExxd32w7IEFZCrMHfOojJ5wgYvBCd4nm/vqbORtTr2ooWXykPhWQ9wKOo+Sv4qae515S/nvn tqyFgM07y3E8IHOM+9Dm3skAsNQjkn8fD8AGWE61neb9scXn4MTvB3GMzCAotdbrKpuvy+3mZAOm u0lPtXIcfvpikc1SFcuVKvOpfKNVzfOzE8AKDYzRJNjiJ+C/KfyYmXVDxbgnK7CyqyHQgiJQHvEJ qHv1Xh67CXChLeFlLSGkyVuSZyQNX/mm+IueX8raAlDghntD3VSiMiElugUOKgSaj64wYRye0aMG iOtfjUW/FjYW4LcuCoecTMQkaYMeN/r2ZYidbzQDivTnTSFKC7tKb2VsDjigtYO0l4ApUNGDze4e iAknFKFq3wqqcFPi7zA0kV74NjxTKkECM0kz5gWawEFIuv7cuWbbb44kB/EL1Cn3m8Xo3/x88kyd qm65uggEdnJ5m2XBzEwzoBkR4WtXamDST0r6DJKOerWH0Y/Fs9l829mxRDNaXcJDDE4AYl+Lvqlg g9lpsph8M36DcVcwnlQIsaEz5Dg/uUQaFpvIksAUMY0YBzUFzKgx4QEPfjRM6xAuR4wrd6L10+F9 rgGnEV2/5CFiG6fPVsKDq+tzTiKSWuVbHb3Y+LBoH2g4IkWSBYCr/P9RCgYnUxrEFdwOJuA3dzcx 9CINn/7fr3JR8c3oRkjr2w1qxZbIaP1xXy/qOKT4pdCBzFybKEs4Kh35FtzPD0CVRSPcTZzufMfO inJxkrrhuPW5Ix7+cwhJLpEE8kL9amDR0Yz9KLc/hnG5brVZeXfzpktLp1MO6EnDnOun3JGudgx8 5SiJ6HygQwg4gaAZoDp3kRbryXdDscA1BrRBsCAzQKIXgsMv75AvD0gwdZX/4iaPxJCyktFKJCTP TukU4k1DH8fr9n7sh4/ei0/2AwIYE2OWndypT+vsnoU02sOByUB8U/oJ1NxLcInRbQiKmVuh/3Nd RAxw0nLJyMgh3ImAHJX1eG5NDr31Wx3LVjDDmfl0dGRJbQRZfQ5/n8IPU0FBx6+8xvLn0T0V9U7a logwYsjWfUOrvwAGBQ7bDeHGayU/waLKrtVi5/k7vfENkWx11w2DjA+D3l/hOeftwUCv4l/zVhrc R/bfVCq46ydHp23+wW4KR8ey1+jtgqZtPACTgChV3yzhheDNbmIApXXdjcVV+pFRjTsep+1ObqCm eMu9fUiiWe9id53upViVqrWO/fmSWSVKT6+PfTdVyp7DLxUFhCDHBZCTtLbCf31PsZ7dE6TiIff8 nClz9+jP0jHp6kvSQs1bQ/3sp8MV8sBz+KXoN2HBuy5WEQ4Cc1dRQCSUiIKLlBac5sQZ4ZeFdrWt j1u6aFkQTMNyndWeFzvdy0L+Emp1iI9UqqNEZDIn9ImDR1yiTKwd4/63ZFJzmzJqRHK6kkpCMzzp gSa5XzXJHGg7PWuQwqCktIzSBG5EAlvHD6maYgK7wKy00UAEn+xSrkC9MYcDoTSCHsk7DcK7n+FO 3S28XWLsXlShK1Sv81skQrD+c6RvpxNlDG5EvjMJleCxOyRjgV8U8OlZZEQ0+cztT9vB60n6NUDK y77ivtZh/Tr64ujQQVSwnFXFFRFO0JaqGyOAoT9oWDUUoxN35uVkGdSv+JP+UoiTwQ88NhH7tmnK QPku `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/ip/tmp.srcs/sources_1/ip/ANN_ap_fmul_2_max_dsp_32/xbip_dsp48_multadd_v3_0_1/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
24
73491
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA zkhz98SR0w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1 xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2 Vf/+czWAwGAF78M7eU0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB 4rCaDADltHHwoyn39vQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3 RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf 50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo 3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5 avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR 6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52272) `protect data_block lHJV8fpNPaAZNP6iIPw0aooXy7VRQ2GLxTpNXR94nT4ghoEdejBJNhfc/Fwc80b61mJwVFxchIdb GWC+ItoNeNoQyj44L4mQHHbqXr11K9g1ah6JdpEBvpbq5r7jAZ96wTtDFnmp2jSnAvlN7D/ZiY0h LGxedS1cvxUNYPKiThD2nGHzCC6Zt/lZul0nauBQBSGS4/+KRCDJUNt2ye73F3xL2EbHXQhpdgJg 27df8BZNsq2V5zRneU3NStGgH/uk3X3hdQUe6vMoRZ0W0G7YMti3H1zH3Hgpi4xXOg27VrODjHlL dB0Q6VieODZVtqwUttrsbF/B22HC/Yn1PwcS0/BygvG+3vvOOyfzUdQQ6UGtKBiwTrX2AgWE06Ll HFicd6drMRluA8EMhKdbEoIPBzEyY9wSHO8HH/jWZnK1Aq52SDISlJi0pbjM4VGD/fc2zeD0eVCs 5JSxpFx4ohZZYKip9eak4gYa9vRS6P1WlewHmIAdrpRw9rWfWjVW1OyqHrm9wtu4TrgY/ZjPCPS3 L9t4IGuBdseVBQcz4cpPKRUI3+zaNA+bT5jyO4D/nQPACA4RnBBL5YFCC79oFIR+R0KTflVM8RyC u70OozzyUV9lP8zQfSunz6zCNP8MqFkUu/7EU8GW+4dxcXoSgLuSqIXnb1gWpiuB67Xm0R5LkcUz 4/LJE8DwhOqX9G+5jg1naCAGJtYjSZ30rBvrDbCSbUeLZNXW0Wzil/heTItm4mGssyhCAklkVh3g s1oIC/oEo0zv6Ff/ShmUgHpfA9tsEjGHKYbz639bm8WH7MJwrj8O4yUmE3cIMll0eI2IFtJ5tlxo LqfnXag5cUzf2U54NcMjHs6lHKI18xnOSB0K8NKex1Ye1Ckq7M2JMVVanS52SpsdXsUc0aK98A6R gZypEAjOxwIjihyoGfs5hIebqkmeqBKG8nd9ZUqYV4luO9tdSHRvI7pfaf41RYSmphJQPxapR8Cm 2P/8D2EnLKAIe8CzBmF18m3WJcnfplRzeqNStxyKggvIUzq40fe9NKhCYfuKoGsNR88HhEt2gp2D kOUt2MviofJK7HZiJfEm53OXDTM96Rz9fbq40lj6AimZVXBtUy6U3BzZfn1i8Xb0DMS0MPje7Ivz PSs1QuFBJI4vtm22OhxyebrCziBvLFgPUbzbNWEwfDY/YVtDIVIH9goDgK8BYQ0vjTsYsFfvJPK1 PLOs0cg/sC8497LnDtQbvyqcQJcbsfbrgljqnEAadBHhVyEeHIha4HlxcgSL0STB8RI2qpCY+CtT hAcJYrnNv6IWHtWHPnmsz9tc6zSFUPphNQ6Jq0qWOA49opP++OB1IK0wXff6koCNHWY+ZN9Bi6jE fBZzmPn9QT5AB9IePJbxZz9IjAhEDVKxRYAoO1zmvY0o6eycX7q2y2xRn6+fL/cuovURdT4cRoi2 /ltueXECIHJPmxOgRCG/b2mbt+MtVnS4EkSI7DVwsS6Y2j6Epc+wpDlpzcu+CjqGE/igCA9jdTil X4N9/IyQB5pJ/B0+Vd7d1VwC2tYn/FxPkTaFClxvL9Qxmh3CQo16tXfti3M3MheQbbagzYKmXdAJ KbL9pX8VeyS5jP5EHBHirCLW3n4d44O3Evi/K9asBNH2wWbx5ByAx7vuX+IiYALaeUey+27YmF0g pO0iiywyR8z3TzMbt/GU7cgOwcF8/Ye/mxavaMHsZmqBbGeKeU8SU4LKGPRRv3ZoUYSm4TXpiyOa BvW7lY8cBtDefvzS7m4/1CPEwXOnv8pWHJTtoIU1tKYzXGBh7nQb/flhd2vOZ7bHwvNhLG3+8bIJ 2MPGHSs167GZRywQWyUDlXxk1OJj8deu4gLE47pQop06E5ZI0R5J/xmtt7OAtgip2lojJIhhDDEH Q/qnGvsWLp5XxrA3G13mpZf3aZEVbMHmiWmXiRQ9Ucc7tze4huWmSAugWR/pGtODa6ArinjVMlYN DXSz66a6n91gv3WSDbdNFyZOau2PI/shZZkQBfFYnqq9CeLuSzgU9ZaReu9vWb9XxebNkmiRAr8R sXs+ctMgK9tjSybqkdTtyJVkSqwQDE9J6UTtdYQnsfO2LwGDjJbTsjlHAKsoDEHdn74CARwIxy3j 7exz0v3S2GNvMaRJ6hh6Nrl0g6yopGnEItQIosjag6QmAwCcBchsKmf7I98HTkTf5tlVZImUcZo5 KfSiifLAqLtop1TezKTwhJtOQPeo99s9BMa6NOG+rzJLxPYuEaxzrEAtLONwrC/cqad7eEZLA6iz bPc4afW5BOXM3q9iYOaglpePfam+GxRv84x4VBtVtmO3pEKtABFvXpCSpxXjHyzQLMBXlCjsqWhJ ICBOecKedmkKca7qDZf8Gyh3I5EO27KZIUZv5CnJ2VQVhv5/D+K4FtPuMZW/i1rXG6fWC8nn976V SqZN7DbjO6ErWWseFLLw6R46LQhLb5UdAqP5wx55NmokExV1fBdFAzahaW78uZIcra475oFZ6eZB /j2z1aQC1FUgaTG+KZVwWIRoxi9lwEqEKbCpdR7F5uqP2EsD1d3p5bi91Gi3kJgXeM0J49Ky7U9I 1v3q8AjPqPFp9QN3eftvsQ2+u8KxkOiq1YNyHGnJVFCisBnECQ1P/t5kwBl64n47kNUxomeqNoj8 5slBpbipoYnzMETKDKgSlofq8ndrdRvysw4juVYDDZxo8iIt7W1a9mgUisS8W7IIHYfbUYo4qczD 42q9a/AqWsfAjnrHNX3mYsu29+aiyyOgJcRfSr7aCJGCZo/diOArrlY6ry4Qw63z5zUDDlyfJfYX 1r5SDoIDXKmR7QXQSdvlXq1TfLiLIwT+W6ko9EZ5zWmBvU1hW4Fmd4RPyaMQVAWoWE5ZoKjUfzRl H7d9OEixt8eUeA9VESIBvASinDRPpUvl4CCtkxVnAM317XWy7f2Bo4zL+smW4LJBlIKVJTkKWw8O LqexlHYh37GOYxtVR3tQpNR1Tt+b68O7RskHirAn5tuoH/px1nHirUyqAwfzj1OvwZ7Zjhj6o8N4 WBNinCbeJ8t+avQXXQlqSOBJ3IbGnrfNzC6xo4zbJzyPtUc0ERTIDFuiTTTI/IAUj6Hdh5V8+k13 wvZeFyTZBMDQyD6hUX8d1LgfKmj5IapG7zQPDxDIFsBRf6wkQO0EG2DazKLbVpccCx/bzD+JzQR8 OT1+yGHPv0DgjoAQYD8xIayspanoAafvCxJPhgqEnxfnZedF89cX/QqFseR/2tlh64dEMI3d4uMj eBzpNkcNrP8aOCkVyx+JxQMo4aDSt1RgA89JKOocPqO+YvXE0o3WGVkoDpo1Gz4M2X55tVYwUAF6 PrgdOhdV2k7nMHAXW6Gqu4TJwHlU4OuFWIZsNhmNNemC6ohz4n2oz6GCxDz4+sewYPulRmTiR9oV ii8WcPIpKvzJHIzPMANy5tJERbXWCsfgYiwSUuDUE5bFkh14pidzL2kGe3FSk7FqSp1B6tUp1Q1k /GHgodnYw5/qXagjac3BQbbasPfK178EZW4ELAhzIW4yBBGj+93mz/8D7b0c4Upo7VJmgXaex28+ Xo/iLa7Xl6uRkwhy16diMu4ShjzFpbS5qDEC66NsMnVfH7v7bS2ezB9UfpQ1bxi65h2NYLl35V2O pVYYodILLRLbgnAZzQISS8pP32De2LsfmR1MAKMnF+1KzJxj0aL/YWF8rDuAwOyswTjQQ9PJPBqo 4y4eZcPc5mBa/VdEncbAOpIb/PRFAuisPa+pZm3gT/l3ZH2TjWdXrV8YIXbBtTJjCE+sxk3XUAY9 5w/VGmKeaeOY4/5rR2zx3WODiTiBucHfQzNQCziKYrjjouNwfifH9X71Qda8asnw3B+NMOdc6Tjm KNiz034y8pLgM0qb/9iaeQ+OOSzQQCBOcy9vlQ+pcm/+DRhdc5J41k60cr63RVnDSN+U7JPhMfL4 CE3h9pNGluctJWn+72yxv+u9Df1UE305wZyWc781/YLI8OwLdCVpebbMIpTJztugl6l0jaW9MJsE /cJbOHthlB0ehOhfkrGYe8aDvk5ilg1YDITAky4gKhfE5orReC0obgTsteE1uN5v/tsNXX42V+om RhwTdGOdgfda24HfB9wNU150/3Ek5vLczU2D2Bn+6hB6jRYMEdx6rDeD9rVQwzXEpvpK1C5vts0B Hhhuj4Xn6jppTeY9KHWP5bqILrnp+kneuSHPziU+9aoamOHe04lXni35BMk8+Bvqr8ssD4JkU8aG WM8AZS4SEZKm0ZKaoFPw19s3VX3dDJZuYx+AuC71oZ48hfhS74RonbUhpNyxhmg2M8bH0QaveSbz hcgA8eflgljoVN+69DRnyPV3mmbIXF+lmFSe5+XOIw+VoOC7wQ3qEgQHleoF0rS0MDl8Khy3BG9d WvclgQycTiQkki1giQ2n9hKTbUlBh0eW9HXXG5dqn6axBb2vEr7mV3O4pxi8nm99raBJI1RIzrmw k9ASYEr9Oh8k8FW7L29vHuj5/Gsp0oybukjh1bLluVYdaWKnD/eOHQyMcFEEAzUJEfevL34RiR6Z t+h1udDO1pbHaD0jtfjnTUMw/H/IqCHXwv4IXyGECvJ5vyr/wjH9qPMHCrIg+MR85GFCyVplXG7K B1mAoOBhjWrorBnVXK12xWjzHABWtsATQem4vnGSyqEYf3l56p53/QnM0k06OHu89Pr8jlx/W+Gp ZGe+/OeY58X5nEX3jk5onAVdI68TBmhYELuYDBcWs8aaSXltp0sD8VyLQ9LRhdRqQLkm/5fBMfFA u8DLFSufFZTh+SigStcXXglittX15hz00rmTREwov6VItTYeVHEuLIyJNPK1d8OVs7c+hHQAoZda /zcG4tPq2hnnWvLY0iszuPE4YA4lryR0PWgYGQxEmRsmkoh/CsOSznQ09lziPVnw77ZpiYAD6fVH 7gbPIAAwLDIUuMOHlIr4WQSgMqQnojpc7b08aOXUSTOhcB173qhpbFXWawo4sEFuKDIxhs+RGe+J Tim0unQ8TPlMFamjdXCbVtPk5glB8zaYHYSoJPrXUEghRh7BFerBO6b5ap9UPVQiDxt+6zCanrnR ww69nmXLbhakNmU0sHzknZIaS0AXSLBqNofLURtBqu92sLRDgczxXmIhTLAJYVrbXdIN3wSJSvwm RDS/6j7lg3BLEWHBkbbBp9u/itMfPUA54Iik/D8rSCOu7baobeb6JK1sK+sHdA5Iscz2ebWfSlzG K5nZIa1aToBS8eE+5sgKKxOnjFgkwNTq7GvBYir+aLMo/a98QI1J3HNw7kjJk2J0DXmCfMTVglZ4 GL0APmFv1sQOfZgP2zCKHyWDS4+Bw+Zeyy/X5R3zxHS9tL1tmhUfQfgr0lPNbHouz8ALAsZOx9Lc RNll64dJQSXQteoJr8aNqYdP2qPeUSVB/0L+WsUvQ05X4jsrWxqO6OcTUTBiWFMthrHeSeg+mOQJ rhP0n6vHO6IxNvFM+pkw+LeSUCruPKzHeiGlDMUZDgMTVm9g8YRhe87CJJCbHRnujVIXQl8FkhMs 3fDCUSYpsQRY9buQIKPKUKlLaEnxD4igWQRfWZRJxwWlrKjpUfReXv0Ia6G8/QJ4LKnYoJfhoHWG n0i+xNmpFZGj55AjI/Rhqgap7ecuR8+lAOZY+vrI3lFXNFsBGmVXgyg8GpCIO7LavvPndD/WoWoA MzKDoq27cST0TeobfWTBsprNvl+gUF8A67ThFnGSqltPj4AUU4Lo/oKY5sGw7HCuHnx+ZrVpk0q4 p2tqSZPtZYdXmdov21m+mGxrZjGPf1HR6iesJ4Bo3+0rGZ7zTZQpYWN8OudB2Nf+LBaPtv4AZDzw JpmIbVvhu0MuoMEugN/BBxEzIi3MSCuv3bFtNqTEZ/9iM5BdyxdQ/ZBL/ZHzY2G3N1lmRZXP9TBa FYrNq5E+5p15wuL0EaGAUILn87wBBy7Kn/BG/n2Syi20H/WjDCJnwQie6OEbR7JtJXbzXPtVVOYg LLO91V4g+OlbazZalqSFQC2I2kCMVr00tBm8KJYx3JtgVkoANOqfZzQpK53a49bb4BisCaqNrVi4 hh9oWMIGlJKfOKA9d2D+k1g5N+poISGOCk3Zqw0YXz+xHNa7+BTux/NMwWFznzVNqLr7FDzKoVvU kJB5EyF97AyPZq5VHSZJZrTtyhbKGyDVxkGAlpIfFW6QuDoM1oka1ETnLSpQUNy1ERmGgGJ9ofow ndU1VUhNNFFW8OmmTJDaCQSrwRaTnUsVAALucv8Cjg0zLecxebFzf9A/VYw3wKz13XPJBgSag66q YA6dBsJA6qeEPsSo+SSWm1ttiMVPDf4ysEgEwkGUg8ou9xZixC2KTWhuA5rhz31LBuenzc77TI4U YbTduqfeDh/t8ILeDjg4GBmTIiLsxH5RzNH5YBtPq8jdez8+vwKrQ+jsx3wQIb9U9558a/3d4Ggk FW4quLm6ic23NvzcK/oxcDv3GmZgHRN3fjLpY13MVfMOgLUvzKSGLg+Sda+1yRAnX268C10waGFO Vdak7HZ6s3BEdXO+cMu8zKQbPHGJsPKDDnU4oebdfcwzYwBzqNNmnXy11heRiTU7uCZExsp0APRq vNdsCKcEAe8KfG3oV/d68UDWR1erm0zQqbESwIxGWKPiGR3Nn6fGbFXQKRanl/+sEgrZiT9cCnVl hNFrM6gv+AZ1tDwk9X6dJtt9yD91SOdsk4XjXeWoteA7eWoHViwcOj0oor6I6xmhQhj1oKCW/Jt4 n48ZK4vPfndwa8r1iiA296nahZ8RPqKB7sS8YZAif6IcZZgRSKPfQCE/j/BqwSddXgWm/txSUAfn yN9rN8kOoKUfwt87cGk2lj8Clt8b5yUw+weVTJUsCuX1gaWVZMFE7XtWWFCUg4S9dereutR8nK9q YCUeN5bPqm5KVD4ERMf1lHmKXqa7iwcpDG6wbQ9AOpqY0Mf5hiBZWXkxIS8MUaYqnXy7YhHVpR4h 5lA1VjL39LYsByu1YyQJgXGXHzlEbcfm/Ezx98gOKCUUClhvp3iUuFkq/WmVsltrwN2z9RZrAKLi S1cYAAZyiVTBB8VxlwNIvhrL7deJZpU/zDeyEtCW8MEEIAD9urHHyprwPA/bb4if8iMOyiThDeY6 to+7ME53J2DmadGGjQgHtTT9EapO3DkSVZWODOlxa4xL9C5Yh1jUgORtK9VMnx1BtcqrH+urDMCT mCzwU/279JPXoN5BqzkCkE6BANr1SuXGtX/ee8UBg2TiPMYbaJ0xGe8n0cZG14Y/7ZPl5oUoMeJK yNzGXtvg6n4+dv1nsmfwvjkXWRsGVEpx/Wujy0do3u1ymKyiHyVzSKuARw692p4zUCjn3w17vmrt jSDW74euYyCzFzIL80Z+Is+GugrNaEX18o/xUA3zf2JSOPREYixSDcy9gE7QpsNkIKeuBPKBlVK0 fYuv/oxLXfwby0FceKYsDptawCAA5xIdOqK+qHs6FNe8XypLowBnOaJMELRvmKTjma62cF1KpKWb XyYgrDbRZd76ITC5SlnFkSvDjFnQuReRChvSwd5nQ8e5Uj+4AaCZytqtyU4NVNVcjDsJsRjruNVj clAcX7Nzs702aUQM64flcgf0S6nhxk4IFq47BMh3rBJCLBpzf8MbO98fruPrUbSCMV477MiQW4G0 RbY4P26/DX48nYwgm00VwOiLSVR0zNrwEfVnf8VzHI9XuCW5AhyYaFXsAhYGxFEVYQRAqeG8aMBk /XSWZZ1TO8N1mqypKlkmNR5sFIzu4PIxwWvEL1qJ3bYSULNWCGH0xu1pik324fWJ+dv14PEi79W5 MFYmEDnTP+EM9SKUjVftvZg3LSOdqEQXjdSCl0Z+G2PegTvaWiCbkKkmax76KFyOXn5paUobvxl2 cnkm4bQkfUlw7KbBeoWvLFjUfUZamspzRSm1XQpeScmbXCVvnA36pAvvV4tij7syucP7Ha9jjMuV IE4KOudvM9U4weoNyZWI3CgpNviFSiHOeSot4+cfY1v21mQn631d9QnMhTclAvAdWQwERqg0la7i g4l/ekgVXtnjxhXKzVRIUjPKSMS8FkCfA3zJR3fL7EgM2BrVhYvUAs5zRzp+H1eXMuz7igqJKh9C 5EVM9Uiaazz8gRcd3o9tRqmSS/7CoRS5qvFzyOdWgsBw/kEC8KZrw5N22doKl19ZBYx+rL/Zks8Z hkChG85fNiviujjU88+EOqbJkQacrwM69BU4dsnLn6f+j951OqOy80+DR9AT0MZZmHZSy3uPhXqx qAZZ1eJbJke4sTy+9+tJS1B3XjQCR4Nv3C6jSust8U7v2hkyRsuVWL3DIVRhdVWDbh5Tf9NpQhpv 94yQ3hq6CO5fnfRvzzFnkn/57UTnLaL2bxer5KopQcsfnq5yn9KQrsb5ExnTz2etS2wejYVLXNR3 DuYDYiVNTSDKj1faTGnbXEK1ONwHq7OfB6pnnZbdafq16cfSlP7KT/vIw1W9UJgo7cCxpO53p6hd 9D3tuWg16tuaPao7H524CNsnEPYcENNbxi2K4QwW6nNA7nm4aR+UuvBVEmq4TudEl1yR29h6tcBd AtbPKD+VJmgUIKgl0duN29T9v2b/LRhOtYUjZEA7J1YFPeg/02NrMbglc1Jlw1DC5SnUvUaU7Y3C ZEnQX98dSUuxNf5QYd+WGopMpIsxboD8/i9o8mABJjWn0MF/V1qTpCDQfzSZxJfDIaPTJdGKkqwS iSsuWLN9KmIV/AsVxLlVVv5pDsgFFjhhuHgcmO1Xvx7THlufhb5P82KkmesH1l2b/Wt3+LEQ515E CL2G0oexeQ6JfsKcA8s4A+PDu4fhsDFS4zPJE5ag0/66rX5Tupu6kY9ikopeDgzqg/UotLDKMNV/ WPmfWtxCjswLG04ud5/RzgHvo0/3dBnwrmLAAwqhvzbrCLPIWhumNFBxhbj7g6RiT05eypuf1fwQ 44OQCHPg5AR4K9dcbuwEnkV1G4/M1p2tifUVJPeroExXjuRUg5hYx1IUQdG01LcvSvX9i7PQgBN6 dRGSv5hrWIEzlsz+Q3BvbijI1BROPsOIX9uj5zvfaiVDDQVYoOQ2RRnqCbfanDBer2xi4lRMM10F lU3okoymNXx1b6Flj6ntnyiZEq7A+2g+0hubtmq3rL0FzthtfHiaW5jHPncm5PTFxD+p0Ck/l8kz xWJS1eQnrgYB9Pjt0OvyFia0c27u8z1O7x6dep+Q2fPoWtht+wGgyPFZe4ofZpcaL3/9nyQmz2SP 1LXo62V+kynGSE4i2r0SbtgN/afoucOn7VC9y+qp4yXX+PGtnEeKTJnPVuWzmcTQWUHIiE7vBRer 6j9lqetfpdGIZf4ZdjlpVj/++NNz4NHT2lKmw1ckUhaa9KCrij8IpgC7JV368hd8l6iERyZ2svxZ Lcy/DylD2z8uPEZZ5wqXJxdywK3i6E/TxKD7oA1uMhFeZcTWi/o4PPGAe4S5UGbEy9E/+tefcWWi afzxKTdpMKP0ziYjs5Nu6cRREyGjFl6bxAy1fU/7JZo6UGklii8pWCLSPc61mN6kciEN1ZlcTOec Pc90kSkV7lKMQP3sSw8Yf7e4deDaEbM4vvQFxS2ylew3RRkntplytNxvMEDort6ciNWLa6x9HTbW RtnPlT8Ch0cqdwx0RPCIstQyCiAO/hI610VoNKlE8/XsOwBHcke3ISYElVeCzPJ1w5HRkLV5AHys iMsS1r4YV9mZJuG+Y5FDe+92CZwDfTmC6QKvKlI82lnhYfUlJPRe7o4qiwO3t6/lt35GTdxJAJoR rLxW08OwXhU8TlqW0p8mKdkPGfjftM+OsmHGqIzuy2G+W0WQvAE9y9JXtfqy77xM4KouCrwop7zt ybYYN0ttPOqJQ7W51O3GOPhAThEkdXVbnk5vZxokT3jIXm1vA/75ZYmf+o6hKZSxlMRUExLuuB4N 1tPs9M4W0PA38/fPKtk+4KQ/R/q9mf+C12ucE9HH9UTtCUtCytxnai5kauJvJwDpJQBvrnQJmlvO 74eJQjO9XKSqGnoTXWLqAPzFI9pZ7XE9cL2F3P5y8Znlh5+AlL27a/qPj5TrMF14XnH/lRpEbUNL 9bMjWrCEnDQd32DbT5GLgX9scITJhSSIV5u702GFRDcIzy7CIh3il3Q2T0ln9ex3REHhyOvMDEQv j1MisnhVLxxv6Joqm07mlind8BQr0GC3Q63NX9k8eJligIf2qMcl2HkMqt0qdUVoIY/3SQ2Q8daa igFjS2VKKKoBxKs8wsJws0/BSVCC7180+DHnV7/0lFL21c68HtbOJClCOdk8btZ5vOIFM9cooVP9 ET+GDlkXFOXV/L4MLZYrWcVTtUpntmY1LmlcDTRO9xXtzYGPssHusJSzopK6L2Zk4BBAz6AcQwtA kd7jEfTK4bhfZiQvR5dhPLqBkdQtObyAUlWYQV1697OO8EDhb443h/JuGb4fbV2QlWz+BKo/Saah 51n4JLIqu6JIJvKOYHbbEm/cf1gGmpjD/VMxQOr4qT+SuHlsMEvV7fxSVUDGqnlqWsdLFyi7pXEc y/83hJjY0AK+PQarr/in35XJA7S6F7BjJ3iBruvbA4tNgwPwbWXuxjRZlcXklXO2eoIWU3ZVpfQA bq6dhAnEshB1dDjCifqxoXoUFGqU/4Vd2qQIMYErwdGPLHUgXLckS4Bg40U9exQQBDtcegF/Tzwr Ocphd564ymGuKBLC639a6tr33C3ZN8wBsydySW+86W3iPoMx9rA7G5DtnnQgGFzIMgoEli1/SvU0 +IjKB7zUsDqEXN3jo1C8nq1JvQ2EuxzFMSdjKQP+lcFoqxIvYc6571ae75ziSwR8JlAoGoTZm0/7 a/rYzxGiDU/JBDqTJ4eZDl/vBlTKlMltoJOkXkARGquFEzNrTcPEew6XSNBpKaDL81WgFyASSUzk 13rtBwCifJcUs3yB+QKjZUB8SXTYcR89pm30R6bgFAmyXA5LUaJwPdLBNgCI33iPbVKosg9Xqbe+ Pk0IW1ke//0Bz7lQvtEd4iHVAyubvV2sthdXrJNiJnkRoZAUGGxDwqiZ2LBqZpA4HZ/lshd4gYQd OJFncfzCG/ultyvGDqJXUZuZ4gHqkJjPqVhnzq9joGU0EE7qBSB9brRTDM9rM4oYWB12GM1tXbas T/jkgZMmm7WnHUbb2/DwsyTJm/ng8rSfOoPp9O/EFM6Xv14ZyeyXDBf9dg+AKWlGvMkCeLSkWH+Y +Ni/svPfg0uVxhE68ubWXGJ+Lgvtx8MUJCasJAlNm8UFXD9Da47ZQTkXOqoNGS7zZx+RhvwzHUkk WlZj8EjNkT+fG86w26qJAUEPOXE0ZAzTWBIUf7FAfvVPgPOcdxwwGKfs4UodkLwLJndlZ/CuMNSu IAmiJ6r6CZpi9YRA80aXzPszSZevSkoyC9sFqJ0bMWqoh+rzea4Qdy0rGS+uQRjvIpA57uIMqSRL T//BzKwnsKn7BrR8ZCS/Oqp6A36XWXJaApOiPeRU20WpaSxJAQ1wRDQuB1sT3Nfk3XxXdKGkYbB1 TCkKZPLRmMh2fp+ERN91uD9kQQM3x2mJE2VSJXef+/VPCR/mphnwZdZCS9Y/5452uNPjBnJv8RBL vFkaxC6CFiHjl+c2nlUOXe3zXwaSWE56rXJ16z7jg/JlCHjrh2Nf/zLR8cv8l//rRbQIiuDefM2l njmCSTdmLvZaMjl4hDAYHkWWYNflV4ylX2bjJsQB4cvFcs8ko9gEXVCBdNF4xvkeABCeQBB2Q0/w cjspsNCBKtgCAiEF1ppPL8xrDoKUrgdSG3/oLl2Slr3yGo51y6KCfhLMEs+DNjRInnKznPh6upwK ldOjnCgfmmMfiYJgqnoQsQZua6Tq3Ngcso77JnMucvgik9d6zUshF9MnkRK0fqQgLb5AHi3bmvEF KDhGY3FdQUyWAkU5dgUyiM587j7/DTI1FOaydyP3+y6pyGyN90m2Gl36qpe1tG49Hyit+tFTLsem f3yHyO5CdCY7uExBJHX3sWdw9Imt7p86De/F0IYzklhOWUg9Im8GhdVWz4JvEY1czuKYR162kylz ZamclvSivafq57pucTgMuWuGULV8mPuNuNK/gc8aO2eUufh20syURlVYm3F73MMQavw1CQFd/E3f hPlMl/gdk9B+bNQz/YGwdxk3cTibcbmbhVNHGZPOTV5nIe9GU4RaY8fxuJTTgnLxoFdp+DqMYFhN MnkMIptxI5YQ6qHxqyrNXVGJXPeF00GOOkHSV3nohQsXSaxpPbjSidJOBeDXfMHc/t8/xxL3nd36 r+C2ihP9E23b5MoGKXKUYSyJyFE9pTs7i/pAGHNNdtcw09y9h20VjE1DGY7sqqYvFNysWk6/OWMh sHstRQGNN0wFwjOfqqmM5vJKgHfMVctfX9IFmdHXQNeNR+OZyNL6KFuMx2j9nDjI3Jn25TYGLg20 MJZWiuzpQer1tzruZfsdNeum+DG+SqKkKC6qAAPCZ1qjnTyafVM8V8MkE9YfDo70gxthsJ2zXxoN TMRYfFoSl95m71fRqPjiD4ikstRVXgSRXT64sdayp2af5oe1mKXXy4VCctkJ8V2jIjCVJTOdJgMn qNiTBL5ZuiGsPtR6HFkchSPbNC/iJT/xSRXOzbgqC6cxAKPCHMQ10ziePuYlw9B6i8e6mM2wG4co x5P6F5rHekI70O0RLwNqfBciRXWek2RgF338W/kcdgArbGMI7q6wtOue73n/ZFAWto5gK+89BPUZ +Ry0vRfJcIXmbd5R9BQrrZXnuY/JDZbFAjL1clVt+0/+u/AN/kruC7L8APi8VWtpiNeqIh35E3jm X9yWli2An7b5+WgJw46nVMqOP7NnCRu4ntVpg6RwfJ+PZGZzNUcDwXfyP8M/mM8j1ZWYK/fSYAmj 7AB0CgUnAjgSXaZnrvbLDEkQ2aOJBsqWwtdEb/+FUYM0OuHbGGfxvLrhsbjZmnN/c6Td0WVy5V7/ aKqknBEqFuSr4aXLBga/fiz+cg7+RQDSyKeMHfVeJpPN8Gcoovp46gqwAx3LPytANY7ZlobfEhGR RKTsk01u+Z+vjsSzUO8JLSVhFktJPf8ptopraLOPTOnm8sEuKYIZOX5tQAgZy5jo/xy0vp6HWcyU W7xip4PfhXLLws367w60pRrjJbuGx40jRIwHEKaNiXAeKhPjEsxq/6iua+n8YyQ+pOowlfZAZqBe PQgXqbVieDgVUPoOWowoqpQre0uyXzi7V/51A1cjyYIqRBM2Yijnknc38uZa4C08Y7LKYqlXJU/Y lS51n2qaGC/mKr2K1kHeNtckMD6MJMFR4kNzxm3cyxU8FX5Ylk1hQcw1HAFEUX9KPuDiJHFLkqPI 8R40bJewdRysHREBtMpvnG+HBZh1PHefaB64k/6PJBweugcRxpODZpxZWPC4mXBTEqfimas7BSLl GvdRAxLTeGh4lp8dG4RmXKbnbYEJsIyAHJN8AKt6f9PJGidzAKdEkiwI41ZoKXp5TS4lxBE3cCTO P8/HunDRMhPcffPAtZNJHbOP1xHfdwvCtJy57BOIEZKOAJdUYiipkpbgu0f59PJv//ViIaq/AXsL Pv2yUk7BUIwtssC3Ib916YKSCqCn2rlsSOOhju+Eewk+3RTSFlNFSPky01ElqqL9riHtlgNxS4E5 TYLoDn0QPT7Gf5Y/7erkg4/IiCIECzWxo+vqLbQ9l+RFAyfSFLmMdt+ppyEmDHZPHIC+e9btAw4u HOdWD58bwyVmD/g6EEaSm24msdPg68QoCjPXEu6xfHtw5vxbfHUdJ/GN3+i5dOsElO1gvY2QATmX QiiMO2m+6seqiD+k4FEkr46Q4sVU4pitXeOpPMEC22QRC+5wSSzsW0lt+JDn+SDvXL3NbGAPxuKi t9X22p+zT5GCFtvWm7OcXbArQeVqVfR53jaBxXb3XdE0vzKNzh504erCZJpt7v00aaoPIYyqoZBI eNWeOxvBlDvnRXLzEADQlUg2SMI04v+lfBlalHRtuavyy6qJH9DKa1/fDsFbXV+45urYlqLlnI4b FV8oiF9mILBcUH0NzInv2z7diPFWP1GhLXpmj1LvTPnY6VbKX7wRzxrgLPIVOAxBIwcK5s6w0rIA cW5iNbxur2KMSbM/r2abctFOAxJdj14pv8ibQujTq99V015hZ+5E32IKlpVeroMEdg0GCvwHFW/n c17MSn8Vw1/hPWAz2lu4F1tTxZxHcPt/ny3rev2MC1TBMYl8bt1MTBaU7jQIQB25R46q2VgSzsIZ 6Ggwq5ViJ7nvydtcVsqdhs1I3Xai6fxMpo1UckiVJ0SoEGe9sIZLBkuxgdXp50RuTjPdrazIbDvq gPX3mHu3eLvr5J5EYGLXeCspuPZPbP/TaoNJccHn6D6LgJYzWRaY+bdat+nWSck2VbyqGQO1VABT UIgVuSARsCOMDUpr6I4zoSuwaxOUkbn44nEtCoiMqW5bMSXzBeIinla2urAu0N+XiH65cr2QOdt6 FgvGVazAMpxBSCh3ohQyh41GLgskfkHPKlZvT6o+wg3wtdT01yn98ru0AwJatfiUjP1FczZq8TEI Bj7jiXOeqs+a5NfPabBWbp0eCZfKuagkW8obCkt4HfqA9sHBfkSeMWpj7z1VsLIO+DP4SmwgM00p ZearmTPBGXReBBnd4Om5uYNqgUqlrW0pGGc7ZAjDYioXu5eU0r/gbSqVD5M5FY8V6OpwA4Cq1Kay l91hRso7nSlZ47UzHf2W9HpEvfy0RhrUCxEJop1OXB2G39zWDxCHOCR3ap5QYe2dLr0J8+6wk7TF IkJuFoIPameJ1CY5j3m2NBcwoeWnh+vbeKW7laqkjxWht8UXK7amJThOvXGfd9L0Vq6oU3KMqplY n9dv1Y0vE2mde+rEoa/UYuRX1ajGojLqnwgPGF7oWSelZv9HBjLobNt2OOqpQFyV3wsTuhXbCyQ+ +vheqG4qE25bOKbHqE3adw56l1bpbwCMRlqsSZMY/DE+oHqPBxYnoFcwdJUvGPeUSWgq5CGFCs1R HlncyrttGZoJR2+zxjhm4xyf0TCpPBOVMbcRSw4Is6OBb9LIC4bpJU+l0RKduguPSQdUYESE8Khr nHF3zGOFKeBsvc+88Pq+sBCh+i4s6MkxgwwgciyC3CbUmgDtMKtfeaMv73YXWnHxqtzALSzNHeQi OJUswWK18hQuBfb7kjoTCLShbgC3ddjA/78Im9XcQ6eFs/g5V3kfsV3G7byktGiIe/UbPSUols7G MJajiljMBPYAbaXgAA3XzHtYtUbGJgYTq4bocdAR1KmYNLnGhkQUUzu5JofvBlABTWx/MADKgHV2 yUJfCWnSCJ65l3ULNyVmDlAbADH8sTbunkejug+Oj8G3att7cQYW1gWsd8/jzLt9JmT5f8bt6/9U U39Kg8/0233mk8mh+bHnj4jk9xVDKivRHIqnn58rcW8spQChezVOKOEpcZrjtF57PTsee24/08if KE8QflkbwbSleY24wJSW4FMkAfOKuoqySI3EVRCKg+9Lkx2/jKyUhprHqsX9TZqBYjCu1f/hege6 4ie3IscmnLGyGGznpYeo6Vc6XsTOauLsN3q6JNC1pPxvr1wVczqghmJtXihBbYNGszbHsD8BWIP0 qZs+/SVNsPoR2gdXm+ouwHr6XHN4v2ra2gHMZvtRisUt/9RYUzjTZYtp2C17RlNefHnwj3gFHmN2 KHPgZuxsiV1Jktt8fT6RUi7QF5MqcDKDy0TS/DAipe5AX4KnThTnuy7UnMdTi+stzQre77vlVjyr OYviqVumaomxQfda2BAKGIg3AkGorMm0vxUFLQhOZ/xxnLFFGEHlwQU0uF2ij6dZH6d1OusFYu++ Eha0HALP7zbcYjV6mLX4zpyedhqcQDikeer5lgKxCEuN1K+WbOtzjRMj8JGLgno33g/3OBYrHVOA rr+hwTaRT7i7j4Ff7PreUULawMJ4NPsi1bGGHnnLmopKh0l9sQIzbo/GStQaX6WQi+GSW8WVUeu2 ZcyrT+GIvhbNw8cXm4D71WW+LloS6124j8X6ErxrcUZu60atqXn8KROLLxF1kc6iUPQhvcsAWxHI 9ZuadFhYYgxZP8m1qe+iDOJzPnS15ceRpk8V5oEGaNckD90PtkiAv8Vk5u0bGhBPZBURMcdABCHl FqZ/Zg2BHetSZRKIjF+S8P3ClbLMs4TaEc7ixFIefv0IIDGL74GlECmbiW2daHXD/MCKadw0nBxl lSoFrs3h03NcTKe2jWpwETfw67ZF7RnY8i53rmGOqJmAN1a3V1kzoo4HrEpn6tVfb+mrf2BJSRgf oa5g/N4ck6pvzigzJpervH82rPasOEOTZGClPlRdylJ2ipSzTnlBzWoaAAicEWBDvKUQQDdck7XX OPSV9iZZDHI0G2WKRG+98hcIqZ+owke148d27rhUW5Y6QineGaeyuTRFrJDmPT9X7auSHfQy1scs fSB7w7bzg54ZwWVgZuTtYXfkgp7l5A9FNtOlneDyddf0myu3mng+O2Su2u0D0H2FW3vAqdFqgkGL f+ezhU0Pi2KDSjbyVnELRjeNp6BeCU/eOhmuO83Jg5f4F9Y1ei23YHOHq9/o+M9JR6PlIX313hIb swAgixgnkKDtxa+LmsGoFvK7Bq9cdVBMqxxWmLG3a1vogfQEvbxM+iNsNWc8RLKKXhstrb+uynlf NgSDvF9S3A8VpX0nq60JiOaiBZSpjJK37ZnKYCXz0/AaOy8Vz3UIMAUia3B3Fksk2jkovlPdFrnT sv4ajXLVgfJQSo8zkrVWZ2q/Ugp2lY5NzJMjFrHcZbW47Vj+PJAB7R6MTp9CG8oGxz/iDw/SXAr5 vtG7dgBb5zg9JWWxnlhMIfca2FCmoQimYeNTmlSdD6D57MXMVqeH9cAgZphp0frbC1ZrraRRScb4 88NJbOsEMMWyd0gJyrQPyPgoqFDCpqLTGxgo5z4RvsUjwRwBEN2G8yXgU6MOh03TXq8gKMI57Fj4 sxIx5qeWmPhEW5830fRQq85PGX33zS/yjvGJgHDZxzJzZroWfYsO3GbRm5FYFgyfwNQ1I7ylMBSO fzNQoNRPaaYGj+49/qFywyBlulZaxpkf78LgleN8PKXZgLVY4nOC+n5TVklUvQcm1rU8dAhICVnQ ugFLlQcug8slgurC4Fe/BV084YF0K8YbZGEHibHsZi0T4rko0O/Lmk7bvSPY+GJcoWYPYARMmjDb 9gZElFyNYpNNra2I81CNqtwgejJOon5Jeh9vWVjOsS+LVt2BVg6dDKYpwxUPI2DFQM4RWYYPZxMT bSnU+tUvJ6DX24120XIn36+t1+GiAQkLrQV99nALP3BIxrb8EZsvvUOKwSVEkekOudJsIJenfBr+ qLgtLnF7aNe5/9kL1BZsSxbr4Sy9+FoK2L8gUlk4oAcUEffteDCx5f6a2A8Pq4DTpq0wwoEA7QI6 +U+OeExFNt7I2q1MQ3f3OqdQ75bJfuPtemg2QXhQahvHappl0DoAerOmv6DJ9MnI/D6ObukYKTkJ IcK/h7hUkI3i/dJaRE5fYpvJXKSyiqzMgDJzqWBXMa67gMNlJIWeNCQ9Iqux11aagq0BO5qU8cXW Rp+x44IChKDQf6i/CnsnC1+mCoaOQkUgWAlVfj2f7Jdeh2dicLXXTOGeK4FHsyeniMimGpBcices 4aKdEDt4WZpiKHBzihdfUhwNFkQNK/fN28UiSfl7a4DqRmx4/DPPrQnvtgkUQqRYW4FGsSX1aGv7 VlZ5y1kOTwWuU76FyNjzRzerT0fZi0Odj8sIGmYP2+JeB4ZErc2fq6tNXdsBkgzIzmb5BO/c3v8r C60Ld8D30/z+e27SiI62CGIUnXPXnZE//Z45lhMIQoBcEFIPyCFG2UvVtbnInoUwcI1Av6edSjss Sl2tgjU5L9z4VSqzhUySFywS8XqrqJRda7J4yGxayV3ME+D/Anxc/HcSfqgmnjQj3dHqZderTo8x /X+tIBpr/BjC8Qk5fOQriE8cdBLIHquD+Dw2Vmxr83g19WiaYi/yL8aznx7etdQ8Ye/uRHRsCqfU QNkb04I2dRQBVRDCcxIlfnD9rY4MC1j78bK+tGfdCaFHuBCBDNYaUGBbWgdgyFZp9z6CuWD1LR4y L/zdiWYVv5tzD7R3go9hTbieuyvkb6YEYrF7hQETqQENvePntrhVAXlTnt/yANbK4JLQinXcUhS/ oKlHi2l2MDUAjta4v9ygxiAjWgRh+FoGpeSoWQDGBF6zA3mYLUBjnYWbiu6ZYZzXT8gbShi4PGVT 3HXTFZUp9jW5peiBCOZhSlnZtG8Xztc5Qs3YItaXHpdYPcAhJZQlmofOV1KQFpay+XOMSivJhuLj usws8avalVtUGaEG+f76Ky4vpxrTU10/CLyOjo1DGB4ezuOcRRdJr1NlNNwP6DezpsitzvOmIZSZ V79x9HK8W3Bp17bXjiluLNbEul4RbNI1zG20+HZxKzm0cPXrmsQNVkPTV9zK9kkiMfZVoXvgtDQb 27zO3tgmFxZvkOYLBsEU+/Cb/A/TgXdk/0N3Mqk3SX5olGOjrZXKLbNMDgrutVQl1oUG/61Y8x86 XwOci7kJee5PMYFLxJqfNBOov3cNvAXNuWCRoQ49O/+nhDu9GHKZ+qKA5y70A8cygybzm6bdGixf xcyVMu8AYHsL2XaY5iRFh/Lfv7ojQIMwOAezexuZKDABXDaOPhmrzQGIR16IOXdxAnMzf0BoYFuJ 70YAy29hfXvEaw/E3dXSmX6hLfX5M9XWbH7KvujhMdjhxclRtNL31Ygbnuz2VfEjvx2XwQgrgwaR QgdYwCQXCoiHxfOGsEfhNs4OlFEiOVKF9uptJ9QSVHeGgfNP2RWceVTs+hen9cKaHptHF3nRPECl J/o9z3mV29QUIVdlxXq5pBjgNdLo0BN/ogd+iGLCS8t3jRIcPLQ67WSF67+GWjbNKLEgmlbECD0r +HGecJo2hWpg3CZFBnC0GCtSw3H1XRByKNcZbQ1A9zS2tQLGt/P0FcXHAIDLMuh1o9Wn9LeF8kwv SnEMS1l+v1OEcTGd4uw7rfxPh5XBINrx8HnecA364ilt7qXmWigGZmaC2o4IUOnevpS8g/GKjUv8 fZrleMPOldMCvPb3cZe3TawYiURXKUyiUgzoefde120oGwfujZb/Wmz10o+Sf1MHvun9ejvOFsiz +mnFMSm7lQTU/te9cf+ita+wN2Oguk0yOS4/iFgKrl6bpfU7w3bB93BLwIXOthz7aqRH/5xg/RrM auCcR9uYD0Ybpd675b9g9VuIIN/P89v7DKpCcZUtD+64K7xZnkF1BOsvyOnLBniivVq1fItTryAa oTSdGKH5hCBL8+PWE5FBGZEcT9kQQRMjdlNRPXI2EDSQpmadzS9OCgXFrnrhPHcjNrdSKD0kZmmH 0NmHRlosZxFBhhndH1G9Jt0mCxGqdT+VgkkfQjyB+bxzsSLcVbn+LsJa+7Mzvn8lhurMaoKP8NKJ bLC7H2nup8Ig1hfP76XlbvlQeOEFbqkx9tIyB5U6cAfdS3Ss+UEsVjp2WTLaks1+gaRLnrIaOtDD hOE05QBHPqWwUQsMyf6FMFsapvdRgQppVAbuCA1gLMSsgZtAtjW3/2QulffGpu8TS3gHbsb7yk10 eZRzF3jE9TVot9QfW7HQyrA+JdnG1kiYE5VrdTrFZxgT6MthDz1qDt/JqUk7OJuj00SSpL02ivzJ eCKWEBXfvozujmwHsh1Yok7I05aEYxWN/gTN8YyyNb2qtARU+rnR2mnWJBMyUBIdsnGaNxzK3D9B HT5Wop6B1Yymc070kAa8n82TwA9jIbC7pcjCu6Sme3YGXzjzNGj8QmYG1gasOZNFOcE7d4G8amQ1 E3k7g4aVPru1SlS3Hf+t6+dc/JWLPlP9cPLQBf+IjNXIT4u0qgpNyVD6S017vG62Ihz4P9x/c71W cFm43W8+78bN7DcX+jBYt9dfLpCHxAn8H3SfWSiiGnp500zfvHKk/hRGatn/S0Jj0Yf9AnBarH8Z phCA1crq4tLSiB8t6TI5+zCrfF3hyr7DIo/KGdtcZeXQJgU6vLeC6gXUsNsuiR8mpKBjfnwNzt7C FaZVr4NDEb9j5mgSeNkbVtui3yOMbb/Qlf0CnOTHPZpLALB6TK3sb0mj1rdYnhwn9YBMRcNcfJD8 62LBRIMPmYakMaqdLJmGk3lH4UEH2MDjkgkcQvlhwa/oXx5IhLfPZiyd8eI3UzZCbytUCPj3m5GS cDdieGaIAA5GiVw31a0NzGAOOgHbHSb6ynWbnTja0YUFSsxUsywFmFdbj09CTwgI5Lg9GYJ6NuD+ jYXpUmNEoHUKZ5TAf6vRv1SnA+Hh3gNiFqdQNm0VhzeDfroXTnBxnHZZ7hMI5SOoI4L6BQxpgm2s NH/CBBpW8f3iRaFcS52+spiHr/U48JrE0wSqFqAqTTxDmQBSczMB9/GQVV0WWSZ6e2oszDJBq17G vfXkxtiPdHpgDsSv/EC590m0A/DiL+3AMJOTH3VFgiVi9NQO8qQo3lD5xhJwujP6/An6IVaPoSOn fa3j0Y77Iou75uMt+GYBf/5kQ8XOJDkWnLgFy2CJBX8rqAdvjk5w2GunA207KX37fosotGhojjMf +ifS6iUwvRVY6GkQD8ne6gw7GaCNB6he7jsViIxhN6S3eZgzk6rKvi3xKCNaPD6zLAHWmujAoeUT zXq3sEDz2WgIxfeT47nlxuKGdTALuvLnB674wYRxmZbVI0jY8CrUvCkrhKPyFeuoBejDjB+dVr8q wYZLLrHicZMijaHCrYc6qgPV6ezNy/Cw9lEgIhs0Ua78vnujVIl5uH7aKTcS4NY9zMYH9xANmL6K aLcD8hDHACNmQMbf2s5cTvt/dOEFDFjNXQEkfkbVyzrR/7fVvlRliV7B/LKnMF4x1nime2tuZebS a+jjuWBHPLbgX1Zdgrc4VA6mv/ajwgOL7RbKdqmzTgrhDqyLuvaaZ4gb7+1kh9IZxeogtKy7HxuE jRXZRnY5Jf7AkNHkikuofH6Kah7un7CENTeNVrQDrTN4lhYcaQOnxhHiYLmcZZyxfm0tV3w/H0Hl +XtR/lzIjfiUiF9VunAODVtc6BkVVQewQ9EZFX26ZWOnwWO9cKeS3D8IDW5CB7ILSujQWGw/01SY newDEYFNUOe3jgoUKpkeaCZOqnujgwj39QL3fvnb5WqAJvbh2HG5LRjuZNyix7hPgzh1E8nMw+Od swddwbZzai/bmiUAC5SAyxpv0IA5tGjD0ROGHeJQuXG5hxLyWRHxaa//xRGv2lkB7n7jp2OvBd40 OyTcypsqmIGE7k24zxprJVzoTrCDiWSYNjwP0J9aKi3ryRy7CqldWKb+GSB8i1Kc9WmNMFcQhJJf 3iLaHVus7W+i/fuOkUR38WsNPa8AOpc7FmJX7x0n14uCAJS9lXgpOSwEVn0zPN8v/S8KR9nsyYJJ imwrE8IuZk14HF29RjOTQyMkZIzGsVGgnZNf6bzze02Lk9xDfnCweaNeI3foXbUId7UEWRNAhkar CecmA9WWMDC+Q5B5waQPHN3OIV7gNu535HJ6HVe9fLicn1FDFy9ALpRGJ1Jq3JaTJ71UbXVY/xDD kVzWtsxGr9IMh64BK58Jy6X9sy/ccX0YCzSQpi/XAwJd+GZ1nKPX/vN7pWa8iRegT4EisPl77YZF Nx/pax+HE/pzCuqi7rpOxLchv+4MzcGOCCUdOmIX/JAE3mdLUxj2liY4Jc6eGZqWPjVY5jhdke79 o4zt+5dhHR27tBKGnA7TNdJcVvUi5mS+26dyr4VzKTsI9r/cZC7mdfgLv5+evwpzbSHY9rF1zBnc 3GaP+Dr6Rxrh31xntpYqbX6u7MohChlFH6YOTfQ3ycWEcxU3X7+91eqEHm8fWG8aH3WCa1wZxig1 sjKMq5p2chQOI++v7lR0YTMELpITREI+mLr9AuMNz5kGf0fre6kYY4LrIn9lyDpPAp93lyJQ2FuI Wy2QlhGcYUD1jogZCrjDpCBhcuWYdY8T9HPaeyhX3cGvDlD4lddWxjQ4V1YREDf8mk3Sdh7aqg0a x/B/RQfY+JFlZII+SU5iWuoYIa3sFX8S7bu8btQC5keSvSHqeVUEv1SYLi4RAFPPVMG2dW6v079l fPM+9EH4IvkH2D1E33xuH1Un4O4zUUt10RBw5enwzUKH3QF0GwmXk3TP20Z0y7xwEWuv+3KpRN+p 6oalPMEdN+trf3XDQkn6lfHqpUlCBvxQlbBdq0/yE14ck8X3Ye4oNfC8csfapL423oPWY5E9jVzY mK7gCn0xQuL511zU2z3fgD3boctClGQCpuWBenK1PdM3H3xkxMwwmre7A1dyutbJLi6zqKkhAJ34 W/GG/ERuAMktcopj3bk6sAyqzphQ/HHFe3WxbWLUtFNMxR6Xo7Hebd0LCTyhjbYbMmv5P1N8S2fL X1Du+jaCB3ThAbo8+nx2qwL+lsZLzr77TSQsYyzoKijFAF+b4+vf+mFUMFsOZgxCfDScfDt2VSnA tHynhG1rhmpp6Be21oYd59hYGXmJ1Ft1INHfdWe63R+4jb19CCojGUNH7PB6FvFIMQjlFDz+Q2wm TncRvJIIPmbGbUieM+DCuFJP6+zok+xZW+M+CaL3fzWhz6QFwbLbzWxWdbH5klrdtumuhG8U9GEm J4THZNwd8/YH7h+g9vw708DXCaPPNr38WHuGNxg9OgUyCE651EhYCOlSLJtJQAyg6l0FTNdcRtaI eNdS2jJI1fkfbOJNKCO0VWwuWFpH+zQUyC3kBhDLXUIj6/am45HU/awDol0e6TtXoZNA8qsyjVMX zKTv6/VnklKoNvopQ4U/lkcyXZxJvzAh0PI6BlxANAruoDQIPDglTIgBg+HSQkr1mJtpipi0d0Hu vbU4BVft7ZjbBQiNpHCuYvC4ocQ+P5LIKefEXC+XFjACViK1wSp9wPYshFtdB8K6bxwgD22X9fwg mLFpzsOgqu6c9EYD2Scymo5AxyWYbdARhrpRyWUDxwiOWPCawpAsrWU5icpDfdBPo+oWuxTbEPge ZNjpK0H8xTTkB9H1Wz0jiEQxWkCv6KJA7ZbeeWheCOLeVR5JRswImMbLsFXnqjZ36lXvxLWz3nwH 1kpfGHcy/ItDrlNvdxxKzXFSQOr0GDhSvx4DOP9jvRHa6q1IouomR99dGFrWYxrq2HGR4oTfvZYa CUfziyYuxBnLA0NC/j5t8g/W+z9IRvd1lmimgzkxkDZagGupcbFFqiTbpiXK4lZti8hpHc2Q8VCz /32u+yVjZTpl/2fN6e/PGy1/mrgJxV8MVN41mA4wGsPKhPQyGvSM39IYlJIYbV2nboZeTSwn3Ak2 MNpw9XoKSWgVJjXp7ln8uu2y1EpClDskO+IEhJpyN7jhIIc9ep2NPCCnMet7cQREsydB6oIZtUv5 vqg65bcySrSnYskKTARn3p8sgaXmH288RDfhicrFZEfD9EGb6AvIB4Z3k9DDIfVVTlFa0LMSRibX sEea08ChXXMypo08LzKJJcnSzUhaxgmrduKkDQ02Gukb5/JBVhzx8r4Zl4BrARxzWKWudkF6iB6Y EFHovl6hRvz/orIAlzr85GY3P0srpafNgN2u5waz5K+E6/bAHPLOFNv+cr1bnLnjAb+56gZy3NkX Iuc6nxnvN+3AOc8kdWUY4zGThfFVkXBCU5+EayCQKxOwpEnlXwQZBktRWuxwi/p8reYZplwNoYJ2 D837iUBhovpP4lOq5hDbf6eBI/MzRErSjKVK3n8oaOVq8Qgh2KZ9H5dfwqw4xT439/d8HUe4D6PB yrBuOnYtIu0VAjfrmSFHwAb0g0RSBsGUxmR/kfAf5jx66xAEVDgD+hlf+sJ0Ra7eV/qbQzgW3GPY gACK8aNaUfNMU4h9hCxuamkoweeCpmOF9yeQuVWDY5XDsu/w6y/dN1IZoUZDPE+oGzJB3eBygRXh umMf3bQMS1sM6/PHN97qQVxhmTGNq5Hpm/t4mfziEBJgi6jn8VM1AA7N9ttVwhXy+5gpD7+JGnJX 9rGKHwaR4frHtNu7D44+FFjxKQLoPwR58GZHO4Rcb/f76gNg6j84xF087pr+3QTOiemLF3lNKjSJ ibV8h1pK6iHRBwMOXzrRWnxEbVIRcb/BIvyEsYJI1Kfrddi5Q5GkUTxGeMQcbNq+NUuo7pMO+uh2 QIEGJ+YR3dFcDxpeGMMyuvcuPwfTDlurhQjFp+yRG7pUvTOAGoBdETwk9cHG5zEI1xA14PDQKrjl qQeXYseBVsqZmyFo07f8473AVMCGhEdam5j1vien2sfuOUH+Lts5ynWMyLl0OA7uT5fGMXW408pi wE+drdgr6eU+66eXTpp9E3j+S7u6ZC9Q/KYHTlURo+IOCCPVnRgJFO+GUYJ6QXhwfupLHqTWaAR1 yIhA8wBT65V1wqo4jnh65cD4HgEwP1Hf88u7A6UBBizLRV+xJhphGU8bVsmWl8M3gRQV3OTLPqe+ TOxPzixl158Ehsq+Kl00CqUzXIVeypghq+4D3/PAdsIK+MuF2lktS7q5rWfkruwjtLV5ITCy2CHJ wl6antY/9Vd5MiPSagU3iOwt8eTFtd+kXDC51zRByAXmFP8su8wyUQYnBj+2vBo+DQSpvXZjISNY lapQ6+79mbx3REszEKtmaSba9Zx6K/OLjLRtfTcOXG8Vz8VPRC/+HIwvOer4M7KIxqHoRJ8J7uVW ZU2aXDLJvf5h6oEq30MNsuWW2PSNfO0CENv8VFWHA4P081wV72kQ60Y+am8AkthqICILs5G1eIee I9GosoHOjJuFznTAUKUHd3HfPfFgTPes7NZ8KyDTwQ3rWjR7K8NeQc2eaA9/wRJtVIYMa6aGcL71 tlMLEuo88dkHDima1iMcAaIRov1/DxPZ5BpieVilqnGl8x1wb1+TCMaKWyIsuTADuq1nTbZqCucV IIe5fonbyStrxo185cTZhN0K6vgwNOGvjoytJR/Dl1Lm6G3OIjEqalGxysaJ45PvZQyLkWSjdHWj oxZ0QPytUy/CKSO/rhxOaF+P4O+yWXIml9VrOHpWo5TjNfcIUisgzdzlk+cpEdpRHS1Qn9V4xfYR pKmNgOCVUy2VAgieoIEVJD3Bgak2+fUJiRfd1Przo8aTUE5X8OARXlLI7yxZCSyq/WonaoEdFpAq SvKQj+pAisrkSCGXO/Mh3BCAy3IZBsLxJKplZkyrzpFG6UIt6qNYvsV/L43l81Jf1zHQKxFrfVts kqMxiUwANO1ubtUqY3UNHEcvRcbpHXsy3y7ZynMeMB+J+vIrDRH3PiKc13XZlRB6b5EDIRxSd99N sTMzRPOiLVfd/wUK288yNX3Nh4Lvf+guwA9IITzxvW8iWswIb2CsJEbyAEKp0uyFW7nhMbswk3BC 5YVGV7RRpifvZA90JKZTkQRzDPCKJkf4WQ91R02fwenQ/8gyrSiLEMsCXvlIlX7UJaXM1WZptqsj 20LN/rLZrgp7XfFlk/RzmtmSVnwIbS16TXurcZ+XRUFDNn8W7UYNWZckb8Hbn20aUtU+LM0Ln/R8 lhiQOK0KusdXk1Wn5YvDug/SGrPKMGsE6zB1E3oRWTFkNGoqw5Fjur98Zm3++3rq0ApCzF7Xps6i FahY3Wu6jVsP0CYcy3OSAG8hd/P2OEoy/n5cmW/Xx+JxK/QbqSdtnatnH76UGQDIZdmmoeYJ2Vc6 4I8FT5oFWQTZgZxg4dJp6X6c2IbnU3qFKaGbumxINmglrkY9nuEGs3VbuHM/jcgniJTfM+FV9v2p Enb483iVOC+OsYVjRnCUxqspV64WHx7V59ikWj61sxap9s0XbwpAvryX3fxUkgqacAMq5ZMsy0qt TLi26PT0nEcv9l1eurA8OKoHl0sjAgKLEn6KlntFjPZRU/0XRROKC98NzTlggC/tDB9pi8Gb5m8V uNAxKOpwqjja+BaQJnj21oIeLikzuOu9wyaFEPFzRJKnHvFVmnniKy5qg6o00xtS4FxXJPNOY2jq YwapcvpwaRHDRdnMyN0640zg54sxX/9LOuAv/T9biJoZ7Eg2zqLGDJGCCCikolh50alAaKHFC7Bs 834ySx47aE3ZZMhRIAk/yQGvOe+Znh6Fz74tpFwVVn5wJCA7yT7zLrFZAKM7C09vk8/7gxlNL03Y BjxgUXK53nxvAvgmRzIdbULcvhnz2ybAiwMZadC9RifF2Z8oW0Twt8Bkol4B3SkFCN7CUROYJgCQ v1mm6p+g5RbTCYpu13mvpD6jcuxN2CVfaXoX8CZzVanfpL5glLQ1vKy75AC2mrJcZGvOCpUqEleh OD9jQOagoELQ8ZWgoPF1t3g/EAzDpnv5SAjd8NoeVOPQGmiQTP4rrMsZXA3yYn4ufzGcUL1uyas9 NziLFyzKuWrx96jSQKA0sPbfEA07zJoSIyCQ4x/uP0Dk9sGDwyGc6c2q9E5EbTYyDcnUV2zYzw2x MejhLzJoKERYcrXFOj+/kSTgZVkiPoEfn9Z+nE3QuM99nlJZ7gERF1fpyBSJJCatK/zxJWdROYhj /nyISm3ETCdEam9jWKV1okB2JucJjqqmaJkUSev637TTRVbxcSZw3SbC/TePjIb26Mvc7E2hJg8G b0HmpZz46HBE1E5id9QeF62CebjFutmeqWIi5UUPcRB+tqtR7UwO36GbxmWWDz8K8JtVQuOXxVdV VasnLEVwqxrM+iBUZLKsONWCeA54hccUyv2hCYO5UKC21l9FUe5alJnysKUjCKZZ7nO4pOGFjR+v dqSKzRxEsnzChMAWu/5a8dTG8qadxZ+z+QqJEF6rysBs4x1IKKe4lk1jD19jYde7+oewUpfIqRo/ TWxupARkY/RcYzJ9mSc0FtSs9n9e7+Oy/cHZWy8cy/Nm0OK2MuUB21JzJ4ngVkrTp2Q/K4NmFFvE qfoCc/8VEea+m+tApQ/ch1RqRRnV7ohKwS8VyEEiE4yz8DyRF9CnQa7ANVJDR83tzU77KnAHxfGq 4i5t2v9kj+3BpZXCt71yVJqEGk5cVkHT4GXeCr02XG5tdVhbGU1c+5jHI4PBS+BNyapOp8vSAF/8 QKpPv+shbZlcrC3T9l2S475IVGrzKvlgM61GJnWT2b86Cu9TBO9E540KL7ntYx/iIwqB02WXLb2U Ci5RQ67mUdHtgkgOdOo3TlUYR91SI26VILmvNsaKmJeaaf4pHZhgfCq6RMWSFF7pibK8tgGJswx3 KuiBdSLQY4Mt0lwwojvabiojcpFZtsBaaiqfIkKr48RBbXfV7eFKQo3gl5YEQiECpCbdbshK68ol eaOP4kE3T80xtaZT2DlYfYdA65Z6zHh1nutjUy/6d2jJ5IU7rRfcOtmPPoWlyqer+oq3xcmTl9mI T2QxiIxGF1LqNipEgM0F0JhizmwNHIwVDOrChPXsjKPcQofIwCBTVweavDsrkq5aIPHd3cxPlBH8 nB2glbomSLfFyqgoSLYP/tyZZaGWgdWEZobGK+7dDxCsH723oaKhDZXwKm9R2jzdCr5GViSCIUoP DbFY6wDzzCOlJZkx1ga4vf8MGjDkZIJobWkrnirodcjU7eB0EHHO5p8KG+2hxKFN/5ZFbr5sBJGY QsJHlx3mDVY+4yq6IXl3xuPafQetUjbJZrMeRp7kksVWONE61+oYgxkm4vr0oWKgCdW4ty8oQF6v JCWJ45eRo51tgM7nWoqboiIwxHCpvtlmCK5/+/En1qMktuXSg80tE6hse1ywBdjB92BT3JUPP3KC 6Dj5QIjWslzOqqxdvvtFHZS6KcqxhrpJn1vMd2pLS9IGMbSdxmFqj+Ne2Cj+m1rTfoLceaScXhfA YFdlSblfJ2kj1au+lkzQzwIlpd3hsLCU+tP2eyS48MnO48VprZH8R3dkda7jdBlN3a0EsYlB8bQY 8ug9Xi7HS4cCleEAkHkxffmMqHIjqg0jOhgylVuzf7m8BI5VaLTwcaBzYp+F5FmDG1t/qZfpuxbT HHz4/9dJy4QqYI4Su5919oPyWU5xkW7XVgAj9tl38lWd0DJMID2tMrYAJhJmBqIp/+s2iUARm8H2 uZhwTXYv1+Nb7F80/7ns4/nM7owFrX4nE4ZoP3t+0jC3wGkw0hj0DHjWu331jz3a9JxlKRx4w2Zg qqcCTGz/uHYHwIIiOLLB24hG20hIqQD+yDmrkuoOIwc4GNcBDhFMosRxsAIcAin7gOPeE+F3XkHD dzq7avtRjZUc7L5SuorfwupVvNcRNGZfkPw5lP3xtu42anAaufAN2/OAGKttqVD/J7fytEcllR9j qg2uHrferdXl4xspj2EkyEh7//gUUWVGkJEHn33vC7jyrRae/AwnIIJ0XJpUIXFtxjNNp7nWeyCv F4+rM0amLMzTCHbpiLXSNujQkW+80xr0PNixUZ5kZk4cJBYN8pm0853kx9XYi0A86bGDUqqIt0Xn vKzdQaHbl8RcjsLOevT2vy7C7jsiINCP8RfNQGAlCJw5bAL8ekno01trF3MLtjsig1XI8v4XZ/kl levvCkMg4M6KmEKpLtuJRkXQ31l7VHg/lsM+NCPSi/F9B2FsSOjo+DxPsratY5sBZKmuEYXMy6pR 2leevHUfV0ge8UCyJ0sbvqYec+7MVpR/j+UQjEBMeXbfwYEqt0CP524vysKoNALJWMAYKuAJuFat mOm1bEyQl7Rnozy4JZ/83aN2CSZpHp1pLlvBKbWTX3dZeq0Qmjyfl65Kg2ecjQMP1pXnStJaMujt +iI2R/wLSF6IuCTXA3i9WPPNjx1NhTf1XIabK8LtKSaB2n1CzEY44bfjshVQROIEXi3Z7KFtMvuL 7/26rohtu8PaWxBwaF2Ys157ESq+pzBwqh/NXbwKaYtpyi9KbLjlSAIddowLkciQOo+FyZY9iIbT RycSQRli26TVlor73pHVKCU+d9ReIjFL5AT12CG/93lzTWEDDOSHGgxz3Adlg48ayQeWkbdGjhJl fL66F/gxuSaFdwQRyJ8ySYFa8EGk21BL58lw1MoVJwspcs1mN0WrW1gAfiKzr5TQwg7eccdLzDGk TpOPQF+9OMM/RK2FbyoWgUhhqzAVFFLRIY9QeynmDZIY3YDIvm3Hw9ePWZ2av8yJgo1qUHnb5ZmI 0X8wTcPog9Y1hOqzemkktv5VYJ9c3P44uVURVMftHYDAYYo4d0BmDgKiMEYoNblrTKV72zd6bAsQ GXKwmAznwczoCmqB6/qCc6ZGvl1HDTYd3zeGuWFHUjLKV/yMmKulXmEwrUCdKH7288nkz6tVgYAs b3+1JN36N5tc57sxtemXoCX24ZpDR8VA2TW6o9B6pxa6Wa3i5ntbzhgJ3PEP5Y4Xdcdi5LyTDGMT 4tH/i/vd0bIIurR5iVcV8cIoWr/AX1qwmTJ+Bczd57zXKI8/dIzxuDz106k01Laq5eWM6lL23OWh idIlOt6N+HuXGSlEaAWZJw51AAKDrRtOnkobi0UCoro6lSnSrBGj5e145c+6av503PrulhCkrjU7 ZtAy2v9xN8RW+6Vjtlt/9TUOKCVMkUHBy1PWl8hr0FeG+HKPo8hyEwsXNB0p/NNXspWOM3oELTAG rsLssDeOTsqDB+AZN8deTFzGkipXLcTYhVNunjiLTqyNhVrwdYbSpr2e/ZmZKErjrEuesPdXYqXX 80AWbbwAFN+j0zqNsNjV/ycQdgFELa8HZmsfuKCUhSBCkdjW24O1VmBH01z+nkIRlMlp8rnGoBH0 cb4bKJiYDN5jk+aWXCZM+k3R1M6DiGpMDOcHxQWVqHG4WWqFy/7SEe3xiVW3xJk2G4duBFSrRTG7 bGtGoYCFalNI8tLOzMbz5tn/me0a4SSiVBd1sLu5PPFWMfl8yLReejh1x8DoluPk1sBq3+uZ2cFb vK+5+1yZMwWFsHq2DIrs9wJ/u8Lsfn6cTT5hCdvmucD8GcB/pM8jr2kKCYkxpZRqSVo5WFw5dqB7 4o2lP4ccs8Yo9cjV7ZP14+HthIrCZXqeJncRujkEHdQgG3nTjUYnck9BlRQldvGNNnSXrLXD1OQJ ueThlGJpk3W0APrb19aYCPhaUd6uVQYuUx9yhp9yFLIPxgasq9UG/3okSIh/46Apns9sS84FS8cG 9q6pIBa7SqwVJr13sEW2XGi6yjrec8lXM+9z0syB5qrfX1igfaeV76b+TKAit1VBtDdhQdFtwLGf eQWCdPIZvk/liLboVLiD/U0yTdY5tnci+yFyAuh+uPQZLIoFYKoNrTOXZrdVAebrRdTpdsc5OIhp x9YKs0m4+31LzNkh/ZnYUSdsJgIXz5ZnwbxgWP4SI+s48206AWqHARxqAdzMefCgDqxfSej4Kqep eJ4ov6jaTAwO8gBSijZiDB4lOgvhzydKANimk3pJhvmo9oxewWK6M9Hh1gjPbBK7GVR4HrOYnWU6 s8u7zBsXKDKAQPWPwE3e5FVdXhj90bTduxy9AaYjLAbZ644N+s/rXNpxKCuQlrYzLVLkltKpYYrq cdGTxCY06SnloNzHTS/uzpKRjV+FCSWA72uBa+1NijBk8sbKU3EH1h+cF7uEI6bANab05kRPMiiw SnZeMeWtg6FS679rBc0KwbY6Qoh6nfUo0oZGwwRUIAa9+j1zr4f/vQkhFuIFNBIFbtxk5lcn2QNv +VBFWEbBlwJNsl39LbMw6kvq7TtwyEkieUemM6rfVrtlrSVIPEBufP0KGexF50DzushdrW52GFBb /k3hP/f8RwhJX75XK7JfWBrQSJrBiPAhjdPvtp1fD7yjGUWXgQgUuUVfMODMgbXyC3Z5fukidcRF Wa/2juayqcUU4htu0XczOir5ahbk1s5ceM3PENnvErlaMj5pqWdoYbBzmjOy5+esYHTMQUQeEMeS 53nnMBKuHQU3d1aVMFVCtkxNIqFyCan9Z6+isSvBQxFlLvtlAtnohhwVxcZvji4fevyRqA/bBpOu ciIXSyf6S6brgd4xv/CI0Mf6taDyhQ74LSo2kwz782zjmzWPdtSZCSEcHTABaBxjH7iWWHh8HzYK eysPMhANFY/v7e1FdJq6bETVBAlESulVaUOIs1HovUKz8VBGWCZ6uLqEts542Lrouor9xDYFyJyt J/RJtfgSLsZGNjaz5tzS9w7YE0THffOMBXXxzXXifhAyzXrQpkvLOon+a0Ovw8fpjQFcwrUmKgbM RsXREgvQQwKiGA4v77QSuFs2rikqYTIBcBk+/4/O01ueLNV+kB102GSrEg7JaHfEacA7KKC1vnFa KNmvLZCFFvj6ZeX7BQ8lBVHG+TUK/VYRXg62tyfoQn3U9kJnMElejbnZYPyw0dKSaSC7w660QwT3 6nYUcjhtnp2vlHpQ3qkm+7OyV1E/GhEo6LzI4MS7Tdq4tcnwRc+zLFRj5izrx6h+JiL/WWcBkwDM Pvak3Y9q69FTuVoFzMXK3Lk3WZwbP+oFj7fyTbAFp42s19Qnc60SixJ3WkFv/0cFZa3RS0Uhasgh 4Y/AoOe+XO4t7TSYI5R4s3deRqLGCRXy56Oo++blYesr/wBe2MJKF3V+zhYtUbhVk5iBr18cqFGn a2DppTY0VIO9SBOcLBFwMbiMopcmF9cURzrlaGU/Nv0+chHgUAQPJcJsF9auDKDvdTB06kD24G/D tQaVoxF2Qwgq5J9V/X67XqGG5KxavWL+qkzqlu9GLzGWjindXkA//tqPnxMsWfUsN6qMvXrrZ8o9 6GQVA0fjLc01+03ZNQ8d3zcBtqqsXAHwZLdVe+I6/3Cd2DD9zXJKW3ySg+Walrs/m+5TYrmcO5NE pTd9IJkI65grDPkJM0vWkYIYeWdQlyysJmTOiY8XICSwuOlLpBMQNeLRxxjn/h5Y4872cXyXBMDb O8azyH+UTsbVfd/YvqOZp/1jpPxoXXDA6H4k1x2aopE7QT2XSRJnQHzM/R4MVn0+CdNs+7JYB8g0 piL8LUbOL4NjljIXR3+QpCeMeNtEuZP2JBmxfgHdtFh8w3+Wt8jff/mXO0Rk/Qw8hvnr/Uw/Bm51 xSzCyfRoETW1aPYLU57ipofTiQ8tn0OPl428QuCWKLc6wGpRssfwmFVjM9/mWkcGV06QGUjb4ZB1 uYkgYT5XL86DG1R3X/FzxV2Xt2EEetGqKvEUPkq8nyEF3YdEjbjy7ERLuO0UkJDL1pvxRH2MtPib wr8z/lAjOx0ymZw5Fyh+PX4uH6wngoPTuEhx7eL/R0+ySQnV+yIz9c+zCxne48h50iKVnwBPgvpo HmIvslTVKdnTwxkJrHO/wYcGzwNYGRk9ygsbZfkx2RAu+P7JXX/BiaLAkYX4WHOvbeFNuofVcI6D eSNy6YIvKveRyc3g6cEqBjFRGcCK7BBnoPIP2e19QYtj3Iyi1x4XI5u8DNU/qMyl4hUhjYGkTNNK T5cBJiIIj/IvsQcJ4o8a/BRTttHfvw8MusoX3yUJ6L7fv2/nakZnzEYOXjNkOi1qV0K868BmpJf4 QBJV18s7FegKJi0r68tzqlFVsFcEkU5LPyLtQkit1Uf4KztNEgMR1EADJrJGPm5y11itqOFFZAuV F1+SeUmMF1Z3YG2jryLPqjUGmA/8FNQsk5AaLH5Pg4MJY1z+yVIW6v+ypG9h4ZZPrXOxclkz8S5U wxjsQCZTkbe1ZHKdn9fDABngnk6M+0Hh/gDmD4C/CgbbeGTemDXE46qQBe70sBrwMAXHnMpNGk0N qxJqXV5kx70Rt6P5ZaazDM1Ns2w+rB9UMaO4HFdRC5C1dAoQTRfUT3c04dP2S78ObSsBDwFQhMcC I7qG51el9qFBNxRn+L9+H6+egV3MZeMXfRbvo4t/iM7XEaigJZeVyilMvfQoknA5NR5lTS3oXcDq GgKDfNdfizNjU03As1+qbNpxvWiII3p/RbynH4vKzAEUgwQcQPydyYM4bNp37gJmK7kltHVUGLK+ WK97SUw9b+g79m+MfwlsuJgPzh8xUhsrOyRr0v+IqnCO1JP29xl3Va2eTOqYi/HOTo+aTO4So3qz 2lZmu9cu5KrphsS4byojrgwaVOTCWRf7THGGabd1ex03NQ8BD2U7/F8LD4Uk4PnpCA8FP+cuz6ut MKle711yuWYFK45gwm58QG+FD9jyhHqj7cVCSSB/F5WgYcRDB69XOmye+GZkEXmAjdd1TWhSXhq6 vD0kYIGRYhdQUEhDwJLS6+ZGjS1yhrUf7LqFBPwsZ9gAM+b0J9mIE1UaeyrUiTUNvRRrbGq42IGv PTv5C60ojTiqFLeyFOuIDEkSXUHaRN4fjdBoJXi1BFOL8nGY6dnAbmYxck1LitRUWkFgbxRScyGr H4IqZ3hKBVsaQ0tOa1TXIUUYl9/OlS+zAkltem6ELGsa2iczP/EUg0kUwxRcvEv0MELk9qx0BtpT Hy+3HZbxE0yMO8rlQvU4aU9GiLQdCprVlTauu1bGqjAP1Maseg1+lqAK06f3rNHTQNlTtQkDpMGt vOrexMBXCPBHLh13BOQFUNMa2ZbBoo/pYcAYGODJzwamNxBI56CWfEWuuTivTy0/HovcRcxAxNjD fEb46RpeFAQrM/FUMdrCLUpuZYhUMNVlOrB2uPl6Js8l+Ewu/YO4s0uRzSwKaZykrcXLC69JEs+9 yrfUJhZkd8peIviYDjTqVujrtzj4tWzf8Fzz7H3b5pGXGjxE4bbw+9xGE0WW4yMHfSmoVV44yYz5 SpMZGzYnMLNrJmESjO/XKpB73L/TQ8gzwPy0hiCkanuIUxdAWi9trdMh2we2952upxvqFrYul5SY LwKoG1/25xhlemto0EVeNj7GyE+ETdWuBoVil2sz8Gzoj005K0VaPG99tu14So04Xt8+6BxXQQ/+ 5cZHAGPgjqHwdCj5fATMqp566ld9jwuDTiGaKZYXd2dQfSfh7UZZV/O8deN6rS4MwHyyFlyRxc0D 6JlzyMmeBW6dgOvOjsikk0oXMv9fBpqTpFhiA29neL63WCOw8HHU4z+cLj3JuzABco1aRYSPH1Tu /bw1GNKHziuHoMI9sAITPcQkBCY0PGGRSZigBR+JJ4AukQaJZRQA5eBPB+1Eus6Rilg+OTjWRBPZ xZyZGMDqgqGFMoTqy8dSnThMNWaEdzRkLyw4/HnSK537ReyIVog/awn7qcCkUoSvoLXZlBpiM4Io aGpanIoBze6SmqB65wQ/3soicsu0zzthqrMZG2owGTp+UyfgDA2PeIFdL6D7bDQFAhpTV5rZOmd0 BGzvRkAXpXTOOvXOmsppP2NKceoQ8iW/wb/B4Du9C+YbPGK3BtpoAvjbJ91TUf5jsj+qCW9bSRKU Sl5QyogOyBJquxgcqOBsrGBWSLL4QaUzCsTmoK1ngOP9OOh22QWHOOFAvzczz8jFEFcnDmKIIbwV Pc7wglqXeU9TogXJnWs6bhtH4c/mCjIiELXCcjl6rq+mf6LSXYRxz/FuPCSl4g2sLGoXPatPJLc9 JK1I/lINYTvO27gcTeWjO81A5v5LnCNcsbi82eKiHjoG+zHuxH6riaq99aBVkyVvfILz1q8KH4v2 M02ucC7QRbidm02QnMYg7U+2h7SWykncc9RLfpRIyXfpck82njaqRp4FPjuYYkFwKsaqGGF1V83Y jPvpBBuaXMmA0vWU46DLJ09lQgUdILsccttHKkxb5NuNyufOdq2oij7XjtWu1D5na+2CaVRp6Trh QZK9ziJ5Hwo+q9FQaMYkGmw77W4X/Bb3lGlk7nMIfVy86WlIagoElBJRq3WTwJSesS+8JEAwRpKs dKnlX7Qrmhh5dow6t2KvSdA+edlRXRhe8Z+WIYVnR7HdPZk+LRfvrgeEbQWJAWCmSoOlBWqs+mE9 aWbUikO3ik0RtPbDzFMOEOFRKtw9ohKxcjmYYv+R4/+oT9JT4IGLoVjN4X5KsAZbJ2cUJafh1OMn Kz2DOBdrOlWfr7gzZjdhGPTfXMkN3DdkRPZZa+8UKLfCm8VLV3sgAk7lkGOS/7IvRiOvIUexva5v QP22Q54s5C2M8z4LgQvFmdXuBPt+0+GTr42HN1Q/I0mMqO5Nr2m7VfyhIDC9CUJtf/ohPUATKg5t bEca27R+DOuLFJlkDLbjqvUgohKRbmyWzX0kJkWBIH0h1FIQWz2IRpqjFtlfrg8AxUhxwA1N3ZZq FaD6g+AAcMVYIh17V49pmCEkZLyQmhijCtOkbzpmW797CCebSdnTNgpVCDRx1Vris1aVaZqOcGKG 11PkBE3r2ThbF2MUROQOW2szJUQcooBubLSYtm4N8UIHe3+L4QjGMdStkyWH2ZV0zsoclYNdvUqt tK/oY+tDdlejfpMgJufiov4nohMkYqkFthdds+TiK8VhrWVB26dW3lla8n1m8JGBD64PH8ZTmq1c 7XefbY4xcU+ehexPEQ3orl0o3iHM0jjjCtBJTS0ZlOEDB1jLq0obz4lFkcf0bzV9Emq5LNwSM2jx AzxmMnoSjxQrQgne/6RCFuYKQKN7fHaKhfiCXit6C4nwV3e0vekksPovyMiRQ2wrCdj+IJBdw9XI sUyNMj7pDWWZGczYsbtFItmM/soP2ma0mG+/YGBtL0KZID6ZNEJeHaF66kOz6ejy0L/oxIRphcBi vcSER6wmCynJMQrTpWh3M/aWUsHs/YyIF6hdYDRVurIQ7iCJxNoWfB0QXwM6v5dH/QTuGEaz6G3O J7bhkVzW2QZyLZXIaAUQrt6jIjSNLZ1g+CHVK+NZ7zdsTmF33/GkYR3ud0ONfxhOm/eAjJ0+vlVV XlUuLqCsH+TO5KcQL5MaCHczb7l3qVPYX7+oLib+aQhgFrrPo+ABcUjAGW1hG8Rh5WCodPkCgAqG c7Kj5AMb/i+oFc6+YV8ERDjx1ecWyZtFMOryCIYLv0RfJ2i/ChfqPlYz5sGQ1/eLtFRxsHN+McF8 YH7l6dgwPkbNd8l2tZcCEpLV1J6fq04zAxHG70MrxLxpZ7KLURtzoZv7DgfTj4BBxsSw898WOQzz BO138lrc3QOj8BKmqNUQLUGpxtWM9+deosGBO9T8hG3QRflHjP1E/nUyBJ8d/MR/qDS4+6vyegER 8OIJyAKCimX31gWNpwfThRA5LaY+tqq8Vi0KNeG9VEV3rzWpNA4HLk1WzHLE1BXCQmZ8DkJDHpoR RdnXy1j4G34KuAxTgyiCEMHHTtgrwqVaUWiZRj1D+7plz4HxJNxb7+nw5qX7vbv5C6Bs3jFQ4ZZP YKVVbLNWbeDZ2X1Mq+QIHVDJHLzUSOHMt5nk0oj0BZgJ4n9/kWZjuF7aYpeNVHW1fQ4OZGn12WvP wo5k5yVFcPNcd0iHc6i7QeoO/vPRou+KtoN0tD54kbC0nBWzXMwcW9AHZAc2iZHgkGqp6aUhlgIx /5eGCgRtvkRJ+dLdNQu7Df5j1mjQ0nnCR87bLA+44MFEb5tnXIV9J9mzdIsSzczLSydb+Hrr/Bq7 9Otm6pwN5cwSt48x2aAnILKSN6OneMFaOcBT+MHLoyShBT8Dwei28ZLnZDQ71JLwUomzstEBFzju mmrXMV8e2e2wYsnFZn0ls+tNQd+g/daasBzpv1GGc2Ke2WbGSVW3osXYLnGqwf+dn4BpqIsYyR8F DmA0iRWxaxSRz/Qxr8P5zQFWwebL543S6QoE/XAzb4DCkR8+ibiA0iRdBaGm17qq5yup5GHFp8oK BlXHHnzv/3xiGVDDj8Pv5w7QPBNQN4PAolqIYDKbsBnT4OXUiBGia1J8/1p6VgOzM8AXYLa1RvKr GuMhiV/d6KUTk+Hl11Vjl3uPUvXlS7SU0LRAZ+5DFCi89peg/3IRFITXHr+RrIY/phhQ0ZDZmx7e 5+PmmS9ZAczePs54eqwyaJAEqWvuhK1Yd2guyI6MHUDv+KAtoNWbPM1rH1iUZbSse+ztvMxrO95m OargXv7bci226U+YSqgwh8CPurKMDswq+rkQ/f9mQPEDCDoIXphulLdtDmJB59vYfcobCkbrIym6 E5dojuivglsHS4AoPtSkw2Nmt/QlWtL3rM3RFEOyejpgj9gx97q7zvsFbJg+KCH5yjVXe6+MwF2+ oEndANZdiTGXj81asHJAT/DVjamaUsF55EN/JKPT73jbmZFmXVD6fqsR9ba0d+d+Uza2/TYYOHwh BM9dkCIJfFzwcph+DdyvC49l5Nk3O/KXbU6825lEsnH/bmO0x+RdE7izBf1LObCuEghg7o5mhMto /t8KQN3g8ZwM86DpnBt/pxCJ2yTps7VPDL5P/EfZNypRMM4vQ5euQZWjI/fOXOC9aleS9g0aYpXL 0JA9+nSTeTKrVdWmndLFg86qGvvZRjxrYX09QtWetzegVPKRt58ATT6wqya1NdeIgKFvAkwIiK6N P8RCHze6JWU3f+EaGYJPENQeMV4q8/Ve9siJ9Hx6mAZ4l4iKDTdGtomOe69ErorKvztOzxYs6TOz 7b90OUsjZlj8fCnlCVHF8+wLt1pZ5B4kSSK+9RhThTps2tcMhf7TohZBl/AQ28pazwxPyt+UxPv2 ln8PPDuOj2Cqzdg76u+EMbG4xeZwF680usPNjypjK8mheUguOGDx/eSFYzAOAEqt+oYXUXXO1Kdr S4F2mcIhuJ6ucQsylzYLx/Z8zQ6dh22eO3qDQENEIOpOeiHvL33OM9fp45erT5+mKYAx7Gv8M+ud rBRWBuwxfUJ+eyYZN+64aOV+WO5eRbqIAesCoij1J4pRhMAr24CiiKeKmY6vhpsZOmwjk3/iAerL 98Hz+xytZnKfqhwcEwakHLNz4ZgVa9bSlR+P7dDIBfV8/ddlAcIYOtjKzvGg1L1Z/k8QaL5KxZnS vQQoXg6KQloaHaJucbrbIPYWW+QoNFMUoK5x6xfY9pN61ezaKPgTiJL6hfjmFnVXWKcaTn2aW6b3 NNCwR5GycIlWOdP89R02jztKxrp9pt+njhdga59m5ST/yfl2vc4Kr2LuY2H67qfQ4MkI99xega0B +CjylDLpBWt9csTAgsOWF8lDhi7fjrcbK8V6zaMkwjOPaT+ddleP8FTOhJ2yuFvuoy8OSI52Mo4D jSLXmr//xTOezCuuo6FWTaE7CwkxNGPQrJqH8m2eUzuxbjtTiNJSlcpiUsE6kOZqlNPPFcZJpwRv xRJ2UftCy3mbtlE9Hq8FJrN8FqgNOvDFr3UFiFtuBLz94/tETqOz7AbfEP250d0qJxFOURvvP2hr sKD5E5BqxBNM4u30r3Lqf3B4G65i1O48xL4khUdqAWdaGs0jPb8bj6DSv9TQR6QWT+PU9LrgAyuk u6XymSXTc5/OnfTSazXi9+nl1hojPssoJcG1Ak8p9pT67FjBNagbHtAkMxlLrYek+Q8Ma9T9qz2q hqJypPLjSPuh35hi62n0igNDBQDh2YxYZRBeEt08nodVavlvFD/QnG//+qyWcKtENE731Hqn+tJe 0k5f/jAXkVRo4n2iIX3ttfwdABb/5MCrZpzWLmKl5VxKLDBmETqyur/8EzlOOWdLHRtRTD9g0kxn +rfvpT87/P4ATxt8gCN9Si8jH4BaCRg3aZAQEHSNkaucrpRPgDDOxaxelonjDgjbquDZjriktSwK i+KLe4fAb5uMGWPTl4+vC0cOpD6Doe8+FI5Hn0EMeu8R7UbeFqc/qWUtUNhCjTyAFGixrremp59t ncOpi7TMCy9j/IVJh7lGl8jY1JRWSxwwi38CiD/fvEZ3cz9rIw3lVw2zcMI8yaC2LavEh5fBeQHy W3RAUixxjfAjkynF/wCxbgKxkQ4JEQ3MTtErdQiWrK/22MB+WCVCnHN+8FId7ITkipuAK+xQ4vut D7f1rAxx7v3cimOC3HVkuwAEw1Tg2NmWTMPSqyUUKTetPJ4u369SN36urC5/vQKmaX7xmV8W3lGC bYTa91THO4aUZ+uX9J4Q+iVgcH4pibGRf0OEz4Hr5+cfLBqXznBNkqtctTJzsJu9GvOhUFXI55mF V5+MIv37cnkl1BdfXx7f6XpDJwuRDtvJf1pRqWrmE2vTL/1niO4xqNONSF+cgAeN2PU4SeaNwWTU h4PyaIiG4g0iUJ7/0HzZKe2rFMpYnDzMNm98Ll5Hdlp6aM1NppKdSJTI7SWvDh4dECxm8tSSsXyd hBnhQGSs+wq4Ez1aMEXwaqQ09tVWhwgQyZdVit2Vauj8kzoLHqbA8PDBili9M2Auc89IygLcIEvS DUb210rXLqVxoj9J1E4dg2w0KGzOHQgBsfhzM64K6TzRG1CnzsgJmHFpMTZ33bpmc11yfMXNtOAK Y8/urkc74mzW63Sq9WtLLlX1ghAjd1AMrIXq2tEDeswOypjrefIj9JLk9Uv600FIlhFv+fPB4yCK Su6S/tGw1efMFCo1RUVQPS+p/E3syB/+7cs/WKRVl/XKcx3YAh+xr1aHaB9SVO3GAom8BPfG3Mpx IPDZOHvI8AP1ga9oAMHhG3AeRXF1FcWO1cbu8jWlks6rFPujOmpjU28Hg4L9knJmEpa/pBi+ZUdU 7tx2ER/uH1zbB+DjU1UZdDKEs9jn5WmgM5srnBzjEGjeUTfsZkJua1inAMnA0rkGIOFDEuANch4i p9H2M3Qz+IEr9184ezwpOyFUIOeSSloIfvCaVU421lXXRp2urtIv9RDrc+xG9RFtepaQ/7Q9hXbr MBZftVsVFtl48SmQXbpa0Kt3YXxJa0sOn5JlRH99EMMJXqThQO9CQhhq9vwGUAxRGSAm3kX31Nvr EwKDAhoprYdg/augq6j3me8wDWPrtzqK1REcLnqHpwovkTGmIY4PCxFf0OH+LIUauGThLCROQ2oQ i1JJ23bTM0cwlxSa7PqypgiG4Aa4qDmZYW2edSwiOaukfxTM8ASrWlJOsMWLANxmRG6wzAAfzlNz 3ENUAVJUsoROhZG3mZzInVy60e5ByUBM7i0Uve89H8AGAX9q4FOMTaLCLrIBtEN7fxLezpDex/C5 L6sfy2NpWb90aeJLzxYM/GxliFzfoM9TuYRib+saFwtxVcfb3vCUlO+zbjbZWoVZHvD+Qst4xpCC y/aVrItEJLhq17ydam7JvNwY01VKxTDgH3MpqZR4NOfWpkHmr8nonWhnNy5nSU44pHWeUNgz3L1G eZwW8WrHbZJg8Ts0/AifxTHfd88irICkE6YkxfG6agLNLAOTBMpUo6Ij3XPDMxyKljqV9bw94zyH AOalVHim/beuu3+vQhlJdi0pGf5beED0wEP7nKzlPPgh34Hd+fItX33EMhtINLbVnz209zOHj2Po EFe8pOI3LJbdYQikhri339Dvl/JKIf9Fdt3001NbCBGxP1J9dOMh4UVW5opsJLQlRIhAAxAvRK2O qjcKmSnCi8r8tWFKIsTwkFzMOYVAxbGDCprzar9bs57QKyIrDAmBjZHhmEQ/4Ei3xr1QOW1fObuJ GdJSM5XHJDmGyR2a8ronMZtCAckN04o8Jbn+lHcIt2R0JMZOdQosHXgmg/N83lfLqXmIAtdLyu5N 2Eqiz0IBAQVGz0WAqwqEjlvjEtPrmgsJ9w7safA+a+bKAFuCLJqV7Vnp0M7LJxhSpWeUUhdSZhsf Ja5JsruSeWND8tXaiiNjdsefL2VB3NjBXUIJyl7PI5It1Dsdx3Eygqf89Sg/LEmBmgQ/jByqD9VK e+H3aeujjpe5lCh/XprIA9GChfhc2ne+crGs+OCWB37ZJ09exyHtDj5WWzUUxeNujKy6cvCI/8kb +5OyPn3F8bgQAi9CTZzJu0KoWRojGrrxcwHj6djoDhFNVR+Q96tsBLhgpGmWRtPeME2Zoec9bVe+ BTDsK9mCD4Hj3mbQK4iyQuJpWyYEVjP7uJo3HirJRjNlytaNc1EEGdkwUbJQCDxdPRV9wxGBVkZe OoqAhtKzEBIuEmV/Gi8jyi7Eury7RiwKrRCUFHsjKrK11RXp4ikl15VKdgfB6XJ/umZbw5aM+Fqr lJ5pREm522ItDHqavUi4+EOsdp0Jq6corbDbbZoGnr00Q6EDxi54lNQVGeQqXZ99Cfd7Pyzpap/a z3Y8kmsJrqM+UuMCJ3cLyBD8pLDJrjTqrrUgXYrDZO14mHz3YRnChDWSrzJM9ikeF3cZMRhIT3BY WdcMfPha7XLGRGjw9CH18yTuyiJfbXG3Fq/LKZL+XFkybBtbY60R7ROQrDXz06OTZIs7Umg/so1C /vUlECpeeSsglz5tO9gYr6x9OK2vhngp2htFDSUk2TF8P3Ywq47xvv65Hq43cekHJvKdubyVpHUq g+zCajSl6/lHHuDlSU6VqO2dEC+cOnbRfeFBp1Sc5UBdLeMQos6fFW787Az1+leevo/do/zP0ABe zoFcGd8kzo4fRFlCJN18jftly/IH8hH0Xw2xJXT67Az2Dl1+aHT/yMlXrXb4wGnNbW61/0O9fzMg XmTRB/asO7lXVkbhFvwmsytPpM4yFgh7y0EyLyz6GtndbDxui92uR9GL1teWxYuSjn/rL7lirAd3 UP3a/BhTXVBr/euwpzMx2mP+hzNxGSrWrBzOHObL/FpT8g2BGOD5ge+PwObvqz1zARHDF5itOadk //X3Y1thrhNJYAQV30iB1GP8rCr1IdQZFXUvJCKOHKk3ZYSIIt2lC/q9txw2YFQdEWZ5J2Bp3d25 d7zNUkL3KL4yap2hSujmcfHy074OH/NN4vLSsAsWWYBZpqsRAeuyybd8KkI8Oyc4bn8xyybR3DvE 6QWi7Wf1yNFsMXfo/MD6CgYMOjIaE0vGJ9ib9xCiS6+BW+QGD0xq88NlJNIVoPuc2V8FAtYgK1pt SdH1eAekr8n9WHmftUoMm0AAjUXdhSRA8JPjGt9mM/dqyooYOJHRvxCI33uQWeb34HOnxMOzQw2J 4n8V2q6UQPa4D2cled8KXVMwURtH6TFU0dBvlLSHENMptcm+2/EHC2mHQqDpyJWnx3l48Wtgms0R 1GFFWfL1yqOvBR+S9sBQP/hKdrQXgbsqxkLSZR4kXXPo+yXluP/Tc2GF7txGZ7MAw/INE88rMxak Id1mHA6t9QJAROQ3cdGbD5W7T8bQXfJeyXw2cLxq7HUu5otWC6KxHsSoYyoDwe7xiU2XYKyiEwZX HCDGZW0HxcAaxYJV5Ha2GGcp2G+PQkrIa5xWvsz1fhrkHn/NrE9qMg63yPV3eojjMQ0EsPkwuEgM ciY7yjXJt8yixXLPHuGMXuaCKqWWFIYD8dkI18V2QS+r4OjFrKGJ3JgQ68e4CLLhDKlpkb5GB5UX VMQqhmgunn24y6HFkXMlltGF0maOKJJzECz4OIQ7LKealp/2wenZ5z0NXiNqTyoVe2fMfV9x5Sjx dkwgla4KYktcspHHOQtukcSiLf77deVBg/3TGQ6cU3UUu00D6loFgjAnTaERjoxqhPWzsTj1OkcU QYnk3v+mAjIRdbFJSNkcyc8icr867+mrh2DXUrti5xRJlYIW8ANczoo5nPAJ1byGq5DFAYOlFmkl ZqUyr29kDOgyiu4GtITMQtHVDlHCzwIOWSS1/4WLO4tO8sCrNqV+wVbOtnX9us3/YqY1BYw5LjvT dPnjy4q2DR2+K4kyZpA9TM/Czt0BPRwrP/FVKJYkQZMlVYRBP0J6ZSHcJZpviFUU0MuGtMC/4Xhk HD8YZm02fjpuJFAHzAD1ETi15tPByJlCeAMjah1pD8YCAMge+1B5dXGbWHE3xSXqFe/Af7b2jITb Bw6mvH5gQhzIaVF0mAyA6iwLIE7MlEXUl2Qo/cnEnXbKuBZUmfNA9fVud5rICDgQYEaqPaa0q0bp 2vZYGOKn+IaKr82s0KFM6396rOXGBcUay/2HnTDCxhh1M+s00JBsNQKlzJMCjBKBlAvenaKzsGHy cMEIgZRoM5ocqWBGY24UMw6Hd1F9Jf7rEus9/f+CHKGGiG3edTNlqO9EEs5AwtV5+AqRaPbw9df4 pPZBSdRclCqo0iCPac2VnMAyP0vaPDd2DeNQydAXzG68rAemODqstvBiqfqEJR8gC13vHbYX+LNw fVCjKgdMrICK1eJraeFHexkUkDCo3zHUTbX6fV2t7by4gRistcnzHMKIymEFy7GEXmADrhp3NupX o5AGdYPpCowJs//QOv9bmqCS02VP++Xhqck/1FuHA5Zx3D007nw8xrXACY4GRUfp06UU6SmzcgCR ghWLdfNt7c3YRqY60YEP5n4WeL2ZcMZEk6j18wJn6JooYbgBw/1kLPBcsUka9buKUtNBpVACG4YO xMtBYXS2cmOuUnjNuftTox0xK1FJ6KylyBn9G3LXcD47LBin2SIjZ+vitRoVuzRSE2boLd5x9+r3 F2L2ne+1UIcti2W15CpPsXMDL+LVQdXZzNdP7GVHH/4S92ClyR7dpxG0R9YeAaNCI90SvDs9vjhq NEdPAT20cbgXcjVw9Gn6MxZ/D7nZ8Ap8WVA6eQlshLFan5A3/iP3kHR4Iy5xGySZdavutpgws2Io 7RhsNliadzB9kzSkoKDlbRMd3parsbC4sLEViBzoYY+g5ySX65KIfLm151C1ysLrBtGywsHA+2Tv lvyfeZbQh4ORvc1kOVY+Why9nzoUJL/EwVLpdyURT+Vpsp05eZRV7CUYHaqWRfTxPMaRmBsLFcek Tby5J93goPU4D22KVjsBnglzRfi5KoF6/P0FwKCMeZ133VsIu1Eh7Ifypeza/5uNSGDGkJmIGUR1 o4ZJnPHXm3InHWx0nEPVl7BqKCfU4Pe6essCXpxYfm+YdiZTmF/EAqtBl8NPXyhLS05D1gickQX9 bD09hQ+U+2f0OX1kgNnQofEg/pBKa4cTnQIgkf0ZJDFw83kf38LUEUCaQi8zT5w4X7xBBrfhy1k+ oE2tltBPN4WnT0uKMal2Lr3y55FBGCKw61xkZhlkp2tyFx/n6w6EvUHDtJRwhhZdm0TBFku5lAdn krdAu7AScya5nYuipUrfdt2JgC1ssn6OeNkHPOJ8IlYgFUXt0n3KBayshDqp9Q+5j9Hl0HJQ300i wq61hedLw3REHFJnITQL3otRL9ohyhoNLCBeZS97nwZAtIvmOU/5LwjdTZRjAeHaLr6xaW+pVlxH vfTtoUHc30OEywBUB2SGlriXJ/zSMz49aUFRo+EN3Ka7lpBYEzq80zLLMB0DrTUdvLIu2Q0keKOu wTjp/DVi4bEku9gSJamN5Qkxsj+pbfQ3pFWjYntP3o2wTAQOYg5fpHotFR+n4mF2COi4o3Pdan5A k2lYEJESJTwbt4S+Wc+5FBc2tSOk4jggG16fW+9bsGS2lRO+lOoreoEkEG0UEZ25CZlQn6LgcgBK cMOOlRKIlstE7tjZIBQDPjV3bi/QKF9PLwbsd0xnstPArWYBekVzv3JtdPvMYxSvCSq4YBbSFDtW Z1MRlmvV5Xf6MeCy59axB21gP4Olu+mDkyVX+KFJSzyL0rJOiCpjrQlWB68cOyNwPSWmEjMV4AYP mniepo86Pc30zCvjtej6Dj9eFK/lx58O3ZQXRa888pAEHj0Eu5tG1i3b8QmfWwIL6QzfYGG44/Wm UNCy7w0ZIWLQw5v+17rbMC0zUfXRzy7jjKD1MPZrJre5AFL0EWIUmjQHaQGQJve6+R6W34rblVu+ qE+6aJbWBi8e24W2tqU/Tm8uxndCXAtWmRvOq6mKQEKd7uqjnqdVxOFzwJABB3Gkh2c/P1Dydzmn DUBTw+f3zJsnXw1rFyHLNGduhPJB50aPr4cjHtj6VWFMkI7kK5Sl16xlp1kKCvWYZnLZL9TBbA10 GwLPcceUdruS+c0bmzxY8JR9luH6bIXECAdQUzYim+iC5bxs3z6UYRwes48kA5Hj0OqnLxM1V1+E kW336lOYYSG7ZQGsB7gUxHGTmb+5wqjowQ9YVnf0YCzNpyu12YtDv12/0JnsbosFllHMybPkQ8wu JwJMLO6bDZQkuA5GtfGVg1ti0vMssCyZ+93cjc/rMAczDRqD4HndamRm2cfJ4ZOrNnZucDO4x6Y/ FUvk1Gq8ezOmQEfMSfWTixUVWNgxv8DUDQ9iyCMrfbi6MBQFf7jiE51NEeTvzeqRfqrp1K11WDTj L5s4uWdyIoYmn62G5nkhZ74+0BAXKIYI/b6n2p26itjdqWKyPwVDsSBrkDe4AQhvuIzhk2DsGpyf QXb4Gy+XbbyURbRE+XYxL9IDnmOlRsBDShAV21wLESm1ll84VywZckT4FLiwT+MJ9FsWWZNb+Aem 2ZIkCUDb2Qc13MrmArW4kpMSHHyuBhY9QUzuFSO+qnCDY7c/a3uszQ4dCm2oqDXYzQluKQN5tHZM 6p/0Qi8fXpH4OBTn8oW9frLK8gYsi6HbBluVSIYyW7Rz2leXArbfsru0+ZwtPCGnAedV7g951PaB /6hm9FYkQGEs532PFldCiPys9CIPgq7MXAZJ6ff1cL7C7gYUUX6dfRCsroN1/joef18ay/FAK/x3 RUFhOw8UIyk/5Ete6cRllPeKXMaiWUsqyINkfpX3WWElHfxxr8l7MGjK3cRpNfd4OQ2yX3ADOpnl 0qKotdpTSD/UIEsAKYHSAYI2HBP6N58BiC+3ovRjiNKJlsVcJprRMqKeZEy2dsdY8yMB/h13W4w9 VWJzs1w//vk2ClsZ0ALa5VQyXFnEFaaS6pK+aaTkv0xOJqB9FRsFVSt72HYrhvvp5cc0dmCqhMmY ZWiD12D2evpfCnmGZuj5+ay3+wSHIiaYHwB6vgLYYndFT+up1wQv612dlZi5liwn+dX6AHSAOAbN B7MpnNqsI4uXC5Xb2XlqnROADuMlAYcHzekywOaFkre74SKiVtUwn/MXV0IrrVCjSFBjGEN0CXuG 1Pjaqe3K80TmMGhkCdX22V3knu0PryCwDWAyo44NveSbIgL6KJs4g6gPpxUQ7aQ8U7wLnjGQqNIi LfQAb0V/YqC6GcE55fcMTEoi9ZqNOA2l57eRAHjaSk2sdJN0GnPpicI6iF2njnU5r9r8ycnJgWsc //KOiqGK4DAIt1VwYJRn5XBoGSrp/cg56Ik/8lSIcdAd6RdqgII7ViQng6iGoZWwENaMwYFI4zMI vIkH6XAhKE2Pheh/f/r8O2onQCoxRa68XcKVq+Qe7E7NA+fZfMvHwcwWghk2aqG4fAbzo7h+f2Uv NEOlkoYoQw4jJvn6nJS6H7wGYi6rvdoccYGFN2RXhR6CvBxM9JRjHYMZ6Ive+REmwaE2PHaas9Kc HsT5jXezNGMolDMv1I5Gt8sdLuyFEYBCum7kzd30Ip1Aavt7CboyP+OIMYSxYD+il+Abz2Vn0fwz ZXC8tXwJoUEjA4jGonFzR22bYkdHr+cohfLe4L7HHB6aaZxB2a6d06h+K81aEUZShmU8kbhvrAfO /jK2uyJ62E3BuVDkEGDHuLe1jGnCkONI2K+WMgwhTW1QULso71ELiUuz8qs9YiPN0fnFpz4DGdXP LDoOGffxhyth5VW/8l9BZx57CYGU9p5YMHJJ5JnyvT3hq4Gem3wM3UHB4etzEjenEj27BlB2nmec XxEa8tblVdVJ0wV1LgBLkeilPNdsI7zpDDC9YgfsNtGYVHXD/DYlHV8Bk9Asc8y08uWOad/l+PSW dR2vIddCn7LMvO5Otknfpbek/m4/C1VF3M3YIqUzCuzN4iFzI3DCLwLR/nQK2XFgJijyb/FKrEjE +RwYXtqO/Fa3y5hiCqRYynJPDKf862i3U7Q5nceiWFiUUY+sVKrpbYxQgurPbQlRVIl1wmzpWgGv eOmnH+bUl3MxdEMmUQDXO2dB+rXmorGaWeaSr3zC+++Y/PTDuLVUjUogaW1LiCBAVBW30biZxPga tCidaqf7UeygvIGTIdctGeWgrC3y/fKByMXhbAYEVU55s0wQkSqw+SZWxr/2F+4OZadER6E863wr nmG7O6eNwSQCCg56nvmtjm7cWtdpaHxFB0gWJrX0jN8Xf6HM4smO8+LTmF6JTKPReKqQdvD8TQpj 7rCFrIqClVSgjpS8QjNstMboKHcC1H2ZcUBxAQkYy/LNXFaR4qGboth/ZqflemuR8hUJcF2Iq/yM 1oM75PhF0IotM1kTvr6g/QRhaRR9Rjf6ojOp1EfMYlXFHrXiwEtXVejQE01lbGMc/r65Nngyw1X0 vsUGomP1R51fpP4J1IW6ryqhxQEoHy1rdkoc44/TObMrKF2lNFa/Aa+4HdxS/KGE+udHjG5dfwnA rzpHyUi6mXUC2JZeJzcsZdfA69t7FtD7OiK8jDVG/rCwSp+IRu9F0AKs/LViK/r4VKh1iuKtpRlh FFGXZqp7GVtdcOhQ4ECgZwQW25xsY7bnWKx1JOs9VehFo0H0QcSq8eSZalykCZzEf4LMToyghug6 +gxOaY4+xU8ZPskOIj4E06DITXrbyXB+OEjai3bJEXmNyaKi0+SiulIPW5MQC7vlmzwOlGbjk+VB 0EbPIylFadM+Fx1zVc2vz/LYTkfJCc3sFnRrXdO+cxfbfZAU/FuX+208fjfvOl3GTgBDEydQpJvN jPqQozOyPBwesc6CW3RQ3p0FYS19rHXood0sYoF7RZu7p8kC7ssklWJOZpLck/OBQciyRNqNcm4R XCMN3N24SRG50SXu/ph/LUaYmxF5//dANysh/0rQH4Mqjz5bMQt5XHscEKdQayCKSDH3r/NZgBzu hb8f4gryEH+z2NDrgIB7ZMH9THUcK1peYfNzInmq+EdR8R45OOvvg1vQx+RmflFvJy4z5DNgFXVX zzETJR7O1dz9KLrIYKhsj+/sQOXF15UfRomVI3/+Drv9H1zN5jgHte+OIt4jExZ9z74Y7EbMeU1E rXS4FtDXmDbAjO3TWAmc5d0ig0Tybxot+sg4UWY7w0Xe9PhnXrN6OP9Aq7ilidW1Zn7H805maRpB T+o0kmxXBTy/bjVHkx7LQmS/I9pDuADyJ9m6bbXv/4HSb/xvT/Nq1GQxtm+Uc1tsJ5xeCfm1FRID J6gDobqnjaTa6ZjSxtIDT7K6dOrHvdJJ427xFg4MWz2jDQ7SzyIsjmSEK1p258Fjp57kqZtdH/P+ mGnaFiiW+VQeW+L+NnsgzITaVXSPb8bTbzLG2kf04LYekCBY5+B1cxLprhJ3lyBvyTfAdGaNgmc0 7PmUSjXjIVDN+7THtf/J0azUab2uJwkBdyRiQ8pXpGKDWbtsr6LKaJs13gEC9fI/IAoGxDDCBAD2 FyUOu8HTJ4ZwSpf+ulVUTqrSLAdc4WD6xGyxO4F5MURmJ3p95BcFezv7ZXWIC0j8gv//Whm2txAX k9Meur7H4YhXdbF8FFacWWXCOXJC/NALt60ZjcKmXdIMYMSC0oemnshFxJC3Fe4Zq+LhtwHyzbOl PYIJQSBuG4OC7k7CrmZdS2Bk8JkGBExRvaKapU91vFufyCp+boGPdjEksAng8vuphVjEgUb79fA3 xUHB7gW3ifIDFCfaQCRbGjjjoaaVyo9tXnVyLEj741+aDJND8MDH/a6fa9REDnpyECRfLIliL6Iq NbGaJhlZ4JEvhvOI81WCNMVmUhOGIcQDX/OOi+V/2q0UFLARXprIJb2WvF1qcnECWkKeBbkotf2G K40ohA6YXdP/MU1IvYuwRJkko0QNjDucRu/wAlmPSgAQWhL/tV4c3lfgcDxujECXJXgLYHQU/gmK rz+vGG1bI++dCc8PD3Prl3gTep9ajcnES57ovIloJVhKwViNq48jAfwF8O3uWpGQaOS6yKwc3dD5 +QB3Ey1ECCKeJNOfkBeDn8N0AVlyWowODX6fjqvxYym8A6j+WZ0GmP5KNlHbJqPgtraeBwuiFGas vcZfbBO1Fv81UWX3I6O4nW3+FOZqnwvm0jkYPAdiQG8Z+Kx6Ppf4JBuDMa8LL++4nGzJNnHTzVqp U27eSpbiQ+JgTemqRHNzj2a4WAwLTa1wIoaqP30a+0u6J45scI1a+DO6cLe3xTSlRNwM0eVLbRL2 3LeTa1ZDxtdBpeL3IR81kBG6KcX8CXdxSb3knu5GmgeCtF5dBgQnsY3YALlVC/p3PP5JAGB+fB3z 66jxfrfJzvo9jO8RIZPPpIHafrIiTomqfScUgY7Gkt94ns5ovzHiP5fBz4NChHh2YXbTyZnqdJh3 bE4O9NviCQESygAIlYvuB69YgHsTlmJ8i3MwxVeYG1mD9n39ikuZrUkl70D+xSkmE3N+BYUra7HH 4KxuwyjOS6GP4tnWPwuZmm+lK56+8HJvule8jW5U98GYBQ9rr4DAohUK1ZY1/MRXbc3gCxn7V0L6 n4vBbrM7ICpgZgP8VkoXQtl9955mdFiLu8ThSX0yrG0a/TS/6RrB/IVv7XE0D8mxIZLaJ50noK2e i3I4LfTCKvuOWITEER6QjdEokKqNeLNmGgrTHfHG622/fmZIXtRhfaI+tNsrOBlmkUo9CgFOz9vD 0n8a6QisXueKkEWV3M4k2jaXWq2jNVCkJHQE6S41sf3Z9JNC7ogLeYw0z48SJc8qpVzQB02oCz8w RFp+SiaS7tN6zTi73anWrjsfaWwABeyypT2GGK9jYswiHhYU6632YM96lt2KEATwGBj5bk4DL/bn MSvnnGWwwyOEFDHA5qDu9pZ5wnidyqgr7UaJLqAm6HMt6kyqUDrTGUGKVLaJI2wheQcwpO0QHoJ3 QUecpMx1N2+Vx/z83xz6cLedfIZRA+dLTeYi5QnaHdWpFMJ9uuYEMfmqiSaEx1q780FVKQak0wNd XdeS0HbAtXRUATKu2Se21hS3Yj498o3327PMJvXyCeH3vMYJczcgun0z2n0vrX4e9Znda7a4MExB c12gHMp3uQ1MfWPoo4RwI9BJD/I9D84Q1k8Qt5q/64uB/9/k7Cjm6JqlSxp1rAcqxLOiaI75pZHL mw0b1K4a9LH2bz5RK94W3H4BUr+Yvr6kebIM8xuUGsd/St8wbM/GCezsyHjSM+pD6B41P5DdLMgo r7rlB8Y3p6b+7beC6tcCo/dUzLg36v/k+r8HlZkzFXy9uwf+7dEJDIRwkrngiRXbUPrUEq0P2iLg Vx5V4F9/NKKYC8gTXYeOJIG7dNLrExs6D/6XGeSytD/vJjVVulMKmtXtQWg4ZxDcmaqJ/s9vcC8u e4VVu1PmghHY3lXQkqOpPKREf+Sv0zepyJANxwNj9/+jxFFtPM/j3gDqVdPQaodncB2e4NXCnsqq Bg2K2/VNTd0EX9biQ8ysycDrlDrEkeRhrbFqg0i3CaSl3FtpjsRVgToVBTBKQTeIQioRsPE6eADw IG4o0vFxKPbdQjRFDX265sGlvhTmIXVBuv7OiifVXVq031WDtP8HiUfLfl2lvkhKuapL3D0rkwqg v7ep7iytv9pme8wDsmk+CbkcRu1xEqZEhMD9Rj0mgqGomorFicaD1FEzk574x8zE6lX9EmzJViPO aIH76tKTsfWDLk+Xmxz4hbbd+Tj921j78IkV078psAfKH4FFY0BSavvWIwMaZC8SFxxGLO2pS1ey OaG+N8u6E+cHRBuMjHixr+9md/TbEEkpKf2lFdEpIHDj3xiWHErr2aeO6qtJHpDkuz9rE6HAqcTO CT0/+kni1XJMGjQuvICJtDaaDsyOr5oISOgDgMkPXk3TxaLnJ5OVTL1vxqgLDpbjivcI/6F7c4Ui aWqEEmhHURMs0Rr3SBjgCzCDkCHyQlzR+h1O+nn97Z6Ts8tqMoHs0Yl/KTP+MTLO3NVe7R1RJx8z Ze8gHJVPk9Td4gFV4OH9EjQ14q4acM771E2EQ8YQKglMMjX2sPYCCdh6XNPXz6xD87ZR7yy8UZUi z96yVvSEJ5JoGrKS0cqdfBt7v9ahTcvpj3rOHXKUOpE7oExoIYOUE3Sk62K61MUDx/P4vesrZxUq Nu1eHIpHdGtJDpgYf7evu6E8MQNaw4aVpJ+/lQD7/s60Irg41vPPT0I5wL68sE2uIbuLid36Yg4f tLo0Ss/GtZ4TwZc5A6xMLZtHmT3TwE61yvcVqk4+ZHHtblAcihZlSHYAQ9Nh0s6mKI3FmTEZJiK3 05z/Vn5H+QbrD9N0t7mrv/WMUWhl7dr9mRMWRA+3D0GT6nH/u109poLlWtkOErdDXRpBlLFcvkoy g3qPrQjipS3/SeMfWo1pevOpCpQVzDrIOI6xHRISrNkW9JJ4+mQj4ddsOgkCpVK9DaO9BhceSprA u30YHyfzkvr3xu/dSeomAEPZkJBMlOhQvQdXpmoC9tPnTlkjoFUkPA4ClRnQAcCuh29+Vb81sQl0 AuGAfb94cZe5U/tgGqKD8ojfGv9D7jvOQB+JFtnyZZ2/5hvBlObSRaGVsEvCDvlxaHSby9i2VBUa NdCIYb8QkpFCN9eblyrKPPtGl15YvW3lS62uWitSopsz7ABnKRPC8InmfMhDpUKbivOBscrI5zej Sx1NhNmgFZGn6H3Zz62PXRG5+BPM5h4MxBn0BlW47PDWQJgifUfpTI1JeVwxt69MvKzWA9R0Lael zlNyutWeZKhKgeienKDV+g9BXjDmxg2y4MQBKUmF9S8RND5katNWzG/MEl/I2hr3VBy+D13hhp7l EgwrCUutEZeB+9PdFpwddRta2dhRLCRZeVdNWrfoDpy1L8R4wJnnqAJxArGQWBOwodzdwneNBHnd 8fEVCFR9DMiT4TNhzo5rxRK8UPHgxsVyNp1FDm/w0KJ+05VHWCZSfcExPCvUW6SvETQ1GdvpeyvZ 5ZQgfvbB8fKhEsBgzaDp+sByw+i+WkI6lmvBhfeg99LeilfSRgW/hOTf9pohTKrdSQM588cbCCUk nVkuGhlxZmDr92mRxzN53JCRtbwoCkUfTOKSt1UTiEWOv2I/lUkcL8U0X1FQOzZwFSaivydQH3DJ g9D24Chsfji7hL2veqX4l2AtIIZpb4TrFhGHXPdsXhmt7vw2yhhONmKlQCAHVHyfQ7OnNizs+nS5 d5HcB7dMIERhalsyvtZ/SzZCrp/KbTvXtGUj0FC4V/fnGAEjnBSYQ2OKmLCr9UxcA+r/S0Y0+1dq EvV5ncnhu6S/tfZmUanJ+vOZp+ejc2aNBY/gmvFHE7ww4Srbup36l9aMSK3adwG6cxSbI/O1UIFd OUzZ7D81brKTj4ds/rlA7o3b0qN03qhfZ9Jq+aC5O4QsLMZoMUA4TObakGja6IG/17mEnauHDGkx aB7p4/II0MM9CWMCID5ATDeeDTSJ38lm8RLRcAcUrkBdctv4SLy2UtEuX5tk4NCMO2dB5Y6tXKMr s8soKfFMvZDpeqAP/v2Qq/ltBHtq9YvPIcPocr7xm2b8G/NDYjllVVsNhrvfLhl8UDRKE24c1NJL F08P4CxdG8NhpWZWW+hK+BARr9YK+gjqaIUquIaPTsLkp2p/6LVvbqzY8EunbLoTSpPwf2xUccXk pI3w6xc84wZHGwfrO0WLYSN2nTmRDD54QRnIygDczOCableHCw3zY0fjAuzbGK1RJe8x4JFWooxC mgTcz7b/2CRjOES5OsGg8/GeOqVgjFWHosUEzJZcamjMTEwSzQR7ViUHQ/4lz/Nblz5fGCDiJd1Z VkpdUStWTEDZE1BfA8ZvxI71kdL3/aoWjz9PLYz5S1TSDkiY0H3rwYBGu3eou72qGHVYEQqGU5Vl ctGIjvV2bnniHVeiOJiNPNFc7Tn9eTKURk8n1KEw8yX1d4F9ikpuPCv+/YlXTaRVqSKCfCnlq1+Y LKFAOfbeqsI5kc2DcCjX/I5PKAF1FwsP/YfOHsVgNN0nWP/KLHiAfiNYK2Qrk/SMIPI4Vp7MHTqj ADWwLWR4eGy+e2a/eU2k/wcvYfHb2y2hhxsbn4RYoUWX5c/OrzmsTKc95JzuRal6KWfvGMoDI7J1 RKEsALDZ8uWWgyOT2lP4tllfT/9BeCFav0Ao+gUxbKgDDzDj6/D0h+p0emDn8XXZInKkeO0Pu7uJ GGZMNuUFNVx//2/AljKxZK2kPXghnX+d40xk8I0jGvwnsxTyimYTAZVgiIBvdtwzkK0Hnx/CAqvP cr4/SvT5/G/wZkA056BIrq+1MFwFeAOYr7tpYogqZEF9Dj6pSvXbohgHPwnoc+4m6mlP6D1SArYl fRGeYqDZBMCrdYa/4Hg+xFUv/XDRp9enuxCeaQYB3b18ds81c2GZLiM+pJZ37S6MWJtJcXbrU2NN KTF2owdT1od+jr72nVL+/4Q4osATOhgPw8ynjjGF3E/H0zkjXvGi0dgI/CqVAQyWNr+twrytWwES u+yxuYvJpyNc7inKw5GarWc/5X9xyuXGCyoxhK2RDuop4dcTfh0+edEKj9jIpD2aMCt5MsYqFj0N J89lH32kqtgHM9Yd7DfoznZxSEE0hNRakHFn3Sqg8/Oov9FYQ8QkwtgF0e2u/Rv1RTI39N5taDp+ dIVqfP7l9mbTPSGDAY0+yR1WZiDGzQGVnTHWFKZx67jsK3pQ+qi8tWjdeQF0HfSxjlSPefYzG7lf bxaZcZa8HCgApt6xqEJ3ysUPEWaL1bPZUaikf4lTl1YnBI+11rU+9AZaDUwxBEV8xT1HLajbfwOp wNgmeoJ9lqY3/eUOpppp7hibHk2AkJ5L7KbtslILmBHK4vM30GL7lqr1PIS5orUzd5W84ic8GQzh 5pod+Ze6VpPhd3MJmG5vKyUNGGRvSzQyC6wt9HlxGRlP/UXXHUKgDs7KnMDv7JsKYY31EK0bUueV NvzRt5pxgRBy6PH6oLXQYbnpkkzyAuLvjRz9LZEGLK3BpIyR53//dW22owT1GBqcmy5VKZ6QKXd4 XbVmpXpX1jgDoIeu+86WhVVAeMpg5vTb8u/CzL1k5fJKVjIR5dcmxfZv0YM2E7gFKmJikaEcd7Kp Nf1jsG+ol0muntNDOBx6B2E+uQrdchKs9pgIO/yk9gdEG1jnMC6ae+pJ+5kWYBzPT4uRPt2/jcLf GqxMLIED2f06v4WTqYhy0wfVJ7kaLrQQCB+HIgVTMFOaQBKRbZXp6e5impC57tXVmgEbLi+d3dxn hpqf6gA7h4fAandqPnh59fQFQ8Vj0TGUYO2VbILH3KtqbJZtko5IH46etem3mVf2PmVfKh2Ivut6 pOJbpc2w6xTsyMU8PUExhoqAVjL5pzFHVQrAPvHrGn5wEOx5ci9cMTAk9++tKIEYjNf66b24c5lA qqmNhb4PB20gyb7WH1y/opR6OeDrQ7wZUQDJQNF2q8W1xJnh6HcLuuv5kstvXxuEiq2obJHBpaNV l8On3tAqoTglSPjhQyn15weVp8df2UrX2UD7YRpsHCvkUUHnYFnHMblUoCiwORCzSMY8CZbTFZ2s A/2nXh98uSPGZlhadJrt5el0ExKQNFWToE+6PgCK2uqSW98SpQRV0Cc5wVWkqc6TTR9qdBUW1BI7 BPpY4YduFLCFNNWMJRgIh+yV39TLHbxinM8QR8mcuNzuU1/bG7OpA/6t+iF00s5e80oCG9KlSGEv 6pB0SXiqxNiMKZUSLOoeNjpsGMV/0TNkcrA9pBDfGTIOL7WR2SMzlLjssW9husdtDHBbmC1Bw7Wp gS+SVKfIRkwhhFbSH8hP/5rzWwyt9dyT82oIVfjhlqz8Lw9tWRGFjLPZD1FZ7+rKtgTbN/IVzbLV Kpp46OKdN6Jhycq053awE2RkklmY0kTwSAgULz43yZ2I3zoB/en5dHPyWUdBi7h2jg0sEe8cSWUE 89Mr2Z7jSHzt7XBAFcaWaVgmagecxF4XMz9/0y/sCbJHknHNlSeiGkOdt5pUAfrw3XGM+7qoSEtS KdCH6zZ5i4C4glu8Iil0fP+EK0S3ktv0srqGHdIG6ubVa0jdrrb6MJJj8jOB0dDWzKm5v4BYnbi+ zaVFG/g1nyQn77Bwo+dUN/+Zq0kZlCxRcN7packIi38dlG0Wie9X+kwMItvoLsjQfHhvUrKezCxF 5UOgigf0WmNe4NBjY7XLAiVEIA/Fh+w4/xUdWIz6F3fFxqtIsdqB+Z4vToFzQxCGoey9V08FrCpU iTcFl3vpAPgOSj8iJGQ5dizyWK9n1yvB/PadjjQetpn4eQibUkhhAuvyMcNZbH9xKvliZvayl+Ni ys0tMQA9i0RHqiYR+hMl8tM2cfFz3mLGlgUjqZiZXN31gkL11Rx3LSbYznWc/MrfYvCjwbFWNff4 HNotOoiuDPXCZzebrQgYUl0Bb3Uet7QTpM9xRBv6NoC+EymjqFraZ1MmrmIrKFzDOnk1fVUB8aiI 7shwaKNfVDvQlyw1K/tXDtEOAp1BwQQ1sngZT/HI4Q+KST66T2vwjdEVzm0WABdNTeZtIGKqT+EU pmaBXjWWFlA3YlY0Nac0FseocWbHoWHluEFqm9OAvks1HtF+5jg2fFYfFNXNq6hwbK6eS/yEo1Kx JKANaruN5DMuIj9MzNLBx/40T3Wz6Sz1ji46RKutveOaUCBMFrd/9Be2rBCaL//ZKP5ksxhqm4Sc WT0hm+39lQ0zU0/EqdOLmThIRcLJtzmTMElW8YMevs2fWS+aa2xTbE0YoAOvu1K+xmJTwqWV8sgi fMnL98ulWvDtpQ/LxGAf7xLSJbTMrgBx5NLcBcvhzjoIxjWHeXNlNOvXPydkzwOd/eD/7A+/0k4I p67g9VMS8lJ/8gtrfuc5SNu7MxWbiJQVIqlAUnAiccRk9hUAj/96uMz2G5m3F4Nv1XiP0s8GBxkR lT1pNs84gHQNMe3bOSQAsVnpokzveKlLVmcA7mj/5HFGrCTHyUFJh2h1DdQ0g4HtnFBH2zTzDrht V8ya8tzC4YjwFFFybpuqVBFIB70eByo07dP/B3z6HdwpOBvdS7EvGyBESSwAXkMDJ9EePvAc68ey V7z1QE8di4O9S06+8SWS/kKM6KQrPzo2AnaheRxTcJmyGf14+PX7V97w/T3DFlwDKyPKY5CYphMn 2qqsUCJ7Ba3ud5IdrbfkTxh3xZU7e+9MLLAsMy2zIu2DtXW0iexECcYyNht1sFvhBvTsx/MHjs4G QYpEjpWYu4yphndu5xf2etpQD17xuDaA/84vSCa1ghruj8dYr0eUMzWgRG8kN13cJIy4eMyBohfx pjndaHdnevY/LhBKKbss0Ngc9KWXCx87dP7cbtOMD52hHFIs2Rsxe/NmFTIzwCkF2TiaBq18jKS4 oYxLudeuQQxA/Y6XJYAMFJuhptKv/GAnXrRhDIRHgsD7j/NfoQZHbIYhZ661LQxAFg3pAFuB6O7c 9yu+EilCuDyWtFiimfd2R6xqvlU46QKQisp6uWIX5V9K3g+mu6xEDFsEmafnG9o6il8OjsO8hL9v EkgcQIky3FPlf2T9G/ruMJCUYHdWxslbkIynrKnoU6EO9j/3q/vR6EA5Nx5fQUDIXUWVOqvt1mjN 7gxlzTIkhoGwPC9OUUuEsy9zf8Dkb53VwxlJ3/xc8AUFIc8tPMVp1s6aIGTCuSAp5hJvupg2vWUN ty5bOSKTBZc2yTsTDzNIy1T/vX3OT4c0tmbdg7nobjAE/2ElgJAGGmvpwcZV/qDPux4EBABcuCbD j1UAJApVPCl+OGPvtBsmvhMrjk1ySHmk74c0leQPegYMZ4THBh1fKFrsAvFHaK5LqlOLmd4ALer0 kijj54590lp63hP/qr1dsjTuuMs+YBcMnw1PPwbNcyykNGH1pPajw3kMzfDAJj7r8etMpzSXylYc 5lvBVQrIFs0hbyUGsQW2AMg4PiNyj02XAhJlCLlv39sToFUCsXdQeS0+B6aojxn60i+LeSv67FCP l5PKPaphfDLOcHniB4DAaalYWBkTXWAKs3oeEkuqEmEre/xxERYkKNyOYonYROa4wVkApC2D5a1D OINgUpml0SMpA1gvLwGj5g8pVZpMwM2HQgMdiUuYMKHetf2VYcsmx5iKxWu7HAGkcxUYsBP5c3M/ JWtTdmJVk3klJ5B3Hl4WO9UPrpcRXn9HPz15dNUU9DGyj0bSspvs5weubTxuF3gRfOXR+OjoepFs ZzSzUejpeI2YCCqdjq3fwsYNnwffgk5AXO2B4nKqWYPE1QGmqPw59bPR+5aFBmUWtKVWVWblNx+r Ane1DNNrYxAUNYkCgKxEUghNAdEfC5e7oKBBIhNbRTBAXbFvvg/d2C/JPokxQ/gYHcOSZwpTFeqw OMzriMthm8/DOniMwIZAlkR/HWjAe3ReZV7WLsXcUkGyKYMxr3B96HGQGxgCzg5Cs4180cGy6jVk B9UT5bKbJqPDl2FoCTR+BXoOpU27/eOPX7UuA72ZE9qYltxbpGL3necWr9f37A+Z7pgiynouTrOT pdr/6C0KctHigchaSwCtnLNL19CZnpLpyGGRgA/1Tax0SZq4AH3a3lVa9hGNOzN5gH9V9tzZgPqo gqrzU987lMx3GeQJQK8wujAH/lrBBIqr+8rIbG7+E2MpN2SyssKkHcH817yRBfob6W4hhmnKnXdd EYXkUWlIpXmA2HKN8noJPlDWXQD4mslObLdq+Km6UuCxQWIP8ymQCObPvWqqXQk7TnKd0RoFYeRg PEKmO4U7uP+qjuYGx2PFK3ynsWgcvtIOODlQBqZVIsYTRyNh8L7UvAZ1ZhkmCsP6cnNTJJ9XEn4W HC3rLAomeZUdLzxG1VlY6F47ljZvub7BaIvqsbQSzcs/7NCl7vEB4neEiWy+KXl7mC1k7sUJj6aM rmLLo60SdOwP/AiIDbLew3qqZKR0489EtUKefBcpQAsaoxA8Ff72O5RvdT8DNs7Uwcam1l4t0k7V ohSd1n/UL4sK0RToUa2gysV7vufB4xNG6iBaszEVLLMaVw715iYCDbWr0sK4rqZ/xhuVBoDZcL5l RpykvhU9xoOCgk4McBRDO55RkjD18D2IXOyxbj/c8Y6y+Nagq3rMxRZXjDSia/mHzh4gQ6KRWRT+ QUhY73wQjGBFCgj5KHiD1i8ZR3C0rnCtCjPtnYV50mKDY6sTjbGhhUOzwr82b7Bk570b/hcIiPdv dWvffuUwS0rtN4KIZIlSP/coVX0tTg3HYL44uShpfNlpLAxwbNMxfw7LzKhoV+W5gt/LeEiPQo4I ePCJruHAayY5TInZbJnWux1BpGHoPPWukaVPr7UkivvDbLDGot5GXvx3B2wTk5bJcV7ykQrQW8ax YNBDUf/0HTW6b4mS8kwa8zvD7dlZFfvVav/WOlOvStqDzs9ve8E9Kyzq0mLk0FXBEt1Fb7kEXumh tXra0DZJ4u/bffz6poUyWKJyPEF9pi61TlLfj5TJHu30OYwYMZC4YXmlPvlwBVdHrFG61JCs5IAG KXCxW+8xuqsPIIpPOvXAjJyYn7mK5Ht5bIRWCwuPXXNJCOhpV2TK/S8gTxC5VapcJp4XZ9dQ0+ih OdF/kl0WUYyHs+FdeS+OZGS5TANPj4iB0yjJCf1031ML9WJVPbzCy1JZF+biOL8O/+aD0xE84dF2 91O0b3Kn5FJ/Nikfi/3YCFhdeGwxa82ttc51XJw7Fi4i/do4deYpC2rE39Ic7TIAfSlstgOeXnlc nGDSHMM6134kXDyO0jF1+HkAGGwJWipxQgh0YlyhMF15pi8lA/pJO/WSAl2fOqpZCGwdh4cmOBSu m0VfihHXxgUmAch0/9XHyjZcYbZ0eRhY6EHzvMEiueMz0umIHW1mCe0DX7tdsiblMTGVJxl9cpOn VCt79aMCor/7g10yBB14bRJGiA1fEhLX5lTBv/Z4mfylwq+H7AQETgim/zW/Lf8JkkHw3+w4Xp/X 5OcbBLc0NbWqm+ZcnFOiriF9sADwLZdxmeOyHpbqqyqlExZ4SN8OxPa/1z+9K8/7WnTSdN2Vjjy2 +Aig3qSqKTGR/CKet6dOEsTwv+tX4OratDujWtp+uBRaRnbKdRtWFDWwCcFn5D8PKzlKtn+QNicr wnp0qUjYn0yjdVPbqe62fJx9a+fZ+p1tHBaB51c4fApzOQD7TUfT86RFDdgZH92VihAufGRChrHa JODv0JTi4mUA1qIZfPDPkM1W27l0SC43+2ty/W3e/vgeWFMIyRmyXE2CNFd/GZGgX0wPa0nxPeVQ Vvgw7cT5Z4D1IQ/hympbTRbMRsBQdnN6K1TqYm0zmQBs2nbez0yQmHKXGgqJS1UuSd/qbacln4aI +yfkxHKd6cJP1RQoSSO8v2bvY09TaaFIM6K/NQaQFR8hWYebsIc6vzJw7uGktEdfqIRta3JGOTmm jJk2i3qSxdUmr/13yxqtyN98ArH/qI4fCgb0tfahH4UEGfkkYT1rIxoRulQrDze2XBiC8KFuAgaa SqWQJ8pWW6e5u8KJcK9F34QphK2y1FdZJYoHymgSPZr1DixPO7CQ8JxviheV9nxpPmTLEae+c/gG 7o4pnr/pvVg/Qm/LKM5jivd48dskBOjRTOiT/9B+7GT5N3tWbtq7t3ZDzrfXfk2rmSWPTCXdZose 7Q9V0xyLFZTNAGk6TnzNgMI804lM2WS22a1zvrm48Jv06UeDMlfQuDajSStouisacHnBzga3vb6X dHTnw48isTSempISwGBhsL7iJfMu4GGLewM4cwvFvqFZXCCnCYCY6FVVT/2Ab16NHTZ2qb6zVglo g9lD1c0MyvUEsd3Z5y7i3iHRcDc0CzhP83XRDLx86mqQ5AI7vR888JgLbUNAFYMNvxCKlwQM9Vw/ BL53Ar9C5VQYst45kJH70I1oD/QfeTuhi4SOdZ0bxKweZxqZtaWBg5+C7Rtl7B0FXiIr3r+vlUG0 +ckG2OP1B9J8yz24kqFGCxq3cx7tcaGYBUm1WeAHsTzKr71LAusyViq5C3VGWgKtxIWVbA2rMPDE mALlZcNORNV2WnLLhKbcVCX2CiSKQi5iUGJj+4fp8w6aP6bVOAqY6F1YjoSrfH7gdvNykRsdSVFe KqXRfMUiJkbcdYLhssvJAOrWyUFSgnUB1xOj6IaoNOGeUryYqGePS3nKlxJApgVG+Ih2035ou/B2 eLAc+lW06Gi9w085Blw04+K6XV18mIeW1cd8PfIV7FlbZFEoWhwGf6ZBtZjv0JQYDtFKIpdtGzu+ qQTfb6+zpN0q8K6tUS+KAQrPN+all+53wR3pjy7V+Be/2qnlIPOO3tGwl2jDhITgmo7SKfnzzGQF dZwPnzezkAZbq88rz8qrhaWN6+PJsNpRK4R4BeWTvR2oND1BDpOxAQdAX/buT4X5TjlTCHFNP5pU YuJH7+D4AJS8UTcO0EUvb4sh8XVwDNDWkNVBG9LmDRRrhVAAH2YqKODMd/zd2nL+nO4kZ041jw17 QIeFKxIpHLFOq/C6qpcVRJQh11GE6Fi8W/a3oaXepD+PF6ur/6Q/vmjW6Qhchrd+y5G6246hM/dQ Fd8uY+zOsTFR4MXQwB/wXoyEAihb0bi7MZLhcEtNhQ7f7nAPpIk4fuVtU1U8dprBpqGl0xVFzivX LJvIWFjxA4HxClMl+0Himpb8Lk4GKPaAGl7IZIqYGK0izf/syBdaJyO4LI9WmQEZfOawncHZADn7 am80rhco5CdlUx4Pa/oqjpaHWKv4LQ+p7KP25y8GVFNRMtWGoZR3IjPMMEopPmLR0dgkHURe3tti Vtx+Y19O0jxHnbMIS0Jlu9gp/zUJcywtr45DWFkLi3iylxfUKlvs9sSHdX+88jna6NCAcr2Uvh4o NJoWKcZRsCbL2uvDfbc4zaxg8XrmngQhvAyiFhGTCc5e4Kvl2I9n/O7FlHTGWk8SY0jwZK33Xrur iIFvcoG8WTDmTyZ6R+r7YEhmpYFhE1qdVZ/HSkmF39gxf2lF6u3Rf1vvW1u2+vblSfqrDoLrzAVl qoPgARjBFNptMhveMJh6oWeJ1Ha3JA7gk/xAnL6b2r/TKmKWPCGA/147sBsdMJP2D7X2u3sKGEGd T1Apnae2QxJdrZPtF+Jbxx/UQ3U1fAINwL8jWIbinfbyElBPIdRUtI0T94wpAQXsr9NBYQuxWan6 hmUAeWmQNoWxS0RbPZqLCeimdJTlGFOuKzyrMFmmHk4blj8vUyTj8Jyr59fNiGL03htf9leRxgZE nMESxJObt0JVBuPxeTNOr+BXlq0A6FuFBSg48wJLY+uqstJ4cajksCmhcpURP1r4ju7akV236GGc 4lC3pTYUe1wq+P3qzR9jKBYJXUMivZNmK7eDYvXRH/8wGgk3ydz75u/sDbjE37OHk0x4xy04G5Wk WqLVFtDTeB33C429KlgyqIrXS+YNy6JGBjJadbs1tKQdzMTUmjUKBHDSagXDGMWC6C5vnAA+baWF 90fszXd/WFx6sB4+toFua+U8pKVE7OGwSHeD7fnhphEh+egtWIoFxMncZVKEFtkC8CFfopqWIoOP LjgKKdnHjy+sRxoQDYBMtieQ8SqMdHwRabF932NLzPWPa50zEWOqQSUrQN7KtXpoV7CPZcU/o7BB /0MNSFvSP+n2dXSpnO6VIyQn8Zn1MVQL3dXlafQGVF2utweYK/Wg3g77UkBGl3ygbajiE3nRHHfV 66SrUaaDx3OyjWuhWi0GVb4WwBDL8/0889TFKcWQkjYP+x60i8znL6juSiW8vmWx/QqPzoIv5ujD 6j0/cGYwUDHzLO3YAI6LqIjMLCtw/+wotR+IlKXjs5WAMqG5r5JgO5oPNYhJJjQ3dN+RwBO7QF2J ytOay4SpZ68IHDtIJ4lK5teVSK54rXVwljS/MNDC6ua0iaNw16X3R2DqkCtuS3z2oBCATAgYfYsn Z+CPHkl8OLlr4PHIkelrTU544vUBJ9fX1aRSR4RRH9QWN/pmwU3PlXRq4GeqX9CSwlgpi0Tj1OCH 4zgb04UXsWi2pzXZ7X1F+zqOQvZUioa/IQkfkFGOKv23DBiE/8n7GlmlljvK9Z+9hzfQBp8se3Ig MIcxh1HpkSMZFbvVsoXTPGmlWerG4SJUqlW1wXTcr3oclwTDwvRZBNA/MNDgKroqTDbryWlPd/vS uQqI7v2YD8VyEw2rogi77Ak3LvSMSiDrc7TkCtJWqBZunewhiTua/cR8GgzC1uLWlYCg2U1Ef7y9 WqhOCjFGlki+Mm9ceX5z+joF/twbD9MkHn+r2KcfFbI8UmzB5xcxzGksympOofRyFdjSrSp1PJwZ ZEonLnj2LN90k3I8k1WYOVDzaCKGCOyTFEViFf8l3P6POhzaRrtuon6bp/ne/EHTis2lzM3M9Bb9 jA2kB62wPZiqL9C2CYRYeztuE6cJp8HuU7DOfaKtM0KFaZAAqXUeSBp9t9pCYiNrpps++aKmcCyw 5jA//x3pfwL+VDfdkLFADNZtps9nYIedGdLFIKxdVMyxchgl9MZPcpHfSS8wl5+Ld47mVulo09nK TAV1JHdvvO/tILZXWrEo47zRYyXBVe9mB5+YZklY8LDG0BNEpDqgtGF3ig6JM8mj6o5NGA3Y7UAa MtYC9H7vEFZZKjesz7S2Gj7ciwRWxJ9noLgKlr36ihO/fFFAc5zgj4hedyI/XArRUtBh3F3WNNHF /IWZB/xQLr3edBfrxH4QQcFJKfweuxmgxIyFWpH+VMH1g3w4ev7EBgrMGq9ss1gdi3xQpV2WMEo2 flqCsKW2Z+GOfUynnY1Vz97JiFerZ94wWtB8fz+ej6Ha6DT86KP1amYtQKROGkT5JdOXymCZZAKP sN30sDx6TooNj2eSw0zHcmrbJRpwOKz9TiIUjtC88fmpKzPst1iEkPcZ6fdjFBPKB+/cUSVAv87T ipiVp7v5UicrRM8VpJBacJF+Co4j/21boR4vYfsdg/yPkR9CEVtnCbrgAo+EO0150+cFbPe4l8Qk v0W8EehzJ7/cayEwt2h8tc8KE/UyXoH2n8n9dIN24MDfQtExIZIlG4mWWRxzFXpgKfRSG6JsEa2D pLFKcT4DyZylSSGj0Xfh8HJNCF9Dj/MMKw+a11uXJ5quP+Ggufjy2GRWsxo9HUhyevx10CQqW8EM mogSHFaEzRhCfZWAsIOTn57I3iwsfVP1t6VJlCsHyA8WMMvBSXORYS2Jl9l1jQoqkey8DYMXNeLM DRr4rkDQFxhBoK0U7qTKL6Sp/g3AycoykmVVZcDRQV3tpM8J5h5FwHWHhW1UTtDIKIf8Rw7f3Ec6 2SM+Fbjd1CNCvS7UeOVnXHMSuCBWrxyN6YOFybs9hw/ja/bSH15TVzOymQGEyPCgRMNrhBzTf76h tjYsa9CCVQzh1GHzoT14IxtQcd/mub+D0RNcf+hNf4peI9kMzEj1eXQbIZBPzSFzlORrk7JtHcXZ uiZZRcKiFd/YvDLNpuu4mYrOZ7fd03nt/oRFH9LevhcEunuoOsGO6VLOyBCsY4Dpc4MiOvzX5XaD 9Hh/WESFHKUiIrKG9qTveuie85AQyJYfjUenUq/dWY0b2dWn+hmBm5Y9bbILAnr5vtKx/OW5ebb5 Qmp+pddtV+TV9SzuCDv03UCM+KdzrbN9wF/zwNTsF/x4PSBvtMYmhkFb2QfA7C7PQlPODfgRqF96 bkzAk+ASqjQuM4yihqJ26vRT3ScSve4XzjwYfWkP+C5nu47TFhYw35Kwu8gG5PCcbKHq5Ny1zBbm 5l0GL76XwKk4fbrbK4yz4aV0hbG2tFRJmdVbPLQS+83BYJq+6HmPpI1bOZ1n+Y6zHF6TyTKZTNzb p1Db+utW5kEyB97XmwVjGwhZlkCph5T8xBCdCFt8kD7GH+A/4Se6BTvdhJ/0czrKEgB4DSfeJMEa DXOAE643bCilJUbF3M+Pf1SUZ718NNwc94KUpWqoGTEMvDTKHV+URHjp0EtNdewsiowLQvB7kn8B 3i9DR1aP6rg4eY4NFbOyB4yqt0jEN4DarMGped5b5JDDn9qgHyLiIEz2QgBFz0dNR2leUQO7gTY8 AHtPjeIJ/D4FJbEDS2rms/PkT2cK4jIrA8v4GBF01/QtgSe/2Bt3LzOk2KueDemKNmbPd06T44wI EkYLRLqSqL9VfD5h95CymhwURRSTIeC0WQbSQeDag0P8R2nTEsh6IGfxwbY4bPIXWxug8jBlmtFL ki7ESJkbU/WD7yPdwV4IztZptvRgeJAsW0Zi5P901prpXUNP9DfSxAYCGmmxiL5/AFcUXSaDRnmL 7nSRep/IvhSIZJkXetkpNzucV8UyXD4w0anhiAcCFq4vPcvv6gOELwAghTS+4HQKqy22u/Lz1+q2 54PtfsbFvs4BWmWnwM/WHn2vbZsOfyjfaDIfG0lKJ5E8Y952IlbQsDmHcxkKd8BiCrIptxt/bwtO 5PmXROt7WAULH5FthmBoEmwdwJX+a2nMy07fIeKONHptORnmtv+3wbGCFt9WJjs+iEaSXSLjTfL4 MzjGCeTr8VxVzdA3lwdedaC+boQC518vCD0Wa0RvQ8tnp1Oo9CzPfhFqF8WxkkJ1YbOxmdJXxDzS t+XFqiXvDG71JgvQsWlL4Ju5S12ql3SiStexKmScisKwQmLR4hXVSTxhdOT1BL9ushafjzqYXZhP cMgezUHiMiaj4exqU5tt+x25ouA6w3DxGq0oAH5tgPWzdcXypg8lWji4OPzx2fN/t0Ha3zUZ+Xwj mGjwJcYDPQq8ytmQuhmEi/sYw7UWCwsA4pfBsGB42SSIPjkYYPIA1Th/Plsp+SdGrgfEZamGPr51 RAn8pKih86m3QpXwJ0cdd06qP+kKeI68ZdA4A74534PC8Uhybez7butFYk/C2wULCSS6h6OLMWcc Z/us74nFT5FERX1HwHaTcec3c/ZZ60sJc/m+CIenUAd9Nd2sF0qungU9xgpMPp8peJ/M1I+1gLu0 jMMGLfv4y4URCkom7LkqDOmLICZJGHUH/L540eWwx6MjiwQOLeZN2V72bEFFQQ76lC8dmj7I3aEc cBwujJBU0fhI4LS3Udz1H8YjfSOY5CeSMAstO7NhJm7/ajiJ7D+57UAHSAj74TxMehuBFrTi/7TT knIptWdWuqnxA6BdetFKrMrnf+JEcr862B4u6zs5wt3tgKT+NxmkILLe5/lBToUQAh1Kk4X70Vuq wGDAsPefhNDes8pbS0txRy2JyUHBs1Ih8VvipXQEf/M3xuF61F1Kc9zvslTc0SwU5kCRbtGyvtiX 4ISVeyvf/am5YDfwMzp+C9IVsRxCCbnrsUq4W3ppUL4rTYNZjI5yVXHThhp4rqenQXcKui5mgBKZ vdBZwJRONPo58ukU1sLyuGoXbH9L7n6yUnEve/0/emQE3mruVtjmXIFyYiMB8sJ6f8e/HbsoZkkb hIr4kyf9NLBrQLN00X/Kk5osqgtkg6B68gQvwLnxzUjtg1DmEvbhZtXq4fKy/BEX1WRIKsS6pvou hRNXEuj2n64XruRdrJic0livKSwQWkM1wxx0hevEcaaRotGdYUt7JET5NaRwRkiSUhU6BUeDXJUx HGDkYxHFlG6v7kaIGQHaseugJk2NrqVpmO98Cmytx/wPwl2SZRfiYIDcmzfDmemV7EqNF6u0V9+5 X0wCF+mUqyJwzEiCszQveHotFfYRnLsx/14nkTf5BWx/laxWupkspRtFAf62g/2Tnm6dxSr4zfVo 5Xv5GihmCJa+UlYVIVBq+fNSL3SLE8wUKuDLZTMl2qBArouDZkQfQcAJ5Gz11TYNIubuWe1AcjRM tqOGAN20hK52DWWNLoP8mHoiZSvTLcmI4jDf8OpKs3Jl/Cu7nCTW/WULat/LsbAHX6hMph9Kq8mE pF669bhWzMS2JO4pD4b635iOwKOkTo8QRtFUWMsb3EkhtQp0FDncQUlUY76aEyh5TwpZYfiaPZ8w 2VYbCfv9u2Qa1cpMQS+ibYhqcy7+RInXGtecsnUyY018pwkyz0eKvSVWUHam0TllCcZLKkEzq9si +1qO/DC6mwImo8oc21tCEJ6eR8YSs7UUTNjNTmWX8FrhEN8cbusCc7JC5IJwmtcEb0jB9VPwMZFM GVPj9yCOaUye1/Q4Jl7DEyDzgMKKQjYoZl3tscNp32MYqSZBVgL5I++oR/ev2PD7ERNdQJNrsa9y 8C4c9BCjT6fC8iwu743tDBwcZ7LV4qVuYXiA/3+nlSH5VXjSdwAaWCYacq/8nLMNHg4cxT7B1MKN 4SSs/0tQoQgjBaAsPThWHZLKb1T+9AaJDQfjqwcwkM0nQRGzbEshFdaxgWtGB8Xa6OFoyPNDJ7hI HaHCFRFljqIK7PTZvsC1gLOHX1CsPxT0QloD5+NJZGWepP0q04qdppPyEk7W1hsdeKKekN5l+9m3 Mx+Tgbs/MFkINyjz5dGgni5evLD4OsuNQrP+a0nrtVQbKYsjzhqcUEXuTfJqkGPyZv4dkxkIUa0z NI4dhYMKriui4MtVtjifUNvzjsztyj1TiWYduVreCw+MRH1vONGqpZ13Ep3rYJ+rrpUW84Q1GB1p Geh+epwNowSUfOfxBXpxho/SvzpYggZ722qkCYB4UExikLcG+/40a/l6iMY9scHOHWOWfaFAdFpl UrmwOBclaE803dvdhE4DOrJqmbIh7bjxgk2TdF6bBP09qvsNXFs1l6/ttNJ834JVgdpxFPDDMiHk NsSSbd7TPEesTOj7LJOOQ4afWB+b5IW7PJpQV2tjOGQmyS7oLA31fAIVWuJSFP18fL1TCSk3zn1S 0pu2SdA+m7LX39y210gVU2mNmUTfcyWFrMsMRFc8B3QYdnkKGh8XjxJIZOeyrD5+uRXIa9gj46to HY1Z2jTq0ZcvFMdKpMPifKKYO5vJF6HX8c/4ZzUCWo/wMT5E72v5FKeZ5auDZmVS8m3FP7MWckkh FZbbDd3BRAOmji6iqNjYaz4WFDREJTREr9Ysi6Qb4ATNZucEQu4qF8JIUms5isaSGlB/zaHg5gn9 aXN5cyGQ67zfbEg28rkjC6rIW/I4nn/ch68ZtxEFrsX0PN/B4bM8pfBroR2Bwh96m43+SftTfEc7 OALt6xETvlC53WK3zwuk17vvuTKJz2yejX2J+5naJiCsSiJK0Vs7jN/2G5aUoi7lWxh9LKCN5EdE 3Cs4fUNT1l1wLaPqcencClRoXvWtp7XJme6Ly/PgFOmD8W6Vqa71yZz7zdMkZekFjMq16i5TGHmH f0cl+/HhD6KNuTSX9UV8HEt7qDXzQG4tqxVRoso/LN/kxP979MOSuGynS/No+FfgKhh0VTtrpu7I XdXeVuPhV82dmpdlP4tzkl+S/8r+9/+ea7bB/I8+7yB4Gftc0O+L8b/tfszxweZnmdoguypWoYtm 5smkBbR1+NECAyjg2RCy4uC1ox31tbTR7SDb6mzwNDhiiIBpecAk7cevcb/GkyfiFLxb8H9hOgf2 Ly7r6LqjLI9VQhJ7LymS0jU4a41TH9vbIYQIspdNyqYqaNPTEHP9RnPzU9hYjetl5o/NqjG7U61c 8y1l2PAXsRyUTFtwxKiMTiTirMbcD8cqgFGJiORnq8aTxv+JMw32e19r0JTPW3uS8BflI3bdmmoE CLxcxo6JVMG9kmKCJGIEsREtrMpdq4n4629KBQ3BWBEjWNcP5XQKIQklYbOp64QL0BUX1A5Gcfru Ny48jM+xHwbT+9DoYrvzlsyLKAxDLAdASt6lB2o3AQb83LY2dPocjEa6xfZkJw9JvqaWiBKaWb6k DOb439YpJjttSOs5A405zrDlIRzUlBUjbn9uiic5GGuo2OB/jOUz/5gUq1Uq279oUhAuDHK2GPNa H8cKvbPLg8/tbRhBvDyiLCwzE4YG+RWWzMvWMnfz4iH8NEPaN7HGo4gzuATcOgZ+fGtU6hYYCAY4 IiCELHPu6URZKSGRa0nNBsz0aEVVbK6yjjq86qfRxavcg3v/y6aTByoDoBcERDjT59Yf6eJ7ihwF QW3gU5MD6ZTOc76J24JOBLlROb0tCmvw829rrqnJNM2dAKMNcZL7YJZ7wzRkR7DVdfq2JxwUd5Iu L3EJEcv27R+qi31dPQypWZyaj20DJf4DmRPe4mupte4rL+YRC7tWqaMCoYW9NKlpVQbHrlpMKSy9 8FSfaff1eI6UXLFsdBjO+bf0/AxZChOuiPad/TLegNcT3QOED0DL/B/M7SCO9Kjf9DLPtKgj4ZRE 0eutl0IS/ik3HOoZwYCF45+vT/NWsLTm5pmIYEoZC++z0t70FATwCE2u4LCQbd6HX9zqir0j3DyI +zuLExxd32w7IEFZCrMHfOojJ5wgYvBCd4nm/vqbORtTr2ooWXykPhWQ9wKOo+Sv4qae515S/nvn tqyFgM07y3E8IHOM+9Dm3skAsNQjkn8fD8AGWE61neb9scXn4MTvB3GMzCAotdbrKpuvy+3mZAOm u0lPtXIcfvpikc1SFcuVKvOpfKNVzfOzE8AKDYzRJNjiJ+C/KfyYmXVDxbgnK7CyqyHQgiJQHvEJ qHv1Xh67CXChLeFlLSGkyVuSZyQNX/mm+IueX8raAlDghntD3VSiMiElugUOKgSaj64wYRye0aMG iOtfjUW/FjYW4LcuCoecTMQkaYMeN/r2ZYidbzQDivTnTSFKC7tKb2VsDjigtYO0l4ApUNGDze4e iAknFKFq3wqqcFPi7zA0kV74NjxTKkECM0kz5gWawEFIuv7cuWbbb44kB/EL1Cn3m8Xo3/x88kyd qm65uggEdnJ5m2XBzEwzoBkR4WtXamDST0r6DJKOerWH0Y/Fs9l829mxRDNaXcJDDE4AYl+Lvqlg g9lpsph8M36DcVcwnlQIsaEz5Dg/uUQaFpvIksAUMY0YBzUFzKgx4QEPfjRM6xAuR4wrd6L10+F9 rgGnEV2/5CFiG6fPVsKDq+tzTiKSWuVbHb3Y+LBoH2g4IkWSBYCr/P9RCgYnUxrEFdwOJuA3dzcx 9CINn/7fr3JR8c3oRkjr2w1qxZbIaP1xXy/qOKT4pdCBzFybKEs4Kh35FtzPD0CVRSPcTZzufMfO inJxkrrhuPW5Ix7+cwhJLpEE8kL9amDR0Yz9KLc/hnG5brVZeXfzpktLp1MO6EnDnOun3JGudgx8 5SiJ6HygQwg4gaAZoDp3kRbryXdDscA1BrRBsCAzQKIXgsMv75AvD0gwdZX/4iaPxJCyktFKJCTP TukU4k1DH8fr9n7sh4/ei0/2AwIYE2OWndypT+vsnoU02sOByUB8U/oJ1NxLcInRbQiKmVuh/3Nd RAxw0nLJyMgh3ImAHJX1eG5NDr31Wx3LVjDDmfl0dGRJbQRZfQ5/n8IPU0FBx6+8xvLn0T0V9U7a logwYsjWfUOrvwAGBQ7bDeHGayU/waLKrtVi5/k7vfENkWx11w2DjA+D3l/hOeftwUCv4l/zVhrc R/bfVCq46ydHp23+wW4KR8ey1+jtgqZtPACTgChV3yzhheDNbmIApXXdjcVV+pFRjTsep+1ObqCm eMu9fUiiWe9id53upViVqrWO/fmSWSVKT6+PfTdVyp7DLxUFhCDHBZCTtLbCf31PsZ7dE6TiIff8 nClz9+jP0jHp6kvSQs1bQ/3sp8MV8sBz+KXoN2HBuy5WEQ4Cc1dRQCSUiIKLlBac5sQZ4ZeFdrWt j1u6aFkQTMNyndWeFzvdy0L+Emp1iI9UqqNEZDIn9ImDR1yiTKwd4/63ZFJzmzJqRHK6kkpCMzzp gSa5XzXJHGg7PWuQwqCktIzSBG5EAlvHD6maYgK7wKy00UAEn+xSrkC9MYcDoTSCHsk7DcK7n+FO 3S28XWLsXlShK1Sv81skQrD+c6RvpxNlDG5EvjMJleCxOyRjgV8U8OlZZEQ0+cztT9vB60n6NUDK y77ivtZh/Tr64ujQQVSwnFXFFRFO0JaqGyOAoT9oWDUUoxN35uVkGdSv+JP+UoiTwQ88NhH7tmnK QPku `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/synth/ANN_ap_fptrunc_0_no_dsp_64.vhd
1
12159
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fptrunc_0_no_dsp_64 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END ANN_ap_fptrunc_0_no_dsp_64; ARCHITECTURE ANN_ap_fptrunc_0_no_dsp_64_arch OF ANN_ap_fptrunc_0_no_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fptrunc_0_no_dsp_64_arch : ARCHITECTURE IS "ANN_ap_fptrunc_0_no_dsp_64,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "ANN_ap_fptrunc_0_no_dsp_64,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 1, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fptrunc_0_no_dsp_64_arch;
gpl-3.0
Rookfighter/aes-ss17
ex03/i2c_slave_write_tb.vhd
1
6507
-- i2c_slave_tb.vhd -- -- Created on: 08 Jun 2017 -- Author: Fabian Meyer library ieee; use ieee.std_logic_1164.all; entity i2c_slave_write_tb is end entity; architecture behavior of i2c_slave_write_tb is -- Component Declaration for the Unit Under Test (UUT) component i2c_slave generic(RSTDEF: std_logic := '0'; ADDRDEF: std_logic_vector(6 downto 0) := "0100000"); port(rst: in std_logic; -- reset, RSTDEF active clk: in std_logic; -- clock, rising edge tx_data: in std_logic_vector(7 downto 0); -- tx, data to send tx_sent: out std_logic; -- tx was sent, high active rx_data: out std_logic_vector(7 downto 0); -- rx, data received rx_recv: out std_logic; -- rx received, high active busy: out std_logic; -- busy, high active sda: inout std_logic; -- serial data of I2C scl: inout std_logic); -- serial clock of I2C end component; --Inputs signal rst: std_logic := '0'; signal clk: std_logic := '0'; signal tx_data: std_logic_vector(7 downto 0) := (others => '0'); --BiDirs signal sda: std_logic := '1'; signal scl: std_logic := '1'; --Outputs signal tx_sent: std_logic; signal rx_data: std_logic_vector(7 downto 0); signal rx_recv: std_logic; signal busy: std_logic; -- Clock period definitions constant clk_period: time := 10 ns; begin -- Instantiate the Unit Under Test (UUT) uut: i2c_slave generic map(RSTDEF => '0', ADDRDEF => "0010111") -- address 0x17 port map(rst => rst, clk => clk, tx_data => tx_data, tx_sent => tx_sent, rx_data => rx_data, rx_recv => rx_recv, busy => busy, sda => sda, scl => scl); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process -- sends a single bit over I2C procedure send_bit(tosend: std_logic) is begin scl <= '0'; sda <= tosend; -- wait for delay element to take over new value wait for 24*clk_period; -- allow slave to read scl <= '1'; wait for clk_period; end procedure; -- receive a single bit over I2C procedure recv_bit is begin scl <= '0'; sda <= 'Z'; wait for clk_period; scl <= '1'; wait for clk_period; end procedure; -- sends start / repeated start condition over I2C procedure send_start is begin send_bit('1'); -- rise sda without changing clk sda <= '0'; wait for 25*clk_period; end procedure; -- sends stop condition over I2C procedure send_stop is begin send_bit('0'); -- rise sda without changing clk sda <= '1'; wait for 25*clk_period; end procedure; -- wait for an ack from slave over I2C procedure wait_ack is begin send_bit('Z'); -- wait additional cycle for slave to release SDA again scl <= '0'; wait for clk_period; end procedure; -- send ack to slave procedure send_ack is begin send_bit('0'); end procedure; -- send nack to slave procedure send_nack is begin send_bit('1'); end procedure; begin -- hold reset state for 100 ns. wait for clk_period*10; rst <= '1'; -- init transmission send_start; -- send correct address send_bit('0'); -- address bit 1 send_bit('0'); -- address bit 2 send_bit('1'); -- address bit 3 send_bit('0'); -- address bit 4 send_bit('1'); -- address bit 5 send_bit('1'); -- address bit 6 send_bit('1'); -- address bit 7 send_bit('0'); -- direction bit -- slave should send ack wait_ack; -- send data send_bit('1'); -- data bit 1 send_bit('1'); -- data bit 2 send_bit('0'); -- data bit 3 send_bit('0'); -- data bit 4 send_bit('1'); -- data bit 5 send_bit('1'); -- data bit 6 send_bit('0'); -- data bit 7 send_bit('1'); -- data bit 8 -- rx_data should be "11001101" -- rx_recv should '1' for one cylce -- slave should send ack wait_ack; -- send data send_bit('1'); -- data bit 1 send_bit('0'); -- data bit 2 send_bit('1'); -- data bit 3 send_bit('0'); -- data bit 4 send_bit('0'); -- data bit 5 send_bit('1'); -- data bit 6 send_bit('1'); -- data bit 7 send_bit('0'); -- data bit 8 -- rx_data should be "10100110" -- rx_recv should '1' for one cylce -- slave should send ack wait_ack; -- terminate transmission send_stop; -- init next transmission send_start; -- send wrong address 0x13 send_bit('0'); -- address bit 1 send_bit('0'); -- address bit 2 send_bit('1'); -- address bit 3 send_bit('0'); -- address bit 4 send_bit('0'); -- address bit 5 send_bit('1'); -- address bit 6 send_bit('1'); -- address bit 7 send_bit('0'); -- direction bit -- slave should send no ack and go back to idle mode wait_ack; -- send data -- slave should not record it send_bit('0'); -- data bit 1 send_bit('0'); -- data bit 2 send_bit('1'); -- data bit 3 send_bit('0'); -- data bit 4 send_bit('0'); -- data bit 5 send_bit('1'); -- data bit 6 send_bit('0'); -- data bit 7 send_bit('1'); -- data bit 8 -- slave should send no ack and go back to idle mode wait_ack; -- terminate transmission send_stop; wait for clk_period*10; wait; end process; end;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_dma_v7_1/hdl/src/vhdl/axi_dma_sofeof_gen.vhd
4
19880
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_sofeof_gen.vhd -- Description: This entity manages -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_8; use axi_dma_v7_1_8.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_sofeof_gen is generic ( C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0 -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- axi_prmry_aclk : in std_logic ; -- p_reset_n : in std_logic ; -- -- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- axis_tready : in std_logic ; -- axis_tvalid : in std_logic ; -- axis_tlast : in std_logic ; -- -- packet_sof : out std_logic ; -- packet_eof : out std_logic -- -- ); end axi_dma_sofeof_gen; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_sofeof_gen is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal p_ready : std_logic := '0'; signal p_valid : std_logic := '0'; signal p_valid_d1 : std_logic := '0'; signal p_valid_re : std_logic := '0'; signal p_last : std_logic := '0'; signal p_last_d1 : std_logic := '0'; signal p_last_re : std_logic := '0'; signal s_ready : std_logic := '0'; signal s_valid : std_logic := '0'; signal s_valid_d1 : std_logic := '0'; signal s_valid_re : std_logic := '0'; signal s_last : std_logic := '0'; signal s_last_d1 : std_logic := '0'; signal s_last_re : std_logic := '0'; signal s_sof_d1_cdc_tig : std_logic := '0'; signal s_sof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s_sof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_sof_d2 : SIGNAL IS "true"; signal s_sof_d3 : std_logic := '0'; signal s_sof_re : std_logic := '0'; signal s_sof : std_logic := '0'; signal p_sof : std_logic := '0'; signal s_eof_d1_cdc_tig : std_logic := '0'; signal s_eof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF s_eof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_eof_d2 : SIGNAL IS "true"; signal s_eof_d3 : std_logic := '0'; signal s_eof_re : std_logic := '0'; signal p_eof : std_logic := '0'; signal p_eof_d1_cdc_tig : std_logic := '0'; signal p_eof_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_eof_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_eof_d2 : SIGNAL IS "true"; signal p_eof_d3 : std_logic := '0'; signal p_eof_clr : std_logic := '0'; signal s_sof_generated : std_logic := '0'; signal sof_generated_fe : std_logic := '0'; signal s_eof_re_latch : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- pass internal version out packet_sof <= s_sof_re; packet_eof <= s_eof_re; -- Generate for when primary clock is asynchronous GEN_FOR_ASYNC : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin --------------------------------------------------------------------------- -- Generate Packet SOF --------------------------------------------------------------------------- -- Register stream control in to isolate wrt clock -- for timing closure REG_STRM_IN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_valid <= '0'; p_last <= '0'; p_ready <= '0'; else p_valid <= axis_tvalid; p_last <= axis_tlast ; p_ready <= axis_tready; end if; end if; end process REG_STRM_IN; -- Generate rising edge pulse on valid to use for -- smaple and hold register REG_FOR_RE : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then p_valid_d1 <= '0'; p_last_d1 <= '0'; p_last_re <= '0'; else p_valid_d1 <= p_valid and p_ready; p_last_d1 <= p_last and p_valid and p_ready; -- register to aligne with setting of p_sof p_last_re <= p_ready and p_valid and p_last and not p_last_d1; end if; end if; end process REG_FOR_RE; p_valid_re <= p_ready and p_valid and not p_valid_d1; -- Sample and hold valid re to create sof SOF_SMPL_N_HOLD : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- clear at end of packet if(p_reset_n = '0' or p_eof_clr = '1')then p_sof <= '0'; -- assert at beginning of packet hold to allow -- clock crossing to slower secondary clk elsif(p_valid_re = '1')then p_sof <= '1'; end if; end if; end process SOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof SOF_REG2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_sof, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_sof_d2, scndry_vect_out => open ); SOF_REG2SCNDRY1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then -- s_sof_d1_cdc_tig <= '0'; -- s_sof_d2 <= '0'; s_sof_d3 <= '0'; else -- s_sof_d1_cdc_tig <= p_sof; -- s_sof_d2 <= s_sof_d1_cdc_tig; s_sof_d3 <= s_sof_d2; end if; end if; end process SOF_REG2SCNDRY1; s_sof_re <= s_sof_d2 and not s_sof_d3; --------------------------------------------------------------------------- -- Generate Packet EOF --------------------------------------------------------------------------- -- Sample and hold valid re to create sof EOF_SMPL_N_HOLD : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0' or p_eof_clr = '1')then p_eof <= '0'; -- if p_last but p_sof not set then it means between pkt -- gap was too small to catch new sof. therefor do not -- generate eof elsif(p_last_re = '1' and p_sof = '0')then p_eof <= '0'; elsif(p_last_re = '1')then p_eof <= '1'; end if; end if; end process EOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof -- CDC register has to be a pure flop EOF_REG2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_eof, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_eof_d2, scndry_vect_out => open ); EOF_REG2SCNDRY1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then -- s_eof_d1_cdc_tig <= '0'; -- s_eof_d2 <= '0'; s_eof_d3 <= '0'; -- CR605883 else -- s_eof_d1_cdc_tig <= p_eof; -- s_eof_d2 <= s_eof_d1_cdc_tig; s_eof_d3 <= s_eof_d2; -- CR605883 end if; end if; end process EOF_REG2SCNDRY1; s_eof_re <= s_eof_d2 and not s_eof_d3; EOF_latch : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_eof_re_latch <= '0'; elsif (s_eof_re = '1') then s_eof_re_latch <= not s_eof_re_latch; end if; end if; end process EOF_latch; -- Register s_sof_re back into primary clock domain to use -- as clear of p_sof. EOF_REG2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_eof_re_latch, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_eof_d2, scndry_vect_out => open ); EOF_REG2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_reset_n = '0')then -- p_eof_d1_cdc_tig <= '0'; -- p_eof_d2 <= '0'; p_eof_d3 <= '0'; else -- p_eof_d1_cdc_tig <= s_eof_re_latch; -- p_eof_d2 <= p_eof_d1_cdc_tig; p_eof_d3 <= p_eof_d2; end if; end if; end process EOF_REG2PRMRY1; -- p_eof_clr <= p_eof_d2 and not p_eof_d3;-- CR565366 -- drive eof clear for minimum of 2 scndry clocks -- to guarentee secondary capture. this allows -- new valid assertions to not be missed in -- creating next sof. p_eof_clr <= p_eof_d2 xor p_eof_d3; end generate GEN_FOR_ASYNC; -- Generate for when primary clock is synchronous GEN_FOR_SYNC : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin --------------------------------------------------------------------------- -- Generate Packet EOF and SOF --------------------------------------------------------------------------- -- Register stream control in to isolate wrt clock -- for timing closure REG_STRM_IN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_valid <= '0'; s_last <= '0'; s_ready <= '0'; else s_valid <= axis_tvalid; s_last <= axis_tlast ; s_ready <= axis_tready; end if; end if; end process REG_STRM_IN; -- Generate rising edge pulse on valid to use for -- smaple and hold register REG_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_valid_d1 <= '0'; s_last_d1 <= '0'; else s_valid_d1 <= s_valid and s_ready; s_last_d1 <= s_last and s_valid and s_ready; end if; end if; end process REG_FOR_RE; -- CR565366 investigating delay interurpt issue discovered -- this coding issue. -- s_valid_re <= s_ready and s_valid and not s_last_d1; s_valid_re <= s_ready and s_valid and not s_valid_d1; s_last_re <= s_ready and s_valid and s_last and not s_last_d1; -- Sample and hold valid re to create sof SOF_SMPL_N_HOLD : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(p_reset_n = '0' or s_eof_re = '1')then s_sof_generated <= '0'; -- new elsif((s_valid_re = '1') or (sof_generated_fe = '1' and s_ready = '1' and s_valid = '1'))then s_sof_generated <= '1'; end if; end if; end process SOF_SMPL_N_HOLD; -- Register p_sof into secondary clock domain to -- generate packet_sof and also to clear sample and held p_sof SOF_REG2SCNDRY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_sof_d1_cdc_tig <= '0'; else s_sof_d1_cdc_tig <= s_sof_generated; end if; end if; end process SOF_REG2SCNDRY; -- generate falling edge pulse on end of packet for use if -- need to generate an immediate sof. sof_generated_fe <= not s_sof_generated and s_sof_d1_cdc_tig; -- generate SOF on rising edge of valid if not already in a packet OR... s_sof_re <= '1' when (s_valid_re = '1' and s_sof_generated = '0') or (sof_generated_fe = '1' -- If end of previous packet and s_ready = '1' -- and ready asserted and s_valid = '1') -- and valid asserted else '0'; -- generate eof on rising edge of valid last assertion OR... s_eof_re <= '1' when (s_last_re = '1') or (sof_generated_fe = '1' -- If end of previous packet and s_ready = '1' -- and ready asserted and s_valid = '1' -- and valid asserted and s_last = '1') -- and last asserted else '0'; end generate GEN_FOR_SYNC; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_dadd_64ns_64ns_64_5_full_dsp.vhd
6
3340
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_dadd_64ns_64ns_64_5_full_dsp is generic ( ID : integer := 7; NUM_STAGE : integer := 5; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_dadd_64ns_64ns_64_5_full_dsp is --------------------- Component --------------------- component ANN_ap_dadd_3_full_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_dadd_3_full_dsp_64_u : component ANN_ap_dadd_3_full_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_addr_cntl.vhd
7
41879
---------------------------------------------------------------------------- -- axi_sg_addr_cntl.vhd ---------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_addr_cntl.vhd -- -- Description: -- This file implements the axi_sg Master Address Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_addr_cntl is generic ( C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4; -- sets the depth of the Command Queue FIFO C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the address bus width C_ADDR_ID : Integer range 0 to 255 := 0; -- Sets the value to be on the AxID output C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the AxID output C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Command Tag field width C_FAMILY : String := "virtex7" -- Specifies the target FPGA family ); port ( -- Clock input --------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------ -- AXI Address Channel I/O -------------------------------------------- addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- addr2axi_alen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- addr2axi_asize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- addr2axi_aburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_acache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_auser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_aprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- addr2axi_avalid : out std_logic; -- -- AXI Address Channel VALID output -- -- axi2addr_aready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- Command Calculation Interface ----------------------------------------- mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : In std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- Sized to support 256 data beat bursts -- -- mstr2addr_size : In std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : In std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : In std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2addr_cmd_valid : in std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : out std_logic; -- -- Indication to the Command Calculator that the -- -- command is being accepted -- -------------------------------------------------------------------------- -- Halted Indication to Reset Module ------------------------------ addr2rst_stop_cmplt : out std_logic; -- -- Output flag indicating the address controller has stopped -- -- posting commands to the Address Channel due to a stop -- -- request vai the data2addr_stop_req input port -- ------------------------------------------------------------------ -- Address Generation Control --------------------------------------- allow_addr_req : in std_logic; -- -- Input used to enable/stall the posting of address requests. -- -- 0 = stall address request generation. -- -- 1 = Enable Address request geneartion -- -- addr_req_posted : out std_logic; -- -- Indication from the Address Channel Controller to external -- -- User logic that an address has been posted to the -- -- AXI Address Channel. -- --------------------------------------------------------------------- -- Data Channel Interface --------------------------------------------- addr2data_addr_posted : Out std_logic; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel. -- -- data2addr_data_rdy : In std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer requset until the -- -- corresponding data is ready. This is expected to be held in -- -- the asserted state until the addr2data_addr_posted signal is -- -- asserted. -- -- data2addr_stop_req : In std_logic; -- -- Indication that the Data Channel has encountered an error -- -- or a soft shutdown request and needs the Address Controller -- -- to stop posting commands to the AXI Address channel -- ----------------------------------------------------------------------- -- Status Module Interface --------------------------------------- addr2stat_calc_error : out std_logic; -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is loaded with a Calc error -- -- addr2stat_cmd_fifo_empty : out std_logic -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is empty -- ------------------------------------------------------------------ ); end entity axi_sg_addr_cntl; architecture implementation of axi_sg_addr_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant Declarations -------------------------------------------- Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0'); --'0' & -- bit 2, Normal Access --'0' & -- bit 1, Nonsecure Access --'0'; -- bit 0, Data Access Constant LEN_WIDTH : integer := 8; Constant SIZE_WIDTH : integer := 3; Constant BURST_WIDTH : integer := 2; Constant CMD_CMPLT_WIDTH : integer := 1; Constant CALC_ERROR_WIDTH : integer := 1; Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width C_ADDR_WIDTH + -- Cmd Address field width LEN_WIDTH + -- Cmd Len field width SIZE_WIDTH + -- Cmd Size field width BURST_WIDTH + -- Cmd Burst field width CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width CALC_ERROR_WIDTH + -- Cmd Calc Error flag 8; -- Cmd Cache, user fields Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; -- Signal Declarations -------------------------------------------- signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0'); signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0'); signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_avalid : std_logic := '0'; signal sig_axi_aready : std_logic := '0'; signal sig_addr_posted : std_logic := '0'; signal sig_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_calc_error : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0'); signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_addr_valid_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_pop_addr_reg : std_logic := '0'; signal sig_push_addr_reg : std_logic := '0'; signal sig_addr_reg_empty : std_logic := '0'; signal sig_addr_reg_full : std_logic := '0'; signal sig_posted_to_axi : std_logic := '0'; -- obsoleted signal sig_set_wfd_flop : std_logic := '0'; -- obsoleted signal sig_clr_wfd_flop : std_logic := '0'; -- obsoleted signal sig_wait_for_data : std_logic := '0'; -- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0'; signal sig_allow_addr_req : std_logic := '0'; signal sig_posted_to_axi_2 : std_logic := '0'; signal new_cmd_in : std_logic; signal first_addr_valid : std_logic; signal first_addr_valid_del : std_logic; signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal addr2axi_cache_int : std_logic_vector (7 downto 0); signal addr2axi_cache_int1 : std_logic_vector (7 downto 0); signal last_one : std_logic; signal latch : std_logic; signal first_one : std_logic; signal latch_n : std_logic; signal latch_n_del : std_logic; signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no"; begin --(architecture implementation) -- AXI I/O Port assignments addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH)); addr2axi_aaddr <= sig_axi_addr ; addr2axi_alen <= sig_axi_alen ; addr2axi_asize <= sig_axi_asize ; addr2axi_aburst <= sig_axi_aburst; addr2axi_acache <= sig_axi_acache; addr2axi_auser <= sig_axi_auser; addr2axi_aprot <= APROT_VALUE ; addr2axi_avalid <= sig_axi_avalid; sig_axi_aready <= axi2addr_aready; -- Command Calculator Handshake output sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ; addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Data Channel Controller synchro pulse output addr2data_addr_posted <= sig_addr_posted; -- Status Module Interface outputs addr2stat_calc_error <= sig_calc_error ; addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and sig_cmd_fifo_empty; -- Flag Indicating the Address Controller has completed a Stop addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case sig_addr_reg_empty) or (data2addr_stop_req and -- shutdown after error trap sig_calc_error); -- Assign the address posting control and status sig_allow_addr_req <= allow_addr_req ; addr_req_posted <= sig_posted_to_axi_2 ; -- Internal logic ------------------------------ ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_FIFO -- -- If Generate Description: -- Implements the case where the cmd qualifier depth is -- greater than 1. -- ------------------------------------------------------------ -- GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate -- -- begin -- -- -- Format the input FIFO data word -- -- sig_aq_fifo_data_in <= mstr2addr_cache & -- mstr2addr_user & -- mstr2addr_calc_error & -- mstr2addr_cmd_cmplt & -- mstr2addr_burst & -- mstr2addr_size & -- mstr2addr_len & -- mstr2addr_addr & -- mstr2addr_tag ; -- -- -- -- -- Rip fields from FIFO output data word -- sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 7) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 4) -- ); -- -- sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 3) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH) -- ); -- -- -- sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH)-1); -- -- -- sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH)-1); -- -- -- sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH) ; -- -- sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH) ; -- -- sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH) ; -- -- sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH)-1 -- downto -- C_TAG_WIDTH) ; -- -- sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0); -- -- -- -- ------------------------------------------------------------ -- -- Instance: I_ADDR_QUAL_FIFO -- -- -- -- Description: -- -- Instance for the Address/Qualifier FIFO -- -- -- ------------------------------------------------------------ -- I_ADDR_QUAL_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo -- generic map ( -- -- C_DWIDTH => ADDR_QUAL_WIDTH , -- C_DEPTH => C_ADDR_FIFO_DEPTH , -- C_IS_ASYNC => USE_SYNC_FIFO , -- C_PRIM_TYPE => FIFO_PRIM_TYPE , -- C_FAMILY => C_FAMILY -- -- ) -- port map ( -- -- -- Write Clock and reset -- fifo_wr_reset => mmap_reset , -- fifo_wr_clk => primary_aclk , -- -- -- Write Side -- fifo_wr_tvalid => sig_fifo_wr_cmd_valid , -- fifo_wr_tready => sig_fifo_wr_cmd_ready , -- fifo_wr_tdata => sig_aq_fifo_data_in , -- fifo_wr_full => open , -- -- -- -- Read Clock and reset -- fifo_async_rd_reset => mmap_reset , -- fifo_async_rd_clk => primary_aclk , -- -- -- Read Side -- fifo_rd_tvalid => sig_fifo_rd_cmd_valid , -- fifo_rd_tready => sig_fifo_rd_cmd_ready , -- fifo_rd_tdata => sig_aq_fifo_data_out , -- fifo_rd_empty => sig_cmd_fifo_empty -- -- ); -- -- -- -- end generate GEN_ADDR_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_ADDR_FIFO -- -- If Generate Description: -- Implements the case where no additional FIFOing is needed -- on the input command address/qualifiers. -- ------------------------------------------------------------ GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate begin -- Bypass FIFO sig_fifo_next_tag <= mstr2addr_tag ; sig_fifo_next_addr <= mstr2addr_addr ; sig_fifo_next_len <= mstr2addr_len ; sig_fifo_next_size <= mstr2addr_size ; sig_fifo_next_burst <= mstr2addr_burst ; sig_fifo_next_cache <= mstr2addr_cache ; sig_fifo_next_user <= mstr2addr_user ; sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ; sig_fifo_calc_error <= mstr2addr_calc_error ; sig_cmd_fifo_empty <= sig_addr_reg_empty ; sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ; sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ; end generate GEN_NO_ADDR_FIFO; -- Output Register Logic ------------------------------------------- sig_axi_addr <= sig_next_addr_reg ; sig_axi_alen <= sig_next_len_reg ; sig_axi_asize <= sig_next_size_reg ; sig_axi_aburst <= sig_next_burst_reg ; sig_axi_acache <= sig_next_cache_reg ; sig_axi_auser <= sig_next_user_reg ; sig_axi_avalid <= sig_addr_valid_reg ; sig_calc_error <= sig_calc_error_reg ; sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_addr_posted <= sig_posted_to_axi ; -- Internal signals sig_push_addr_reg <= sig_addr_reg_empty and sig_fifo_rd_cmd_valid and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_pop_addr_reg <= not(sig_calc_error_reg) and sig_axi_aready and sig_addr_reg_full; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_FIFO_REG -- -- Process Description: -- This process implements a register for the Address -- Control FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_FIFO_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_pop_addr_reg = '1') then sig_next_tag_reg <= (others => '0') ; sig_next_addr_reg <= (others => '0') ; sig_next_len_reg <= (others => '0') ; sig_next_size_reg <= (others => '0') ; sig_next_burst_reg <= (others => '0') ; sig_next_cache_reg <= (others => '0') ; sig_next_user_reg <= (others => '0') ; sig_next_cmd_cmplt_reg <= '0' ; sig_addr_valid_reg <= '0' ; sig_calc_error_reg <= '0' ; sig_addr_reg_empty <= '1' ; sig_addr_reg_full <= '0' ; elsif (sig_push_addr_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_addr_reg <= sig_fifo_next_addr ; sig_next_len_reg <= sig_fifo_next_len ; sig_next_size_reg <= sig_fifo_next_size ; sig_next_burst_reg <= sig_fifo_next_burst ; sig_next_cache_reg <= sig_fifo_next_cache ; sig_next_user_reg <= sig_fifo_next_user ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_addr_valid_reg <= not(sig_fifo_calc_error); sig_calc_error_reg <= sig_fifo_calc_error ; sig_addr_reg_empty <= '0' ; sig_addr_reg_full <= '1' ; else null; -- don't change state end if; end if; end process IMP_ADDR_FIFO_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_POSTED_FLAG -- -- Process Description: -- This implements a FLOP that creates a 1 clock wide pulse -- indicating a new address/qualifier set has been posted to -- the AXI Addres Channel outputs. This is used to synchronize -- the Data Channel Controller. -- ------------------------------------------------------------- IMP_POSTED_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; elsif (sig_push_addr_reg = '1') then sig_posted_to_axi <= '1'; sig_posted_to_axi_2 <= '1'; else sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; end if; end if; end process IMP_POSTED_FLAG; -- PROC_CMD_DETECT : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_addr_valid_del <= first_addr_valid; -- end if; -- end process PROC_CMD_DETECT; -- -- PROC_ADDR_DET : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= (others => '0'); -- last_addr_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then -- first_addr_valid <= '1'; -- first_addr_int <= mstr2addr_addr; -- last_addr_int <= last_addr_int; -- elsif (mstr2addr_cmd_cmplt = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= first_addr_int; -- last_addr_int <= mstr2addr_addr; -- end if; -- end if; -- end process PROC_ADDR_DET; -- -- latch <= first_addr_valid and (not first_addr_valid_del); -- latch_n <= (not first_addr_valid) and first_addr_valid_del; -- -- PROC_CACHE1 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- mstr2addr_cache_info_int <= (others => '0'); -- latch_n_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (latch_n = '1') then -- mstr2addr_cache_info_int <= mstr2addr_cache_info; -- end if; -- latch_n_del <= latch_n; -- end if; -- end process PROC_CACHE1; -- -- -- PROC_CACHE : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int1 <= (others => '0'); -- first_one <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_one <= '0'; ---- if (latch = '1' and first_one = '0') then -- first one -- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then -- addr2axi_cache_int1 <= mstr2addr_cache_info; ---- first_one <= '1'; ---- elsif (latch_n_del = '1') then ---- addr2axi_cache_int <= mstr2addr_cache_info_int; -- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- end if; -- end if; -- end process PROC_CACHE; -- -- -- PROC_CACHE2 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- addr2axi_cache_int <= addr2axi_cache_int1; -- end if; -- end process PROC_CACHE2; -- --addr2axi_cache <= addr2axi_cache_int (3 downto 0); --addr2axi_user <= addr2axi_cache_int (7 downto 4); -- end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_addr_cntl.vhd
7
41879
---------------------------------------------------------------------------- -- axi_sg_addr_cntl.vhd ---------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_addr_cntl.vhd -- -- Description: -- This file implements the axi_sg Master Address Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; Use axi_sg_v4_1_2.axi_sg_fifo; ------------------------------------------------------------------------------- entity axi_sg_addr_cntl is generic ( C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4; -- sets the depth of the Command Queue FIFO C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the address bus width C_ADDR_ID : Integer range 0 to 255 := 0; -- Sets the value to be on the AxID output C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the AxID output C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Command Tag field width C_FAMILY : String := "virtex7" -- Specifies the target FPGA family ); port ( -- Clock input --------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------ -- AXI Address Channel I/O -------------------------------------------- addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- addr2axi_alen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- addr2axi_asize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- addr2axi_aburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_acache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_auser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_aprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- addr2axi_avalid : out std_logic; -- -- AXI Address Channel VALID output -- -- axi2addr_aready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- Command Calculation Interface ----------------------------------------- mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : In std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- Sized to support 256 data beat bursts -- -- mstr2addr_size : In std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : In std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : In std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2addr_cmd_valid : in std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : out std_logic; -- -- Indication to the Command Calculator that the -- -- command is being accepted -- -------------------------------------------------------------------------- -- Halted Indication to Reset Module ------------------------------ addr2rst_stop_cmplt : out std_logic; -- -- Output flag indicating the address controller has stopped -- -- posting commands to the Address Channel due to a stop -- -- request vai the data2addr_stop_req input port -- ------------------------------------------------------------------ -- Address Generation Control --------------------------------------- allow_addr_req : in std_logic; -- -- Input used to enable/stall the posting of address requests. -- -- 0 = stall address request generation. -- -- 1 = Enable Address request geneartion -- -- addr_req_posted : out std_logic; -- -- Indication from the Address Channel Controller to external -- -- User logic that an address has been posted to the -- -- AXI Address Channel. -- --------------------------------------------------------------------- -- Data Channel Interface --------------------------------------------- addr2data_addr_posted : Out std_logic; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel. -- -- data2addr_data_rdy : In std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer requset until the -- -- corresponding data is ready. This is expected to be held in -- -- the asserted state until the addr2data_addr_posted signal is -- -- asserted. -- -- data2addr_stop_req : In std_logic; -- -- Indication that the Data Channel has encountered an error -- -- or a soft shutdown request and needs the Address Controller -- -- to stop posting commands to the AXI Address channel -- ----------------------------------------------------------------------- -- Status Module Interface --------------------------------------- addr2stat_calc_error : out std_logic; -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is loaded with a Calc error -- -- addr2stat_cmd_fifo_empty : out std_logic -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is empty -- ------------------------------------------------------------------ ); end entity axi_sg_addr_cntl; architecture implementation of axi_sg_addr_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant Declarations -------------------------------------------- Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0'); --'0' & -- bit 2, Normal Access --'0' & -- bit 1, Nonsecure Access --'0'; -- bit 0, Data Access Constant LEN_WIDTH : integer := 8; Constant SIZE_WIDTH : integer := 3; Constant BURST_WIDTH : integer := 2; Constant CMD_CMPLT_WIDTH : integer := 1; Constant CALC_ERROR_WIDTH : integer := 1; Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width C_ADDR_WIDTH + -- Cmd Address field width LEN_WIDTH + -- Cmd Len field width SIZE_WIDTH + -- Cmd Size field width BURST_WIDTH + -- Cmd Burst field width CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width CALC_ERROR_WIDTH + -- Cmd Calc Error flag 8; -- Cmd Cache, user fields Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; -- Signal Declarations -------------------------------------------- signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0'); signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0'); signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_avalid : std_logic := '0'; signal sig_axi_aready : std_logic := '0'; signal sig_addr_posted : std_logic := '0'; signal sig_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_calc_error : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0'); signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_addr_valid_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_pop_addr_reg : std_logic := '0'; signal sig_push_addr_reg : std_logic := '0'; signal sig_addr_reg_empty : std_logic := '0'; signal sig_addr_reg_full : std_logic := '0'; signal sig_posted_to_axi : std_logic := '0'; -- obsoleted signal sig_set_wfd_flop : std_logic := '0'; -- obsoleted signal sig_clr_wfd_flop : std_logic := '0'; -- obsoleted signal sig_wait_for_data : std_logic := '0'; -- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0'; signal sig_allow_addr_req : std_logic := '0'; signal sig_posted_to_axi_2 : std_logic := '0'; signal new_cmd_in : std_logic; signal first_addr_valid : std_logic; signal first_addr_valid_del : std_logic; signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal addr2axi_cache_int : std_logic_vector (7 downto 0); signal addr2axi_cache_int1 : std_logic_vector (7 downto 0); signal last_one : std_logic; signal latch : std_logic; signal first_one : std_logic; signal latch_n : std_logic; signal latch_n_del : std_logic; signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no"; begin --(architecture implementation) -- AXI I/O Port assignments addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH)); addr2axi_aaddr <= sig_axi_addr ; addr2axi_alen <= sig_axi_alen ; addr2axi_asize <= sig_axi_asize ; addr2axi_aburst <= sig_axi_aburst; addr2axi_acache <= sig_axi_acache; addr2axi_auser <= sig_axi_auser; addr2axi_aprot <= APROT_VALUE ; addr2axi_avalid <= sig_axi_avalid; sig_axi_aready <= axi2addr_aready; -- Command Calculator Handshake output sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ; addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Data Channel Controller synchro pulse output addr2data_addr_posted <= sig_addr_posted; -- Status Module Interface outputs addr2stat_calc_error <= sig_calc_error ; addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and sig_cmd_fifo_empty; -- Flag Indicating the Address Controller has completed a Stop addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case sig_addr_reg_empty) or (data2addr_stop_req and -- shutdown after error trap sig_calc_error); -- Assign the address posting control and status sig_allow_addr_req <= allow_addr_req ; addr_req_posted <= sig_posted_to_axi_2 ; -- Internal logic ------------------------------ ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_FIFO -- -- If Generate Description: -- Implements the case where the cmd qualifier depth is -- greater than 1. -- ------------------------------------------------------------ -- GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate -- -- begin -- -- -- Format the input FIFO data word -- -- sig_aq_fifo_data_in <= mstr2addr_cache & -- mstr2addr_user & -- mstr2addr_calc_error & -- mstr2addr_cmd_cmplt & -- mstr2addr_burst & -- mstr2addr_size & -- mstr2addr_len & -- mstr2addr_addr & -- mstr2addr_tag ; -- -- -- -- -- Rip fields from FIFO output data word -- sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 7) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 4) -- ); -- -- sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH + 3) -- downto -- (C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH) -- ); -- -- -- sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH + -- CALC_ERROR_WIDTH)-1); -- -- -- sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH + -- CMD_CMPLT_WIDTH)-1); -- -- -- sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH + -- BURST_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH) ; -- -- sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH + -- SIZE_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH) ; -- -- sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH + -- LEN_WIDTH)-1 -- downto -- C_ADDR_WIDTH + -- C_TAG_WIDTH) ; -- -- sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH + -- C_TAG_WIDTH)-1 -- downto -- C_TAG_WIDTH) ; -- -- sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0); -- -- -- -- ------------------------------------------------------------ -- -- Instance: I_ADDR_QUAL_FIFO -- -- -- -- Description: -- -- Instance for the Address/Qualifier FIFO -- -- -- ------------------------------------------------------------ -- I_ADDR_QUAL_FIFO : entity axi_sg_v4_1_2.axi_sg_fifo -- generic map ( -- -- C_DWIDTH => ADDR_QUAL_WIDTH , -- C_DEPTH => C_ADDR_FIFO_DEPTH , -- C_IS_ASYNC => USE_SYNC_FIFO , -- C_PRIM_TYPE => FIFO_PRIM_TYPE , -- C_FAMILY => C_FAMILY -- -- ) -- port map ( -- -- -- Write Clock and reset -- fifo_wr_reset => mmap_reset , -- fifo_wr_clk => primary_aclk , -- -- -- Write Side -- fifo_wr_tvalid => sig_fifo_wr_cmd_valid , -- fifo_wr_tready => sig_fifo_wr_cmd_ready , -- fifo_wr_tdata => sig_aq_fifo_data_in , -- fifo_wr_full => open , -- -- -- -- Read Clock and reset -- fifo_async_rd_reset => mmap_reset , -- fifo_async_rd_clk => primary_aclk , -- -- -- Read Side -- fifo_rd_tvalid => sig_fifo_rd_cmd_valid , -- fifo_rd_tready => sig_fifo_rd_cmd_ready , -- fifo_rd_tdata => sig_aq_fifo_data_out , -- fifo_rd_empty => sig_cmd_fifo_empty -- -- ); -- -- -- -- end generate GEN_ADDR_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_ADDR_FIFO -- -- If Generate Description: -- Implements the case where no additional FIFOing is needed -- on the input command address/qualifiers. -- ------------------------------------------------------------ GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate begin -- Bypass FIFO sig_fifo_next_tag <= mstr2addr_tag ; sig_fifo_next_addr <= mstr2addr_addr ; sig_fifo_next_len <= mstr2addr_len ; sig_fifo_next_size <= mstr2addr_size ; sig_fifo_next_burst <= mstr2addr_burst ; sig_fifo_next_cache <= mstr2addr_cache ; sig_fifo_next_user <= mstr2addr_user ; sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ; sig_fifo_calc_error <= mstr2addr_calc_error ; sig_cmd_fifo_empty <= sig_addr_reg_empty ; sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ; sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ; end generate GEN_NO_ADDR_FIFO; -- Output Register Logic ------------------------------------------- sig_axi_addr <= sig_next_addr_reg ; sig_axi_alen <= sig_next_len_reg ; sig_axi_asize <= sig_next_size_reg ; sig_axi_aburst <= sig_next_burst_reg ; sig_axi_acache <= sig_next_cache_reg ; sig_axi_auser <= sig_next_user_reg ; sig_axi_avalid <= sig_addr_valid_reg ; sig_calc_error <= sig_calc_error_reg ; sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_addr_posted <= sig_posted_to_axi ; -- Internal signals sig_push_addr_reg <= sig_addr_reg_empty and sig_fifo_rd_cmd_valid and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_pop_addr_reg <= not(sig_calc_error_reg) and sig_axi_aready and sig_addr_reg_full; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_FIFO_REG -- -- Process Description: -- This process implements a register for the Address -- Control FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_FIFO_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_pop_addr_reg = '1') then sig_next_tag_reg <= (others => '0') ; sig_next_addr_reg <= (others => '0') ; sig_next_len_reg <= (others => '0') ; sig_next_size_reg <= (others => '0') ; sig_next_burst_reg <= (others => '0') ; sig_next_cache_reg <= (others => '0') ; sig_next_user_reg <= (others => '0') ; sig_next_cmd_cmplt_reg <= '0' ; sig_addr_valid_reg <= '0' ; sig_calc_error_reg <= '0' ; sig_addr_reg_empty <= '1' ; sig_addr_reg_full <= '0' ; elsif (sig_push_addr_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_addr_reg <= sig_fifo_next_addr ; sig_next_len_reg <= sig_fifo_next_len ; sig_next_size_reg <= sig_fifo_next_size ; sig_next_burst_reg <= sig_fifo_next_burst ; sig_next_cache_reg <= sig_fifo_next_cache ; sig_next_user_reg <= sig_fifo_next_user ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_addr_valid_reg <= not(sig_fifo_calc_error); sig_calc_error_reg <= sig_fifo_calc_error ; sig_addr_reg_empty <= '0' ; sig_addr_reg_full <= '1' ; else null; -- don't change state end if; end if; end process IMP_ADDR_FIFO_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_POSTED_FLAG -- -- Process Description: -- This implements a FLOP that creates a 1 clock wide pulse -- indicating a new address/qualifier set has been posted to -- the AXI Addres Channel outputs. This is used to synchronize -- the Data Channel Controller. -- ------------------------------------------------------------- IMP_POSTED_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; elsif (sig_push_addr_reg = '1') then sig_posted_to_axi <= '1'; sig_posted_to_axi_2 <= '1'; else sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; end if; end if; end process IMP_POSTED_FLAG; -- PROC_CMD_DETECT : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_addr_valid_del <= first_addr_valid; -- end if; -- end process PROC_CMD_DETECT; -- -- PROC_ADDR_DET : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= (others => '0'); -- last_addr_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then -- first_addr_valid <= '1'; -- first_addr_int <= mstr2addr_addr; -- last_addr_int <= last_addr_int; -- elsif (mstr2addr_cmd_cmplt = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= first_addr_int; -- last_addr_int <= mstr2addr_addr; -- end if; -- end if; -- end process PROC_ADDR_DET; -- -- latch <= first_addr_valid and (not first_addr_valid_del); -- latch_n <= (not first_addr_valid) and first_addr_valid_del; -- -- PROC_CACHE1 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- mstr2addr_cache_info_int <= (others => '0'); -- latch_n_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (latch_n = '1') then -- mstr2addr_cache_info_int <= mstr2addr_cache_info; -- end if; -- latch_n_del <= latch_n; -- end if; -- end process PROC_CACHE1; -- -- -- PROC_CACHE : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int1 <= (others => '0'); -- first_one <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_one <= '0'; ---- if (latch = '1' and first_one = '0') then -- first one -- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then -- addr2axi_cache_int1 <= mstr2addr_cache_info; ---- first_one <= '1'; ---- elsif (latch_n_del = '1') then ---- addr2axi_cache_int <= mstr2addr_cache_info_int; -- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- end if; -- end if; -- end process PROC_CACHE; -- -- -- PROC_CACHE2 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- addr2axi_cache_int <= addr2axi_cache_int1; -- end if; -- end process PROC_CACHE2; -- --addr2axi_cache <= addr2axi_cache_int (3 downto 0); --addr2axi_user <= addr2axi_cache_int (7 downto 4); -- end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_pcc.vhd
4
103940
------------------------------------------------------------------------------- -- axi_datamover_pcc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_pcc.vhd -- -- Description: -- This file implements the DataMover Predictive Command Calculator (PCC). -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1_9; use axi_datamover_v5_1_9.axi_datamover_strb_gen2; ------------------------------------------------------------------------------- entity axi_datamover_pcc is generic ( C_IS_MM2S : Integer range 0 to 1 := 0; -- This parameter tells the PCC module if it is a MM2S -- instance or a S2MM instance. -- 0 = S2MM Instance -- 1 = MM2S Instance C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE Aligment output ports C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Stream Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Tag field in the input command C_BTT_USED : Integer range 8 to 23 := 16; -- Sets the width of the used portion of the BTT field -- of the input command C_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Indicates if the Indeterminate BTT mode is enabled C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32; -- Indicates the Native transfer width to use for all -- transfer calculations. This will either be the DataMover -- input Stream width or the AXI4 MMap data width depending -- on DataMover parameterization. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 -- Indicates the width of the starting address offset -- bus passed to Store and Forward functions ); port ( -- Clock and Reset input ---------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ----------------------------------------------------------------- -- Master Command FIFO/Register Interface -------------------------------------------- -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at leasdt 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- -------------------------------------------------------------------------------------- -- Address Channel Controller Interface ----------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- --------------------------------------------------------------------------- -- Data Channel Controller Interface ------------------------------------------------ -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap data -- -- width). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_drr : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_sequential : Out std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- -- mstr2data_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The source (input) alignment for the MM2S DRE -- -- mstr2data_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); -- -- The destinstion (output) alignment for the MM2S DRE -- ------------------------------------------------------------------------------------- -- Output flag indicating that a calculation error has occured ---------------------- -- calc_error : Out std_logic; -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------- -- Special DRE Controller Interface -------------------------------------------- -- dre2mstr_cmd_ready : In std_logic ; -- -- Indication from the S2MM DRE Controller that it can -- -- accept another command. -- -- mstr2dre_cmd_valid : out std_logic ; -- -- The next command valid indication to the S2MM DRE -- -- Controller. -- -- mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The source (S2MM Stream) alignment for the S2MM DRE -- -- mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The destinstion (S2MM MMap) alignment for the S2MM DRE -- -- mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; -- -- The BTT value output to the S2MM DRE. This is needed for -- -- Scatter operations. -- -- mstr2dre_drr : out std_logic ; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : out std_logic ; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2dre_cmd_cmplt : Out std_logic ; -- -- The last child tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : out std_logic ; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- ------------------------------------------------------------------------------------- -- Store and Forward Support Start Offset --------------------------------------------- -- mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) -- -- Relays the starting address offset for a transfer to the Store and Forward -- -- functions incorporating upsizer/downsizer logic -- --------------------------------------------------------------------------------------- ); end entity axi_datamover_pcc; architecture implementation of axi_datamover_pcc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declarations ------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 1 => temp_dbeat_residue_width := 0; when 2 => temp_dbeat_residue_width := 1; when 4 => temp_dbeat_residue_width := 2; when 8 => temp_dbeat_residue_width := 3; when 16 => temp_dbeat_residue_width := 4; when 32 => temp_dbeat_residue_width := 5; when 64 => temp_dbeat_residue_width := 6; when others => -- 128-byte transfers temp_dbeat_residue_width := 7; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_burstcnt_offset -- -- Function Description: -- Calculates the bit offset from the residue bits needed to detirmine -- the load value for the burst counter. -- ------------------------------------------------------------------- function funct_get_burst_residue_width (max_burst_len : integer) return integer is Variable temp_burst_residue_width : Integer := 0; begin case max_burst_len is when 256 => temp_burst_residue_width := 8; when 128 => temp_burst_residue_width := 7; when 64 => temp_burst_residue_width := 6; when 32 => temp_burst_residue_width := 5; when 16 => temp_burst_residue_width := 4; when 8 => temp_burst_residue_width := 3; when 4 => temp_burst_residue_width := 2; when others => -- assume 2 dbeats temp_burst_residue_width := 1; end case; Return (temp_burst_residue_width); end function funct_get_burst_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_axi_size -- -- Function Description: -- Calculates the AXI SIZE Qualifier based on the data width. -- ------------------------------------------------------------------- function func_get_axi_size (native_dwidth : integer) return std_logic_vector is Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Variable temp_size : std_logic_vector(2 downto 0) := (others => '0'); begin case native_dwidth is when 8 => temp_size := AXI_SIZE_1BYTE; when 16 => temp_size := AXI_SIZE_2BYTE; when 32 => temp_size := AXI_SIZE_4BYTE; when 64 => temp_size := AXI_SIZE_8BYTE; when 128 => temp_size := AXI_SIZE_16BYTE; when 256 => temp_size := AXI_SIZE_32BYTE; when 512 => temp_size := AXI_SIZE_64BYTE; when others => -- 1024 bit dwidth temp_size := AXI_SIZE_128BYTE; end case; Return (temp_size); end function func_get_axi_size; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_ls_index -- -- Function Description: -- Calculates the Ls index of the Store and Forward -- starting offset bus based on the User Stream Width. -- ------------------------------------------------------------------- function funct_get_sf_offset_ls_index (stream_width : integer) return integer is Variable lvar_temp_ls_index : Integer := 0; begin case stream_width is when 8 => lvar_temp_ls_index := 0; when 16 => lvar_temp_ls_index := 1; when 32 => lvar_temp_ls_index := 2; when 64 => lvar_temp_ls_index := 3; when 128 => lvar_temp_ls_index := 4; when 256 => lvar_temp_ls_index := 5; when 512 => lvar_temp_ls_index := 6; when others => -- 1024 lvar_temp_ls_index := 7; end case; Return (lvar_temp_ls_index); end function funct_get_sf_offset_ls_index; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_BTT_WIDTH : integer := C_BTT_USED; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_DSA_WIDTH : integer := 6; Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1; Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; ---------------------------------------------------------------------------------------- -- Command calculation constants Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH); Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8; Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN; Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT; Constant LEN_WIDTH : integer := 8; -- 8 bits fixed Constant MAX_LEN_VALUE : integer := DBEATS_PER_BURST-1; Constant XFER_LEN_ZERO : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN); Constant BURST_RESIDUE_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH; Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_1 : unsigned := TO_UNSIGNED( 1, BTT_RESIDUE_WIDTH); Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH); Constant BURST_CNT_LS_INDEX : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant BURST_CNTR_WIDTH : integer := CMD_BTT_WIDTH - (DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH); Constant BRST_CNT_1 : unsigned := TO_UNSIGNED( 1, BURST_CNTR_WIDTH); Constant BRST_CNT_0 : unsigned := TO_UNSIGNED( 0, BURST_CNTR_WIDTH); Constant BRST_RESIDUE_0 : std_logic_vector(BURST_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant DBEAT_RESIDUE_0 : std_logic_vector(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice Constant ADDR_MS_SLICE_WIDTH : integer := C_ADDR_WIDTH-ADDR_CNTR_WIDTH; Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH); Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH); Constant MBAA_ADDR_SLICE_WIDTH : integer := BTT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH; Constant STRBGEN_ADDR_0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); Constant STRBGEN_ADDR_SLICE_1 : unsigned := TO_UNSIGNED( 1, STRBGEN_ADDR_SLICE_WIDTH); Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH); Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1; -- Type Declarations -------------------------------------------- type PCC_SM_STATE_TYPE is ( INIT, WAIT_FOR_CMD, CALC_1, CALC_2, CALC_3, WAIT_ON_XFER_PUSH, CHK_IF_DONE, ERROR_TRAP ); -- Signal Declarations -------------------------------------------- Signal sig_pcc_sm_state : PCC_SM_STATE_TYPE := INIT; Signal sig_pcc_sm_state_ns : PCC_SM_STATE_TYPE := INIT; signal sig_sm_halt_ns : std_logic := '0'; signal sig_sm_halt_reg : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns : std_logic := '0'; signal sig_sm_ld_xfer_reg_ns_tmp : std_logic := '0'; signal sig_sm_pop_input_reg_ns : std_logic := '0'; signal sig_sm_pop_input_reg : std_logic := '0'; signal sig_sm_ld_calc1_reg_ns : std_logic := '0'; signal sig_sm_ld_calc1_reg : std_logic := '0'; signal sig_sm_ld_calc2_reg_ns : std_logic := '0'; signal sig_sm_ld_calc2_reg : std_logic := '0'; signal sig_sm_ld_calc3_reg_ns : std_logic := '0'; signal sig_sm_ld_calc3_reg : std_logic := '0'; signal sig_parent_done : std_logic := '0'; signal sig_ld_xfer_reg : std_logic := '0'; signal sig_ld_xfer_reg_tmp : std_logic := '0'; signal sig_btt_raw : std_logic := '0'; signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_is_zero_reg : std_logic := '0'; -- unused signal sig_next_tag : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_len : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); -- unused signal sig_next_size : std_logic_vector(2 downto 0) := (others => '0'); -- unused signal sig_next_burst : std_logic_vector(1 downto 0) := (others => '0'); -- unused signal sig_next_strt_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); -- unused signal sig_next_end_strb : std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0) := (others => '0'); ---------------------------------------------------------------------------------------- -- Burst Buster signals signal sig_burst_cnt_slice_im0 : unsigned(BURST_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_last_xfer_valid_im1 : std_logic := '0'; signal sig_brst_cnt_eq_zero_im0 : std_logic := '0'; signal sig_brst_cnt_eq_zero_ireg1 : std_logic := '0'; signal sig_brst_cnt_eq_one_im0 : std_logic := '0'; signal sig_brst_cnt_eq_one_ireg1 : std_logic := '0'; signal sig_brst_residue_eq_zero : std_logic := '0'; signal sig_brst_residue_eq_zero_reg : std_logic := '0'; signal sig_no_btt_residue_im0 : std_logic := '0'; signal sig_no_btt_residue_ireg1 : std_logic := '0'; signal sig_btt_residue_slice_im0 : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); -- Input command register signal sig_push_input_reg : std_logic := '0'; signal sig_pop_input_reg : std_logic := '0'; signal sig_input_burst_type_reg : std_logic := '0'; signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_btt_residue_minus1_reg : std_logic_vector(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_input_drr_reg : std_logic := '0'; signal sig_input_eof_reg : std_logic := '0'; signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_input_reg_empty : std_logic := '0'; signal sig_input_reg_full : std_logic := '0'; -- Output qualifier Register -- signal sig_ld_output : std_logic := '0'; signal sig_push_xfer_reg : std_logic := '0'; signal sig_pop_xfer_reg : std_logic := '0'; signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_type_reg : std_logic := '0'; signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_drr_reg : std_logic := '0'; signal sig_xfer_eof_reg : std_logic := '0'; signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_is_seq_reg : std_logic := '0'; signal sig_xfer_cmd_cmplt_reg : std_logic := '0'; signal sig_xfer_calc_err_reg : std_logic := '0'; signal sig_xfer_reg_empty : std_logic := '0'; signal sig_xfer_reg_full : std_logic := '0'; -- Address Counter signal sig_ld_addr_cntr : std_logic := '0'; signal sig_incr_addr_cntr : std_logic := '0'; signal sig_addr_cntr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_byte_change_minus1_im2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); -- misc signal sig_xfer_len_im2 : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_xfer_strt_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_im2 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb2use_im3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_address_im0 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_slice : std_logic := '0'; signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_drr_slice : std_logic := '0'; signal sig_cmd_eof_slice : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_calc_error_pushed : std_logic := '0'; -- PCC2 stuff signal sig_finish_addr_offset_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_im2 : std_logic := '0'; signal sig_first_xfer_im0 : std_logic := '0'; signal sig_bytes_to_mbaa_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_bytes_to_mbaa_ireg1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover : std_logic := '0'; signal sig_predict_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im1 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_kh : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_lsh_im0_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_cntr_im0_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_addr_im0 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_im1 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_ld_btt_cntr : std_logic := '0'; signal sig_decr_btt_cntr : std_logic := '0'; signal sig_btt_cntr_im0 : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; signal sig_btt_lt_b2mbaa_im0 : std_logic := '0'; signal sig_btt_lt_b2mbaa_ireg1 : std_logic := '0'; signal sig_btt_eq_b2mbaa_im0 : std_logic := '0'; signal sig_btt_eq_b2mbaa_ireg1 : std_logic := '0'; signal sig_addr_incr_ge_bpdb_im1 : std_logic := '0'; -- Unaligned start address support signal sig_adjusted_addr_incr_im1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_adjusted_addr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_start_addr_offset_slice_im0 : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_mbaa_addr_cntr_slice_im0 : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_aligned_im0 : std_logic := '0'; signal sig_addr_aligned_ireg1 : std_logic := '0'; -- S2MM DRE Support signal sig_cmd2dre_valid : std_logic := '0'; signal sig_clr_cmd2dre_valid : std_logic := '0'; signal sig_input_xfer_btt_im0 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_dre_eof_reg : std_logic := '0'; -- Long Timing path breakup intermediate registers signal sig_strbgen_addr_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_strbgen_bytes_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH downto 0) := (others => '0'); signal sig_finish_addr_offset_ireg2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_last_addr_offset_im2 : std_logic_vector(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_strt_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_ireg3 : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_len_eq_0_ireg3 : std_logic := '0'; signal sig_addr_cntr_incr_ireg2 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im3_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_im2 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_addr_lsh_ireg3 : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsh_rollover_im3 : std_logic := '0'; signal sig_mmap_reset_reg : std_logic := '0'; ---------------------------------------------------------- begin --(architecture implementation) -- Assign calculation error output calc_error <= sig_calc_error_reg; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= not(sig_sm_halt_reg) and sig_input_reg_empty and not(sig_calc_error_pushed); -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_xfer_tag_reg ; mstr2addr_addr <= sig_xfer_addr_reg; mstr2addr_len <= sig_xfer_len_reg ; mstr2addr_size <= sig_xfer_size ; mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported mstr2addr_cmd_valid <= sig_cmd2addr_valid; mstr2addr_calc_error <= sig_xfer_calc_err_reg; mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_xfer_tag_reg ; mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_xfer_len_reg ; mstr2data_strt_strb <= sig_xfer_strt_strb_reg; mstr2data_last_strb <= sig_xfer_end_strb_reg ; mstr2data_drr <= sig_xfer_drr_reg ; mstr2data_eof <= sig_xfer_eof_reg ; mstr2data_sequential <= sig_xfer_is_seq_reg ; mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; mstr2data_cmd_valid <= sig_cmd2data_valid ; mstr2data_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by MM2S DRE mstr2data_calc_error <= sig_xfer_calc_err_reg ; -- Assign the DRE Controller Qualifiers mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by DRE mstr2dre_tag <= sig_xfer_tag_reg ; -- Used by DRE mstr2dre_btt <= sig_xfer_btt_reg ; -- Used by DRE mstr2dre_drr <= sig_xfer_drr_reg ; -- Used by DRE mstr2dre_eof <= sig_xfer_dre_eof_reg ; -- Used by DRE mstr2dre_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; -- Used by DRE mstr2dre_calc_error <= sig_xfer_calc_err_reg ; -- Used by DRE ------------------------------------------------------------ -- If Generate -- -- Label: DO_MM2S_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the MM2S use case. -- ------------------------------------------------------------ DO_MM2S_CASE : if (C_IS_MM2S = 1) generate begin mstr2dre_dre_src_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE end generate DO_MM2S_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: DO_S2MM_CASE -- -- If Generate Description: -- Assigns the auxillary DRE Control Source and Destination -- ports for the S2MM use case. -- ------------------------------------------------------------ DO_S2MM_CASE : if (C_IS_MM2S = 0) generate begin mstr2dre_dre_src_align <= sig_xfer_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- Used by DRE mstr2dre_dre_dest_align <= sig_xfer_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0); -- Used by DRE end generate DO_S2MM_CASE; -- Store and Forward Support Start Offset (used by Packer/Unpacker logic) mstr2dre_strt_offset <= sig_xfer_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX); -- Start internal logic. -- sig_cmd_type_slice <= '1'; -- always incrementing (per Interface_X guidelines) sig_cmd_user_slice <= cache2mstr_command(7 downto 4); sig_cmd_cache_slice <= cache2mstr_command(3 downto 0); sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX); sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX); sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX); sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX); -- Check for a zero length BTT (error condition) sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; sig_xfer_size <= SIZE_TO_USE; ----------------------------------------------------------------- -- Reset fanout control ----------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_REG -- -- Process Description: -- Registers the input reset to reduce fanout. This module -- has a high number of register bits to reset. -- ------------------------------------------------------------- IMP_RESET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_reset_reg <= mmap_reset; end if; end process IMP_RESET_REG; ----------------------------------------------------------------- -- Input xfer register design sig_push_input_reg <= not(sig_sm_halt_reg) and cmd2mstr_cmd_valid and sig_input_reg_empty and not(sig_calc_error_reg); sig_pop_input_reg <= sig_sm_pop_input_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_QUAL -- -- Process Description: -- Implements the input command qualifier holding register -- ------------------------------------------------------------- REG_INPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_input_reg = '1' or sig_calc_error_pushed = '1') then sig_input_cache_type_reg <= (others => '0'); sig_input_user_type_reg <= (others => '0'); sig_input_burst_type_reg <= '0'; sig_input_tag_reg <= (others => '0'); sig_input_dsa_reg <= (others => '0'); sig_input_drr_reg <= '0'; sig_input_eof_reg <= '0'; sig_input_reg_empty <= '1'; sig_input_reg_full <= '0'; elsif (sig_push_input_reg = '1') then sig_input_cache_type_reg <= sig_cmd_cache_slice; sig_input_user_type_reg <= sig_cmd_user_slice; sig_input_burst_type_reg <= sig_cmd_type_slice; sig_input_tag_reg <= sig_cmd_tag_slice; sig_input_dsa_reg <= sig_cmd_dsa_slice; sig_input_drr_reg <= sig_cmd_drr_slice; sig_input_eof_reg <= sig_cmd_eof_slice; sig_input_reg_empty <= '0'; sig_input_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_INPUT_QUAL; ---------------------------------------------------------------------- -- Calculation Error Logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_FLOP -- -- Process Description: -- Implements the flop for the Calc Error flag, Once set, -- the flag cannot be cleared until a reset is issued. -- ------------------------------------------------------------- IMP_CALC_ERROR_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_reg <= '0'; elsif (sig_push_input_reg = '1' and sig_calc_error_reg = '0') then sig_calc_error_reg <= sig_btt_is_zero; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_PUSHED -- -- Process Description: -- Implements the flop for generating a flag indicating the -- calculation error flag has been pushed to the addr and data -- controllers. -- ------------------------------------------------------------- IMP_CALC_ERROR_PUSHED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_pushed <= '0'; elsif (sig_push_xfer_reg = '1' and sig_calc_error_pushed = '0') then sig_calc_error_pushed <= sig_calc_error_reg; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_PUSHED; --------------------------------------------------------------------- -- Strobe Generator Logic sig_xfer_strt_strb2use_im3 <= sig_xfer_strt_strb_ireg3 When (sig_first_xfer_im0 = '1') Else (others => '1'); sig_xfer_end_strb2use_im3 <= sig_xfer_strt_strb2use_im3 When (sig_xfer_len_eq_0_ireg3 = '1' and sig_first_xfer_im0 = '1') else sig_xfer_end_strb_ireg3 When (sig_last_xfer_valid_im1 = '1') Else (others => '1'); ---------------------------------------------------------- -- Intermediate registers for STBGEN Fmax path ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_REGS -- -- Process Description: -- Intermediate registers for Strobegen inputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_strbgen_addr_ireg2 <= (others => '0'); sig_strbgen_bytes_ireg2 <= (others => '0'); sig_finish_addr_offset_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_strbgen_addr_ireg2 <= sig_strbgen_addr_im0 ; sig_strbgen_bytes_ireg2 <= sig_strbgen_bytes_im1 ; sig_finish_addr_offset_ireg2 <= sig_finish_addr_offset_im1; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_REGS; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_STBGEN_OUT_REGS -- -- Process Description: -- Intermediate registers for Strobegen outputs to break -- long timing paths. -- ------------------------------------------------------------- IMP_IM_STBGEN_OUT_REGS : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_xfer_strt_strb_ireg3 <= (others => '0'); sig_xfer_end_strb_ireg3 <= (others => '0'); sig_xfer_len_eq_0_ireg3 <= '0'; elsif (sig_sm_ld_calc3_reg = '1') then sig_xfer_strt_strb_ireg3 <= sig_xfer_strt_strb_im2; sig_xfer_end_strb_ireg3 <= sig_xfer_end_strb_im2 ; sig_xfer_len_eq_0_ireg3 <= sig_xfer_len_eq_0_im2 ; else null; -- hold state end if; end if; end process IMP_IM_STBGEN_OUT_REGS; ------------------------------------------------------------ -- Instance: I_STRT_STRB_GEN -- -- Description: -- Strobe generator instance. Generates strobe bits for -- a designated starting byte lane and the number of bytes -- to be transfered (for that data beat). -- ------------------------------------------------------------ I_STRT_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 0 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH+1 ) port map ( start_addr_offset => sig_strbgen_addr_ireg2 , end_addr_offset => STRBGEN_ADDR_0 , -- not used in op mode 0 num_valid_bytes => sig_strbgen_bytes_ireg2 , strb_out => sig_xfer_strt_strb_im2 ); -- The ending address offset is 1 less than the calculated -- starting address for the next sequential transfer. sig_last_addr_offset_im2 <= STD_LOGIC_VECTOR(UNSIGNED(sig_finish_addr_offset_ireg2) - STRBGEN_ADDR_SLICE_1); ------------------------------------------------------------ -- Instance: I_END_STRB_GEN -- -- Description: -- End Strobe generator instance. Generates asserted strobe -- bits from byte offset 0 to the ending byte offset. -- ------------------------------------------------------------ I_END_STRB_GEN : entity axi_datamover_v5_1_9.axi_datamover_strb_gen2 generic map ( C_OP_MODE => 1 , -- 0 = Offset/Length mode C_STRB_WIDTH => BYTES_PER_DBEAT , C_OFFSET_WIDTH => STRBGEN_ADDR_SLICE_WIDTH , C_NUM_BYTES_WIDTH => STRBGEN_ADDR_SLICE_WIDTH ) port map ( start_addr_offset => STRBGEN_ADDR_0 , end_addr_offset => sig_last_addr_offset_im2 , num_valid_bytes => STRBGEN_ADDR_0 , -- not used in op mode 1 strb_out => sig_xfer_end_strb_im2 ); ----------------------------------------------------------------- -- Output xfer register design sig_push_xfer_reg <= (sig_ld_xfer_reg and sig_xfer_reg_empty); -- Data taking xfer after Addr and DRE sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid) and not(sig_cmd2dre_valid)) or -- Addr taking xfer after Data and DRE (sig_clr_cmd2addr_valid and not(sig_cmd2data_valid) and not(sig_cmd2dre_valid)) or -- DRE taking xfer after Data and ADDR (sig_clr_cmd2dre_valid and not(sig_cmd2data_valid) and not(sig_cmd2addr_valid)) or -- data and Addr taking xfer after DRE (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and not(sig_cmd2dre_valid)) or -- Addr and DRE taking xfer after Data (sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid and not(sig_cmd2data_valid)) or -- Data and DRE taking xfer after Addr (sig_clr_cmd2data_valid and sig_clr_cmd2dre_valid and not(sig_cmd2addr_valid)) or -- Addr, Data, and DRE all taking xfer (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid and sig_clr_cmd2dre_valid); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_OUTPUT_QUAL -- -- Process Description: -- Implements the output xfer qualifier holding register -- ------------------------------------------------------------- REG_OUTPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_pop_xfer_reg = '1' and sig_push_xfer_reg = '0')) then -- sig_xfer_cache_reg <= (others => '0'); -- sig_xfer_user_reg <= (others => '0'); -- sig_xfer_addr_reg <= (others => '0'); -- sig_xfer_type_reg <= '0'; -- sig_xfer_len_reg <= (others => '0'); -- sig_xfer_tag_reg <= (others => '0'); -- sig_xfer_dsa_reg <= (others => '0'); -- sig_xfer_drr_reg <= '0'; -- sig_xfer_eof_reg <= '0'; -- sig_xfer_strt_strb_reg <= (others => '0'); -- sig_xfer_end_strb_reg <= (others => '0'); -- sig_xfer_is_seq_reg <= '0'; -- sig_xfer_cmd_cmplt_reg <= '0'; -- sig_xfer_calc_err_reg <= '0'; -- sig_xfer_btt_reg <= (others => '0'); -- sig_xfer_dre_eof_reg <= '0'; sig_xfer_reg_empty <= '1'; sig_xfer_reg_full <= '0'; elsif (sig_push_xfer_reg = '1') then -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else -- sig_xfer_addr_reg <= sig_xfer_address_im0 ; -- end if; -- sig_xfer_type_reg <= sig_input_burst_type_reg ; -- sig_xfer_cache_reg <= sig_input_cache_type_reg ; -- sig_xfer_user_reg <= sig_input_user_type_reg ; -- sig_xfer_len_reg <= sig_xfer_len_im2 ; -- sig_xfer_tag_reg <= sig_input_tag_reg ; -- sig_xfer_dsa_reg <= sig_input_dsa_reg ; -- sig_xfer_drr_reg <= sig_input_drr_reg and -- sig_first_xfer_im0 ; -- sig_xfer_eof_reg <= sig_input_eof_reg and -- sig_last_xfer_valid_im1 ; -- sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; -- sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; -- sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; -- sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or -- sig_calc_error_reg ; -- sig_xfer_calc_err_reg <= sig_calc_error_reg ; -- sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; -- sig_xfer_dre_eof_reg <= sig_input_eof_reg ; sig_xfer_reg_empty <= '0'; sig_xfer_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_OUTPUT_QUAL; -- if (sig_input_burst_type_reg = '0') then -- sig_xfer_addr_reg <= sig_addr_cntr_lsh_kh; -- else sig_xfer_addr_reg <= sig_xfer_address_im0 when (sig_input_burst_type_reg = '1') else sig_addr_cntr_lsh_kh ; -- end if; sig_xfer_type_reg <= sig_input_burst_type_reg ; sig_xfer_cache_reg <= sig_input_cache_type_reg ; sig_xfer_user_reg <= sig_input_user_type_reg ; sig_xfer_len_reg <= sig_xfer_len_im2 ; sig_xfer_tag_reg <= sig_input_tag_reg ; sig_xfer_dsa_reg <= sig_input_dsa_reg ; sig_xfer_drr_reg <= sig_input_drr_reg and sig_first_xfer_im0 ; sig_xfer_eof_reg <= sig_input_eof_reg and sig_last_xfer_valid_im1 ; sig_xfer_strt_strb_reg <= sig_xfer_strt_strb2use_im3 ; sig_xfer_end_strb_reg <= sig_xfer_end_strb2use_im3 ; sig_xfer_is_seq_reg <= not(sig_last_xfer_valid_im1) ; sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid_im1 or sig_calc_error_reg ; sig_xfer_calc_err_reg <= sig_calc_error_reg ; sig_xfer_btt_reg <= sig_input_xfer_btt_im0 ; sig_xfer_dre_eof_reg <= sig_input_eof_reg ; -------------------------------------------------------------- -- BTT Counter Logic sig_ld_btt_cntr <= sig_ld_addr_cntr; -- sig_decr_btt_cntr <= sig_incr_addr_cntr; -- above signal is using the incr_addr_cntr signal and hence cannot be -- used if burst type is Fixed sig_decr_btt_cntr <= sig_incr_addr_cntr; --sig_push_xfer_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_CNTR -- -- Process Description: -- Bytes to transfer counter implementation. -- ------------------------------------------------------------- IMP_BTT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_btt_cntr_im0 <= (others => '0'); elsif (sig_ld_btt_cntr = '1') then sig_btt_cntr_im0 <= UNSIGNED(sig_cmd_btt_slice); Elsif (sig_decr_btt_cntr = '1') Then sig_btt_cntr_im0 <= sig_btt_cntr_im0-RESIZE(sig_addr_cntr_incr_ireg2, CMD_BTT_WIDTH); else null; -- hold current state end if; end if; end process IMP_BTT_CNTR; -- Convert to logic vector for the S2MM DRE use -- The DRE will only use this value prior to the first -- decrement of the BTT Counter. Using this saves a separate -- BTT register. sig_input_xfer_btt_im0 <= STD_LOGIC_VECTOR(sig_btt_cntr_im0); -- Rip the Burst Count slice from BTT counter value sig_burst_cnt_slice_im0 <= sig_btt_cntr_im0(CMD_BTT_WIDTH-1 downto BURST_CNT_LS_INDEX); sig_brst_cnt_eq_zero_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_0) Else '0'; sig_brst_cnt_eq_one_im0 <= '1' When (sig_burst_cnt_slice_im0 = BRST_CNT_1) Else '0'; -- Rip the BTT residue field from the BTT counter value sig_btt_residue_slice_im0 <= sig_btt_cntr_im0(BTT_RESIDUE_WIDTH-1 downto 0); -- Check for transfer length residue of zero prior to subtracting 1 sig_no_btt_residue_im0 <= '1' when (sig_btt_residue_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Unaligned address compensation -- Add the number of starting address offset byte positions to the -- final byte change value needed to calculate the AXI LEN field sig_start_addr_offset_slice_im0 <= sig_addr_cntr_lsh_im0(DBEAT_RESIDUE_WIDTH-1 downto 0); sig_adjusted_addr_incr_im1 <= sig_addr_cntr_incr_im1 + RESIZE(sig_start_addr_offset_slice_im0, ADDR_CNTR_WIDTH); -- adjust the address increment down by 1 byte to compensate -- for the LEN requirement of being N-1 data beats sig_byte_change_minus1_im2 <= sig_adjusted_addr_incr_ireg2-ADDR_CNTR_ONE; -- Rip the new transfer length value sig_xfer_len_im2 <= STD_LOGIC_VECTOR( RESIZE( sig_byte_change_minus1_im2(BTT_RESIDUE_WIDTH-1 downto DBEAT_RESIDUE_WIDTH), LEN_WIDTH) ); -- Check to see if the new xfer length is zero (1 data beat) sig_xfer_len_eq_0_im2 <= '1' when (sig_xfer_len_im2 = XFER_LEN_ZERO) Else '0'; -- Check for Last transfer condition --sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_im0 and sig_last_xfer_valid_im1 <= (sig_brst_cnt_eq_one_ireg1 and --sig_no_btt_residue_im0 and sig_no_btt_residue_ireg1 and -- sig_addr_aligned_im0) or -- always the last databeat case sig_addr_aligned_ireg1) or -- always the last databeat case -- ((sig_btt_lt_b2mbaa_im0 or sig_btt_eq_b2mbaa_im0) and -- less than a full burst remaining ((sig_btt_lt_b2mbaa_ireg1 or sig_btt_eq_b2mbaa_ireg1) and -- less than a full burst remaining -- (sig_brst_cnt_eq_zero_im0 and not(sig_no_btt_residue_im0))); (sig_brst_cnt_eq_zero_ireg1 and not(sig_no_btt_residue_ireg1))); ---------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------- -- -- General Address Counter Logic (applies to any address width of 32 or greater -- The address counter is divided into 2 16-bit segements for 32-bit address support. As the -- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit -- addressing. -- ---------------------------------------------------------------------------------------------------- -- Rip the LS bits of the LS Address Counter for the StrobeGen -- starting address offset sig_strbgen_addr_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); -- Check if the calcualted address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat sig_addr_incr_ge_bpdb_im1 <= '1' When (sig_addr_cntr_incr_im1 >= TO_UNSIGNED(BYTES_PER_DBEAT, ADDR_CNTR_WIDTH)) Else '0'; -- If the calculated address increment (in bytes) is greater than the -- number of bytes that can be transfered per data beat, then clip the -- strobegen byte value to the number of bytes per data beat, else use the -- increment value. sig_strbgen_bytes_im1 <= STD_LOGIC_VECTOR(TO_UNSIGNED(BYTES_PER_DBEAT, STRBGEN_ADDR_SLICE_WIDTH+1)) when (sig_addr_incr_ge_bpdb_im1 = '1') else STD_LOGIC_VECTOR(sig_addr_cntr_incr_im1(STRBGEN_ADDR_SLICE_WIDTH downto 0)); -------------------------------------------------------------------------- -- Address Counter logic sig_ld_addr_cntr <= sig_push_input_reg; -- don't increment address cntr if type is '0' (non-incrementing) sig_incr_addr_cntr <= sig_pop_xfer_reg;-- and -- sig_input_burst_type_reg; sig_mbaa_addr_cntr_slice_im0 <= sig_addr_cntr_lsh_im0(MBAA_ADDR_SLICE_WIDTH-1 downto 0); sig_bytes_to_mbaa_im0 <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) - RESIZE(sig_mbaa_addr_cntr_slice_im0,ADDR_CNTR_WIDTH); sig_addr_aligned_im0 <= '1' when (sig_mbaa_addr_cntr_slice_im0 = BTT_RESIDUE_0) Else '0'; -- Check to see if the jump to the Max Burst Aligned Address (mbaa) is less -- than or equal to the remaining bytes to transfer. If it is, then at least -- two tranfers have to be scheduled. sig_btt_lt_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; sig_btt_eq_b2mbaa_im0 <= '1' when ((RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa_im0) and (sig_brst_cnt_eq_zero_im0 = '1')) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_REG1 -- -- Process Description: -- Intermediate register stage 1 for Address Counter -- derivative calculations. -- ------------------------------------------------------------- IMP_IM_REG1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_bytes_to_mbaa_ireg1 <= (others => '0'); sig_addr_aligned_ireg1 <= '0' ; sig_btt_lt_b2mbaa_ireg1 <= '0' ; sig_btt_eq_b2mbaa_ireg1 <= '0' ; sig_brst_cnt_eq_zero_ireg1 <= '0' ; sig_brst_cnt_eq_one_ireg1 <= '0' ; sig_no_btt_residue_ireg1 <= '0' ; elsif (sig_sm_ld_calc1_reg = '1') then sig_bytes_to_mbaa_ireg1 <= sig_bytes_to_mbaa_im0 ; sig_addr_aligned_ireg1 <= sig_addr_aligned_im0 ; sig_btt_lt_b2mbaa_ireg1 <= sig_btt_lt_b2mbaa_im0 ; sig_btt_eq_b2mbaa_ireg1 <= sig_btt_eq_b2mbaa_im0 ; sig_brst_cnt_eq_zero_ireg1 <= sig_brst_cnt_eq_zero_im0; sig_brst_cnt_eq_one_ireg1 <= sig_brst_cnt_eq_one_im0 ; sig_no_btt_residue_ireg1 <= sig_no_btt_residue_im0 ; else null; -- hold state end if; end if; end process IMP_IM_REG1; -- Select the address counter increment value to use sig_addr_cntr_incr_im1 <= RESIZE(sig_btt_residue_slice_im0, ADDR_CNTR_WIDTH) --When (sig_btt_lt_b2mbaa_im0 = '1') When (sig_btt_lt_b2mbaa_ireg1 = '1') --else sig_bytes_to_mbaa_im0 else sig_bytes_to_mbaa_ireg1 when (sig_first_xfer_im0 = '1') else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH); -- calculate the next starting address after the current -- xfer completes sig_predict_addr_lsh_im1 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_im1; -- Predict next transfer's address offset for the Strobe Generator sig_finish_addr_offset_im1 <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_im1(STRBGEN_ADDR_SLICE_WIDTH-1 downto 0)); sig_addr_cntr_lsh_im0_slv <= STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- Determine if an address count lsh rollover is going to occur when -- jumping to the next starting address by comparing the MS bit of the -- current address lsh to the MS bit of the predicted address lsh . -- A transition of a '1' to a '0' is a rollover. sig_addr_lsh_rollover_im3 <= '1' when ( (sig_addr_cntr_lsh_im0_slv(ADDR_CNTR_WIDTH-1) = '1') and (sig_predict_addr_lsh_im3_slv(ADDR_CNTR_WIDTH-1) = '0') ) Else '0'; ---------------------------------------------------------- -- Intermediate registers for reducing the Address Counter -- Increment timing path ---------------------------------------------------------- -- calculate the next starting address after the current -- xfer completes using intermediate register values sig_predict_addr_lsh_im2 <= sig_addr_cntr_lsh_im0 + sig_addr_cntr_incr_ireg2; sig_predict_addr_lsh_im3_slv <= STD_LOGIC_VECTOR(sig_predict_addr_lsh_ireg3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_ADDRINC_REG -- -- Process Description: -- Intermediate registers for address counter increment to -- break long timing paths. -- ------------------------------------------------------------- IMP_IM_ADDRINC_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_addr_cntr_incr_ireg2 <= sig_addr_cntr_incr_im1; else null; -- hold state end if; end if; end process IMP_IM_ADDRINC_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_IM_PREDICT_ADDR_REG -- -- Process Description: -- Intermediate register for predicted address to break up -- long timing paths. -- ------------------------------------------------------------- IMP_IM_PREDICT_ADDR_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_predict_addr_lsh_ireg3 <= (others => '0'); elsif (sig_sm_ld_calc3_reg = '1') then sig_predict_addr_lsh_ireg3 <= sig_predict_addr_lsh_im2; else null; -- hold state end if; end if; end process IMP_IM_PREDICT_ADDR_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_ADDR_STUFF -- -- Process Description: -- Implements a general register for address counter related -- things. -- ------------------------------------------------------------- REG_ADDR_STUFF : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_adjusted_addr_incr_ireg2 <= (others => '0'); elsif (sig_sm_ld_calc2_reg = '1') then sig_adjusted_addr_incr_ireg2 <= sig_adjusted_addr_incr_im1; else null; -- hold state end if; end if; end process REG_ADDR_STUFF; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_LSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_lsh_im0 <= (others => '0'); sig_addr_cntr_lsh_kh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_lsh_im0 <= UNSIGNED(sig_cmd_addr_slice(ADDR_CNTR_WIDTH-1 downto 0)); sig_addr_cntr_lsh_kh <= sig_cmd_addr_slice; Elsif (sig_incr_addr_cntr = '1') then -- and sig_input_burst_type_reg = '1') Then sig_addr_cntr_lsh_im0 <= sig_predict_addr_lsh_ireg3; else null; -- hold current state end if; end if; end process IMP_LSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_MSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_addr_cntr_im0_msh <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then sig_addr_cntr_im0_msh <= UNSIGNED(sig_cmd_addr_slice((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1') then sig_addr_cntr_im0_msh <= sig_addr_cntr_im0_msh+ADDR_CNTR_ONE; else null; -- hold current state end if; end if; end process IMP_MSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FIRST_XFER_FLOP -- -- Process Description: -- Implements the register flop for the first transfer flag. -- ------------------------------------------------------------- IMP_FIRST_XFER_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_incr_addr_cntr = '1') then sig_first_xfer_im0 <= '0'; elsif (sig_ld_addr_cntr = '1') then sig_first_xfer_im0 <= '1'; else null; -- hold current state end if; end if; end process IMP_FIRST_XFER_FLOP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_32 -- -- If Generate Description: -- Implements the Address segment merge logic for the 32-bit -- address width case. The address counter segments are split -- into two 16-bit sections to improve Fmax convergence. -- -- ------------------------------------------------------------ GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate begin -- Populate the transfer address value by concatonating the -- address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); end generate GEN_ADDR_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_32_LE_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 32 bits and less than -- or equal to 48 bits. In this case, an additional counter segment -- is implemented (segment 3) that is variable width of 1 -- to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and C_ADDR_WIDTH <= 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; begin -- Populate the transfer address value by concatonating the -- 3 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Adress Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter MSH (Segment 2) is at max value and will rollover -- at the next increment interval for the counter. Registering -- this signal and using it for the Seg 3 increment logic only -- works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 counter to go to -- max and the next increment operation that can bump segment 3. -- ------------------------------------------------------------- IMP_SEG2_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; end if; end if; end process IMP_SEG2_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and --sig_input_burst_type_reg = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; end generate GEN_ADDR_GT_32_LE_48; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 48 bits and less than -- or equal to 64. In this case, an additional 2 counter segments -- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits -- and segment 4 is variable width of 1 to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48; Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH); Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; signal lsig_acntr_seg3_eq_max : std_logic := '0'; signal lsig_acntr_seg3_eq_max_reg : std_logic := '0'; signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0'); begin -- Populate the transfer address value by concatonating the -- 4 address counter segments sig_xfer_address_im0 <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) & STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_addr_cntr_im0_msh) & STD_LOGIC_VECTOR(sig_addr_cntr_lsh_im0); -- See if the MSH (Segment 2) of the Address Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_addr_cntr_im0_msh = ACNTR_MSH_MAX) Else '0'; -- See if the Segment 3 of the Address Counter is at a max value lsig_acntr_seg3_eq_max <= '1' when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_3_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter segments 2 and 3 are at max value and will rollover -- at the next increment interval for the counter. Registering -- these signals and using themt for the Seg 3/4 increment logic -- only works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 or 3 counter to go -- to max and the next increment operation. -- ------------------------------------------------------------- IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; lsig_acntr_seg3_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max; end if; end if; end process IMP_SEG2_3_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG4_ADDR_CNTR -- -- Process Description: -- Segment 4 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG4_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg4_addr_cntr <= (others => '0'); elsif (sig_ld_addr_cntr = '1') then lsig_seg4_addr_cntr <= UNSIGNED(sig_cmd_addr_slice(SEG4_ADDR_RIP_MS_INDEX downto SEG4_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_addr_cntr = '1' and sig_addr_lsh_rollover_im3 = '1' and lsig_acntr_msh_eq_max_reg = '1' and lsig_acntr_seg3_eq_max_reg = '1') then lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE; else null; -- hold current state end if; end if; end process IMP_SEG4_ADDR_CNTR; end generate GEN_ADDR_GT_48; -- Addr and data Cntlr FIFO interface handshake logic ------------------------------ sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; sig_clr_cmd2dre_valid <= sig_cmd2dre_valid and dre2mstr_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DRE_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the DRE Module (S2MM DRE Only). -- -- Note that the S2MM DRE only needs to be loaded with a command -- for each parent command, not every child command. -- ------------------------------------------------------------- CMD2DRE_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2dre_valid = '1') then sig_cmd2dre_valid <= '0'; elsif (sig_sm_ld_xfer_reg_ns = '1' and sig_first_xfer_im0 = '1') then sig_cmd2dre_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DRE_VALID_FLOP; ------------------------------------------------------------------------- -- PCC State machine Logic ------------------------------------------------------------- -- Combinational Process -- -- Label: PCC_SM_COMBINATIONAL -- -- Process Description: -- PCC State Machine combinational implementation -- ------------------------------------------------------------- PCC_SM_COMBINATIONAL : process (sig_pcc_sm_state , sig_parent_done , sig_push_input_reg , sig_pop_xfer_reg , sig_calc_error_pushed) begin -- SM Defaults sig_pcc_sm_state_ns <= INIT; sig_sm_halt_ns <= '0'; sig_sm_ld_xfer_reg_ns <= '0'; sig_sm_pop_input_reg_ns <= '0'; sig_sm_ld_calc1_reg_ns <= '0'; sig_sm_ld_calc2_reg_ns <= '0'; sig_sm_ld_calc3_reg_ns <= '0'; case sig_pcc_sm_state is -------------------------------------------- when INIT => sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_halt_ns <= '1'; -------------------------------------------- when WAIT_FOR_CMD => If (sig_push_input_reg = '1') Then sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; else sig_pcc_sm_state_ns <= WAIT_FOR_CMD; End if; -------------------------------------------- when CALC_1 => sig_pcc_sm_state_ns <= CALC_2; sig_sm_ld_calc2_reg_ns <= '1'; -------------------------------------------- when CALC_2 => sig_pcc_sm_state_ns <= CALC_3; sig_sm_ld_calc3_reg_ns <= '1'; -------------------------------------------- when CALC_3 => sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; sig_sm_ld_xfer_reg_ns <= '1'; -------------------------------------------- when WAIT_ON_XFER_PUSH => if (sig_pop_xfer_reg = '1') then sig_pcc_sm_state_ns <= CHK_IF_DONE; else -- wait until output register is loaded sig_pcc_sm_state_ns <= WAIT_ON_XFER_PUSH; end if; -------------------------------------------- when CHK_IF_DONE => If (sig_calc_error_pushed = '1') then -- Internal error, go to trap sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; elsif (sig_parent_done = '1') Then -- done with parent command sig_pcc_sm_state_ns <= WAIT_FOR_CMD; sig_sm_pop_input_reg_ns <= '1'; else -- Still breaking up parent command sig_pcc_sm_state_ns <= CALC_1; sig_sm_ld_calc1_reg_ns <= '1'; end if; -------------------------------------------- when ERROR_TRAP => sig_pcc_sm_state_ns <= ERROR_TRAP; sig_sm_halt_ns <= '1'; -------------------------------------------- when others => sig_pcc_sm_state_ns <= INIT; end case; end process PCC_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PCC_SM_REGISTERED -- -- Process Description: -- PCC State Machine registered implementation -- ------------------------------------------------------------- PCC_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_pcc_sm_state <= INIT; sig_sm_halt_reg <= '1' ; sig_sm_pop_input_reg <= '0' ; sig_sm_ld_calc1_reg <= '0' ; sig_sm_ld_calc2_reg <= '0' ; sig_sm_ld_calc3_reg <= '0' ; else sig_pcc_sm_state <= sig_pcc_sm_state_ns ; sig_sm_halt_reg <= sig_sm_halt_ns ; sig_sm_pop_input_reg <= sig_sm_pop_input_reg_ns; sig_sm_ld_calc1_reg <= sig_sm_ld_calc1_reg_ns ; sig_sm_ld_calc2_reg <= sig_sm_ld_calc2_reg_ns ; sig_sm_ld_calc3_reg <= sig_sm_ld_calc3_reg_ns ; end if; end if; end process PCC_SM_REGISTERED; ------------------------------------------------------------------ -- Transfer Register Load Enable logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: LD_XFER_REG_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- LD_XFER_REG_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_xfer_reg = '1') then sig_ld_xfer_reg <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP; LD_XFER_REG_FLOP1 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_xfer_reg = '1') then sig_ld_xfer_reg_tmp <= '0'; Elsif (sig_sm_ld_xfer_reg_ns = '1') Then sig_ld_xfer_reg_tmp <= '1'; else null; -- hold current state end if; end if; end process LD_XFER_REG_FLOP1; ------------------------------------------------------------------ -- Parent Done flag logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: PARENT_DONE_FLOP -- -- Process Description: -- Sample and Hold FLOP for signaling a load of the output -- xfer register. -- ------------------------------------------------------------- PARENT_DONE_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_input_reg = '1') then sig_parent_done <= '0'; Elsif (sig_ld_xfer_reg_tmp = '1') Then sig_parent_done <= sig_last_xfer_valid_im1; else null; -- hold current state end if; end if; end process PARENT_DONE_FLOP; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_thesis_ANN_2_1/hdl/ip/ANN_ap_fcmp_0_no_dsp_32.vhd
6
12778
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fcmp_0_no_dsp_32 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ANN_ap_fcmp_0_no_dsp_32; ARCHITECTURE ANN_ap_fcmp_0_no_dsp_32_arch OF ANN_ap_fcmp_0_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fcmp_0_no_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fcmp_0_no_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fcmp_0_no_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fcmp_0_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=1,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=1,C_RESULT_FRACTION_WIDTH=0,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=1,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=8,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_operation_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_OPERATION TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_operation_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_OPERATION TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 1, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 1, C_RESULT_FRACTION_WIDTH => 0, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 1, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 8, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => s_axis_operation_tvalid, s_axis_operation_tdata => s_axis_operation_tdata, s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fcmp_0_no_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_ftch_queue.vhd
7
41099
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_queue.vhd -- Description: This entity is the descriptor fetch queue interface -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_pkg.all; --use axi_sg_v4_1_2.axi_sg_afifo_autord.all; library lib_fifo_v1_0_4; use lib_fifo_v1_0_4.sync_fifo_fg; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_queue is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Stream Data width C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_SG2_WORDS_TO_FETCH : integer range 4 to 16 := 8; -- Number of words to fetch for channel 1 C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0; C_INCLUDE_MM2S : integer range 0 to 1 := 0; C_INCLUDE_S2MM : integer range 0 to 1 := 0; C_ENABLE_CDMA : integer range 0 to 1 := 0; C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; C_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex7" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_primary_aclk : in std_logic ; m_axi_sg_aresetn : in std_logic ; -- p_reset_n : in std_logic ; ch2_sg_idle : in std_logic ; -- Channel Control -- desc1_flush : in std_logic ; -- ch1_cntrl_strm_stop : in std_logic ; desc2_flush : in std_logic ; -- ftch1_active : in std_logic ; -- ftch2_active : in std_logic ; -- ftch1_queue_empty : out std_logic ; -- ftch2_queue_empty : out std_logic ; -- ftch1_queue_full : out std_logic ; -- ftch2_queue_full : out std_logic ; -- ftch1_pause : out std_logic ; -- ftch2_pause : out std_logic ; -- -- writing_nxtdesc_in : in std_logic ; -- writing1_curdesc_out : out std_logic ; -- writing2_curdesc_out : out std_logic ; -- -- -- DataMover Command -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- MM2S Stream In from DataMover -- m_axis_mm2s_tdata : in std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) ; -- m_axis_mm2s_tlast : in std_logic ; -- m_axis_mm2s_tvalid : in std_logic ; -- sof_ftch_desc : in std_logic ; m_axis1_mm2s_tready : out std_logic ; -- m_axis2_mm2s_tready : out std_logic ; -- -- data_concat_64 : in std_logic_vector -- (31 downto 0) ; -- data_concat_64_cdma : in std_logic_vector -- (31 downto 0) ; -- data_concat : in std_logic_vector -- (95 downto 0) ; -- data_concat_mcdma : in std_logic_vector -- (63 downto 0) ; -- data_concat_tlast : in std_logic ; -- next_bd : in std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0); data_concat_valid : in std_logic ; -- -- -- Channel 1 AXI Fetch Stream Out -- m_axis_ftch_aclk : in std_logic ; -- m_axis_ftch1_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch1_tvalid : out std_logic ; -- m_axis_ftch1_tready : in std_logic ; -- m_axis_ftch1_tlast : out std_logic ; -- m_axis_ftch1_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch1_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch1_tvalid_new : out std_logic ; -- m_axis_ftch1_desc_available : out std_logic ; m_axis_ftch2_tdata : out std_logic_vector -- (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); -- m_axis_ftch2_tvalid : out std_logic ; -- m_axis_ftch2_tdata_new : out std_logic_vector -- (96+31*C_ENABLE_CDMA+(2+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); -- m_axis_ftch2_tdata_mcdma_new : out std_logic_vector -- (63 downto 0); -- m_axis_ftch2_tvalid_new : out std_logic ; -- m_axis_ftch2_desc_available : out std_logic ; m_axis_ftch2_tready : in std_logic ; -- m_axis_ftch2_tlast : out std_logic ; -- m_axis_mm2s_cntrl_tdata : out std_logic_vector -- (31 downto 0); -- m_axis_mm2s_cntrl_tkeep : out std_logic_vector -- (3 downto 0); -- m_axis_mm2s_cntrl_tvalid : out std_logic ; -- m_axis_mm2s_cntrl_tready : in std_logic := '0'; -- m_axis_mm2s_cntrl_tlast : out std_logic -- ); end axi_sg_ftch_queue; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_queue is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Number of words deep fifo needs to be -- 6 is subtracted as BD address are always 16 word aligned constant FIFO_WIDTH : integer := (128*C_ENABLE_CDMA + 97*(1-C_ENABLE_CDMA) -6); constant C_SG_WORDS_TO_FETCH1 : integer := C_SG_WORDS_TO_FETCH + 2*C_ENABLE_MULTI_CHANNEL; --constant FETCH_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE -- * C_SG_WORDS_TO_FETCH1)); constant FETCH_QUEUE_DEPTH : integer := 16; -- Select between BRAM or Logic Memory Type constant MEMORY_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG_WORDS_TO_FETCH1 > 16); constant FETCH_QUEUE_CNT_WIDTH : integer := clog2(FETCH_QUEUE_DEPTH+1); constant DCNT_LO_INDEX : integer := max2(1,clog2(C_SG_WORDS_TO_FETCH1)) - 1; constant DCNT_HI_INDEX : integer := FETCH_QUEUE_CNT_WIDTH-1; -- CR616461 constant C_SG2_WORDS_TO_FETCH1 : integer := C_SG2_WORDS_TO_FETCH; constant FETCH2_QUEUE_DEPTH : integer := max2(16,pad_power2(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1)); -- Select between BRAM or Logic Memory Type constant MEMORY2_TYPE : integer := bo2int(C_SG_FTCH_DESC2QUEUE * C_SG2_WORDS_TO_FETCH1 > 16); constant FETCH2_QUEUE_CNT_WIDTH : integer := clog2(FETCH2_QUEUE_DEPTH+1); constant DCNT2_LO_INDEX : integer := max2(1,clog2(C_SG2_WORDS_TO_FETCH1)) - 1; constant DCNT2_HI_INDEX : integer := FETCH2_QUEUE_CNT_WIDTH-1; -- CR616461 -- Width of fifo rd and wr counts - only used for proper fifo operation constant DESC2QUEUE_VECT_WIDTH : integer := 4; --constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) -- := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 constant SG_FTCH_DESC2QUEUE_VECT : std_logic_vector(DESC2QUEUE_VECT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned(C_SG_FTCH_DESC2QUEUE,DESC2QUEUE_VECT_WIDTH)); -- CR616461 --constant DCNT_HI_INDEX : integer := (DCNT_LO_INDEX + DESC2QUEUE_VECT_WIDTH) - 1; -- CR616461 constant ZERO_COUNT : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); constant ZERO_COUNT1 : std_logic_vector(FETCH2_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Internal signals signal curdesc_tdata : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal curdesc_tvalid : std_logic := '0'; signal ftch_tvalid : std_logic := '0'; signal ftch_tvalid_new : std_logic := '0'; signal ftch_tdata : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tdata_new, reg1, reg2 : std_logic_vector (FIFO_WIDTH-1 downto 0) := (others => '0'); signal ftch_tdata_new_64, reg1_64, reg2_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal ftch_tdata_new_bd, reg2_bd_64, reg1_bd_64 : std_logic_vector (31 downto 0) := (others => '0'); signal ftch_tlast : std_logic := '0'; signal ftch_tlast_new : std_logic := '0'; signal ftch_tready : std_logic := '0'; signal ftch_tready_ch1 : std_logic := '0'; signal ftch_tready_ch2 : std_logic := '0'; -- Misc Signals signal writing_curdesc : std_logic := '0'; signal writing_nxtdesc : std_logic := '0'; signal msb_curdesc : std_logic_vector(31 downto 0) := (others => '0'); signal writing_lsb : std_logic := '0'; signal writing_msb : std_logic := '0'; -- FIFO signals signal queue_rden2 : std_logic := '0'; signal queue_rden2_new : std_logic := '0'; signal queue_wren2 : std_logic := '0'; signal queue_wren2_new : std_logic := '0'; signal queue_empty2 : std_logic := '0'; signal queue_empty2_new : std_logic := '0'; signal queue_rden : std_logic := '0'; signal queue_rden_new : std_logic := '0'; signal queue_wren : std_logic := '0'; signal queue_wren_new : std_logic := '0'; signal queue_empty : std_logic := '0'; signal queue_empty_new : std_logic := '0'; signal queue_dout_valid : std_logic := '0'; signal queue_dout2_valid : std_logic := '0'; signal queue_full_new : std_logic := '0'; signal queue_full2_new : std_logic := '0'; signal queue_full, queue_full2 : std_logic := '0'; signal queue_din_new : std_logic_vector (127 downto 0) := (others => '0'); signal queue_dout_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_dout2_new_64 : std_logic_vector ((1+C_ENABLE_CDMA)*(C_M_AXI_SG_ADDR_WIDTH-32) -1 downto 0) := (others => '0'); signal queue_dout2_new_bd : std_logic_vector (31 downto 0) := (others => '0'); signal queue_dout2_new : std_logic_vector (96+31*C_ENABLE_CDMA-6 downto 0) := (others => '0'); signal queue_dout2_mcdma_new : std_logic_vector (63 downto 0) := (others => '0'); signal queue_din : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_dout2 : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH downto 0) := (others => '0'); signal queue_sinit : std_logic := '0'; signal queue_sinit2 : std_logic := '0'; signal queue_dcount_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal queue_dcount2_new : std_logic_vector(FETCH_QUEUE_CNT_WIDTH-1 downto 0) := (others => '0'); signal ftch_no_room : std_logic; signal ftch_active : std_logic := '0'; signal ftch_tvalid_mult : std_logic := '0'; signal ftch_tdata_mult : std_logic_vector (C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0'); signal ftch_tlast_mult : std_logic := '0'; signal counter : std_logic_vector (3 downto 0) := (others => '0'); signal wr_cntl : std_logic := '0'; signal sof_ftch_desc_del : std_logic; signal sof_ftch_desc_del1 : std_logic; signal sof_ftch_desc_pulse : std_logic; signal current_bd : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal xfer_in_progress : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin SOF_DEL_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sof_ftch_desc_del <= '0'; else sof_ftch_desc_del <= sof_ftch_desc; end if; end if; end process SOF_DEL_PROCESS; SOF_DEL1_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or (m_axis_mm2s_tlast = '1' and m_axis_mm2s_tvalid = '1'))then sof_ftch_desc_del1 <= '0'; elsif (m_axis_mm2s_tvalid = '1') then sof_ftch_desc_del1 <= sof_ftch_desc; end if; end if; end process SOF_DEL1_PROCESS; sof_ftch_desc_pulse <= sof_ftch_desc and (not sof_ftch_desc_del1); ftch_active <= ftch1_active or ftch2_active; --------------------------------------------------------------------------- -- Write current descriptor to FIFO or out channel port --------------------------------------------------------------------------- CURRENT_BD_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(32+DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_64; CURRENT_BD_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin CMDDATA_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then current_bd <= (others => '0'); elsif (ftch2_active = '1' and C_ENABLE_MULTI_CHANNEL = 1) then current_bd <= next_bd; elsif (ftch_cmnd_wr = '1' and ftch_active = '1') then current_bd <= ftch_cmnd_data(DATAMOVER_CMD_ADDRMSB_BOFST + DATAMOVER_CMD_ADDRLSB_BIT downto DATAMOVER_CMD_ADDRLSB_BIT); end if; end if; end process CMDDATA_PROCESS; end generate CURRENT_BD_32; GEN_MULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 1 generate begin ftch_tvalid_mult <= m_axis_mm2s_tvalid; ftch_tdata_mult <= m_axis_mm2s_tdata; ftch_tlast_mult <= m_axis_mm2s_tlast; wr_cntl <= m_axis_mm2s_tvalid; end generate GEN_MULT_CHANNEL; GEN_NOMULT_CHANNEL : if C_ENABLE_MULTI_CHANNEL = 0 generate begin ftch_tvalid_mult <= '0'; --m_axis_mm2s_tvalid; ftch_tdata_mult <= (others => '0'); --m_axis_mm2s_tdata; ftch_tlast_mult <= '0'; --m_axis_mm2s_tlast; m_axis_ftch1_tdata_mcdma_new <= (others => '0'); m_axis_ftch2_tdata_mcdma_new <= (others => '0'); COUNTER_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or m_axis_mm2s_tlast = '1')then counter <= (others => '0'); elsif (m_axis_mm2s_tvalid = '1') then counter <= std_logic_vector(unsigned(counter) + 1); end if; end if; end process COUNTER_PROCESS; end generate GEN_NOMULT_CHANNEL; --------------------------------------------------------------------------- -- TVALID MUX -- MUX tvalid out channel port --------------------------------------------------------------------------- CDMA_FIELDS : if C_ENABLE_CDMA = 1 generate begin CDMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (63 downto 0) <= data_concat_64_cdma & data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate CDMA_FIELDS_64; ftch_tdata_new (95 downto 0) <= data_concat; -- BD is always 16 word aligned ftch_tdata_new (121 downto 96) <= current_bd (31 downto 6); end generate CDMA_FIELDS; DMA_FIELDS : if C_ENABLE_CDMA = 0 generate begin DMA_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin ftch_tdata_new_64 (31 downto 0) <= data_concat_64; ftch_tdata_new_bd (31 downto 0) <= current_bd (C_M_AXI_SG_ADDR_WIDTH-1 downto 32); end generate DMA_FIELDS_64; ftch_tdata_new (64 downto 0) <= data_concat (95) & data_concat (63 downto 0);-- when (ftch_active = '1') else (others =>'0'); -- BD is always 16 word aligned ftch_tdata_new (90 downto 65) <= current_bd (31 downto 6); end generate DMA_FIELDS; ftch_tvalid_new <= data_concat_valid and ftch_active; ftch_tlast_new <= data_concat_tlast and ftch_active; GEN_MM2S : if C_INCLUDE_MM2S = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_rden_new = '1') then queue_empty_new <= '1'; queue_full_new <= '0'; elsif (queue_wren_new = '1') then queue_empty_new <= '0'; queue_full_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then reg1 <= (others => '0'); reg1_64 <= (others => '0'); reg1_bd_64 <= (others => '0'); elsif (queue_wren_new = '1') then reg1 <= ftch_tdata_new; reg1_64 <= ftch_tdata_new_64; reg1_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1') then queue_dout_new <= (others => '0'); queue_dout_new_64 <= (others => '0'); queue_dout_new_bd <= (others => '0'); elsif (queue_rden_new = '1') then queue_dout_new <= reg1; queue_dout_new_64 <= reg1_64; queue_dout_new_bd <= reg1_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit = '1' or queue_dout_valid = '1') then queue_dout_valid <= '0'; elsif (queue_rden_new = '1') then queue_dout_valid <= '1'; end if; end if; end process; MCDMA_MM2S : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH1_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren_new , Rd_en => queue_rden_new , Dout => queue_dout_mcdma_new , Full => open, --queue_full_new , Empty => open, --queue_empty_new , Almost_full => open , Data_count => open, --queue_dcount_new , Rd_ack => open, --queue_dout_valid, --open , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch1_tdata_mcdma_new <= queue_dout_mcdma_new; end generate MCDMA_MM2S; CONTROL_STREAM : if C_SG_WORDS_TO_FETCH = 13 generate begin I_MM2S_CNTRL_STREAM : entity axi_sg_v4_1_2.axi_sg_cntrl_strm generic map( C_PRMRY_IS_ACLK_ASYNC => C_ASYNC , C_PRMY_CMDFIFO_DEPTH => FETCH_QUEUE_DEPTH , C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH , C_FAMILY => C_FAMILY ) port map( -- Secondary clock / reset m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , -- Primary clock / reset axi_prmry_aclk => m_axi_primary_aclk , p_reset_n => p_reset_n , -- MM2S Error mm2s_stop => ch1_cntrl_strm_stop , -- Control Stream input cntrlstrm_fifo_wren => queue_wren , cntrlstrm_fifo_full => queue_full , cntrlstrm_fifo_din => queue_din , -- Memory Map to Stream Control Stream Interface m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata , m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep , m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid , m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready , m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast ); end generate CONTROL_STREAM; end generate GEN_MM2S; GEN_S2MM : if C_INCLUDE_S2MM = 1 generate begin process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_rden2_new = '1') then queue_empty2_new <= '1'; queue_full2_new <= '0'; elsif (queue_wren2_new = '1') then queue_empty2_new <= '0'; queue_full2_new <= '1'; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then reg2 <= (others => '0'); reg2_64 <= (others => '0'); reg2_bd_64 <= (others => '0'); elsif (queue_wren2_new = '1') then reg2 <= ftch_tdata_new; reg2_64 <= ftch_tdata_new_64; reg2_bd_64 <= ftch_tdata_new_bd; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1') then queue_dout2_new <= (others => '0'); queue_dout2_new_64 <= (others => '0'); queue_dout2_new_bd <= (others => '0'); elsif (queue_rden2_new = '1') then queue_dout2_new <= reg2; queue_dout2_new_64 <= reg2_64; queue_dout2_new_bd <= reg2_bd_64; end if; end if; end process; process (m_axi_sg_aclk) begin if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then if (queue_sinit2 = '1' or queue_dout2_valid = '1') then queue_dout2_valid <= '0'; elsif (queue_rden2_new = '1') then queue_dout2_valid <= '1'; end if; end if; end process; MCDMA_S2MM : if C_ENABLE_MULTI_CHANNEL = 1 generate begin -- Generate Synchronous FIFO I_CH2_FTCH_MCDMA_FIFO_NEW : entity lib_fifo_v1_0_4.sync_fifo_fg generic map ( C_FAMILY => C_FAMILY , C_MEMORY_TYPE => 0, --MEMORY_TYPE , C_WRITE_DATA_WIDTH => 64, C_WRITE_DEPTH => FETCH_QUEUE_DEPTH , C_READ_DATA_WIDTH => 64, C_READ_DEPTH => FETCH_QUEUE_DEPTH , C_PORTS_DIFFER => 0, C_HAS_DCOUNT => 0, C_DCOUNT_WIDTH => FETCH_QUEUE_CNT_WIDTH, C_HAS_ALMOST_FULL => 0, C_HAS_RD_ACK => 0, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_ERR_LOW => 0, C_WR_ACK_LOW => 0, C_WR_ERR_LOW => 0, C_PRELOAD_REGS => 0,-- 1 = first word fall through C_PRELOAD_LATENCY => 1 -- 0 = first word fall through ) port map ( Clk => m_axi_sg_aclk , Sinit => queue_sinit2 , Din => data_concat_mcdma, --ftch_tdata_new, --queue_din , Wr_en => queue_wren2_new , Rd_en => queue_rden2_new , Dout => queue_dout2_new , Full => open, --queue_full2_new , Empty => open, --queue_empty2_new , Almost_full => open , Data_count => queue_dcount2_new , Rd_ack => open, --queue_dout2_valid , Rd_err => open , Wr_ack => open , Wr_err => open ); m_axis_ftch2_tdata_mcdma_new <= queue_dcount2_new; end generate MCDMA_S2MM; end generate GEN_S2MM; ----------------------------------------------------------------------- -- Internal Side ----------------------------------------------------------------------- -- Drive tready with fifo not full ftch_tready <= ftch_tready_ch1 or ftch_tready_ch2; -- Following is the APP data that goes into APP FIFO queue_din(C_M_AXIS_SG_TDATA_WIDTH) <= m_axis_mm2s_tlast; queue_din(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) <= x"A0000000" when (sof_ftch_desc_pulse = '1') else m_axis_mm2s_tdata; GEN_CH1_CTRL : if C_INCLUDE_MM2S =1 generate begin --queue_full_new <= '1' when (queue_dcount_new = "00100") else '0'; queue_sinit <= desc1_flush or not m_axi_sg_aresetn; ftch_tready_ch1 <= (not queue_full and ftch1_active); m_axis1_mm2s_tready <= ftch_tready_ch1; -- Wr_en to APP FIFO. Data is written only when BD with SOF is fetched. queue_wren <= not queue_full and sof_ftch_desc and m_axis_mm2s_tvalid and ftch1_active; -- Wr_en of BD FIFO queue_wren_new <= not queue_full_new and ftch_tvalid_new and ftch1_active; ftch1_queue_empty <= queue_empty_new; ftch1_queue_full <= queue_full_new; ftch1_pause <= queue_full_new; -- RD_en of APP FIFO based on empty and tready -- RD_EN of BD FIFO based on empty and tready queue_rden_new <= not queue_empty_new and m_axis_ftch1_tready; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; m_axis_ftch1_tvalid_new <= queue_dout_valid; --not queue_empty_new and (not ch2_sg_idle); -- below signal triggers the fetch of BD in MM2S Mngr m_axis_ftch1_desc_available <= not queue_empty_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); FTCH_FIELDS_64 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new_bd & queue_dout_new_64 & queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64; FTCH_FIELDS_32 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate begin m_axis_ftch1_tdata_new <= queue_dout_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32; writing1_curdesc_out <= writing_curdesc and ftch1_active; NOCONTROL_STREAM_ASST : if C_SG_WORDS_TO_FETCH = 8 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= (others => '0'); m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; end generate NOCONTROL_STREAM_ASST; end generate GEN_CH1_CTRL; GEN_NO_CH1_CTRL : if C_INCLUDE_MM2S =0 generate begin m_axis_mm2s_cntrl_tdata <= (others => '0'); m_axis_mm2s_cntrl_tkeep <= "0000"; m_axis_mm2s_cntrl_tvalid <= '0'; m_axis_mm2s_cntrl_tlast <= '0'; ftch_tready_ch1 <= '0'; m_axis1_mm2s_tready <= '0'; -- Write to fifo if it is not full and data is valid queue_wren <= '0'; ftch1_queue_empty <= '0'; ftch1_queue_full <= '0'; ftch1_pause <= '0'; queue_rden <= '0'; -- drive valid if fifo is not empty m_axis_ftch1_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch1_tlast <= '0'; m_axis_ftch1_tdata <= (others => '0'); writing1_curdesc_out <= '0'; m_axis_ftch1_tdata_new <= (others => '0'); m_axis_ftch1_tvalid_new <= '0'; m_axis_ftch1_desc_available <= '0'; end generate GEN_NO_CH1_CTRL; GEN_CH2_CTRL : if C_INCLUDE_S2MM =1 generate begin queue_sinit2 <= desc2_flush or not m_axi_sg_aresetn; ftch_tready_ch2 <= (not queue_full2_new and ftch2_active); m_axis2_mm2s_tready <= ftch_tready_ch2; queue_wren2 <= '0'; -- Wr_en for S2MM BD FIFO queue_wren2_new <= not queue_full2_new and ftch_tvalid_new and ftch2_active; --queue_full2_new <= '1' when (queue_dcount2_new = "00100") else '0'; -- Pass fifo status back to fetch sm for channel IDLE determination ftch2_queue_empty <= queue_empty2_new; ftch2_queue_full <= queue_full2_new; ftch2_pause <= queue_full2_new; queue_rden2 <= '0'; -- Rd_en for S2MM BD FIFO queue_rden2_new <= not queue_empty2_new and m_axis_ftch2_tready; m_axis_ftch2_tvalid <= '0'; m_axis_ftch2_tvalid_new <= queue_dout2_valid; -- not queue_empty2_new and (not ch2_sg_idle); m_axis_ftch2_desc_available <= not queue_empty2_new and (not ch2_sg_idle); -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); FTCH_FIELDS_64_2 : if C_M_AXI_SG_ADDR_WIDTH > 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new_bd & queue_dout2_new_64 & queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_64_2; FTCH_FIELDS_32_2 : if C_M_AXI_SG_ADDR_WIDTH = 32 generate m_axis_ftch2_tdata_new <= queue_dout2_new (FIFO_WIDTH-1 downto FIFO_WIDTH-26) & "000000" & queue_dout2_new (FIFO_WIDTH-27 downto 0); end generate FTCH_FIELDS_32_2; writing2_curdesc_out <= writing_curdesc and ftch2_active; end generate GEN_CH2_CTRL; GEN_NO_CH2_CTRL : if C_INCLUDE_S2MM =0 generate begin ftch_tready_ch2 <= '0'; m_axis2_mm2s_tready <= '0'; queue_wren2 <= '0'; -- Pass fifo status back to fetch sm for channel IDLE determination --ftch_queue_empty <= queue_empty; CR 621600 ftch2_queue_empty <= '0'; ftch2_queue_full <= '0'; ftch2_pause <= '0'; queue_rden2 <= '0'; m_axis_ftch2_tvalid <= '0'; -- Pass data out to port channel with MSB driving tlast m_axis_ftch2_tlast <= '0'; m_axis_ftch2_tdata <= (others => '0'); m_axis_ftch2_tdata_new <= (others => '0'); m_axis_ftch2_tvalid_new <= '0'; writing2_curdesc_out <= '0'; m_axis_ftch2_desc_available <= '0'; end generate GEN_NO_CH2_CTRL; -- If writing curdesc out then flag for proper mux selection writing_curdesc <= curdesc_tvalid; -- Map intnal signal to port -- Map port to internal signal writing_nxtdesc <= writing_nxtdesc_in; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/vhdl/feedforward_fptrunc_64ns_32_1.vhd
4
1982
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_fptrunc_64ns_32_1 is generic ( ID : integer := 3; NUM_STAGE : integer := 1; din0_WIDTH : integer := 64; dout_WIDTH : integer := 32 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_fptrunc_64ns_32_1 is --------------------- Component --------------------- component feedforward_ap_fptrunc_0_no_dsp_64 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_fptrunc_0_no_dsp_64_u : component feedforward_ap_fptrunc_0_no_dsp_64 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; dout <= r_tdata; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/uc3m/feedforward_v1_4/hdl/vhdl/feedforward_fptrunc_64ns_32_1.vhd
4
1982
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_fptrunc_64ns_32_1 is generic ( ID : integer := 3; NUM_STAGE : integer := 1; din0_WIDTH : integer := 64; dout_WIDTH : integer := 32 ); port ( din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_fptrunc_64ns_32_1 is --------------------- Component --------------------- component feedforward_ap_fptrunc_0_no_dsp_64 is port ( s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_fptrunc_0_no_dsp_64_u : component feedforward_ap_fptrunc_0_no_dsp_64 port map ( s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0; dout <= r_tdata; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_dre_mux8_1_x_n.vhd
18
6145
------------------------------------------------------------------------------- -- axi_datamover_dre_mux8_1_x_n.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_dre_mux8_1_x_n.vhd -- -- Description: -- -- This VHDL file provides a 8 to 1 xn bit wide mux for the AXI Data Realignment -- Engine (DRE). -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_arith.all; ------------------------------------------------------------------------------- -- Start 8 to 1 xN Mux ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- Entity axi_datamover_dre_mux8_1_x_n is generic ( C_WIDTH : Integer := 8 -- Sets the bit width of the 8x Mux slice ); port ( Sel : In std_logic_vector(2 downto 0); -- Mux select control I0 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 0 input I1 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 1 input I2 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 2 input I3 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 3 input I4 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 4 input I5 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 5 input I6 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 6 input I7 : In std_logic_vector(C_WIDTH-1 downto 0); -- Select 7 input Y : Out std_logic_vector(C_WIDTH-1 downto 0) -- Mux output value ); end entity axi_datamover_dre_mux8_1_x_n; -- Architecture implementation of axi_datamover_dre_mux8_1_x_n is begin ------------------------------------------------------------- -- Combinational Process -- -- Label: SELECT8_1 -- -- Process Description: -- This process implements an 8 to 1 mux. -- ------------------------------------------------------------- SELECT8_1 : process (Sel, I0, I1, I2, I3, I4, I5, I6, I7) begin case Sel is when "000" => Y <= I0; when "001" => Y <= I1; when "010" => Y <= I2; when "011" => Y <= I3; when "100" => Y <= I4; when "101" => Y <= I5; when "110" => Y <= I6; when "111" => Y <= I7; when others => Y <= I0; end case; end process SELECT8_1; end implementation; -- axi_datamover_dre_mux8_1_x_n ------------------------------------------------------------------------------- -- End 8 to 1 xN Mux -------------------------------------------------------------------------------
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/axi_lite_ipif_v3_0/hdl/src/vhdl/pselect_f.vhd
28
10116
-- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end imp;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_mm2s_basic_wrap.vhd
7
43265
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_mm2s_basic_wrap.vhd -- -- Description: -- This file implements the DataMover MM2S Basic Wrapper. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- axi_sg Library Modules library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_reset; use axi_sg_v4_1_2.axi_sg_cmd_status; use axi_sg_v4_1_2.axi_sg_scc; use axi_sg_v4_1_2.axi_sg_addr_cntl; use axi_sg_v4_1_2.axi_sg_rddata_cntl; use axi_sg_v4_1_2.axi_sg_rd_status_cntl; use axi_sg_v4_1_2.axi_sg_skid_buf; ------------------------------------------------------------------------------- entity axi_sg_mm2s_basic_wrap is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_MM2S_ARID : Integer range 0 to 255 := 8; -- Specifies the constant value to output on -- the ARID output port C_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_MM2S_MDATA_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_MM2S_SDATA_WIDTH : Integer range 8 to 64 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 1; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 0; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 64 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 1; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_ENABLE_MULTI_CHANNEL : Integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_TAG_WIDTH : Integer range 1 to 8 := 4 ; -- Width of the TAG field C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock and Reset inputs ----------------------- mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0); -- MM2S Halt request input control --------------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- -------------------------------------------------------------- -- Error discrete output ------------------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------------------- -- Optional MM2S Command and Status Clock and Reset ---------- -- These are used when C_MM2S_STSCMD_IS_ASYNC = 1 -- mm2s_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) ------------------------------------------------- mm2s_cmd_wvalid : in std_logic; -- mm2s_cmd_wready : out std_logic; -- mm2s_cmd_wdata : in std_logic_vector((C_TAG_WIDTH+(1+C_ENABLE_MULTI_CHANNEL)*C_MM2S_ADDR_WIDTH+36)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------- mm2s_sts_wvalid : out std_logic; -- mm2s_sts_wready : in std_logic; -- mm2s_sts_wdata : out std_logic_vector(7 downto 0); -- mm2s_sts_wstrb : out std_logic_vector(0 downto 0); -- mm2s_sts_wlast : out std_logic; -- ------------------------------------------------------------- -- Address Posting contols ---------------------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- ------------------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------- mm2s_arid : out std_logic_vector(C_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- mm2s_araddr : out std_logic_vector(C_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------ mm2s_rdata : In std_logic_vector(C_MM2S_MDATA_WIDTH-1 downto 0); -- mm2s_rresp : In std_logic_vector(1 downto 0); -- mm2s_rlast : In std_logic; -- mm2s_rvalid : In std_logic; -- mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ----------------------------------------------- mm2s_strm_wdata : Out std_logic_vector(C_MM2S_SDATA_WIDTH-1 downto 0); -- mm2s_strm_wstrb : Out std_logic_vector((C_MM2S_SDATA_WIDTH/8)-1 downto 0); -- mm2s_strm_wlast : Out std_logic; -- mm2s_strm_wvalid : Out std_logic; -- mm2s_strm_wready : In std_logic; -- -------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------- ); end entity axi_sg_mm2s_basic_wrap; architecture implementation of axi_sg_mm2s_basic_wrap is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_calc_rdmux_sel_bits -- -- Function Description: -- This function calculates the number of address bits needed for -- the Read data mux select control. -- ------------------------------------------------------------------- function func_calc_rdmux_sel_bits (mmap_dwidth_value : integer) return integer is Variable num_addr_bits_needed : Integer range 1 to 5 := 1; begin case mmap_dwidth_value is when 32 => num_addr_bits_needed := 2; -- coverage off when 64 => num_addr_bits_needed := 3; when 128 => num_addr_bits_needed := 4; when others => -- 256 bits num_addr_bits_needed := 5; -- coverage on end case; Return (num_addr_bits_needed); end function func_calc_rdmux_sel_bits; -- Constant Declarations ---------------------------------------- Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant INCLUDE_MM2S : integer range 0 to 2 := 2; Constant MM2S_ARID_VALUE : integer range 0 to 255 := C_MM2S_ARID; Constant MM2S_ARID_WIDTH : integer range 1 to 8 := C_MM2S_ID_WIDTH; Constant MM2S_ADDR_WIDTH : integer range 32 to 64 := C_MM2S_ADDR_WIDTH; Constant MM2S_MDATA_WIDTH : integer range 32 to 256 := C_MM2S_MDATA_WIDTH; Constant MM2S_SDATA_WIDTH : integer range 8 to 256 := C_MM2S_SDATA_WIDTH; Constant MM2S_CMD_WIDTH : integer := (C_TAG_WIDTH+C_MM2S_ADDR_WIDTH+32); Constant MM2S_STS_WIDTH : integer := 8; -- always 8 for MM2S Constant INCLUDE_MM2S_STSFIFO : integer range 0 to 1 := 1; Constant MM2S_STSCMD_FIFO_DEPTH : integer range 1 to 64 := 1; Constant MM2S_STSCMD_IS_ASYNC : integer range 0 to 1 := 0; Constant INCLUDE_MM2S_DRE : integer range 0 to 1 := 0; Constant DRE_ALIGN_WIDTH : integer range 1 to 3 := 2; Constant MM2S_BURST_SIZE : integer range 16 to 256 := 16; Constant RD_ADDR_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant RD_DATA_CNTL_FIFO_DEPTH : integer range 1 to 30 := C_MM2S_ADDR_PIPE_DEPTH; Constant SEL_ADDR_WIDTH : integer := func_calc_rdmux_sel_bits(MM2S_MDATA_WIDTH); Constant DRE_ALIGN_ZEROS : std_logic_vector(DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); -- obsoleted Constant DISABLE_WAIT_FOR_DATA : integer := 0; -- Signal Declarations ------------------------------------------ signal sig_cmd_stat_rst_user : std_logic := '0'; signal sig_cmd_stat_rst_int : std_logic := '0'; signal sig_mmap_rst : std_logic := '0'; signal sig_stream_rst : std_logic := '0'; signal sig_mm2s_cmd_wdata : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0); signal sig_mm2s_cache_data : std_logic_vector(7 downto 0); signal sig_cmd2mstr_command : std_logic_vector(MM2S_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2mstr_cmd_valid : std_logic := '0'; signal sig_mst2cmd_cmd_ready : std_logic := '0'; signal sig_mstr2addr_addr : std_logic_vector(MM2S_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2addr_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_mstr2addr_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_mstr2addr_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_mstr2addr_cmd_cmplt : std_logic := '0'; signal sig_mstr2addr_calc_error : std_logic := '0'; signal sig_mstr2addr_cmd_valid : std_logic := '0'; signal sig_addr2mstr_cmd_ready : std_logic := '0'; signal sig_mstr2data_saddr_lsb : std_logic_vector(SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2data_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_mstr2data_strt_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_last_strb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mstr2data_drr : std_logic := '0'; signal sig_mstr2data_eof : std_logic := '0'; signal sig_mstr2data_sequential : std_logic := '0'; signal sig_mstr2data_calc_error : std_logic := '0'; signal sig_mstr2data_cmd_cmplt : std_logic := '0'; signal sig_mstr2data_cmd_valid : std_logic := '0'; signal sig_data2mstr_cmd_ready : std_logic := '0'; signal sig_addr2data_addr_posted : std_logic := '0'; signal sig_data2all_dcntlr_halted : std_logic := '0'; signal sig_addr2rsc_calc_error : std_logic := '0'; signal sig_addr2rsc_cmd_fifo_empty : std_logic := '0'; signal sig_data2rsc_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_data2rsc_calc_err : std_logic := '0'; signal sig_data2rsc_okay : std_logic := '0'; signal sig_data2rsc_decerr : std_logic := '0'; signal sig_data2rsc_slverr : std_logic := '0'; signal sig_data2rsc_cmd_cmplt : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_data2rsc_valid : std_logic := '0'; signal sig_calc2dm_calc_err : std_logic := '0'; signal sig_data2skid_wvalid : std_logic := '0'; signal sig_data2skid_wready : std_logic := '0'; signal sig_data2skid_wdata : std_logic_vector(MM2S_SDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_data2skid_wstrb : std_logic_vector((MM2S_SDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_data2skid_wlast : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(MM2S_STS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2mstr_halt_pipe : std_logic := '0'; signal sig_mstr2data_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_mstr2addr_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_dbg_data_mux_out : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_0 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_dbg_data_1 : std_logic_vector(31 downto 0) := (others => '0'); signal sig_rst2all_stop_request : std_logic := '0'; signal sig_data2rst_stop_cmplt : std_logic := '0'; signal sig_addr2rst_stop_cmplt : std_logic := '0'; signal sig_data2addr_stop_req : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_cache2mstr_command : std_logic_vector (7 downto 0) := (others => '0'); signal mm2s_arcache_int : std_logic_vector (3 downto 0); begin --(architecture implementation) -- Debug Support ------------------------------------------ mm2s_dbg_data <= sig_dbg_data_mux_out; -- Note that only the mm2s_dbg_sel(0) is used at this time sig_dbg_data_mux_out <= sig_dbg_data_1 When (mm2s_dbg_sel(0) = '1') else sig_dbg_data_0 ; sig_dbg_data_0 <= X"BEEF2222" ; -- 32 bit Constant indicating MM2S Basic type sig_dbg_data_1(0) <= sig_cmd_stat_rst_user ; sig_dbg_data_1(1) <= sig_cmd_stat_rst_int ; sig_dbg_data_1(2) <= sig_mmap_rst ; sig_dbg_data_1(3) <= sig_stream_rst ; sig_dbg_data_1(4) <= sig_cmd2mstr_cmd_valid ; sig_dbg_data_1(5) <= sig_mst2cmd_cmd_ready ; sig_dbg_data_1(6) <= sig_stat2rsc_status_ready; sig_dbg_data_1(7) <= sig_rsc2stat_status_valid; sig_dbg_data_1(11 downto 8) <= sig_data2rsc_tag ; -- Current TAG of active data transfer sig_dbg_data_1(15 downto 12) <= sig_rsc2stat_status(3 downto 0); -- Internal status tag field sig_dbg_data_1(16) <= sig_rsc2stat_status(4) ; -- Internal error sig_dbg_data_1(17) <= sig_rsc2stat_status(5) ; -- Decode Error sig_dbg_data_1(18) <= sig_rsc2stat_status(6) ; -- Slave Error sig_dbg_data_1(19) <= sig_rsc2stat_status(7) ; -- OKAY sig_dbg_data_1(20) <= sig_stat2rsc_status_ready ; -- Status Ready Handshake sig_dbg_data_1(21) <= sig_rsc2stat_status_valid ; -- Status Valid Handshake -- Spare bits in debug1 sig_dbg_data_1(31 downto 22) <= (others => '0') ; -- spare bits GEN_CACHE : if (C_ENABLE_MULTI_CHANNEL = 0) generate begin -- Cache signal tie-off mm2s_arcache <= "0011"; -- Per Interface-X guidelines for Masters mm2s_aruser <= "0000"; -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= (others => '0'); --mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE; GEN_CACHE2 : if (C_ENABLE_MULTI_CHANNEL = 1) generate begin -- Cache signal tie-off mm2s_arcache <= sg_ctl (3 downto 0); -- SG Cache from register mm2s_aruser <= sg_ctl (7 downto 4); -- Per Interface-X guidelines for Masters sig_mm2s_cache_data <= mm2s_cmd_wdata(103 downto 96); end generate GEN_CACHE2; -- Cache signal tie-off -- Internal error output discrete ------------------------------ mm2s_err <= sig_calc2dm_calc_err; -- Rip the used portion of the Command Interface Command Data -- and throw away the padding sig_mm2s_cmd_wdata <= mm2s_cmd_wdata(MM2S_CMD_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_RESET -- -- Description: -- Reset Block -- ------------------------------------------------------------ I_RESET : entity axi_sg_v4_1_2.axi_sg_reset generic map ( C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC ) port map ( primary_aclk => mm2s_aclk , primary_aresetn => mm2s_aresetn , secondary_awclk => mm2s_cmdsts_awclk , secondary_aresetn => mm2s_cmdsts_aresetn , halt_req => mm2s_halt , halt_cmplt => mm2s_halt_cmplt , flush_stop_request => sig_rst2all_stop_request , data_cntlr_stopped => sig_data2rst_stop_cmplt , addr_cntlr_stopped => sig_addr2rst_stop_cmplt , aux1_stopped => LOGIC_HIGH , aux2_stopped => LOGIC_HIGH , cmd_stat_rst_user => sig_cmd_stat_rst_user , cmd_stat_rst_int => sig_cmd_stat_rst_int , mmap_rst => sig_mmap_rst , stream_rst => sig_stream_rst ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS -- -- Description: -- Command and Status Interface Block -- ------------------------------------------------------------ I_CMD_STATUS : entity axi_sg_v4_1_2.axi_sg_cmd_status generic map ( C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_INCLUDE_STSFIFO => INCLUDE_MM2S_STSFIFO , C_STSCMD_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_STSCMD_IS_ASYNC => MM2S_STSCMD_IS_ASYNC , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_STS_WIDTH => MM2S_STS_WIDTH , C_FAMILY => C_FAMILY ) port map ( primary_aclk => mm2s_aclk , secondary_awclk => mm2s_cmdsts_awclk , user_reset => sig_cmd_stat_rst_user , internal_reset => sig_cmd_stat_rst_int , cmd_wvalid => mm2s_cmd_wvalid , cmd_wready => mm2s_cmd_wready , cmd_wdata => sig_mm2s_cmd_wdata , cache_data => sig_mm2s_cache_data , sts_wvalid => mm2s_sts_wvalid , sts_wready => mm2s_sts_wready , sts_wdata => mm2s_sts_wdata , sts_wstrb => mm2s_sts_wstrb , sts_wlast => mm2s_sts_wlast , cmd2mstr_command => sig_cmd2mstr_command , mst2cmd_cmd_valid => sig_cmd2mstr_cmd_valid , cmd2mstr_cmd_ready => sig_mst2cmd_cmd_ready , mstr2stat_status => sig_rsc2stat_status , stat2mstr_status_ready => sig_stat2rsc_status_ready , mst2stst_status_valid => sig_rsc2stat_status_valid ); ------------------------------------------------------------ -- Instance: I_RD_STATUS_CNTLR -- -- Description: -- Read Status Controller Block -- ------------------------------------------------------------ I_RD_STATUS_CNTLR : entity axi_sg_v4_1_2.axi_sg_rd_status_cntl generic map ( C_STS_WIDTH => MM2S_STS_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , calc2rsc_calc_error => sig_calc2dm_calc_err , addr2rsc_calc_error => sig_addr2rsc_calc_error , addr2rsc_fifo_empty => sig_addr2rsc_cmd_fifo_empty , data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_error => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2stat_status => sig_rsc2stat_status , stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MSTR_SCC -- -- Description: -- Simple Command Calculator Block -- ------------------------------------------------------------ I_MSTR_SCC : entity axi_sg_v4_1_2.axi_sg_scc generic map ( C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_MAX_BURST_LEN => C_MM2S_BURST_SIZE , C_CMD_WIDTH => MM2S_CMD_WIDTH , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( -- Clock input primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , cmd2mstr_command => sig_cmd2mstr_command , cache2mstr_command => sig_cache2mstr_command , cmd2mstr_cmd_valid => sig_cmd2mstr_cmd_valid , mst2cmd_cmd_ready => sig_mst2cmd_cmd_ready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_sof => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , calc_error => sig_calc2dm_calc_err ); ------------------------------------------------------------ -- Instance: I_ADDR_CNTL -- -- Description: -- Address Controller Block -- ------------------------------------------------------------ I_ADDR_CNTL : entity axi_sg_v4_1_2.axi_sg_addr_cntl generic map ( -- obsoleted C_ENABlE_WAIT_FOR_DATA => DISABLE_WAIT_FOR_DATA , --C_ADDR_FIFO_DEPTH => MM2S_STSCMD_FIFO_DEPTH , C_ADDR_FIFO_DEPTH => RD_ADDR_CNTL_FIFO_DEPTH , C_ADDR_WIDTH => MM2S_ADDR_WIDTH , C_ADDR_ID => MM2S_ARID_VALUE , C_ADDR_ID_WIDTH => MM2S_ARID_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH ) port map ( primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , addr2axi_aid => mm2s_arid , addr2axi_aaddr => mm2s_araddr , addr2axi_alen => mm2s_arlen , addr2axi_asize => mm2s_arsize , addr2axi_aburst => mm2s_arburst , addr2axi_aprot => mm2s_arprot , addr2axi_avalid => mm2s_arvalid , addr2axi_acache => open , addr2axi_auser => open , axi2addr_aready => mm2s_arready , mstr2addr_tag => sig_mstr2addr_tag , mstr2addr_addr => sig_mstr2addr_addr , mstr2addr_len => sig_mstr2addr_len , mstr2addr_size => sig_mstr2addr_size , mstr2addr_burst => sig_mstr2addr_burst , mstr2addr_cache => sig_mstr2addr_cache , mstr2addr_user => sig_mstr2addr_user , mstr2addr_cmd_cmplt => sig_mstr2addr_cmd_cmplt , mstr2addr_calc_error => sig_mstr2addr_calc_error , mstr2addr_cmd_valid => sig_mstr2addr_cmd_valid , addr2mstr_cmd_ready => sig_addr2mstr_cmd_ready , addr2rst_stop_cmplt => sig_addr2rst_stop_cmplt , allow_addr_req => mm2s_allow_addr_req , addr_req_posted => mm2s_addr_req_posted , addr2data_addr_posted => sig_addr2data_addr_posted , data2addr_data_rdy => LOGIC_LOW , data2addr_stop_req => sig_data2addr_stop_req , addr2stat_calc_error => sig_addr2rsc_calc_error , addr2stat_cmd_fifo_empty => sig_addr2rsc_cmd_fifo_empty ); ------------------------------------------------------------ -- Instance: I_RD_DATA_CNTL -- -- Description: -- Read Data Controller Block -- ------------------------------------------------------------ I_RD_DATA_CNTL : entity axi_sg_v4_1_2.axi_sg_rddata_cntl generic map ( C_INCLUDE_DRE => INCLUDE_MM2S_DRE , C_ALIGN_WIDTH => DRE_ALIGN_WIDTH , C_SEL_ADDR_WIDTH => SEL_ADDR_WIDTH , C_DATA_CNTL_FIFO_DEPTH => RD_DATA_CNTL_FIFO_DEPTH , C_MMAP_DWIDTH => MM2S_MDATA_WIDTH , C_STREAM_DWIDTH => MM2S_SDATA_WIDTH , C_TAG_WIDTH => C_TAG_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock and Reset ----------------------------------- primary_aclk => mm2s_aclk , mmap_reset => sig_mmap_rst , -- Soft Shutdown Interface ----------------------------- rst2data_stop_request => sig_rst2all_stop_request , data2addr_stop_req => sig_data2addr_stop_req , data2rst_stop_cmplt => sig_data2rst_stop_cmplt , -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , -- AXI Read Data Channel I/O ------------------------------- mm2s_rdata => mm2s_rdata , mm2s_rresp => mm2s_rresp , mm2s_rlast => mm2s_rlast , mm2s_rvalid => mm2s_rvalid , mm2s_rready => mm2s_rready , -- MM2S DRE Control ----------------------------------- mm2s_dre_new_align => open , mm2s_dre_use_autodest => open , mm2s_dre_src_align => open , mm2s_dre_dest_align => open , mm2s_dre_flush => open , -- AXI Master Stream ----------------------------------- mm2s_strm_wvalid => mm2s_strm_wvalid , mm2s_strm_wready => mm2s_strm_wready , mm2s_strm_wdata => mm2s_strm_wdata , mm2s_strm_wstrb => mm2s_strm_wstrb , mm2s_strm_wlast => mm2s_strm_wlast , -- MM2S Store and Forward Supplimental Control ----------- mm2s_data2sf_cmd_cmplt => open , -- Command Calculator Interface -------------------------- mstr2data_tag => sig_mstr2data_tag , mstr2data_saddr_lsb => sig_mstr2data_saddr_lsb , mstr2data_len => sig_mstr2data_len , mstr2data_strt_strb => sig_mstr2data_strt_strb , mstr2data_last_strb => sig_mstr2data_last_strb , mstr2data_drr => sig_mstr2data_drr , mstr2data_eof => sig_mstr2data_eof , mstr2data_sequential => LOGIC_LOW , mstr2data_calc_error => sig_mstr2data_calc_error , mstr2data_cmd_cmplt => sig_mstr2data_cmd_cmplt , mstr2data_cmd_valid => sig_mstr2data_cmd_valid , data2mstr_cmd_ready => sig_data2mstr_cmd_ready , mstr2data_dre_src_align => DRE_ALIGN_ZEROS , mstr2data_dre_dest_align => DRE_ALIGN_ZEROS , -- Address Controller Interface -------------------------- addr2data_addr_posted => sig_addr2data_addr_posted , -- Data Controller Halted Status data2all_dcntlr_halted => sig_data2all_dcntlr_halted, -- Output Stream Skid Buffer Halt control data2skid_halt => sig_data2skid_halt , -- Read Status Controller Interface -------------------------- data2rsc_tag => sig_data2rsc_tag , data2rsc_calc_err => sig_data2rsc_calc_err , data2rsc_okay => sig_data2rsc_okay , data2rsc_decerr => sig_data2rsc_decerr , data2rsc_slverr => sig_data2rsc_slverr , data2rsc_cmd_cmplt => sig_data2rsc_cmd_cmplt , rsc2data_ready => sig_rsc2data_ready , data2rsc_valid => sig_data2rsc_valid , rsc2mstr_halt_pipe => sig_rsc2mstr_halt_pipe ); ------------------------------------------------------------ -- Instance: I_MM2S_SKID_BUF -- -- Description: -- Instance for the MM2S Skid Buffer which provides for -- registerd Master Stream outputs and supports bi-dir -- throttling. -- ------------------------------------------------------------ -- I_MM2S_SKID_BUF : entity axi_sg_v4_1_2.axi_sg_skid_buf -- generic map ( -- -- C_WDATA_WIDTH => MM2S_SDATA_WIDTH -- -- ) -- port map ( -- -- -- System Ports -- aclk => mm2s_aclk , -- arst => sig_stream_rst , -- -- -- Shutdown control (assert for 1 clk pulse) -- skid_stop => sig_data2skid_halt , -- -- -- Slave Side (Stream Data Input) -- s_valid => sig_data2skid_wvalid , -- s_ready => sig_data2skid_wready , -- s_data => sig_data2skid_wdata , -- s_strb => sig_data2skid_wstrb , -- s_last => sig_data2skid_wlast , -- -- -- Master Side (Stream Data Output -- m_valid => mm2s_strm_wvalid , -- m_ready => mm2s_strm_wready , -- m_data => mm2s_strm_wdata , -- m_strb => mm2s_strm_wstrb , -- m_last => mm2s_strm_wlast -- -- ); -- end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_fptrunc_0_no_dsp_64/axi_utils_v2_0_1/hdl/axi_utils_v2_0_vh_rfs.vhd
24
292074
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kPPWi0fMFUDHx4hSJZXOHx9nvzoK1loLAOMw35vd/HjRjmjDT7gyj1xY+mcTHSLqjBIBfjLlv26d JZ3IU+wu6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/1TuAflQptypMp0+ukYLRB9lHps3Xc/g3Ljc0UTbNJD2zfWqP0m5rcCo11OdSytZsR/LM/hlA+f qpfiQvWX2Z+c8WgfPpsz+M/IaWoEBtRgapHt1MwYKInHrzQM0hrn5gxRHXZtkyHLj2T+Hb9pLyrw a2kv6MRZxll7qiPSaqw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kl/tMWLyXxSk89lagK7+po8vdYsYgAEPfq+ocdrJUI7Au7sNvcjovO7tFIbnjRGMwoh7Wzz8dSId N5inCGFAlFI4KTBb1WNzojq8AMO89J6JAfO5ODcxlHN2T8ros6evWjjgRCvWHLNxBypzeAtxp943 rqSbBjANDdZNBoq9eIqE0x2VojUYyXKC80kdCiYhUMNu8WA9cHlJjbBFEX2PTW3y33Tc0ug416lY k24RRNWYYTQV/Fr7QI1Xm9xpkTeLFcOH2UQDZo6OgP6x0cu1ijxa5YArePRiFX5UkfDuraWX47XS R2bW9vlQ5KrQpiXLBWPHhlTAn6Xfp/NDkvyBEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kevx/7K6us3dccFFQnXHgVz05QfzmOCAuEUoiq4XE52L98NQrfNSAp9SsPmuFVWkSc9v/6JlqV4t 2SIw3lI0g6w+BoIixpCHIgzq+jjQFFAkhVYumIY0+8Rrz2ruRBV2eYZb/OWWNdVS6hcR8HQnCN/U UZ6YHxR1cS2OmvThZ4A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zltaPVBlXfbjfbMl/HV4yzMtJfQeNKqxW3EGACjk9wB1fgYtKhp0WJ4mPob+Geycuyx9KpPBRbCm iEH0vSuX4Uoogpu6pOb4VkwG/AP1p3RaxG1ABbQb5k1BQOn2RgliXiECEyvSt5l6phjL7XJXG3l1 zHP5FjfaKK1/z/ulsMu+mb3ePv+4K78yIpp4suFxfDLGuaKBEbBnblRPAYcrWPvnqmOi6Z3yObRe mmcH169/1db2WuFMXO48rfc+h+H0NVevUSbmruo0T0fSd7KBrnaynVMHly6yrMnaiw7mmTAL/0Ni vPUgcJFMdJLCEuycZsgqHJwSaLRlBRyhDhFuPw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XLAfdHUL8txBP0pX+y9ZjLeZ/GFC2NayMSr+HXr3Bc+UFzNhilq6APzx4Wrfu4AKQvhuXh4Lcc7a ZtjuSFz1YOQuYkCjXKeBAjJKWzV4KxRmjb+e4gnqjKChLnulyWee9JMzW7EI7JxPRPIdjG7XcYB+ +r2B10gnPzr4GQGBYC1jJ1+xSla0XTFwSp5FTXvTnyQ2FIsluC/452NiYjDz7pup965E2MW/6aM8 NvBsCtMyatWrr4Jfz++RaNswWEx0xZT2z4l4H0io7F5FwqGtI9N2zeF2x2Xd9v6hMhxZr6L/OAbT HLX946gJlyaSJ1EdSzifXaoNVaAfpHjp/5GZZw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block uW9LKnbZpIqv3I0BGszDbxaic1+kKwZ9ZwnvAK21lVA4HwFNP8Pp1eOmMW4ysynrsEtMAjswQLOx 9m232eYOp6v1kZtF7EkbPfYV8/pbttKghfZ2pejF6ISDAvvM/4Lnt4AC0EYXKX79Twh8ML4+TSdV 09fs9ei1NDXbTqh3T/vSkvCCcDBZle1Yw/59b9qmxPSxV5RufZ/U3oyaklTa0So77MbtLxw2rtCG q031Lz6Ck93OMMZHkd502eaiKmiohTODqr6IapoXtAkzvvhHxXFma+Odd8OJAc33CAlwRh8qz3bJ zXX3SJZg5po8QnDo15c1mUXyh1euIcMvDN8kjnZZY4IMY+mhZvI9OiQDcg0rQ118FOUPhtGqJafa BJwbf6tOfe8XGc7SDU5aAS7uTL17qh4Km8BkkUyYmxnfNfj5748VprvPdZD8WSa//ffe3PsB8Zy7 Qb1dFP+e2YJzETwXSNgCA7FnmakysgxV+4P6g7qMJRNEp5ejBmkkavZRBNWbMXMYn+vky5j5ghRc j2jo+Jk00KupB7q4dgatI2RimsGfWG3CazSIANphl0U+qgCxeBHhh1d0PZho+jQS6vkzjJxq433L AYOPnP2CRNj3K0DKzrjkSaUDEBO0j4RQzbk19IBaOibPMfY529eG7LY/UtC3gBbx7q9Vbkf/lxmw Peoc1B8P4JA/KtjDmKkz/4a7ry93ilB4zLlxKqH1W2NdbPozKP1D1uxEULu7Sa2GIXbTUb20eVD8 6myTCp2733XU1TTJ9yM+3xn/iTxD8rg95hKpx2ZTC+hKPXzIihXY33FP/M+Q800ioMDjQA10rZDF VOZJKN4qbKofifuW8aKsgh9g1SN8lzJuwEls9W4BIHD+TPqaC72yFtHZSTLjfRdPBcU4rrBIaPqA cIeuk4BVTHbMbJFSGxyKqsnMsK7oTuGXiMH4yJg2XWJ9manS+4HT4/yMnMNa6zWAA6R3WzTWA9hL PFuHggSyIgpbnVpqfPJWySOxDYTblc7K+UIhhR4qpkNiBar0Y9ZHrQDTnNbiUgS3w8J2BfA/KQxK MNfi5fTXeyzrEbyjc8vhcrgt+wiLpD7wDmtRug0IDe+uyepSZRo34i0f14a6/1qKii/x2q6VZy+C x/I+O0fTEQydrPqW36J4QY1n1rHRRrJuFWAMsq22Ac9exzqSf487NXcfkoIeVGPONFEucENqTLW3 yNoVDzD+c5GJ75EREIZc4OwcpPgxCbVTvfPDpIuACX3n9fo+S+8kudoN6GTbNblx4vy/9gZYzA9m 1ISys6uxEj11zxThHiFDrFTe97QCsX5kqnfxvGyp/3BWCmyenrffo8I/8UN8oQIDa13YAd9U2aIg oF9h1tYh92PUf1W1AfHXvYnkPSCmlfwhs55Y1wq2HnGOv2bN/4D9B8Sangxs7nTZLOpcNNXuskGo Jz5NEoE5wPWal1q1LYzfSzTe7lQAWNJPyxPiFIQXrUFKEdNKZc9OWigp4iPLoZLO6khz33J368ao zXNBN1/iiJRLPhjnv0KqJto/ABttNQ+UeqDcpPI4zI4C+ChwCVNRSmJPBpFyrI7784h5W91ZzN3l tc6ZnBFfmGckL9MsJljAl/ebr/xyGUmWhippb3N8+uPojrtrHCCTiQwDGGeIKSS2DO5R2WvlIadx TQ/S0ct36LnlJe55mXaNHNX2/n0iULyK690Fd/YE3J81p8CC0fuY6x+uWEuV66bmo7k9KeLvsRlH GwUGLjmya7SpP8NAzzsL3yK0yJNJS87oNgUz8r9w6VEmoPH/YsAvVIXnQQIPJlFNNCW3ccaOmIRt TrxrzjSd2nzw0dCccdEoanHECkrdyYf9YFJUhUQDKpJqdq98lzSewy/9d4gPl5J2HwXF/38MDigr YDQMEfQK5QQKTuxPHhNz68M+QgQ6j/EvcCU0fPXhtVmvsylhdh4PwM1axTR1ZoPEywDqizf4KZUs RAi6zhvZrk3skdOEfrNPZRnqyeiXn2G10iGRbpzEA5VdsolEpu+h9JrtFbAjNmUh18rOXVYr5QdJ k9v58aC/zpVt+uOdvQWMlmSsZhPHpf5oFytuiwTEYOPoH5Y5DxIXlauadHF49A+og+pmJm0OTTN+ Xl6Ycjbfm5F5fBUsLz/g95ACmOopPLyoo8c1Mm5Ageizgk/3i2R12FlIfmVX+a/a+Exl/LCIJT06 WOEMAzyYP9KoKqfGJpQJvuWPxILVZo+ysJr5QzfilsKsohL2aEiD8cJdoXJyHKmCR3XgEvG4pRof VYKr245SkjSZKn6KxQj01Ag4BNa2W81PTxzp3Vk3NsfPHxX2lpiNl86oQE4nwO6tyGtmAUx9l1S6 JEXgaeGieMz+v0MjhVR7mwhDEs3DQtk7hMZHXXscSz1AX+MCCxcfZXQecdEtXfMpu+uqXoFzj8zi QhDV6WomyoYLe4g0EJjwkVU8zpE5hyGr4kjSsnNeZvubYg4QrFaaOIWyq/Fg178VyNR1I7Hezin+ omgTgsxWGHj+sAvsVMTKjHmBY4nVne5DAFN/wHTb1ZOPWDr8OXOOmXvJTDdmft504pLuTn8zeea7 EiaOQqv2W8qtY9pKB+cTfEHO7bojgK6BnjsC1rKKYBz28EKkluuNd1M0CoOrtD5EUmtrh2/oyDn8 W3pjm0v9SN3Idr/egtL/7PYTaNVnNaA89WIxlymslhIUo16Ar7+sXFkCVy0vCy5LxknsRCxEYzlf YuxkZAAHm8mP8m6koOccECXj3GEHfxAOHZF9uiINSXL9O2zy2G5CnF8nwKStlbLaVQgljQfhjfno IQ7JuVwoRe6MWJWMJTbvoebvPJc2hBTgoLZkXxRuOgmROdfM73tGfUKB5ou8t8HvJ9PxyoW5nRfR 8PqhLkI5JmWjp/YBD3NGz94sCqtl9n6qw+BKPGRQO1qeqI8lgShOo2o5LDNIfG29VKkpv0VThmlr JI7Edphi9P248MjMzTvYoTh6AsGbJRVMOoUw2O2xNqLC92VKqYvylsLJQQyRYnme53SdTHZNxNkl 1xquTEsqCJTRpzOJ4/nFeZi1wF+jRRJLr0i+uBLXhrcy0NBZ35H0PfzfACpQ/elcz/vngr5WxTcx qvV9m0Hw55VttBq1yjawzdpUO6e2+siLvlOpiwbSnoX6Fjr99YJCX69GqBbHodcrAxwJVdlt2UjW gBKsR4yZPL0sRN5/2HUf71tZiA3LwC3QlOfHkzvfi5B1+RekwzMp80CKK71mdTPAqA9WfYN3Dhvg DnHdtzSLo7rT/9RWwmGkjBsrfyDiRpBjAikbwo/eVt3W7yvq+CP0NiWjwALZbb2wLu+JmHqzCnkC j7tsH4x3JwlVcVgpev406Ka/QGZ4dS4ludkpdZat6sCPdkpPXA7ZgKsYPhVAt34soqeajVqI3Ptc 7WXRr3cAC55akQmWaNJsfRthNEkh5HJpdmQFpE998vFskXcf4MkaF4vDHnPaNXpz2Lad6199wzwb ZqsIgXUpNYLuT0p69H8SV7jiAEOw6yfJt/PD2+stk+Fyfl65Zl0Jb0vzPk7Cmmbt4AIjE3rxktF7 QPiRFpZGFnC24mpyLThv7Rv+d0weJgwOIv4luVljWXzk6saTr6p+EprqFnr3T8mB8wt8936lTiNx w899oVPnHYvO6V6jjIFSSPPnUf4X4GaDNpCQRnhMR9LYpP9DB0mQtX70v+zY5wtdNXfrkEEc+ohf uG3/ZiTkHNx+ECFDGa5q/kqOLDFp5t/WhGwUodgkNZDFq7UXfv0OodAh1wFnkKN7EwjssUYlYV1U loeoy5Bedz6R4T8QDUP6vYNQVqUZUAV6EbUKl0u6JcXlVZKCGb0DxDnTmI9TmeNpfMy/EerumebO a0p91PF2T5XDRgjYLvpcToaGkeKOo2tDzzSVX2nVTqqAT4L1UfQrFCsqB+miTP+zXmJXA+LrDb7V MRiildWoKQgo40YQVkqdRxsPgstoTHuWLalt36zlR9lEOZS0iHgvQndFeDvB92iE6UWKJsl1g/vF vay1QQ7vC0T5+3QKk5Ln+AeHD7abeuHMkqAxf3Dq3cCupQYAcZF6fFGTMwldp9i4f5vDY6rYF/UC KtsnrrkJg3Ltvzt3z051+VrOJETnZ/plXVooABJeEl4xu/zd4nVfsNidqQHhEGawBD0BHBFTOk0Y QXrrpLdMkfrqMspYPl9pHlQVf0JzkP2L7AU9ziFyhWeTlVFnt+FF3g0E9lKQ7hMngXI4LCaxQmkl zeLjidXp6zzLm39lrsjwlqBr6KIW0kb/jejf+800xTHbcGbG5kkXYmIE6SL/FKJ2Q7Fx1RQYjLNA 12HEIti57iYvTwB+gnKKpXhFbTrTi1fffFj+eXXBGpfP+xZaIr6EW/QqWBXhCV9RmA3+GbRpfDH6 zPGFz1LRFM5ERIi3NiURqs2h4lkQOF+c6f7BXCH06UUw8wO3iZ0FbDE3RFl+Hz0YA1/u1Ckgnnd9 wi0xCe28UMm9NGy2aLPnuAgCQ6KrZslFPA08iHoZatfA6I1+uW8QBc/iyVbMrQniD2SHk+MGyDml 7r+m1DAej4oEDSYiZqW68VfbKeGg8NOFQIqm/lbmU/md/mXuFttx1PcKEFA944Ws4TMyBPso3HMd YqWb3EpBUv13x91xANoJB6S4dRKm6B9ePrdEbZX7EEzueFYjpWF1/jCKO5Ti/kq8t2VpLTfj0U9+ SpP05WiJvOdDCSs7w+tGEyXcEJ1WJzITOqFdwirdi/aZpMt3xpRGejBYaYbtKe2Rm+jTMVIwttoj o/OA96imm4M30hDhFHtKiV+zhBEZZrj9ByCw4FQY4N2ewelQFjYjmF/Z60/aX7zzG+H40BDayH4v YFbFDzpxZZm/zTxxHjvGM/BuZHJBTORj6/k6wpiOMXU0r0dKaeciqPmpEdFX6vP1PGlM+ET6jHM/ 1DGKBsXMfcjwnl4/Iu4g1cY4qv/bC3CJeEHUq+VC4hrD7DF4UIbIuQimgYu2JFv0gHEMw74iAOn+ IR000RotzEepOLOEoWwwrw+yptxs2v+OVQhS/JDBfaqKM0uzPDodbPTYDIwvOTidXtngZVAfO/Rx K+BYSzDjkYQxiGxrVagUvtslD51poeKou6GZRIJJXZyNFMy06KzPzyxmFjG1lH09rbJ0TD4HqrTN etjbMacMOWUXvj0x6tl3UPl1PAvHldAFXnrOSPq6icpncVUAW1DY5w143Bek8hBzJdcUjzaeMQjI 0Him1kkgk+IczKF0UnwrNlVfW1ClYkxiv2f/cqPvI1VUFH0orI/cyqrFEyfAMTd6cUEFbvCcDpqm tCJ+imG/grmJX8DWnkAPUgSsQyg+G1CxuXRhf2VPfF1oexcn0W9kf9aB+XBMQhs7NBq7bPg4bGn/ BPQ38T+9fgLyMjVrnbK/6nVu5NRHOM4mCra+YSi5fFgGJYDRyUx9uHCHt8ng4DwvfpLWW7xaRzqV NFQAL4pr57bG67mULf6mEu0EKNhbYENzWju6A0sS2fqcoLEHs7Yqpn3tHTSTvqbaUExEUIoxHU1Z wLOvKr7DcVr8ZvA4J8hz5mkBfEEjQmTrS17lJu5HRNcE89jpyF12RObmecGNW5Rggd38rI20TTpW tYsCcm2+VHUv30ypz0ZbF2NYQBOeVa72+pZIZNU1/MP0EwywCBDOAcZmoduSiXCa+9k9r8kqLNjJ KCxFjZbYGw4cj65248BhQIJLX5+DkKQ+VmWsE2L4g21H7y8w1XsNvQd21CVsyMYa+p6uh7w+usg0 M8844+e/FTLntjRfErTku4Fy/AFy+lzDCn9w6JECzqjL7xeBEGLxyXSPZJs58ueZQqjs6zRbs0Ro HY9WeMvUnzC6V4qWOyEJ/i5W6w3xbwPdE1T1eeMjtzMFz32cVG7djpU+KywsoLvRqfHa8W2q1wjp l1JqCGdpXAcJoTmsVGF/N5umiURRfepFFCww/HMVoHfzCD2Aqd7yU470L+67lh84scgH6uiq91Rn cDch+JL9TsPuCJM7bIEGvUVTNctK/bqYkNcR4xohhyWke8d1uo75jOwCNxjB6QOZ1R6+kyR6qk9k LoJiy3pV0AOHRBNbHeA0AQHt50WT0wnJQpjpnycJYtNr6w2dWx0RW3Rvk1Xg3rGPrnz9HjoGQny3 qfrYEbO3bLOYjtS/8fCKJLkoKbEmKKWkB2YJhKh252ASdNpcLsFj6vJOg8owSBqHUdpHyvtxNq4P BAycWzQWK6DJDlmNuqv0koT7oMWVEs3R7G4/vSQHWnpGSAVTMl9R8FMfZtUPC8UEMyljZpZcdUWp meHxYus6nhr7GyA6Oo1gCedo8iBwYV8vwRzlfzMoieyhDft46CQudv7dNP0Y6qXYs/SBWBqkhJx/ 1iusmqN4ehl9HtkWLEnRYtBjGiSJ5abkHW7Nvwilz5bU5FUtCHgt+qI9m0eLsyokrw6heY8JHwDi ai9+yxfGlrQ0KkHxaHriNUTiJIliYNy2p/KYZ+mO9jASX4pA5AV3q1XVG8o6834tTLh2qFyRY39b ysrC0EcqPECbd2stsVZ3LSTNB3ow4i5GBkpWU/lVxLp6uOkk5gySSzSQOsVUwAM4wT1jQv/jR091 qqFhIbXYvJUUGPan3EDMYQaGMo6jF0NS3s+laXwqkNX3gV4GecqS0LYL5AA6o7BigF3e6x8W/Zm5 NBBihumdbNu1LrEWtg2KBIBu3+YnaOLNZGomBWmTsajR9JRM19vJIZT4xeN+qlOWNtqwr3Qgk81G 8LGkiHm5y+SvXJRu7E6OrtnPNqAMBhsTLLdEMqA1GFAKQCcVIavamcJOIQN1rk+IbpjQcrmB8X1D SD+qtsrVZyXQD0mvzi7hUzNgMMa5G+MMfeKE4D+xkg50aoHu9Z2XPU9ikYg2Dd5a05Y/ukVFszAo hblFXi8LCAR+hB4LjAfYFuIp30tY89BMu/rIjnpXAEPGgcyA2SSetnIhi5L0oo71Wxu7NsXarYQi uFPFKhfBCcwMnp8M350pUkVK0fsHAt8DI/Jhe08gonv/uM4hXlJIp9+kV+sVYdOi3oapopMKwdPf XNcHNV3QrOW20dFYjuK1IQEb8706Wj7lRurhZuGHVNHVR/wBPTeKeDa6Zx7zad8x9eVLi6Eijyp6 X6UbCrYkYpCM0t8YaycBgSrjq0+OBB/D/ItJtdl6uTOwL8Mzg0Wt+cMviGFXQ63wcRhLe8uHf8Mo vU3zyWg4bnHKvyEoA1AMklmGs+fPcFp+3cxNpD6DpafCWaeEqIymetKnsno17V5ymo0ACWjr0V5r opF7sAqep/o3YV9qfhu7qENXmNFQ4qfEc3Hmds1xVNw1fojeG2SfRz4L59FUnPJlCIWG9QJEgUj3 wZBRfGoZ9d2aHfSPGV8gDfduWT2E0W7nGmwYt2Yi0ibYRTrwbfCdJh3sRb1CMdDR3dChO+3kYGyE 3j3JDuOavMP3fVZSSY/KlGTcsuoxnxW9zpRqxNiG5LIvi8Od8llvYqGI+EgMAFmTp9NSXjQXHyAj zeEEen1xxCuTjNGm+aruNIW2R/cRBgfqcCV6csnI3T5V9TlQNiPatMHZu+LdHL88Gll5ADNq1IpL kpOijH3puTVVjqSnXVnue93vHCImq1j72mwSlb/Fgbly9cazID8KaJdu1tfENKIrFuJ0QyoVnNns F7/tcKv/6dgPHznPSAQTNdrmjGq0UmsyPFcQnsg4snjljP8yK6aq3ahqY4+SwTMILYq/YqoqfLOo DXHiRninid6f2+Kx1olf7sz5WK92QaxCcR+dUEy4Ai9BXthfhSjEwTKXCIjyLrMvUyrKqgE+kSpN FaBW11AkaTFsRgbzqHz+CEWPEi2foNDu0t8ojuyfVkOfbqcUKmdUF2fhu/xomN4K1NloAdXbU6NL D1oe0Gs8EoxVRX4iR1XvFUn7oNVagk1ZwpnuTn2Pb12il2VX2GnqOme16q9pXsAGjvy/dWtvZmYu ZDoSVqyqdhxZWmhs7yHRGq7kiP9jDra6+KQuIQop4FmdeEqpdQOqrE5Wx6It+DZFP6JT8VgXaiDZ fTDTzagQEzQ40R1Ud5/4u45dy8Ozch7Iqc0ROdNicRn1MjPS2gLljQ/KiwnZZ1HAo35UyYXNQoAB gPSQcbk4WW1p+V5QiPZ+PFvwW6DmBAPX9r2JiCqYkNhwXUkj0rTRDJSPXC2Iwux4MFJ4Wy4Rg7lv pn3xMIEhPnvttp2tMzFj3HUYGswae1k4ElILjYLuZCcxOqCcffyhpO6vm1hsBNNkHbQJZu1eH3JW vXAwZF84da13ElDzwuuFl/fMjKlNSUSy5CNoRI5+Y62RbCbrVpYFs5p/3LJxFHa2hgb5A13iuhoS IV69vg8Fax6hDEtYdh4fxuHjVvtOLXrD1xUB1ll6Q3zchfO7rMzOLHRSfHhH4dq8fEzu5Sdj/lv6 V7qi9KemlOWSzfp+FZGG+FF67weXne8VXYAs5z82spapNzBmX3sbVPGY2qAPWVfsQyVIK7NgnsPZ sgy/X2Tpl8KJLkRl8arAqx80j/W/WmA87h8szBQGpoDVNqpAJiem3iUW3sk+Z/w3dxUUgvHq/uyz uZsQvTMoTYPyWGjvnHC+dRkIhc4HUaHN5mJtagvwCmdadp0FMLNHqWb9phNqTT1nAL1bfZufGCH+ a0M+wr//0W363B0wuEdUd13SBXGrttaMPeqm2baJWuJnnJFdR5nTgd2WEpEiWtZ6K8oWvl8+LGYT 5II13Ul7HpCyUYx+IBsa6zZP7ADssvy3QkOFYWJq91ji2Zca3RYou6UE0T7aZfAyCXyY/yo5TKY1 EdI5QmuC1EqsaRbvQodTotlvdH6f7XVWImLOpQJDw/7Z/R2M3atuzg7dMzH3XVuUs6uLNpHeip+0 IBxN2hN7koSI2r2Sfh3uLdorQdPT2lRMLg2Vybj53+QU1CfjojBpz39YM5VC6H+ZFBe3M85AewTi kYFwyZgcJzuoKjCnqVFUYJvzvN/iE510k8yl364XCnFuM/jYrDtjFqQaXq4SviV6xY7d6V/YPymR KSLQZldsQgToKNu2eM9IlXQTy5PDMg1XwnEhSnp3ZeBuwaZPp8JIWpSh4byw6+pXPjMBoAq8DHIp EfKf/9kpONCQbQ+gdxNAPo0+iH273+TTtUHFySP3fvW4ox2q8CAKufwE1OAJAu5eD2zjoJmAsBvz JiCm6Ue52s7C5wrCLzBqoqO9h4tFQr1jZTYk+YHB8WkvnBLEf6nxGXc+RLQQn+eD0EuY++gBrcIT bTpni52IStbESmXzzDc3dKvz4cPxUSAKJ6wppR4NJrt9xzfu+uSbTclrCM2J+vKcAl9qak7dqbiF So09Ea1+9rAPtOaPwqg1Roi04Lsh4ysOIeua/tM9LsPZnB4baid3gNctNuw90Yhuwe9ENXJG3wa9 qBXclpMBRVasSGBtxI6qamu8/Hla04s9wAqevBtcHDCD+v8n/sAqj0y4QKYTz+M6kly3cgHUDorU sWxbvq3wOqBNpmkxQP3Kw5/gMqghOpYWM5v+U5NNBBUq6RfiXeHWzVNaurb+aPyj6AqC6s6+UCsM kGiqMwI+vgND+73o8xthmSOE4O/5FTx4fimqrPuGzo43PoJ+FIEmMxzg5lwqalEZTOgBmK1+vB0u 7EzBa3c8Ynuq2L/J+3Tnezty+cVCy2cAib3dE1DoDF+Q5YjwaxcuBsQq0Gvkio07p2e+MV8qZHDi Re5ElekZN5t/ffLcSRf6TWtuU9HXpsJJ+6eVfUETnD7QWmr08BfKTO6UjZt4++Fd59/7TohmGaZp L6/hCB/OH7Equ5tmCxi0EgnCbGO6SOcHm060aqw1e8cFty/wxhZ4CitDwEwIMMahhInGxW8SKRmt 6X2oBfxNK+lkYGIypPlcZdI/Qektjiselq3L40cLY6mm9UNErCnmdLFxrQMiu+RyjUw+6F0pgmTq KfpXwrkejQyh/QI9pgTgBdS/iavjU5Ro9uT7Q9CVqflvLxze4FMBgWKG5Kh0A4IHUcUAKyq6xxHy ZOWjIF27KjERIR9/iQtHtNlIHPHcdkYXsluGtUGPOWR8Ctu4LSaQ5Xeh/JNF3juqQX8Y2bKTnwKC 7eVm2NnWAQcRCbhbX5wjIN6RHh8r5QzzmAi4JgSvqumb/ts4MKZRXjLKr13CHqXTvv/fDEdLxo3L 3Lrf1r4Rnn0U88vUsmPKS2ETFlp15uQfaFppO0CuzBQR4nxpY2BTcPu+nEQVh6NkMqPNHAU1sAV7 2oj6yzW5tdfG2MeMaj2eOliDMcfBOpyD2bTfM2X99h5mQMxXX4OvUuT84pyRwRzwnu/Zoee9fS1L 9pJdJepI6gI8Rg+3iAhYw2lgz7Y/LYCwg1bKsSCyGe06nTqFIoXZ6RiXd2EfrgoPIVJEaB8lJvDd TheVl2cOoqhN80jXxGyY2m7n9IUeGtsYQALlVxD+l+wa/ddi13z/vqRW4ollKSotF1aQN1NktNYQ aXdRNZrbYWuSWlW08Zead2WpkvMN4zkFxvpgmTcyGZOh8uK7fqe8PKLeD2wqysZHWPxnLW0BYNde oKXLGf148bPooYnVXo6XNwt0Dvuo7nA4V3juE7zVD89L5UPjN7XRIXI8QowEBavGX5Pacx96xPGl M0brxHFHQ4y57DRFDWsM9+z1RwC/B70gQvO0cjZuwNNVAE0Z7KkDmFm90PwBtz10l1h/hd+8rMQZ LkSQMIpWc+Ftf8xvCs4z/bDaFtCMeY2/YwRt86pAY+8a5gkTlLL5U4jCXhbYnZg3dkJCq1D4VLBy +kzdbmXHjbr1gF01CxkW9vRwTm2WtC5SCaysasblWFxbGm0pyU067GEuYghQtUn64ah+9640UogA we11HzC89ARlgGLOJJ0fQPdNwffeitU3F7D5Ph/bD+ZdBVhS1DSVQg/SCHi95LQIXKoIC//Q4F1N Ye1xxWY2JZroj83riNr5hDGo0MOafYvgcZfILNQXwGBLy1InkkIkNOGzJHnfI9yZ0LO1VfigN65d QS8T9VmOR0K2Klhw3RbBDPbDrDCPaxn4nSJxfRyw9+f8TsLOzXTL9AX3ReH91FYarSa5fVHg8gRo 39ke7wDfGNus88pQEK3cqVwjFdI0GuVLHxm9TDl66qu3ZsvltuLj0UtLvpcu9QstC2ncRNnnfO60 lh5qFJM9DP23z3dyRyLdlsEmvg7xYTRvFVb4epewwSQPhxzpGBq8G5GeoQnLoWkT/FmTGMucZBoV ZR+bx9NE3JGvi1TmVZBNGHpK5i9QrTJOwJWjpLR+OSIAFNFmFC8TSlnB/ufsfC4ZtgA0i2xursEg lS75XpEhwxBTIgNKzDEYzSF2Nrb+1E0Am716lAcX04NF48iATvlPIW4iptspVlDJwrS/dByI3mBL 108ZhshgUzzZpKy75QvATu6g84omhBB930wDi9YDAS4IIF3nzAWaMT7uvXyMnDr/zXzCnHFzsjzw tP/YmCnOZfB1QkCsPSOQ7tInPrH702ZLTi10x5QR0zF7mDeMgF93d5qu32IAxvFqn22vbnZBXbma +KqVCJXWcnkM2RgQKzE5tYUMdZaHS7J27Rkzznjo12UhkkRPSfm3MOA5DOiVYHQ8hs0e+rS0QeaE Mf3i6f8g8Hj4hKMq/jWQLWLSc1AR7dk2CguT+j+UVIp7EfctAuplbbcJ5bbtTIaHdlQB6b901s2o 9KAww7X2G+SQ6+73iF7G3nVBunr1jFDeIy+ekp/EQ9KXCRId64BgU8HBxosVNhnLtgleohIZPRCo 7vNC9hT04N7iS9j/7HvB1HfQ9xRC1Z9ctoI3NGAvv0Fpt1pV8V/RRKklMosdw0muKDBAoW+dVw9g RvXtaZzxpTwqQ8mAMSjcyrqlUVgN8Es0mjJrxVPDF1zolINhIDYPUNRRuL1+ofTBu3ZUMGBagcRt Li/e4yFEeV6IHwBskSLLipB8fRPReVFjJkbo56DYqgbkANwh7ULWFwmVVfjd3B3qOulxi12p4ULr O72k48jwz9xTFkxfU2JHFlgAyQ/ny+9fZiZmYBNgz3Dd2dPkVJ/2sySwjYJZUuiQ8sOjgMmtM8sD cBZmEGKVUSnIiuHy4fXGGQlxKQwneUk+SYmUZprC/5dX0Q51BAjfJWL5I3t+vLr49F+Cp8zDF2mU 2xLwXr1ZUZKk4uCw88Bw6HzcPFveWS3wUpQbIOittfd/FH1nL4pZBrJRmcE4uajhiQacUnBTRmFe hKC2WjxUR4TIrqJIQXeCbnInq35nyb9Dovt1mlX6eVB27OyYRwEyxqWP3dFJq0e/WWLCuehZHGzc f/VgHMmoxvxpSJPiyo6KhKFtIvGa5oiB9ba+gbKFZd2w/SruRaD3lQxKFk3WWsWxLAyPBfP8gnvp Qr8BpRRa1OFwOHQ7SkUW6EtbMuXCLcw8X0OjgHu4vT0jYXClTZyZfIe2GZQXT+Ek0Knn7R01EveP pidae/bP0Hr/iWeq5uSxoaei7/brEupsYcdeUC6cM8ZOXbBqnTunRaBCy9/3JHVUJjIM/YuVOeVz 4iqpDtDJfccggk+gnvgFifwUmdbN8wfHCP0A3K9PKdUVz8X0j+onPAxG5537VYfoAjOkfLWQsre2 GaZS94evNhIBQ4bcuKGawKjPSSq8CiNVn23nwH7TX+1hfhGA7Wu/H9Bw7SrDfXFQ7X7sPbHOO3Ra WpngPCtbNjuIBNCRo+b/2mcsp7umxcF6PRwI9GOiyHm8WsBJkHOOw1Pk/yhQSUOHm4Yy5hTPKjfk pzd4NYQbOmb70U/ln0cYHdp5XhcJ9NMoGFEFTavuGIhXOXKLJyjpKR0ub+F9gRWfQitdSgzj8QPG IfCAbL4SCiWrYFSz+OLHMTXO7gb/WqWKFp6DeBNPmkyHnHN4EGDmvxR3kly2VBiVLMdB8iSTpaik tD2iiLHxTvvvJhajBBu7BQKrJiemAjovyb4qzbIEzl2ZI9EfIZHWFVpod/J1orS47SejoypVQF3i qnxuC4OwFt3LjXbxHO8zyWm9KCHuA8sJHINtpTGvgrxIRmKjZPCoVhUNlFhhb8vcLntkuKd53lV+ n/J+jGbgt28scYRYmMu2khB+tV2R6XTzLYwMw5q0lUQKbzCCPpoZkWt9wHB/iXAaLp8nOV5/AWfs 4l6ySkdxQC8YZF9eO28KusJFozU8f3sDoAdJrj1jxgzl0VizcGKIiTbfW3H76O+/d10fXT4zBL8F HbXCC8Ugrtm25Gjv5YbBki5TLD1fw7d6Cpxj5c1HTUqtvCsMZVeVKXTM6b6xO7JzXyP/XXAYcgXq ZG2KrRFtSFjZH3hUV8NEgnHegO5heSNQAKV0NNk1K7Zjnlf+P6oJp2cQwwppqxuLcRiDG+7bN4C9 ZK4gG64FwrJ6mIqnIvx1vZdiKs5Gjc5EFxFwoHdBL2XcH/wtfEJmkSJwBrDw9S6AvAkIns0P1MfL iQkJ2JkQvjDX8d0zKlPms8NEWOs8r/71zORkcXdJHBh1qjrGPj1xQHICqaSN/836oWRBuk6rSMZG 0GXAc15dCmMJS6wFuXf+4SVNv1knK3VE3LFHLe4Sp54EtlRaH6IFMPmcvdJNrOFj18mdsatULgu1 4o5WPIX6A6oC9EM5zjGKc3dqrI7DdX/BCOpJiOjglH8Z8bGeieA0uRsrrN5zOJPF0k1TVL3VR0kd Dhrvrd5+TBPaibnqBX+zF5eKe/Rj2KJywnK58HNzmdGFNGQhcx8XS9F1DNtnpgSobRbUQOM3TXro DkpY+peeaxEiBbcA+h5yPLNq+3lwaBpYI1eqnekABY48F6I0nGoTwuwUb/zRirYdfcCXEZGRblWM qj6ncdFHbuQoptnAhqto0HUITSfhb4C9riFF4hs/ELH1lp2NYwThn0q+nBxS0ycTbtplH+WaKwmF TqAaAKlLg1xPqOAFoJ2DwRaaU3OJP4UdYHHlr4l1SSGQo7VleRFyvs1uBG42J2x0pOqn1fau2nhP yxROMLAYxHIvLJ8tB34nlvSRNrN24YG8OyKLAVlzVuvqQWMD6NudIgkLpIqsavjaB/w0KKaS5v/l 1hlyfXhRpIeFXXJQwqIi8xNkG7GcAFPbgp8nhoGNsoAbhlq1SqiXVDsGju5Jvd6lXpEIpuXCroPE TIf3qNLtRxssViDHZUkcUrislrzlMmE/US8YodHDx3Mghlj0Ux3fXjj2x4xDj5wFuxqA8TWA1pa7 dRQhHVj/wCKnFGBOYO0DMvAAm6Yjm6DLdfujk5cOqmv9otxef/cbnm8aSI93sp1QcbPHD08Eva1Y SoW7eXE1tcPsUZFO8i6gvxmeEktPvIrOL/wgCijnZ0dOpHAVMcHhFEudp1hdtJyEN3fcytYYixgV zI21fWrQ7ntDKqQbyMBeg7mfyw/Ufa0Vv3MdYBq617Ps5rbtjQ8e1axvajpw+lT3I5M2BQHVjGNz YMLQuYhnbWIc8QC1nwu1lgAXuILzXNc6N5iPKXxM9/eGd/dvXrolryhbH5MC9WpNX8iUGqy5+AWi deMagdL3inGXT4CDZjVmvJjyk7Tn1pq2UAf4r6xIm3qyNqxfZB7DBVl27ItBc5gAGH1S4b5WcWRn WxJNESe7yu7Lx5nRNqlB3EqoVZCxEb0FxhO/xbWUXLyWXlV/25Fm8phBowdxbxfbA8QeSbdZsFGo MDIs6KJVDOva+yctWo7iZ0/uNW1xIWBP2h5PYgdY6PH5XNzdDSXb877zgpHd+J5S6OQ15S3bjNn3 6bsZftYynhVPdAs0SR3x4FO6QZQy/z9e4d03F5jtyzokiz3mDtc5ye43VuUSAkjvZACVY4Qnh5ZT SrHBfSTHlgynp9BCTpGgn56f1JpUkXxELKzAcMWpIsqIEF/huauDWA7hUvqM9EdhzPUfmlzvbMxg oJmdXMIGzzErOGuubkSF4auDwrTlaxHncIMvBp0rxLjG6A9wVKhulFr75N7G10OYdtP6sz9VzTMB VwCS+3/fQrnMrJ/2ASnJzdFWE7wRdjMtpXG0u556ENntCSEkvtoxKqRi4CfDPkScK0neztW2p0TO W3Yq7OKJZWdNITnyKYVFRupnx5WqBo7YUc3/8ImJZV0RYCxI0c25DOfk2+hj7kKlp+dpjxAX+13N 39M24LCxFV5qzvJX0YZqMP7rw/tvVu/HYkkhx4edidTYwm1G+xDCDggkfoudWs+GUCjFKPpJi4Ca 70vnWWcpNxHq0XKAUHeeMBtizBOMv9xX/9DfDAsFp2eCqY+Q3aK+muwOKnlWPTz8GbnifTNHoByf hKis4T0ZU52IMhK8k9DTtzXzCPIgM0e5GJfVzHjA6FpV/X6Pro0gAv3hZrYG4cmIfZ2fXRA7mqP6 Ig3R90uhmrmjNXbV6DVS4tmfg0ajDM+2fg203e1kb5JOs/41aM3AMvIWLo0ryIe87z1IqGJQOaJN 0JZ5nxzwUGvYdLeBcidY9orc4BA+Apq563hdCMyEmcInPYEN6wz5zqTKhfE7XcUXwyOh/bZuSCRZ EdgtB9KuTrLJPH98xM7pueoFCVM+/VT+UqmyxAwt4kyxJEmk4wnFk9A8/eSWxT/9NlLzTUFy/ze3 rmB8FhHdGBdSvzWgMztmuQb/2QDfmCkVtuQruVEpTeQXNOVuVW08MWz55bryTJWNPVMKJbjrZBAW B0qqpUFc7oOOap9yHC9qaS1vbQVsmse1OoILWMzFyY2qMWZfpUHTBD6kabZ6uhoh5fft8C9vJ0Rs /jGSqF8FHy1mo5CwPbwSGtpuC2PV+EEAorZuzOBGiWC9Mk62kW619cYt3lTQe8fxnSIKKlY21pH0 AqWNmSw3end/U0TI04ufHV8mgp51Om/yYmMjhthAfukxJIgH4XqAX0jmH12hZnLEsa9uBYYaj9hi 4TuBYBDl0Y8i/7gHI0THpo+XoPO1/K+e9b1M0g7NF6vCNPABYXp15IRZBxgdTHavMQSx7y85xdf2 aSw9SfgXYGXmqpMI+24JHskFH36WBMfrh6iwIYrQNTj7oi9arEquu2LA6Vgn2eK9Kmes98nhw3sb +krORJn3If/MprbQb5TeSbzY2TttNPEdAayacBfuRpTMemNsdWhTtLYu8ibvgmOA0jsANk3L7EQp 5ESVAKZjOhqq2vTG3u4gEGBSqDwnsBW7Y9dbhSf5+Q18FzHuy6TZJPHYoenap8DQvTnfXvgATUJm 6MuMzsiK6f5o6WZe0XK5v7qOQxgxfigg4z1jy38FzfxUSP4z2sD9oyVlxYgPCNoZGbNrtRDv4raL JeZLqGplloXqk57lEWLJ0Mcb15ozgVeCStMnXcIvxfccOz0wL6458hJbltni9q5MKuhrObhpah23 x8dsyqgbyZjLV/Khu8pcLnwBSFa7j7psgnQ0TB+l2ZapC9/uTxj/IHr2dEmc2oklLiX6zmNskoY/ 5qMfj1VXY4yD/6nE674ePEJgH/sJ50YDDqCR8OtUMzpqAsmAwqdn9YVFyHADBpojqqvPFpQeBZeU 2cJyXgAAVWKV8kUmgFCmgCtpQMetuKgADxd7HLdaJOtEdVvg71NKF92Ok4UjJPtcOJrAz5Ym8MCh aFwg7z3iD4Wu0mPugKh9lj4g3OQIDofo5O7QhNITuC0n50pKlnl1AudQ+SBJe4tmMojNPyw7NKPf zyOmFWCp85SWc66LO5hICDD373xkEoajhsOjk/wBbFWZDMBDQ7saWxeI7eY6SrlDtPwaqI/GdI5Z cOr0xC0kXWJf9lku3pHUiC4bcgdWVO+khyQq3cgrmtA0+1RxeCihXgrT0g4IafwQaE7RsDHfn/qu TJi7ukpPKhNc1i2rZHbovbKp8phFKeYheOY7cfv1uX80VTDr3eEsaA26d/nZEQfa9FPDL2T5SbaB nDwJjpcHYvPal9yJ/pPvttUFVr5RhXh5VRAaCrkzwqt6JS5X82GMCyfnVUd5gSjvgWMrhAVSnuyS le8UPGMJbXNAYKabUSqCvihDNxPIP4epYIOq9S+R8b7je1OrnF3bz7+hnGyUErrblzjM+GbPWPiu yM5h968nE1A1fDJ7g/VNSN2Mr7a4QdLsfOgbk07lLkWkw37XY/p9BF8hVKfeQa2DXBGpKH5rDy53 aesRznyjQhI78cjzKI1us7q89uzKtum6NvgyBNyiJmRDiEYn/H3zxZbvqqVs9Kgr1OcIdtpznXrg lhXaVGEC4Q/ZGHmmqn2ftCZzzPtj1HIJWJmZaj26GJp4Jxd/OHlyb+Y992goI15jtWJDnPud+f8s 65VjSY7cFF+AJPX2I7VXM2b+goY6gl8p65Zp4/JIsqE+0JgWKK4XRz+XVBvnl2pGt1Gdd4ue1YQc UkbLGkz9pkpc78U574NbQFcMn1VrcrThioim9Q9IhHhdbw0CGp2UL3jlz/DPtXrE0KijlpTXW7PK kwGE60XE8RGIu9hrfiLplQ8tgllQwrh2kO/aMMfcqNYBGzAeH6Ow7c98+pIXwTiSONdlw7M+Pnqi m9V96DN6zscWcRw0spGlBcgKt2oV/yEHsOanK3ziitkibNtBJel4+vEYVQonnRm/s3WUyLhw7Shd C6K78zraStpyn/AQmBE3Rg8EZHH1NUsfrthsaRX9WRInWHooQeLSNIn5555pXPYPF9l6mZO5kH5j F+YaVdD0N16b0DwEB/hXjQ5RIa+MqoSPzANtNifLMvprkg0+V7+SdfsVdaFqDx9vJN1TLlyWFn03 mzqIhuer8lM3HPdrNkSWHucLwI0FWpX9pojGokwc4GfebOC3kUcSbuQXH8AkFdyFw9JrkLHWEbkY vrU54r5APVX+Ofw8cD8mZkpKAcdYoPLqwmG9MmAXhhASSXJ4KaOw73YtnUbuF0ihR6MLYRIVfm/g Q55oh8V/LraeeG8w63km/6xtc8bxGYJs2TFBN1S/hO71OV038KylCRTYYM35nxejGo6HbR4kjCSA VzQyfL2l/ZD5fv1/wl/YlbOV7EjbnNkYO+8c4I7fm1VFXuTFtxHnqSet26pGUNHtkoxvHXlPn8LX 29jNzPYZTB7jYl2tmPSxlldI1jwwIAaCND+/np5MmHcvXYBsOSMF7PInw0PVRzX0emhAsT+YmoBQ PKj0smqPyt8Bm7nWgoFQsM/WFagTF3MIaWKCdIfM+JRiCi8S4s3P1W/q7Ur71wE4HNRnK5QLeaCC rsyhKgm6oZ2LSeKiS7FYf+PMWDNmJzmcdJlDIRGhGNUXqlr4TKWrneD+q4VDPTMm9UgbO/6SV8V4 Txl/UXPNexcuJtaOnd1KQfHhYT77S67JqMmJU2MYTcOhGrf7I9KbNZiNsL0FcF6bC9KDF6Rvun4r Y2aeg4AX2IOUsg8thq5IUIf1efgcpReUhuV23t79sPqsIodTpH51BZsF6oRgjHpSWKqyFg4tPSZU Ing04C0g9sorENDQon3uGDVVsBed+tQF7DShUxHrq/2jtdYcuzIw5aWPB07Wrsq+7SRiT9ehRS+k S6gD9iemcbZUFeWmx8eG3xt3CKnB3TIXwz7VHRl3ryr/A/K4blNhY60WV/zgCMrpp+gIEYKVvIh6 m9vDy+KZxSPh8yziXIfh4mOWJVbOmIhrVPmSzVzAwy7OgjO1RwLekTx+qMT33onWfuG/kKUcJOpe nAPQfpTV3XgIWhl71HV9aOgaS70nkXOiOk7IGr946ZTCMkwyiYIGslaDQ3DXxmka4KySZ7Z+cQym xAIR5wECeDCeacT3bKSxI733VzdgoasUFzXS/PYpQe8s2WgwFIte4MgP6e8Ki1cz2R45dGk8jwKq UYQq9+EYT5pjUXzeE4pzBFJ+YiqjyplspGzDuujiS6zvOC4knwZdd75kW0GOuI7cLwuLFjYn//T5 kal1HjekJawp4Yd5ni17FemE7Y6TIUc96eHUWI0dkGDwIYdXU19RhxnaOv74xyuzPv31kTSA1AJP DGYyEOsofLpqBD10QC76Nnz8501DxT6WXW+pLulKZbS53l4mvu2esH4e4Mq47NmGfo3E8WvMfvOd mLWLKBbl+QKVYAzacpUVjyr/LAY3wQKaYwAUsB3FR4BK+kXZFLtkqI3MF/yNqvLhTmz5EyBuAnAM ngpdIsrkWO6/PJu7KVfSajXampj/qExRps7Ceo2lChHZad6Tf9M/G2zzGAip0t2BDxp4cCodvhce rhzuC2c0CGqOzEkqWrY4xKx5O0OQs/iQTvmUGttRmZM6VLzv+tkPvNczByBdwqQdMI5xnOn6EGHc 0vVMbK/+KBxlDsOGzYXKTSUnhleYST0hErlJEAFnvATGRCleQMqh0icjAOBLazpD8Ezt4AyGaX3o mmCLdyA6NFBJLHSpQ6RGiknJJ14yMfg0oEmx3G3v2QWmnL9evEOoqlEH6J5wzggv/pqApClAOM+V mQCKHrCIbbpEt23XnAnUXEn0YQSCIOd9mNB3P1C4TMn1xk19LL4gxSqa6Gfd3F5mvY8ON9iSI0oj 2AVfsTav7GuAZUD1pYvPMHtEuWc3j7DBHcmokXCS5Drig8ENhMW7XWl/INrh+VP2Z7cccAv4w9UE Vmi1Tnihbp6WNxHwaOdPP9q50edyZGXO9QZn9hDVao7Oq3UV0EN5rjZM1nGa12Z2D9x9vNdNjZJZ 1DBuJ7A16/GUmlTFibTj2JXsc9xaNKPemQBfqdz85WNj6L0uq/3Up+7WIx4JKL1ca14Or/7Q9yfJ bb2aszT3LtNwF8MVpF42Q80nFpE3pJmNSDDQjxWw4VmE6xVecFqhYtYABrimRdUk6kRgdMPQZX8W thldsXE4sLCINeFUjDuKVrVVySHDgcw+L4ygKHzBCYhTzEKfEzWnWdjwtbU6v1ApkpvwhjVAScip 9xbEX+tPK85uczzYxLdT6WEvB9tD5n+xhF4zwXB+jHbOU6wNuwFJgz0Lrjw4VQh6wA8r/e4BRWcY /CokYLmPcSdypR/2bUHOauxrJx+tQ5Acl/ztRQ0E2iPnt1v4yv0wTGmYCQ1ydk3AuuzcCvnypIkf l+i1izqg0azM4XKB/UKMqtYJIziQIszCPxrHB96yOpnfzE0dHclbNmqdI9x/zyd8S5UXn+iY4Hbg PS2+rITp9P6Ncn/1D8zmYO6ydTRKtrkfVLlNbZztLGWqbLXcIhRT3jGTQFLXW66Q5vzAlqn1RRrT VRQNIp2IjcEeJMIvbKLQ9n7Fpx0Ux6X9J/5yBVO/7ZMQ/jFdJvxAQstVVEHGYUWrc+/Dj2i4cYfj 9yDLzrKMmjp1XJLXu/fpsnslaPjOIsLgzM/7MW+Se6b0CeyC/QDMbk4IrAj1vhpNn98UCNtenrvw UBnTYy6x5HQRCMNjvEYgkVvp4MfFjK19fJA3OdaWH41dXjXpr4dvsL1jLRXJD9GSnEJkdKL3HI66 D22O7JGzHNvwcspbLKKLo+pA1KcVP8Z1rrLO+u0r8/SIgubw+ByOUgbyMb4exc1Ey2tnaCXUrE1I v0ZfRSnrzL3kmHignExCVF9Z9Pcv+bG+fTcwIRH/fEwIgj5ec2wIjVr2tobcsplVswj+h0SpaARx C59UFtVLgL7d5NW0R4v6JjoR8KKOxQ4VPxuel8U5vpvgM/PQCzi7/+P7Ci0MiLv4/ZEx5sJgNzII E5smSHvQJShG9B9kpXLo5K0f+taSj+Q8MFbrQ7+U1m2sCQ27WIYXSsg29JIO4urBGEyfTO2TkEyg ADNutlojup9HVjqk8Jv6wpPiRpUJoih5lc0hc9TFGIk+63M8q7IjfuLArFVq+8C2L5JfFnB4GyFq zx1pvY6YclsXCJuX3Yd7KHplR44hIfBeuFl3tFyYn+ugjG0zhp47eR15DCFJD2l85NriBbYXqorj LzPotSJ244ngE9zyE7Z54O6Q5q+y6yHrWnVrd6m0zohu6Hw3DuaLrq/yLKv/r/biduxLxFmbz/bz lWBAbu67THfxj8Wg6UUS1zij9Krin/lrA05s3qz/+PcT+nIpxGlCCyhT5E4X4Au83pMtO0LRD8mk JzGe2HXOjzNAOcBwiLeqtj8uns85AxrMQ2WFr79vKnOQlKwBS04YGhECJvCsmHl5sZOx1hNewzfv c+AbX5xxZvlCV8xm6A/A6cZKIupYtb0OYjpKuO/vYA7hPbfEvjm1cLQmvqPEQAgzGt1uqZk4taZN YsJTw4vc+jYmpLze1xdswdlcf+JVBmcTlqmzr5Qd+QJvkkLnQzgbWHakJdOyh5Dq4ZuatGQSyFX9 doEZ4K3b1zWV2W7ucHJP6ZQ9SsmsD6E0UsJ3Exzf225rWs9KmsoxIuQ/2o8L2gSxYTN1WgmSBIdr RkBvzKr2BerSiw6om5jNhwn2mBKV5+ZMksEI9AxIVzc3Fz6Pt6KEhvgaFdofGQsN/CQTdwtsxVM4 a5jtPwOwbgXFh+I6+4WdJppTeunqtJulYq6R9A6xwQjtiRb6jaqbOm4ERfoRQUai1s3o/f0eRRC0 6Q8fY2eNbxlip+CIcFVEaop105LL42MkDI3cFuzSzxPQvK/NqLW9sjLtVPNd/OtAA+aazoVZ5ry0 3Tea4xtxPcGMt5PKpUfTp/Sy9f2BhOi2t6Gz/8bCPYfB+R/WwEKy+D3cSD7uorMHx7QoYsRKAN/a 4401HuUJH/gkDRKyW2lbVwcIwtavJcByYM6530/hZKkZ0JvJlnwl+ebWuSVmsXDK8OE8mtXjO6dE +TulIHE0GgUP+X1ow4plw/uMs4WPELKzFdhyYxx6iYv1GSOnNkY2iHQt+bh2UC5XqUNuRLIvC42N 8/6bGvFhLGTEjJtUwN1rVore2h43hFvvZWbP4sQ0B0+6G49JOXAfsOHIPBghw4GGLA6Lopsi3eq+ Lz697Yq+8bhcowfYf/Jdbo2t2D6u7wp4UXhympUsc7n6wBcOjjFI+KkRZBhfsqTmZMMiuOjmRgRW f1rDb6SLwgM2Zv5b5reZRk7PO2WACDk4Zy75SS8PlN7TTdwv96ay5Oioq9VWmhVNZUbhy8163ASk E873a5TMLlrcU8qAVl6pkDl4idzJuz6VXf8RmCXeIMv/eZd4JaT16fYOYQEInfvCt4IHQUDBsujq LRO/O+13Xp2t/G24L5rjez/CexXrubaPusNnGOViOwMxYvX8nAZts8DGhTCASWIQivZhxCpYiCQb Y9DbAZZHU6u4a35YsGJdjKtMNxgnn33TSGhtBw2CLGYCLd3Jqb6xv1b+7A4vKv5RygSVl/w1ZEs9 bxIaBjJMRTBqlI7MYoRhLWU6gd/SOsSkMAMuMdLKzTpg7l25ntDykn8pUCrgRk/ddPFWysgXZAIy 6mfThdnTkT5nujszjKRg0CSzqooI60LPIFAA6xBOHNdz+D2Xz3Xh7kmGa4st4w+jZEx74ae1sGEu fLY34wNbrS7rn7/h/oNrLTpJpDbp+SLBIGED6cqhSe1hx/7vGixwOLzowo9Aefx0MUQjbdZDy6el WuqQB/EMG480UDzGKr9PVCIut529sssPWfIBPJIRU+jeyjDoyJ++uUSDmRL7UjwYaKlgjBEoyH0p pJLgNx/h59S8+V5Ny3KDPa+KbITzgyjgufU8mpnzpaZAIYKV4T0fq/5A2BWLtRKm+0ej2V8Ry2Pm NtXn1Y4K4oY9F9sHUIKYOQ63MulXvD2e8siQZEFkal3MfrBBxW17hn5wBVe0FO/BPkWuwuR6zkfx iEC5OUo8EaCDkGTH8nQ6lshNLC9hajRWC9dzqfKdjoYMABr3WpV7AsM542kPbHalYXLLCWIGPzzv 3NN7PD9mCpEtib4nBA38tQdnK8A6Q/tWj3LkuhSa5kkUJQySTJ/mIg8qomw4oAoc1c6u+LFXGiGK PINqEwFb3NGzNOrbLZmP17hYcn1SilQVlJaxdK+DV8BW45c2iUdE3I5+LEtgrVlMTO495Mflhj1D 8bUFmBe+lreQuC21mRJs0sCLoA28XPXEZdlnFK54lwxreXjTadj5Yw1/nW2/AO1pUvMWQ16KVNwB mlMz3mld8aPvuaB3qQ47/mnoZT+2yA4ld9MFTGM6zgX99XSZwSf4jdn8h0MAgxLMmG8V6VvzaAPw BxdZvDamdlaknSHOv10o5BvzF9/R7hkLbRhrSL4Iyy+gfR1IL78bm5O15Ug6pQ5Oz+e8EdxsSss5 baNMPjd734+zgCdzMw0inFSfmBAl0aEsgKnO3kome/7J9eQoVktPuYLAGPaf/c2anWSZJm8MblFq zRHKnPZ3o8H4BN5xvbPEliAj0v0v32VSiD6jMIfeTHzLLLRxl+y3thu8xRTDxPX4v371OFfNIA9w tpqTkGgAYrzvkup8p2NHN/Ho4nmWu8zaytbQeGnuT9K83mzsC6gO6I1SypP3Trgt7r96CWC1LdfG 5zoLm/kx7lSu6J7dGav7hVNxVQ3u5K5Or76IQP6+gyCWN60g2Nh3RKnsV3SExYk+QFKbXSDRzJm0 J0vlTpO6jdXuHZ8mGb8eBFFo/Ci2GHaZSsHc8/3XAonx3SJlK3c1dqWotGgFistkkzdMpcZ6k6hS bcfqkcdMkRKhMwp2gqzipg5SRGwb7NbfGLefyk/y71qJxIiv4xjKB1VZ9UkaURm2g6kCf7JLT3tg G2LfO9WY4cG8hZRign5v0PbHC/EeT5gAZeTTVeif6j/8TGOYV4V46LlNZhUcfYBsYcNL7xJuqQEW 10bZKv8BTPJUpdqePtasG+6xauLPgaOfjxwwBOIhhyW6GfhUgb90cSniBx9tAIS12Lb+6Oegu37u 6BDHaZiMX5MgauNcxE3oFIbo8I6j3q9A57vDvQCRZEyZejStxRpPKTnaSCZjI7JJqSZbZt7RRKsA QJup6fT4pV2xomTU915NMt9Xpp54ZIB8i0HmyKEvBhfKCUB9bdA/ybUqjrqjzFQhiyHG7GRC7w2u kNzNxB8a6JIa0/IFI6oBt0YOrpKCS0P167ecsEonrE1j4sMwFcN0+7tvADSm47dv4a3/5vgUI4EX 3/m5QUelh5EjItMBpwcWFWOSvkaWyGgI/75cw1wLKtlv05DzrXoWu0XpbQvJgtVgjj93gLU/yNr2 yrKJmnwD9bkmt6c+XG9nNUp0eV+o54Rkxir423NY2rwZPew3Pb4a+Gs/3v5ncIz9ubkInkoVFCZm 18Ib+ByIEZ6HIg+sqT4DfK8ffqMDe2YRohLxyJXTdDexwv9efFxTz48L++lBeIGgNNN27RZOBCmo hCrpvQvTfrtFNxtElFtHqtHNqVWYvcaappdrLDSA8lLVn28GFJi5Dy8+JHOqpZLzrxbN17LuzVpT OB18MstFZDDDsW0CjIvbh1zPZd8P+VkV6asUiS6jqXn6ENCqSQ+HmbxM/IsDosh0tZ+QrZLDZFQF Fs2UQQkSgkifbG9wurcMFF7J3Z+9BEBM8gH2+nDCjeC3mMZ0rdf8iapRWijysC/TjBrsR1UpH5iZ Cdd0Zl/wCbyH83JyXRLCTPuu8cSdeM0D9X9Uv54LFUL/48JC+4HXqxfNqQuTwaAFI9l5lYVz9JFL k32ihP6yIC8tkeTEFPymMgrXBNlrGALiuxBcwiRrfPwyw0Jnuk/N8KAbPVo0j4IKmJvmb1idphGs d3VdnwwByYWvN5s990ckElbKabDkC+BRpTjuPZAC2p/gSugNl34eR1eXdUVEAdf+YKbPdIDxAAnd jeHZALXb5obTQ3tc0RqtolCd5MAYKPCjeRCHpLh6dq6V8dbZu9uvJ9NYAyWAjwDBILiPz5yNih+4 lQPSqKF+8Xl/v74hCgrOHsFpHNp4CtPuy9EkKQXhdtqdQ9bQ/JpeJTRKvKKqpYUuRLO73++G050J HYHGJzlGvuwQopz1TkLLr3ePJfcy1Qj9BWPpi6SQzGhI7ZohOWH4/AcvXGLSGCEIrrYeHx0j/uTt HpsrqJ3TasCRVhadQBpScIc0MHbQgkw6/kCMsW3ZLOkgABlumM7TvOD4ZPITlbMaNS+46eSeOQcs 311LiQ9REWw7FUK6ofjn+z9LiVNEeDgRsxTdLyRVuKCzPU8PjjxrC7tdc3y4UNBsva96ouaGbsmh vsBT/uIVqMzWGpNhL6EO+OI+2YwdmjqPdl0/UZa0e1MznpO1H2TKeidP+Azs9BisbjvCN9TprSVk UvH53A8+wwlZDIA0TnvjwuPyF9uTKsOtwqjHpuPpahw3NCzhcMlb1o5nADSlCIK4A/7TWFfwAr3F awcyU1ZdGNLBXbFvbyw0yXv06NUsFWr0tYnG0PGPj9RJcsmO8Fd2KhEVhUNhar5ZRvyngbwKbnU8 lpprxtrb7m+wc/UFKC20QqtJOEs5XPZHQEXV77+7pQ+eGQL5UINSD3N7Sk9KxP3chRv+h1S3HSD5 e6BD1uhJ1GZMKCfeIuxbxdK2eWOA5qsPjkCSjrtpryuHKqotvQJtiC9SY2bP8ebpiuv+R4+4p42t o/1LzSefRcoZ3y9GcSJ4uDjU64pC5intN/LJkfAXGell9/vX1mNjJYvXoKUAF7READwPuFA4LJsn gibIYQ5n9j0kwdkgvW8eCA8DNXCiJLtSwOrzpNoNsYukxi68YyGYQUMouXkNes3rVuPDQ7cwtuP7 hZoaBS4NIAYNgCN2chVY1Sk11Xrxb7Uy3hztGHkiAJ3cA7IWHJc1OXFDtzar/zW9fUIvBOAgk2Ej LgLdSYL7PDbTJWUtFwV9OrzILsvsptNtu/Qo2cW9puOEfrD8yeBAkaU/1vHyrxop3DPt+tfoJ0K7 7wAzwKWh2AgPGXkGs2kuDanDxYU7phpRf6QdMLYOICxP+EFUVFpRiT1TOoCPhiWUgJn30MQXHeMu 8Sfh9yzMvQGw6DjDK/FyPHvNKGhIwwCFft+uhseMAwoxK3eILyZd53EFB2i698GNXAMfISyEydlB NBFFOhSLsWqX7nzaj5fvI7hVIlspubowOldBfd3IcfeK7z8zdela+vEsKKGwZAxm6qWEb4b8RE3g Axn6wingXu30F8ZHYlcO/c96pjDR+A+dL8hBBUmHViq+MVUJNV+ypZgQn4jqKfcRflycVmFTyXix 9cu++wJCBFQs4EcOoXIic21s02D3Fb2MWBtN04OsBTkwlu+4XVPY5OL7xpTFZ9R4FzZCsc8r6RTF 81Lgvk16QOmuy6VHuDJXrvtyr+wLDLJbTCP5LBLZkuvXxs+A/LwlffTO54Jk5Tax0ca/qN+elW60 jOaXdVifKMitym1Wdrixz3uMjzlAZzuRZK/sk+RWqtqKqIN1ll1Nz80GXeBLDZD4eORj0yCZuTYX Wq3AdyMlsPeuGwhh6IDxDtV/Q5lrzmqwiGESZhmPyz+/ODKJohWueQWdwGdK56n4DP49zaK/eGUP G8xnKgMNpEQZCw+LXdAROYgvR4JPJs6KxxacqOzXUUZJ/uUlof5OaRXisswnjCjXIFsZv2XzMLde U3tb7jO6zbk92/ZiSo8MjXOYQTqA6IHIpcx05Q3uGPjEfU98/OED5sYVNwSXw//763sgWeAC3vno S0+ytuzXLuItI9Hqisk7AO4mTM9wHycYB9oy5jwOg2fRWiFBy4+k53mV9oWspHbgDhhduYYJwFFH PhafzPw3ZPXreeZjV+5YMhN8Rd1TxNOkZ1r+kE8t1RSvr6/xwtwm1rM/2RPQKw2Km/FlC4WfdNIr dG6GBmvtd/eTe1HgyApSo7nsCNtORvviJEoGmIFIdUeARhC2nJJYn9Yi0lVZAyy8XN+6HLjkY8hW 0Wsx5sUCzkOAhIEGO+Qg6vWGMBZLkSnzEpBIWMlESwW6qXC9TjyJdHXWW7Z2N4jeUtUXBiAsNf0c VUBsxVNePdkMa2RIYlauySz5CKbbJ0C950CJeEzfbxXt6fDfoQx6mLXafBCNogUh8rcPsaRjaRQC JM11RNVyAaoWRd4xZ74SHJZa2QeP8MeJqBEaphC+3oSfmB+YJNkgminnu0FoLyik2qRpy2yVUBTc Re9qr03gg3OTgpy01d2rIm3ata3X34ULqEraVfGvOCUyXXFXCtP6rDBLaAY61Ia27fbEtK3h0jFJ zk5x1SXLuoXX13fuGCRYzGUo5yR+HghetFBm+IgFM8Q5pxsqVq7aSBE7lwgqmfNlod0/JicFXhwj V7VKRUGZF6YhhMz9MUWlV+xiOv1RPJyHpxE7KfSQl3bvATwq7mTR4ezcfAYETR9Uk9Xwp7kxT17v KxQ05yGf+LrdonejdnD1X1NuVfYz3WksXdwF/VFrengazXeTyFeueApR8viG4wPD4OkmZjgoT68u wZ7Jn28rEszqk3A9xXb+SqSZt1tjoZOdnA7Y+s+jiWh0r8eD2V9ZfprC7Sunae3gd2MWjb+JKheD GBkkCNIIfTfqP1tNfzoqDdSWqjPAc8a1TpODCBD9uXB9EcuyOKhNJyRZvu8vTnLkhFYB4Qm3lNJ5 y/KPbrCkBLw2ZVw79VCXofINBkq0mm2h32wTMWOt0qExwJgT2/uU1nr711mwHK+4SgeMbZvaNHBD 6Oejz4zp+tqqTw1dbMvXu2B7R8xvg2tFjXW+COd1SB0JiMBOyfw84zF8nQQk5ZHiTESl0//A9j+z B7ceNqrlE7grRFDXLs51hYWmb6Wn5sx5+qhTCL2Y47frw6ISSsRxbpBCfkd5lRPKQcEY8b5BNM36 w1O2Mz47NFStRY3harLUwIGSqtC4dwbn0qT1E2JJkGtjD/dBplySMU0hj/GniQt+Br2qDddRmjOA WH1tamELjr5MRS5GseFjrNrYQepLo8Qu1AeVeKb6kEQUnlykuonkrowws9Vy6rWpeDUFI4ie9BJm INyfBw3Cic2Sesee4mYdZdfntGTrl5cE/8wIjsHunv6aYp0jx6lXo7NKsZocSrUZXFGFjAK8gxli iQxwLDbvxhe5Bo/ZZsQXAEsYAN0kFOQAyNHhVz2uCw4IaGZI65cB+VtRFjK7m3p2GUv9vi6pq3hC VQ2vvJgy/lPLKLCaBMtF88h7gHFNeqqbuJAf6/cIoD6Qa/wthdaaofwVYpiSdY/CD6dGiVGTEPNS qK1Iufaj6SELvCKWUnFV9MwYouxeN2IhrwUqZPePmSan3az2Ir70NpV6lqddwl1gvIIIxAqyl4Gd qpuzWEzjmw57wPPo8/VPQvxoyszPcQOKxdGWXBN7V63trD5dfCF2NcRJ0HIzwSNBFFgTSSCmEqlg uycPvu6kP3KPfGycLOXS5XGjUjwNQ7VQThlocgTJxFVn0BlL6fOyLRYxb0MNFowGse2BMqre12h9 9bmHacwCPSYMk76BkxjgnX1rCd/vLxI+rIZ9nYd4dPv7mb8Z6wNCnk60vR+N0HBwsMrtPDFxVVUz khia0KVYJ9aMT1sVl5YD2wsiFoPKPLBZsBcZ1gv6/EQrTzPFA2sOqjbiACtVAoii0QeX7qqHwu3m kh/bFW10Sb/MaXRNq8puLkjeD6tA/IL7UW3pd2GuzRJe8xK+oTY0fbIeUQsnMQUYZYAGWnkOn6rV Q3KzFlH3Ks0aobvP3khdUMtiVkbCHWRt7KIvbRq5678Fz0A1TjFOc0fTwtxaYKUWR2O1gdaxWoVf DxYIAa1xVfKWSIT9z9+dOyq6z6Ad0q5DXxiVdpdn+j0UxkRrrXp33A4xijQ2mxn4QvfheXKp59x1 BfQgz4hpfwmCuwrhJTD5si+MnnNG6Ypw1CXtTtixW5hobAGQjgPahZZrdIYEZ1tM9UN/toj9D6/n 25oTx3YxJen850uyw1S+6req2tl+V/AMXhGUsi5k2XeUb0GFue2svx2e1Y0y+DNujICdVIXCK2iP E3caFMtXXlWjAb6Cn2/jaVNg5jzQEzd/BKIAi5360EgIz5EYs2Ux5Eaj0/wIompNm+I4rDbhD4zB nnHogiew6PNnmb4na4zaPsyLQ1qyTZFBqLG7p6LhT69G8++9iNDqL68PG7dO1Y+6D+YyoMlCZNXy L0pRx1LP7T6ecJephJr2rTKGs+7Co1IazGgT3nKdt5XMO7bMU8+JQjKb5pw7kJ3Y6tO/HVWazZ93 KGIJhortQMgKc9DbyW3zEkqtI/urr7n1GBnjA/n6LIVUkM59TeG//u6XJ9bkrJNpfHVAS+PXCxbD 7oUukJ5iX1Y25NIQGWxRKZVJb362+hkUY4UbMoOuaApOF8W114L5G96k28QwIJdyvqjqnl4XhH3R pH1deJRi8FfWidzIRFJAxjuG2xVpVY8z241sG8bjSg5lnR1Zys+Rbn6IAp9gs8N0ZiyxaJPA3nsM jDohk0VhSq6z4BjqdXb64965n8j3Uk3FWgifoQbs+c4LO25m190JZ++vdI/tcthw9skwLvLRhxh0 wE60dgKtu5OJtVxT0ghn9s9y8daL7s7Sdss5I5Zplza3Nbq2SyA79bn9kZvbQ1//rF147vZ6xHfr FDev4qFmxDRPh0SxSfWtXc93fgMzKVWrqscndxlJnyBWrv9uk9jnLOP2NmEUCi+L0uFkQ/vop8OT j1P34zkyqwOK3fVNaj7XNdqhDd4sO7Kf93hxaVnS3DLDJJZG5Svcmo92WQiNZOdp6BIwJ2+ShBG8 4c6ZUU9kt9BU4Tac3Rmh3N58SCJwphOUL98sy+2WHrDDA4W8C8IV8wYyVtb6JL8eOgnkCCLapAcb vlA8zH/uzU9qJZf2tqvJvl/6Ew2YTEBIz8tz+Q5YR6GWpAfHj711E0NHmBh5iWXdZE+cJxeqj/sH aOom/7C4cof7ZS3gcz4dl9whci9gagcGdCBOp0tkomK7Rt6VIq6AwHrcC+tJwTzQZzzdZoduxUyl QWYbHMmx/O9u1FKxcEF+RJnseT8E+PhhSLkr5cSNdi94L0b0+AeoUWbmZnC57qSunWjwO42ASzW/ V0dhSsmBkY7f+ERhnvMVms/ajA/+ZmNRnK/apdcWIdf/A6p5iShRZx2rftwKD6r2/mByRtCgpQAW 5w8yKrwFIltzfnd8FEKsq0KEzjWMhKvXZkbn/FPs+I9OUDhLvHqVAvip9SdTqrhuxPcH8YId9Ezj TpvM/0fH6hRz/rlJmrj4vfF6zvkNiUo1YRFOIjogFRc+vz2hZMxuv3Q4Qs1TAwd1WTcsSFZzitHS t7q+8UW2MSv8avQxOlUqQHkxKfmmJgyit+G0XGf3eK5lKhMyuxHeCTFFX1F1xU37sT31Ah5uM6Oi wqanUBWNOnXL5Q3w1jN6hWe3UljVATnSgT3TvDYu0kVpSSnnVU6ql854pb1HmciwrM0A9knx4i4t Izkgyj9O8Xhnx+4p/KtZr2zqSw1AIdB2/CCWSMPJAgJ709fhM1inrj+yXkt4qOQKlr/J5q137qTe wTConVZdE22aDwh8Ze+IsGzcMqola3C64itfcFC8PJtnei618MPhB9/u8X3REsdHfj2hLrWIKAw7 1kVzNvohcFToiRIRSHmnbpGChLteKrY7NkgFqG3uLj87ycx5luEW5AsbOlLlm2dXvYZV6N79Mi0R kEUBs7bnjpGEDqn1tml4wFM2VJWcai/jvjpS0a+FoYvrGkNO42XBa3Pqw9Lv5CVAsD8ry/5G+OQG g2DFgSdKaN70+qrO0ebwq13WGent6sRNnGuFV1hFX4SLtmDZG1uR33d6jcnNfoDpDB++9v6BPVKG 793iCNzGg6mfOCRYjKoS6NQKMuCgpKZe9mdpCh7DhpU4rX5ElO5N1sIr7z7qRZBwVeEo+rv1XiGu 3mA6tOwWUetH+M41Mcj8bur3NtEcTAGdMqpIGUK6p39E6uJIdilNat0E2y7u+abm8Pr+doTukqE4 PJ1XOgUZlWbxPtVYp2aWy0mUIwoPdSQxpZuNnOvwMsRqLiV8CYKgYHp8S0ArPWKkMojZyaRyymmO ljMUdzuJvtsag5fPIn8hxjLUNjmE1p2szFpThoq1XAIcNQYOU1bOrMygvQI47sohnJzZZDbmwkv3 lBjbbAmq5NpwjiEHwbbRPwR2UGubMct7b6vmHB9/3W52WryoOfzGUQ+bU87vYo2JgOJq6HnADKz7 v+JF57r3gwkbPexy4iO2YrfZVPh0/vVH7Zhn1pHjRVlE26Z6rBAlrVG3sefH1HTilWNV99334VlC fDSkXTwvMDaOcinhLLxlwtygawi8xtYjJPKUuoThk+CGgX3pxEhx/dmsFvs2Y5deLy84Xp9SiJVN wvDuNJzNab0mR6eC41emJF7WDD0qwHf5XjlIDXgyCoW+DYE17MpZEdHjp13rnBtbPCH52lKLMpeg j/gclq+26uCKM1510vBY/maCWwZftThwPnZ05Ah7e4M2pkyU620Gl9Tg1QSDP9ueFvqakFh0Ve6b ymQtLNX011r/JZxPKx9N9vfdOLIJlmweRDH1qN0TC2LciS23QPs8PYhLJZKuafXiwk24HTBZaDkp 1iD+nXzZtyZEPtcTAqRmHttAJoRG8BuOMD7hZVjI2bAhmeAROZYdjME1KZdTSx3xvl8ab0PsewuA XouDpaaRjuiSmbPtEocIlz3ZCmIU0IIXIK0K7GsSY0Zf/+DftTK3KegiPK/KdynTnewFNbLslMmT mZWdZqwaDLTqDfhfjElT9fQgx1Cn+/GMLHO6eSkhdrzhBRj5Q4kkihO/1NgLe4aZx0inf2/wvRNE xIh64id/X2Eez+luBl0IFNMQmFj878HTo0nO8bKUgsUX717aCKKkayvvF+kNOb0GL85oGyWFV9pf ZaXDGgrwcohOgJpuDFB1bv5+hAXZHgYTTb6hmQ7vJrfcx7uhObaLX4khG+QY7sj7h58+3M1+ZuFZ p5/zMbNovaI8yvPD4HA81mFv8//qvkeSn1CXjxLeUCyl8Qc6XwfH2Pvwv1EFU0AyaOGnyNMKn3cX T1GarbnLe3thuSHvIkdQCTi1QXsRL4+i6IH2yGiV/lTMtCtZaM56u2vKXoBkPz7p2jmBezK9+LJ1 JBNZzPC4qhpPeIV9eA4AwnFfDAmVf4cUnO1P9qhd6OHJhB8hFWxuDViJM1QdL4lXZmsNGYD+RrFu 0axQcN0pEK55RoAz16PCBPtEz76kF3FIVKm39oo1dBJDI87S387R7BxooCqbkZ157n8yXvBrRN77 8CEuqkPaGokIUhcq47VdKC2SarRuF+gUWR1AspDFXutzaFd9tB7hI8o1MwbJjlPzuiDYYKci7/Rg QW504YRKRJmBN483LRejbGqDt3Ff7WzYmCfH7vKIwoVIFPZMKe9at7rQ66rjcbuZkUX72X91syF3 DfPWDAA5gNMK0TSexdD9SCEThIIzdw6/xC+ScTICVQi82gJI2zz0meaknE5ciTuEqqlKwdP0eRNu 0nYgNDmNwTjyTQg1EubhviHBl5ObVcvgd9sM/gxXzsRij0ZM2sWaEJ060eBNZzfc60+A45DYVm17 vsjjHNRmeIKIdu7t3W8qI3AxTaSOnDa3RDfI3dsqFb71pR+5kb0mYPNX4L6+5qlBw3RKu1CDnIK5 TnYTkOUh5dqOVrChipnvQ6lfdDq+MAUnIRof82xkb35PXlGNyb9h8uodZXoaZ7sPPRBApJDM/FE1 YPb0O1eAHkyQKyozpkY8TgQ+fOLKUs1kvYeFfRT/E4yec06Dko5cfDNPAq27vzBZBhvz1y9hgOx2 CHmYsJZEx21HZ59oGb9qZcGvUh8tN9d6qSeADvJTkbpOYZUNc/Qa74gj4jEfJWCLYxoNlt1T2Fis bjL/85sueLZnxOW/XEFWtXX3m4RZU5XLR1JtxOCw7pO1l5LElAdRgZrJz5qLDNie5VidaPL1321M /tf5rquVJOXPfnzlna07tjMW2/0Fl7wuHKyjBN9iE0RGKpaFCuUdavupRzIpJGKem7Mxum8iBGrU iKH3yPqjVBuAvPswOFZrw8bNe+MPGNwT7r56LerOAZjoqURhEYyKm8jMyBzmtc/gHeOoDOEhwidF QZIIdD7BYDnEcKxzTo5cQcFKNMuNWnkGM9WCHzTkiNWdcZuXKfUlR4qWOMPFAgMk0Pg5OnGAoKm/ GfUarqxWOBBmq+7a3k6mBcjCy6NATIyFHNf9EufWdEG/MrLJ29CNsQuG2QYVh0LOSoArAUincyB2 MkzruJ57YS7ad6msKEfUmKV7Fm+4XsVlT3RAD37fFB80JMya5CzbaA3nI2+9tj7jzzbPns5bmiB7 anHfx4gxyRG1jQHYrdKPMk0hcxoXqRRWvzCqeIbCQhNDhLR6YEON17VDfRFg+fOEfOcVZgNPn3vs oUPwSVA4dEeQOYXl7B9CPFBUTd5944N4kJycA/37soNFC2dutmTWX1eu4ajLhvN/X29cxbq/kaix CObZnfahMGuLee9orgJ5lExlTSh1upfp4yG58cjEWHKDDkFG1Fl9pauavzrBlfKNcZp/hR0lCZZD Q8i0zjkxtUMMrAg4OI6GgTjOp1PoLtEke/AyJKu+7VVik9bXt4rrz1PTv3CujnIDiGQIoZPRpjvl F9Ts7cRjnU5XQAnPhVy9webaYAiPQY0JB8tWJU39q6z7PICQmiIuHMCWZgpxfWMTVKLOZMQh36AV sfNgSzspI1PIEDu9gdIuuy5lnCyQBmmfjWIBgCGUfGMHBVnsB/gH+QLnhBYW+a+DdyJoO6cYEUY3 LbN3JzKl7qkl617NP/WOBwUS3VaNaTNyCMZFy1IaK8u9w8LxCbRRvB66deCZUQbYZNmQjsjbR43G hDYDvTM5PWKBuduDEEUU065aC31TrM41ZWT3QMEZ8QScZKMR5oG2C13AQfFfqfOS3QG0NmJpRtpc bRdR9I98pp9Q1lEvecHIdzrmGxeAAaFL22cA/yRchxbDs7eGRfqgeKup2WBKBrDmW9CzU9GZ9Aik xMHr+TBkHOkmk8DINni1NH1S5MHfcIC2e5grF1TQ1oMbVEvMylsLXhj/d0PBNA5PCpbYB3uFNT31 E6n0WmLCquuWmQgLJMIkqL47pf+Rv5oPDaqdbr8J8zsFwnViEnLHMF5e5SdkmNE963wSbLEchaAl nj/F9SENhczFC4WsX0tHIq81OfEsUtFk9Z8zR+0qwCgTCqVRab3/p7Zb4blElpYKDefnMf022W+1 jERfPLkVw8eoiC8CKjvUjI6Bgn3lr5fVnMkLDmwsYhmiSDFz58KXUMF/iTxrRW8OLGfiDLLo5WXT FR2M9dAA2UkNC4A3oyyz1IjVoLYhWJClf3bL50QhjbQgh5U7BabhO1jCZeAB6r8yX3b6mStxAIo4 Lh1q8eZqxdgZH2nSUmXtfjzMp64JJXo4ZWLaYWk+ACK+fLZNDpGaJ7yftoJvB3PF2ihi3cVCIQ/l /VTPCvsqwaT1QOm9bUORpR+JJ25zD2rwZk3ubri75Bwe14TYDdvaMQuGHCDikVEXwu5ViqECcXot EkMYECuDCDq6qpdjHw8K9kmNrutmnO3XXwI1T7Qtg3g+CO9P96BdQU2bWtFeZxA+QjR/QRkw9xcm NZzlV6PHComocboA3DMMfl6umiCEyk76eQcaJPv8ETE7Qo9G0swt6OM4Sv34xWS+1lvPNaQ13Mh8 yi0X14oUNNi/SWbPUzw6jexTbmkqXSuEzxArkBezLevcdDyQPoXvO6DEQR5UxXsWp7OUkSEzJwcC 6USkSbPuMye9kq9G/d/68PIZzsBSny1UDpGjwAhNOvXmHX/+fCSTnxgmzw271IHhmaOkmLiyav7w Vr9kHOGcc212S32PhaZusxloEl1IOXNqzq1YpQ1Kc39z5NIUFW2xPv08qv/368HDhr+CuB1HhAA+ VA46vhatMlWLE8UN2NblLHBJynxjn7FWCfQ853RD70RG1JdSAQVhB/d5zz9iihfA2ig22ZrvEi37 AhCVkGmQu1pQPe/DmabGy6CZeb8vCtrnfV4xs+GkXSsQkbdDBMZdoPtdfoeGH6kKnnCb/4u4nFO3 qyi4EXPYD8V0ZmF9T9TZN+tqrzug8vDPLKQm8r0JABXDF0ZK8I3/GDyfyO7O2DGaQxEog14FNO7q qTAiw8w9xtC5sP3eT++EAkQbrGoDx6WhhzsRHlHAIjo49JaffATRbcg5Qz1ekQPYrTbmZWAQ4xBA B2jGM9rku4qtG6TQ8QTEKUA5rw6lRwhJgEOd84BZk3ClrFck/6NakxwQO5LWml6r9gyFojqnQeWR wlIeF3eOQ0Zu2hAGVYcNVGwD6u7aZ50neavuHm8au0G942z46bz2Qkm4dd8LWGIxIC60QVgoG2FL tXOw3zSse3a0PIqCGwCwhg+76mE+gXU64eVqitL9ylXCrobmKHGwh+WmRvT52BesYD+TJ+0CsmDw fBkXtkw7FQ6f5/cOlfI1TAXtngBvDMqdw3YO/OjtC62PEkpFycCNGxWEMn45454zL/abbW1KD06G CUduoY34pwIZVP9mn5TT7Sh0suoQLw8Ya9jPXwdwSHgTZEqK+1wc0Mw9jCwemHbnmOL2YqGzrEaG QQmR7QF4YZwYk/BRVhehqTDyrE64xAdmxOECz1y4bcueuYKFhUzDN3Vu7QMQM3PobC00FO5hOUAh d7+HXS64a+7B8Fe0YTjkyHpxoVIgT6tN4zsZ/doF2vugKnTBs7Qsoe4vrB89MV8uwEYt4a01rOzi wrbomHUoaXzGwmQwI6r4aJLMVo6717GK6V+FyYewMvEmn/8tp637nJoBuFFKqR4RP4K4Rk5GHzVC 15Ei1YZTEC77L7JAh+5DvQdG8gsvOAE6wWBotX/zV3bomR4t4OmWmCcFiIa20QeovUcrTiZrOfzV 3iqWsyoYbC6R4oLDeOn01F4/CAYCw91xfVb7nPuNEVaZXFZdPXIZE+ERN79+Q30/Bz80rDcFvTfT HGSUXoxl/ISMjGOHNmAtgez4SQy7poqhKEg9LYgrqaXfYe9mriquSnWxc6+0VnEcaiVKKUdxPEeo gYR6dvqZzAoXrBKL3FohEn3VIcYErXpcHvfzRkzwvQFsgObiC/H4dJYbCscjhG1jfOWG8A9e1qnY 7fi2dddxrtckYBrzdmq9imzaiXM++s9fa4FxABMHSChwCwJnqoi0WF5UMg4fd6aOJnSuToWrk71g R9RoWrKTOxRMR/9VMAvat/3q8aw2FUYdBBu+kzE4ApBumn6urzhA4+dm2++2JVs69AleQJAIkJj/ IYcon1HsRlr0nj++J38cR3eMKdTJIirizIBLUK3UZVx04PXTb7/QtG23NCIK1h6UXIGuXvpz1zCJ o+P8mIq7B+3C7Hg9ZjWGQxC6NQP80E7MAsDrFlABoMLIm8PkXLjLqKRSEjHz+B7J0LKgppZ3qGy4 uwcgmYjHFusrYfu3SYztwU+VZAyDYK9eBghyiZIUwz2J1rwbbDrsNReGAVm84egdHj28fD2uUipW wmnngjSCTfdb2E8ihAC1cxPw624PQEXEhoAYaixrnbXo9YOFDDIQDwhH0f43StMNXOQjfU2P+6ON XMXER2FVOnDyb7PtVdt1kksLJtQBV2G0y3BaLpOj05rojS78Wi1Bno7eBWg1tzYgettfW99tvfR7 J1gfMiXNJwEYhFMu5JBgwQjv7pu1pUheLr1X/OEMO1jhYd3/jFv7EfuXDOZyu4DWt6bPthA04ms7 4KbsZBY2H5mp6GR86Ya0wB91c+LZ6CBa8ZW5v7kwIrGVLU1w1acoHGHdVONgktCQkpgKduiiSEba xdBWjX2cPrXOAq5AhTLSFVIppZnxk8/do6/gYrmFETXimOIWUQALPz4pThUFxiG4+0d23/Z3Bi06 soWS/CDSvvR2oLE1hTpGfHGHWM8rifEqWJ53p4r7RdzWnsFStNZNI6XXi8O8hTF9l9M73eMNaF7k zjlIKME8E1UsiHgtu1jlmM7+2jqvGa2WUxTIFRS9S7pgmKBi3kWS/4UJ1TttAk2yoCLVwl3leMav lWDVfN9FP6xHRkCVlVmNy1278QknDKNbyAk4ohflurh+qf1jaUlhvmSCIDb2bcxb3UNM7HX5R5Im QudFVmVUk9wNVaOIEQYo1Mi2MxRic5nLTdSgUxJWRZ6WlgvinRhdFNOQC393i4lgLvMJpz1GqO3I vtBazwCkcXfGGnuhvUkhIYbAtUg1gOt+o3l41KWWOeBr1d7gCqjGtVBNj8oGG/vABFB4/Rk3lYW2 RxymNpBM5lnokBs9mO/zGYCEKLOoMmcaRzr8YiTQl4sKR674GuLr/P0PXmFBLVBAz+04xf90GPGa yDWDq9jQZH+12YfOIE3k+3bWj7F/D6vWHkj/FRAH5DIiUcK9hbhy8n4J6dAFO3/42p6053uEp3S1 a4qPV+lj35Uh7WrmB699FcHPiTCeD6xDQYqPtA3BHzpAd5BINVPV3KkGhrHgSiysplh9ZQqVwJ71 wk0Rsu9GBqg/wcvJbFNlqdSyLdpuTNht2yrR/AU9GRJu2gp/z2yxVZQqH5jjM1tF0P5aErqpON+i BDTpKZqdaoXFOwEfeEc8wHyL39dKYOe/sR9LzuTQbeIbyJXCjOWueFhMRVV/P63WHoCisSmcpFmo ZsVLaLZFubpSSyxtsmO+xbyRsQRDCl9OJgRWzRYvAJsGzNcm9qsWQWdoSZzI/cNAGI3OErEQgtkt hJFG1y+9hpFGAqZovWKSWMLRetTm/qx/A1YOyPw0zQWH4cTiMnww8fgJpu4Lu9uS77vsMghlSCue 3vQRH5X73QEs1hvuHlLuI90CUhtK9wNxuRXYj2ETdaRJfq9rbJoK/WCmSntsMeswG/zAPvc36kXN ytoF8EHvcYIDc2invgj/RKTcWj3D0U3gcNDo6fb19PrtmQYs2LOulZ5t69kGeIU+NMP69Itw4nZk GLsPF9o/LVYXLNk6M1fQyYhGwaCjo4soDTYIb5iQ6mtwH9ZyKi50hhbsJlvLQcnWjpBqNIswJUwv xRqv/d+MpC27Pm7biV/3UALpFK6ZoHwedzTIBOtCr/xqcnYNLwysPIIuhELUK9CdxVyETFubCGNK W0hqAkVATCzqk5YlSo3oxtNSZ1U2zy/0PHEfch7bEXsoWQMzMH0yiXL057aFbAhY84OPQb/WVRBe rY3I8ZzWN4Bt6xicaP2nfHkoEd7D5kJVa1eVANNiL4SP8b3SwtWBC8vqwfnW1FF5lfwX8TNw2kKY 4+DzplSbRezgC8ljfAv3S5HYHB39rTa8J2t/kCNFBr7++1UH3wT61IZgeLip9JtJtApw4vXmqpMI ViCAl4nVjj80sAZ9pBxocCY/fZbKlEuXUrGIhAl89/pozTvKe6TaZ6tpxvjtLQ2xICCrJVMQzUBV UVaKYXcy6tjnua1rF5E9ecmcRLrHnrLS6ujcIyE81GG7Uwh7/ODaGpttzb+y0rRmz0Ajhg2WzWiW 5F2Y55w9nQCIfNFyk9/7TPxQHS8y+fEZvejWNMY4k0anM8mQ5kMFwOXeWgBlVBn6H87GoRnoZgom TNGSX9ARVm91qDb7/88q5j0l7XUxRZn3TQ1ccUzzYWXwD5jNVNcordjiiGVz0JHwZyjEcAaJE4gS dAjN0Ph7AHY2Y3tpJj6tA9112L7a2gAMzhdIOsnrJZhvtirn7n+35ICok+gkQS/faxBHZSr6+oJ0 z4Kpq6qSCsPFkZIWH16AA08d+AxA6KqEe7PoIQ4GAN0qBWS5EyhOFyCG9rgywhJzns0urlh+CsfU 1Ng3OxBcd1CJQVa+dFjdSAxLGzqZ/adXorjkhjnBfnk2xT7GnvGmYCYWHGbym/S228vkrdh0xWC3 /xKP+dmcbJys+sY6akgjtvmUzdsdEGXsPpllIeLfs3dw0DsJoyaEIfSUAdWIdxS5ZF2a44tlu0Ap zCq+j1JEDC8aPdLmeNxPwgU6YcUAKGZRCWi26WX2JFaLHnoblq2FThuGmAwffvEgyrskkpG3WnlF mqOBEJ3cet1zxu/4HUSUaHAdLIXiMlsrLTSDfrLuwD/bjLT9h9zIw84NI3l6BBW6vZ19XtKyW3ea 02mZ1HIL4/dOo8PfKdXZ/KPaVkqWWn13gvZVS/hZUl0PXQN4RETSbS1f0pAmurtdUsxUii+03/n4 wc10GOk2RdZatLgHIPg0n7ZvQ6j2sCSwu2mE3Rusv8urDfmvyLp6Q3LFHoBvwXp3hjmqhagufNHl hPPynBvyWAjLfRzs3ReIilG/+9KdgB/OnfHWoQlU2/fvWJq0noWL0KauCt85HGjRWEBBeWqEb05N c8D6twXcPZ79otjMKwaV/kZ6hz8aO3YDBKJn8NAsG1ZBKc7r94N5OA+xVCzyS2E5mMoO2xBC49zQ V1UyjWJXOjxE5k3CBFopJXmKGQHuPdDCtHk0zgm+a11l0IkjpeSbTbI9V8kAjcxw3P+JAiAR1rvN CT5PRFK0iHrou1eKfzXrWLMblrP2IhQ6l6iO1CIhHkJKnroOd1PxsnGZ8rcwZWGMm9Wy2qzsbhR5 8ZFNB5jfbkwaRPHU0xTa4Qht3qOLTmYo9iiv4rWL5yRt6ZUsmhnpL+c5bQGXe29NWkDRS//qZpu7 XCtv8TTMewh37D4MNp9vdMMq7mBTjVbXOI2ifSe2FmIRGPaLl7o5lIn8Kx8qI812vUgFUm5CXftN V9ROZVeud+Qw7z/OsrklLTdW11BZks7V/PKLEpUQsvohniw5O3vhnVyrcWYdra1i0p5KQWgBSAzA 4Pb3lZfVusgxzEhEdtXEoBiGHiCsjIDOp+NHGwHP7IUMmqhC5X/u+Av504n67I4KwZJlKns2ylZH FORSljMpQqACTwczg1opGg7Jd6fGGuUM/9VSW2T/48sFXcx6Tt94fDPtSVR7XMfq9BkRbJiGczyM pUVHcnWYhFfLO2CYOQ+oWqw7XElwamHkTv4bkZ/biG2RzLId3eQi8unFfLj9KSzvv2vRHbR7MG/s OygK3YCRxKI+BOUviJo7Z3w1Kc80iXU/47RlAPuYTJojQNyhwIxwqZ3vX3RK5IsaL6DMVFrRKQ0z gqnIwnp7Sqrk3aP6wtUuwxqU0bBaZYWx9xC2vLVQirXbtxG2vZ+a0mbDatMW5MvdIVAtjpd34WIf G33hIQzrzdRj+s9jD48eEPPdeJAWdXqILAKVoGH5Wpyzl/xz7lp0DtneFA/RXfG/iMXNpoTZsnBY nXz0G/krcZGfneol8GUq5W97aygKO/FLuZNFeql/MJ1eJmyWw3xQd+kGYeBuU1q9mDu+nXSq6gZN vAPDnJ6BAY/CjL0qRQReBco/GNfMbhxBCqCoRQkU6gXdYGKxrrZe5F6oRLvXYt8JYo5GlrgtM74p xh9vT1IMYOR1sbxe04734cWEUS+Hoj9yXiWki3qkmRttjnHPpGHp72MPvZSeRim6l8awyfXb5V1N 0QFU7rpoqKPE9TK+R+Lfk8DysKAWD+JEXP6f11GJnZpQjI9YQQWWFF1LBIDV2vl8zvdWF/27K4Bs LQVXFAw7zdAadGToJ7+KSp5yPk2r+HoTF4OuOpOJIdIWU0996IVBttQJJ21uLircgBwRxof1Fd99 4fDspTIe6cVaFGAaIsc0RTMCFBNGamL0Sz2ZwsienbRRwjWm1/0RRgVbC9pPuFvxEUqNZl7DK0Y7 AqNFuLmF8c/om9gbzGDc9SX/1uYPuQL3tPcNxiV1TTOSvr8d9W65bODFk8E0CZovDGWV8vohWmTG ho/bWWiVOVKAJMPPkhyXw/68fIapX5uwET513W3cDD15l/4tVeNLuZlzK0jaOBgPceXykcWbyL2f Xu0xyESl6N/X/kLSsobyjxhaQq6cuhluHI7R71NwRtopqSyHzJlO1/GOI2Emhk1RiD+HP5nGY2n6 f4oJLg5f9CBb6pS0EAklqPmDwrEHUXShURLHo6LXVn03aTgBCufMKGDvs1oxbyXvVS+uFABoGohW SALJn+f44X8z6gQGAIoLtSFVf8HxdwIf+Fmblvnd9FpcA2CtebIQQy51ZLpIlzbCIjeIS5pDd2O0 JPMa3zhuRewHcuCKRoCh6dn7/gZ86G/jrE/w3tpaXVGZJQPc0W+NTg/5vqoksAOQCUQEcEyeVu1r xwzjUPwuRzWgfchLxkVmcZikOJZHDRparoyjfvrfTGKQfOtNNn+BexA9FA1zN1rNRoBhp9Le4orG efub9tl10n7UcZ1txsc9jSphQ9hftjXp2X0uP5hLo9zIbYq42sdm01m2BOevI5tTqhvaobtaKnuo gCb9wfVp2NGhb7NJjTsMIzyxofgQnVNqeG92H0+I9ofGdTcPDg6EKIgkbLiAfH5fQbZYq+3MdzZK XoSrW1BWQXInVmZdOfhLKZEgOJKspYGj4M8FIjhBkQaj7zdyx9bCObanlMp7G0JzMCzXwgLMN2Lt uRkXOU6VDzd2WeyO9zdWhgWHTrj0j+S6HAabP5Wbwg7X1ONrnkcAi/okvUHjvq4xgJQ5atg6EXRE TbBIDTirXzGuxqY+PaH7clD6eXXZnZEYxVOYIq6qGnP8aTl+6279SVPoADGJBujSkAQI+1wXLvMl Be3MriT6cwkjlz1ByDTIDEZhGiiJRPGIwBQmV59Q5ZZr1VzQIDtmSPLPCbpzyt+a1v3OOVGOyUXp 97dk5QH/pHXxVYqULE2YdoRLntuTqz3BA24vKe+i2tT6UQSbV9pNuct7ho8qD+whON0cfYKYNSPj 2zHJZaFolAIuhKA3ZjVQCDQw4N6Ehe7Vcpf3z52MRu9Ln8EDIApmId3fIw9O7XCiKkEP3z8PJRpD yG1JwOD4Mlp1tRQ+bhQxLcap5ih3vUPYd0suQ8HThPhzOCzDAsa7fTyiO/9A2EF5E1YYjKZ0BlqZ T21FYjE+9ZqjHrm5pQwbD87UC+fb19dhiWpAtKDVBvzVR3drtzWOXDrkDHbv8MPq7W1enkhC9kKi zY5d1g4y+jubvGxVgcvyShVzng65qT6sP77scEekTtFw8bTS2ue61jZrbtD5JXgAepsXjyxeFgme 97slRPRdCYOjmmFGhmZ8AVMvGF6pX/Xrrxsmu6riuP7jwMt40xyPbg+VRVTJQkrSLdtxN54pRSaC NFavjXPm7tIHVXxaSLJX/43nnJ5nkDngZFttAR2Plprn23AJH3YCqp55PmJ/zLjJ8kX4D3f1hJ9s NXzTBSd2DeHpWX7p+vywuRDqlnr8SkjOwz+PlzH5gfLezh7XTng8ZJt0z7D7inwLybwFfAZox6Tg P7tFBObMJ4WrhPi+MeVUjaVeQsL+ONBwADuLWSe6jvtNYj0rN8B8vpEvAOUF+tYzV57j+WGVWI2c NVZYzQKa+eiWq+IhBC5qge/CaePg6Xw+VKK4tQWTkwwb9RFqVvd1fMyTlHe6rNCmccN8HOgxf1AB R9ukcCf1chJBqzePostW5OgcI5j/zUzxTpuikj6JbF9MnTg06TQCEqttD8L/tLwvbsOK5/0XO9ea Vn9dMI/DJY54jpM7AP+fPhLc5XD8YOttugxmQ9gPppeEYG6IuMvNKNJ7QiKzpHGmNMpyIdRe/4UJ f5cbcP3nzbqQI7G+Xga2yOidnoYCWkzqNfjtWSGUJRTzraDpFuNUO0v3eJ/3dwR2Rd7CNlKoswJq 31KMHyQhRk2YuWchvI20NwlGaPdGtCuZDZgND8fNODVtTl7rXnqiydJgNeI20ULa8x5yx9ym1D27 OVYC2A+FEwduHcL0jtjD6d1Haf5RoRE2SNoXbwx3dyKAGr8xZdxO6GF5UcTMGXlhR2JPdOEO00NK VFqj35xOg8idIGLXAyzVyqx771sJya69zFLoGzBiV0U25kpDo//WETwFTG5KR18jQH2OT34p1RY0 CmatubFkvZpGhABlfX05vMlpVAZNwmMKGuXNxtyGeeQr/KRo2zlAfW5It3KNY6hB2A55VhktR0VZ TtPlz/wTnIEjY28cR4fw4PUJ02lWJcsJGuToXlI2fst73fBrDQg8bLOy0yqgZg4vCdPEu89UEByl AfZGl8GaWOEJT1TDrGwBqU/na8TVZ0xvTbZhukd/OwDDesDFC9XKoqZeo6eE7TLlf77cB3iHwKxv RM9qWAU6e2FRCruPNNKO4GST27Z+ngv/SShNkyzbFU5xDv8+IXAYO/f5jXWnNxeoZEPvSxVyd6Ol aR12M+XJUCv+b0eZlbZwN7FVfTDGzGnVMf8oCchmFWQO84tlk5mm0En+6/FSI6FjB1O5aG0j3fIb V37jc9mjDpATy5DU0RCxKWfsUjcZOUpW/uh77IJUa/GuLK4BPTe6narMGCWC1bXqRe2ooPWit4Do oPJPvY+XwpXOsbHiPCkrF0vKKESVA2cQJ4mJOiD5ASadFnQFEYiv7t3g/5oft77s0rHOWbiLxADI qWjKWHTiajfCwTyzeEHIKk24pxUIcSTiwFshmzSG7dKd6OMlOQH8wVqVdf1C79wnf91f8X+xxNDC SH6UMZr8F5zrtKTe+221Npzm/QyZximaKzSATSVdO3E8t2X8ioIk89z3q8JGWjAYNaOlvcgoRx0u 9g5mj4LEGvXH3PLIRvClM/ZIq7DT3+qxIrrA2du2bwG4Kz1MkjtkcXggwnhEfE2UgxxmSsfa++rs BM18agIj0N9U0OL6NQuq6VgVgyOsX6iecZMq6XGlAH6A9KxuCAuGiund6lajhx00osYoQ4PMKsPi S4cNEdtPYqeySGxaffIYfe41y25DBxtZyTFEVRNM7VyiuqWDain2rIuJr8uue4yccnUtepwTtqto pSRGLp0t7ayBul4iypJcDdq/tZsAH4nMKFHUbTeQXWdI5sr0GE6RMWg5QP6JDuHomtuXffJlieXV RHCrsb0QERig20FXXT4jYmBx8v4ihA8SE2kFscybLeKE05qdlj4Q0ZIE0kelFaBPoA96WZgSTfgB oM22maosHKnhUcMh5Abxc7+3pIjlCgbAzsXFpurZWC7AL6x8Uf4JFovvCmI/dt2uE39BqhCsIhZ7 s5mLjwby9WTtp3OV1VqD3sGDgoZR6Mxbr7Ey1yEdxQ5XBvfqC/qLkuiRTlzQY9L+bggIyfDJjujA /Sr+dkxa2qTj8Oy+4CPCSvDgO9/4D37YJtAVNCqdB/U1NXyDfbdAQQQVekmSIuYei4/eOn59hwA5 oZynrH3zC9+NHYHxucau2vq/TBwpYCXjd9Fc1lDIpRyhERPeRdsY7vM9TVcxKylT6fb0qN+fW8Z7 2k/o7cE/+clsbdxcbfOtrIkqx9Z340AjNVwC34TQOwiT2mcP+0bYF5Ll5S9umfhU8QWhqvyTilkw FbXCK9/ih83OuYd/saPkz4SuxEemZZCl+mSjEzbqzqHEouXh4Jz99n5hNeIfeTA5qcrbVAwICMyl WPBcccG/5OHw21Xo/1Wr5S/B7UrevNBP+/ZtRlMHf6laC+j3h8r7uWGJlaXDRjG5/dY5TNIMHPy7 ojJjHnMb3eHYg67A1jbk1iikmWQuKnhbnTYDDNLx6XX0NJOmnZfGxq+PJQ/Cw1d85WfOsnFuAw96 hsJmHBhPmpjYFk9wKfd6QQEeoB8mAOJDvlwLD6pzhKXVKBLtTdZb7bXc4Z/U2XnpiidMhVVx9/p0 yRi4WZ56FXZ1O9znTM25JyKW6KtKs4Mdv9pnU6wj/BcdVSf3bPmsnwbbaF7mXIVMdadjztgXVpqM /fnHQlSl0wGQZ15lfxi1sso2JEE89NktSEhhxGs8/nrkwo3lKgbvirDn8Y3Uv04p5Phrbfi/0pXX Z24F0xZlgUaSkcd/awHURqYG+yK9nDYfiWbhplRF0FUku93xQEVsYz3hVrOsIg/MeVSlFLHus2md SuQ3GYli0sKlcJcJ9AJFPbXVQNhkb+DIVmBraQr+3kGLQek5EOtKr4w1ZvriaovF/JJ+ubxSOIZ9 /o5DC5JmcoiqmzLk8KREagjdvS7XnrIkv3mGtESWMcn9YC5ln+H0qU+V5ynTnfCCDkSt4PsI4pp2 jb8Xbpv4I7XjwxvM5B/pHVWIjKrMEF57SCHYI0VeA5U/5iEF0hp4aFOBWOtapLmhaOVu7bagyC0V fVhJYUqzsTmTP7CG4LEjnRSKWOTY8/tg1J1y9dNiklyH0glkegEk3MuA1hcwMJl8L7za3saVipPF zBJgnuoCYLX7kBDh9LZ3BDr+3ZGpuibqtxgKpcw+3JtjfZ/1WauKIAtcfURJ0Rkq0jCyy4qpuPsS 1TVL3JcU+Ik7ri2ZP1y3LQnBd32FgShlXjX947ySFYUG6+MECCcJ5cpKLTb3/dmWdMAF9GBKoK1s EXRWo54/ExYHD+8yXAyOGc9WPcaAVNKZCC4PwS2uLqcj6Y9I5rUgJcn5dVKo+oA1V0yjSEZeqda1 J01XZ79ejWkkb0afPWxnbWqC0WIUeAtKzWU5BTFVH8S5G85IobVkTrnTO8EFy9dT/PfEs3ZU/amg OWXNx1wqoEekWYlwqyVt7qxZGMjffi4OezY8ylbcXrw4Y3ZQS4Xc36eDKemiREM8f92aFCLQNUGQ H/LHEYugfzkyy6nrMWCb5+plF9oB6PTTmPVDLGlDcEXp5qDOnYJheVJhsjEMbZ5YooxkcbzcmqY0 mqvrKQL7A/V0b4mzpKiveWs/fv1AYedxHTbXaytWuVdNq8BusTZHmT/ZPlGsneos6XUBr0HV3GiW rO3jSG0FPX7lOolxMBL/FclcaEyVDv+ntaOnp9t8gLxz13e3b08k1lHJHK+sGXbVwEl8cs1M9U+r thyX9/GPtWFV1xzVw776aCYN93QpI7GF525lyp6zqWJ+FYNtPVIbq1EVwdV+qguh5qylxu3DUkp6 M+Rp9x1ZJ+73sqXqm1L+VlRYxS1wwIx4Za9IQkqmllS2N/H+Vfh167/RNAzQEl63mj4z5UkHWslL jDJljbO0CVRVYUaKUARlTrQiERymJrHYJ6VJ9ikr8+D26aVMflEVS7HC9DK2I+RDzqK3ccP4mGdT CoLClHlj1EQuElYsmB1cApe/VkHyw+zyRGwG67q+TFFMkpxB98ATmSmDtSeVbNbrrMyvpBrPaSma /qFiWSPLHrQX8NHco8PCSLtTwOmtz+rr19u5kSGEpT4LaQQkIRilZLgk1mV5hVUF6AJYTbg8ILcr ptSo9WpZ2fHQnU+ygdkOkjmIv1HGhYmORcZjW+iT8GiEaF1ELIEv0XSwadeTJvTw9LeRkKx6026X F3K9Cph8CDPr/v/xjicsFHcZ48bxNzEi3cdu+w3Ow/7tpwlSs1w0kNbx8xivJSaICyyNoY2/obwj PVv6qgO4cw1bWkyey0DzuxVoK8p7DDgsswrXbJ8bX1mkklsEOOd/PFu0LetcgRS/eQLzc6eZygyf hJ1QZkIHhj4LouhRSnOTWDwdFCu3Qh0/8+1h2QGOb5JjcFfEVQhrrXeKPERCiF8SdhvD6HJMtgCl jDjEEzE0rqinl5FSYRX4f03xffU3CwNB3OIopYYMNJffXU2QeitPGA1H1qqDdavWnj/MPVyPBQJK iawtCKvIq8ckVlTR3088uWQHMMj8NWXJHyscbdEueXeFFBfwXU0tmSD3C1kGLOljsR95SK5TKQU6 dwXB73fiDUDE0i3Vg89KVHdRax8KIvcbFcVzwe4U7dCixctEITzjOFmeKLyay5R2plJUsuNAzbCD rHKxNDMYYdUFdO6vfh2OUUGi27jhXQgMgQGxezo2oovZOt5yTl57lBNeO7hkjpCDmNMANkIlqXWB 9+Flb9NjxgFK1K62tXm4z1Brsd2MQJMc3iACvSejBg701ddkRDA84rMSlmnl7wKb2jCW0ZtE9xh6 w0T6GxZbJFHN+v/ttTz5nbDP3vthsYk3+xTACdIU+tSNeyVoH8IRSf+WBGkhReLzWWdvi6RKAm0N h3ff88tLy/VVfKUVV1j1/eNudWglxNTLO3YHa3XiwlNdp5afmK+5dSUACtaqyqIbZttLaO3wiuy3 Q+qUxEugTZzy4L4+divw95ybWzKxV3Z/ulNsE6cebQRJz/+2MT5E8idHyAt9/iqZX6wDP81ZKWx/ fW5m+xQZLMQf0tcjOGG05J8s46p3356SMqwbXSg6cfrwtlNxRptEQ5Dr67u1PiuH6rcNBZxwU4Z+ yTfYv7isJ/TsZO5I6iHBUIcEQKKXgq0cHSjlxP/BtKIwy2w5VtslBSpJD2BFybkFytWudgYSa5ri e/MjRe2f0CgIDkHVQP7Yr8Ugi6GNEojRZwgBOo9eqK3ntcI/RlTsKfKfO/LqX+g1Hp4dIrJjwqxv ed5mFWTbL6CQV0FF54xCMB6eYhPlAmHxYnNRMyFBATgPY9kca+eiKYtFpk1U9HrSpVXh57ZFKPeX WckEF3/pPUBSNPTcwgX1bzalyXc4GyYTMpsvi6/qwbzyCcUidWTtOZv+/ybExx8yr1hsrKkLyqv1 7iJUhrk3WVGGYc7hxCSqOl9WovczdlllW7SAcAXoeskO42TCA0hw9nqjFSA4dK26ZXrbuuGp23Pr wIbJF+ew14LbwLjYTL2j8dTueV4SxmhqlzMDuYDNGDqnx2M1i3Of+LCvSaYxX0a3D3m4+riYCyS+ FBShaOIfz+kw3JHnBsrcrv2MIxsl0rV5pS3CM8L72WNCrBRzhbmEI5w1VmQYEsyWti/93VoXlDo9 FBlZcUZhxrCWs1inx2jVUPviY+itFiocCTjSKjFBzGdMELlEIlbMC6h5zaMcD/pL6R+U4PIkIuNZ Rd4Xfh8dsWVYNwPvdDGq2nQCrfOEG13em1D8/nO12RVr5EbYW7bpGKokMn463LIHh78/+0iL+dX2 AbYH6ZZ3RK9HP2BwdEFAlsskM1qgSUx0PX1iOAxRe0fzO+s4C1vUtTeE56b5+Y0LdX0z+yR0IwPE PkQwstFprbdpX04yoVIDeljYKtjV5D/T3IbYs4NgvsD3shwAq8mm4M/hRr4RkSmTfTWDvjg3avz7 bJ5Vj6vtbn92ojC4nHF1MnDvVmCMLuy8i6gM7jWIv8PQQ56PrbzNMcPn1TroNMOlxj10ihOFL+ge kSfSkJ9JqG2rwTWLXDD83h27aakS9HXjE4zs1r/T+gDca74rP0yFMGtM/+F7vox6D2An/N76zh81 EW6iixmcwqaVMu/TeaQURACD76wanSQyqDlphz3+JdqD3moe0RDhVZycpv7cgdV4VnFKiZBRwekX dQP8qGQI/+qJndN+0amBGrfTGDah0gbufiUoDHdDpXgT4KuG0MSD/Mx1WWJgQ8rje83GIzn0jx+G nbjFstUXObd99r2MqWPH9F3CVCAMWeQzCQtbfPdqyXWSoeg6KKm3bIZ0kSc4TVILRFjnke7fJ5Oq JtXowrOjNW2yuXrD2KKoI8njW2KJJth5nBO9CAjqHhHrEDX5nWFaVplCYge8JNGx7c69DcDnrMHx xSzlfNWa5vaH44TvIkuU+4nYa0nhBd0h5+LpLatdgBOqHMGzgixHu0DCDfYaIXdYBKEFGdo7urGY G96B5In1hoZ9CE7vY+31Cj0YJ3k1gDLAkRLB01TK5x00Hv9jMQf7abXOlaWwp3bvUEN14zW3CYNk gjxhLaj9YC4oDi+NbvRcXb5RpToB1FqKxGOYo8ZfncJE+Kmn8cNurC3i6II9JgenIp+3zoHXgXHY vKLTiUeh/IsVb8HDnhHFKyuYQ8g3u8CS6LshXctSdG7hNSjNsd2xRpkzGEx0AtFgqXbCzPl1QI8E 4QtQtzuBHf1YGPCKFlZjqRP/OlPNo1Q6jWWOrAtL17srCkL6amiRkvrNE1+DZ8PtrAShEUr8muA5 rhsRp8VL5ARI4/gDZwX0koELc1U8Lx7dzrHOwb9z1XDGi9k7j+H2H5SI+r4ce4xEE9o+vZKy5vjs M0bNRDbCmUdZMNQJLXYVpEKt2OmQwdT1mDsBo7oohwwGxU674ucm97BDvD5OeX03nz3YI1c1E/lE IcwUmLgmfCPmMdkg72XWKRT5HnvZqiWRaTlnjWVTmCcxLHqZgcrl8I354F9ld9i/2KsYRMqnX2Ov JxsITWmmPlj/uP0tU40WLAU9hY8BMFiqiqoire3jHpGzam3Ncx4kXZ4F3IeK+lymhaj50hJt1nlf aMKXrhXHG3G2SV/2Pqr/gs6JdM8REW65WclEazkKB+DAZA2Bi750z6WsjKh47uQXFycicxFPPBAU nD24O5jkZHZQsLXcG61qgJ8xdQGM33YSqPhHbAHXdLIdMZFAu8gHi6oZPIQ+5FIu40FG4cvP6cpS 2Paohf6LSTD66E44fy8JB7HIcGSN+jTtknkwC0QPuD40HYMHMWj9pLRe62uDWhOAAsDvrZI5YWBp +Ajg5QkxdJdCF4qZWqT3sEjl/bAf1dCC2F6wLwxlbU/mGTpIp1XB5G9Gkkz4pCH2LAKi7/Qd8HGb raQ5WqedXrmXZzAyHCWfaE565RQ1QvuyBn8emyHnZdYgr6MM38NdOB1iKLwa44nkK4GUQ4iFVukH eiTvGehl9H/uSfHgVUG567UjM0uAZdIusqbykhIUNXu0GMpyaDjK0V8Z7p/W3kHT1wislmnYSQh5 SIG1pgYXRIfsdLnl/Qi0jDwMHzBXhaU9PdckWEC4XFJEsMOZspaLbj4k0EqP+mwK8Z+iGzSorj6I J+EwqbqHUcWitAGgrIjQ8W5/a+vvlDxvkafsiIOiNslCI6+GqUt5Lr1FDl0feXsCQS3w0XmqYNNB ky3QK7gfnRmEole6eAu8+Fgmvt8+4R8x7CtVw/iEmyJNxiQZm28TLOrLe6/RPfruxp6J2CDJejLf YBDwAWqxIv9rrH99NqOJgbjRACyJ8hC+VVFbNL86Ixaqegqd9lyUlxh23HnvoWv29qhQLqcSz6N4 PCMZ4OOsXF9FwM6Uetyrp1JVo6MEnBXPUnvRkrcl4cb9ZV5BnW1TqwNwK2ubqslVLhJoEIXxzoIi lRY6O8BuMP+8+9g/HOIRlTZvxuVW9cLsuLyxvnyv87Eg9O6yCQRcJQtRoBxGbXsYBFu7tKmrtxMP VpJWqV8p/50OctxLR+Ks01Ecf9MlFFja8EvnXwqnOdzJ4mjA/bNuVZ9+2HmiSvMtUkkFs0QXDtlB j+PJZgajbpNwh20ePVFhgdKgUYR03EZwaqjaWXo8enKEC0HOOkg/68x10jE/2IuCPgGIThyjbMM0 20IEvl8h+dePs2z6oI0h52jGLangLN3XaeUk8NOcV0sktOGA7MSnAfqxK6ZTIP5XU97IUhRO3Ne3 D5ueMfX7TFMmCpAjja9GlaxNE1vzpE7kyGONP+O1hL4KO389AMfnHnimnFirqw6vJSSg8xx4L9hW If0LMqTSxRmONidwoVfEJ26aAQEexJVtfvkfzWNPe/2DSeGuK47PVH/sc5s5wOHaEnlSihCLm1C1 pND/WrVrvQclHoe8FL2KqwjYuOsIfmHB04MJd8uw/4Gaen7gN8DjWjYksyLGs48vuH5A+BWqZkqO DQCaHK0mxRX8UPK2eIHrG5o7PfvT9zS/XuyXmkZnGz5bjrwTNj8XkZyn6GvsggNzIpyWzpjE+rdO aPvt7ZkgVocjjI5x5bpwDsSd892Sc9wZVnbhiuHYc1KblCUKqZEGanCe8KSuLu69N8dl4uZGYk+k T3weDCW/3U4HdYKv3WnODCQUcAnUkJCLNhMw0B6iGtgbZZOesCGWEd6Vf3YH8GYq64UEyIs4F+hF Yg+nluwprpHqMHZ8bnxXW68gNk4KPCBj3WCVA7eGCqcSEUSGabp23KV6fjGYNFmZUQqdif4VSL7n 1rP/BVkz/Tu13ru6XKeqOsRePn8/a08rrMKOIHvXFujKyGtokqL1qffIhxB2fNzPsDr3xd2Z37Ls bl0XWPmF5EWKKPZfLJUwIrfcqfiZTkH29EE8Ops5l/zXA+Uh0CvvCEKB/3GYpBflwKQAzD+kZ3Nz 0VSgYVU8GLzOs2xM04j1vPhibVuMVsqjyp/skUl3ttcOEKcxakVrWdikM8yo3dWrXh28JzxWA5K5 qTl312tNBAIlrIyRw/Ulwr0dj4uFFYHokp0Qvg3tsYDA+vFAmN1AJUyndC4P0QIiX88reyzaGuTv 2JDPUw15Fi59Z6Ybnd1JmVoWOxR4qfx4qpzyIYRgpx6fxr3HkU5H8T+l11NdacbRKJ8yCyWmy1VA i8eQIObAEaEntHm+944puXC+G370UVFkNZwroquVGTVCaPUnBj71QF7vB3MKiRqr4P6QeIn+8q6Q 8cFeMjwahwfZSB4WSuueq2+sn4zhOH7ZD4F0tF54/mSQf4+JKKJgk7a2lxvx78q3Wq7frgkY6ejD Gyt6fZhlPkslw5oMi3Sa3BVlKK5w0gfvR+WJdjPFkJX9oaXR8QQPc4IU/PU4zLL27aJg4HsU+27w KDPZ0CQTdlizsKGxtPzxns/lCrJ2r7iXdScy81+2qcGlAYvTm5fTOBt/unVMvqXazx8bY+c8O1uU OicoAkG6BMffqzyBHNOdTxOeVp0VujaDtUidoxwpDVfi/3Z3ZMuECg+060UO0iy0NG3P2tT+zBCu BrryOpW04k7p2KRe/tlN8HMD9YhVQFYFQLaM1YcZ4olirdxJbYVekCd3XymqTBv+vzto+QsI/e7t vIvsK/b59tp6p0iTyoalek1+q+kQCXTXbQayXpwaNbRVZ5BuXUeddtPDaqFoMvSZjqowX9+RCrOY K+H2djaI5tK+KjJin92XJtO7sXJhCFV8D9HALZoBD8m3W+m/NjMNW6SiuCsGrFiXqCZBt2O8rjjD DyjAeQQyRZJ3Uk+0Vzb38yCnzjw9y1at6QEKYHXt33VcxsENoAD3dpC1A5YhFZKpoGSCXgf8dnIC on2Sm0aUNftNB/DXk/t9asQrJXOqhkbaxilr/S9fbDvfO2Jr3OXyjUpVqVBBQTDPgCIXqyz16Reg 0UvrQNm3Pxr/2LlyzXWZDTZRUi0TxvhhSbSkCtQ3NcH2472iVNzm54F8jZNq7pcVU59Kc5R2yP+z mj3bsd3Xx0jMWGYeZ/06MiLnN0uykTNdREjVyZO0/doyMBuLrYrtHdhhhy1g5bZspTpqhrY7SdLg 0DQWpa37FTsQfAOEFHuitYQ7YSDduosRS7OhDriwRL7fFje6eKR3LPDSSDkkg4jGxh0FL7slTl15 Qr9MSY4eaNeRZZn5N5PRIoKj7OkPV67pnYJXpZTUOkooJjiSu6toMbTzX/EGV50oxuxtefQ64PNG HP0TQ2e4LdyVonIiV5tJlkzjAxqGvcMkU2xvCAVhC+I4dUT0fpHI2Ud7GL6nwJy2vIgQSlC5Z/Mp zNXBdsUSVVuhk7VhNN5dksqOcdAzi9ax//V6noU5q9Q0Ap/1Sn0P2GQuHWNDpsNko0DHXMXBWQ2X wGvygnQIbczFnVDXXYaRVcNw4pFBZnhQg6yc2fOxJFYI8NdfXC/264eEHXrNlv3x5AFdnjd+D1Yx jX2rkCc9wmes+IttFU0WfG3xxcSBp8mHSZlJVpnvnPkp0cv44ryqHbAFPJ/9EUXEgukfjhLL/DgU mC35e5YHEQ1q2PH6XJ9dmmnb+T/G1xMmswDzjURD/oaUHgWuaBv2EtlmdWMhix4RUe/RxSsHCN32 YWvvIjLP99aINpJa8Z1LWPEIac0Z4FAdq95FSINnZZb/+OJFfw55iKUnkysnfwGYfvlyDeAv+7WP sR0RTZYh3LwGcgY5L8+YIwsdSEOVFh/8Akd3uiygWzW5TiZYTRMgBcbX/DUc0SgltqNdN0VobOir EardeaZmNYoVy32Jlkj3RQ8ZhJJZxsvY+0GnRjIUwGZmtO0cTpAGfDknDJMmZVLwdN071vj+QpOP LQyzgPYYLx4Rf+bt3gNQHZ0hqd6bWQY65mjHmKFplDGZ9s579d9xdmAtLo58wWQxid4jllY6T5yG qZyUPbX+OnGFVf4DYq262V3ISrLxEaq3/4yB+v1c9bxE4j9rfewhOWyJHkRHXDlcPx74K9zn+91F CFfL4n6ekH29kN9Nncfs4ryahD0l1qT2ughx1Y0JDN18rBYMHuNeZtFW38lM0JcuTVoqHIFHxgh2 bJMx3Qv1k5z2KwLG7xitsXbHrTYmrP5lOWqQbd3ggLujPEd9kW9EqssxcX6YnLW4quH19kgOanh9 jSV8m6+2l0jPRPw1sgP0uxi/cItQzegn34n3QuZOdpdv04PR6HA/+g4W0+wD922dfn01Rt/pHHQR j9a2JeNNUCwTv8C3xFf9sBTOA9QHq5MlVfo0s788OHhGnT7+5ROCALO+mCIdYIQjo1Wwg2a243XS r3/SUQq0baOE7h2xipRL1RrvXpy3MdSPnDoolrbSKsdPo/5ZccQf0gUBH4J/rXYnPB5G6JMcaBxc Br3HHYrjFAaJv5nbSk7adsUAbtU/Y6RWgzg4Ma5XK1Q6BoKUYTHHmXmaPVV6qOg0HZYN6mYlGO5d BK4CzVHnXoXvAwfoxnKfmfwVTivmjbfvAlAtCb9pfBB/uL4ST27gzXwi9HDH1+TQ5XKlL1/PlnVJ LN8/2uuccXbDvSLP3iJ27t4WzfObkwiQ6gBHyT00zwEYhM4ULOe+Smi60rz5nMPXFoWNfNjeS2Pb p5jz5X9UO3/v6gNRFCpTY12UGvomoSmaPrZ4VBfOmvPo6Hnb0Cl0+CQZvPohn0SpNIj53wF/F3gc VGjnXqrJCfmp5SKjp42/3kBimBqUeEHnSOBCByntMa3NxGtj7HUwByBsVECz/HGSU6V6KWcPhAfo qmLx5s/Qh+1wTwnLYaFIryXzjQ0I6DsbbP6wCHR7zwZEaaBVpTFrlT/3Xapp8ZgrYak3srV1uXeU Hwn4uyqSQPDud9QYeelrWMZZSFQ9N/iltYhNCJwB/xDERIYsuMcftWeH+E6PtBjf1KNyjwBq7KBb Mj8AwH+6ZAAdMO+UO/SSFVBfRsmyiBBYhrQve1eRD7AU6Q3furYJWjd0p8sRmXcK5cpqxDSqby9m KQ0olP9Tai/jRBr/HsIw7Ulr0fxvhJH+ScNmTIJBaFlQ4IUp6OshCxoyrtlFUN8EcuQnPqXB9QuM JwxVtgjdbRfOs8ZaO7pYQ+4mz+hQJE/8J/45hXLpxwQYoxMaaBb25IlkoW3Uip7GNd7q0IVuAllq FdfZ0rwbHCgeI3vrrWUr/HCFQtVBimEgyY0zKHRMZu6ddAved08cddzsyBrnUReSfIoWSXqqTx24 GnKq4wPtUWZfD5/BzQK8423zAYbXIFl72x95m7vs04oIbW5Y6c+//Wz2DzaXnTF7aN+O1Xawdloj /CSiNjNnGAYu/OKHCcllfQtVW10bSEjvDOk+7tPIdsZaevEkz7Wp1G4tbgIj12tuwGc8nMUQs1K3 fBbfvNqor34J5KgqxcMyKMa7hWSViE8Cc2kHkenJM68Ou0sHSFeNl9Y69T/ubxdRmvbPiW4wxnFi VxmT8lOyF0/OyECg0cGANOF7HlSMuPNpm9yqRktoA5/ZuWGkBEWzuYzhxfecvYXW8ad7XD4zXWtU ZOY52DkuSUZeO80tOZdYcRE6Sx3dygF+SGahKPVA0snRIne8OmRAk5KccPPxiPGg9BYjY+1L8Gva za6DulhzV4xFV/HzCPbuQdslyrXZFuRZ+0Ipvr7VnYiJGp3AQkQ4OsjKWIDckof2wvG9xDG027Vj fU3Irj649VgeRCfFar4XDh/xSOoXNq1icFnW7u0TW7dYPEURLawb1yMklQB8QjHhS3dswf1QH5gN EJkcIz5B+XmXfcgFkJoJnsMvPgBrVKP40YYisbn72JY6GB3JYI83Id0TJHu+dHe/xrZ0wNHPPCCt uW/INZFLCIDZVrAzt8hB6gaRLRV46a59SvyEXHVobHpNNcPlrwtCXW6w0nzzHDP37LQwBzzYhjiB 39wMO1y6SL9wlYurkHNXa/c5+kivf+IXHRZoHGukrSta8iACVOJdwJsWForn37plnZfMHvBr41nv QBkVTec9G+knC0LMZU3nbLqhsXuZiuKeU9C4F/FfTHVNLq+iSVR+3kJ/7A1m8A7GQ92iDUi6Uolo wuRMerkX/jHvw2mOhzvXyAjYlyG840z+sfIJ1I+MXUECxbkjktdpURUElUEZOiWvSGV8q2O5l1TZ 94YpUfKee927COpqv5ZCPthIFfJxOGz/9muwFjJv0gW2Uiy98cZ/rzwVZXqKFtnovyaYn1Cc5eqE 1k4zWIXmIcmqIUr8TYozPbI2amw4U1fA7cy6lAG8iCncuGg5bEYmUYJyRidtHDESBmCftZVBZAQ/ eZdceUbMdUTXoVnC17xY0GMGjx9Q7WGR+WbEcYNRBqhq51Md6Bs7eHJxNCtbavCJH5gVXLr/1u4I 3TCttwi2kZcjWN80eTLWHBeiDf9vtqe6utaIwMBssEV4QyjREku10LY9A+6dEu92HUH2bsEfogTQ MqWCnRdvpkHpN7Mt6xRPed9pldZjF4mUB4BYj4wddeDAzDamlgY6ckNQq4WxLZHebbQqO7sUFo3/ crVXX4jQjqO8DDrVG4zAKZmt4ksYcR8PC/j4Ar5i1prVCeaNP3HwK67l8pO9vEg9EIeszeUdP+uz h3bh5+J5dLatm7HsAbE2YSFV1HP6UHT+f2mHzmJMgCRTAzmtKMN7ewR32hNWm/fQoXXFGuKOpigF atq19Lpp3oOOgJoJFnVmFpBQitnp8Lm/e+3SrUKsqCKak+OGbEGbdCXnwx2l79kp/FR1zCUJn+q8 eHObE4nxAPScXJtWuKqQLSM0w2G53AVj+pBsWExajUI9w6s/9FzyBXpc8qElU1CTCx2sET+oSF9N smSi+9FgZCsNgQnOrN9ahTh18Y8vkxmCqJHqJa+4dGQxY9HNZEgBYvQsrt63o/U0TeVo7QPnixd2 ItmlD17GRZtEI6nAwGa/Z79nEtYdsgpDBKXO4Y9s34BDsuLGqfb3k34QeU4DqoFnAWos2I/e3ljP VzFe2yFO8oeIBdkT6dIPIm7oVVtlGhsnMW8yfzLD2RMkoTRNf/uVJnpcxSaWUQhgFfSok8ukKyXN 0VX22KL2ziIb4fFMaU9yPZas9MHCbeVDOfmUPXXVrJE0aTxRsF0AiVwmd5gPLfKo2aC+I7AYv5Ll jnfExT6ZeOdT/mmdJweIfzV77px9L1lqF27yvjZC+E+5mpbi2L0pVhmrBqlpYjioSaj+VwbiaXJ9 SZZ3XvnyTJmOLfQQqDWA7TAH4Z77MH45F3aeBC9E2eK7w3+OE2OMQVn+1+iJ6zcH6uEebLw6kCCo paFUQsRwL4EqrFEvonHa7PADOCpe2Io7cghUV2hTmLwCMlEFhw6tV0PT8bIeyZ5raSLOv8neKj+9 WrWVBv+asAO7OH5+g3qW2uzQdBN6q2Tf+pLK1UBNQd6GfyTKVzX8Qauvogn/p4BBEBqa+Z3esqT+ DuCLYhyilS26vFK34tV5hsHvCZ/8rEqFMzS4999fgPVBIZe4sR6N9d+B9fJ+fSmcI8mZWW3Y7qHK kvsYwmSg6h/FmPaW7cnhQSL1mqMQj8nNzl67dtulZ0vD9pZ3N6uzYoW24tBddssgwKzdKDfbq562 ELT4RTr7jup5I7DRb5XIVS66SCWKbhyqS694igi/L45PcPP9p2/nbD9Rdxc12+g2Wtd6fe4y2HP2 mJMB6h84btFEdaN/fEH+aHw+azT19egYyTbvezQa8uhcMgRLypdfE+lxqA1/J6XrhxQig8+ePDh6 /fNwPTfNCgLrhWzkPAUn7TUiNiQ21bTSBo9bJPlfAsAx1o/QCuBJvw9yFulv1maPCmXJOBG6tqfj XjoQhvcRysIcW2ItLAT75qQscoEBjMsHPuJIP5ZO3H8oSn95KQhLNc70JBVyQJf8oQ9wcARNkn+q QRaOOLWDJBez+vi1BG31W8FUvh6Ja6qkqvCca/o+e1HI2U0CQLjwXeoDJACWT3ohVLIlbIf6l6lr 2n5LGitXJJM9+8NsWob+CkxbDyUJGWr07yDfQhUrqZkfZqzq9x3aVSF51Vvz5m6PewSIUejp3BO6 HwaX9V7pp46jiLdn8OtO3rixhVklhDZMo9rXNyhYTzRhW8QbpekcaJoTc+jfQBtZsIcsvpofS4gU zOKdSWz6QrJ8la3JY3ZCJCxjm2GdCVbJONNRrjGirh+AKtx6oE5Xpj+26aNf1p7toWHkUO1Ik9cb qrxBgPnube9OT0/cQEcCcz/2X8du7uTL1NHt7ViV/YvAphfHz9I71msnKkmsb2eX97LRokv6LUj6 T//tMV6rsG9GamlIYOEq5BuEPnOzizahTPc2ZABav/6Q04zUtwRdax43sKzEMizYvG0lM4vW4QvG wFnNcWM4MBB0uraTsGqSCXl5kOU/D7zrKxKfbXJZK+YRQ/y6EmSFukJs8ESByYPvRZUAq+GMt8WJ O+WsrG9Tw0MH2uP4+YgLzcCObGtrDT0wug8HYahEKBxzu7XzDqRdwzntTBfT44yPP+ndjSKoe9g2 w6CJQyW/gkrUjG8TtvKuEsyj+tQoUffWPdSjHBpynJskHslXcm8PDUuWDAqPNJ713IRlBPldRZ0I IqGInVF29rzhbxRubAdTJ5H+OxILUzadpWac891BQnFASeLy5JVuweNTuD2s8NeOX9C7+GlnJX+I CMzyYAFbJnhY9+kOuV0/FdshUHC24gjMIocA5Cg1UQ/BuKQFcGJhpYkDvj8Sk0xIU7lWvYa1FYvs jyt/M9Zyu0HKWfJw5owGyMc7WA/FQDXKf478o0llI9dcwMbToCH+csQAR09iA0KpcX56m57WlQcn c3qXrv1oy6pKgF4RuG/Iecdo/haeBhYm8AnXrISAC1q4OF6DpUK5hYZhBkbOXey0U0OAb+MEwJXe fzg+3RssmhEoCli/0kSwTs5Q0ZMI+D0TTsMAwo1ORPuKEO0264uRLd6fFUWgcGZGwFblIT0mn82y ac5058FbRki6pNjf3GrdtBQpgClT9lm1IptIr6jUZNhE+xFA62zZ/XVUwuW5ZDLq02fUOm6QuX5s sx/WmZomLexuZjpIEmuJkTCzuRZ/igEL1tmfC5PKZQ771ILY8BoZyIuA8YT+QmX4P7AjdzxwjhOz CrwNKtzXaZ95A3JrPjeD9BG2hfTigKDxYH5JEvqRpCWYtzI/6LQ0oC+aHBsCrxn4c/28kOfC5mC3 4XcwEWA5x/ZczKXJOB2z3Jfr3qkL1+315bYyEgBt7/SjcF3e0tXqYH42R+oeIj+jkoG1uwkOTdzv w8DSkD0C5c+Z7ucCkKc5p5YkZMo7m9JKnI0dsN26dlhSJkbrdmBxfDOUGdATmURgcM8ookK4Qb2C 4fXDiMqHlz35zl/vTelNnRcuPv9oTYXa101YUosmO62zHJJM0vCurvx8236nBht3630G8XwAnS0t P6v8uU/dGJUg1j4jKb0BtL2FT3HrwPCz6RoAgHIOXkLTtI0vL16XmEVJHOdzDH7jXcv6DgzLyqSY /l/xPlfBKh5ecs2eBdLaR0b+ObF3Ie5T9kryJCEVn/jwGRtlQLMqhzzVb0xtK/Nn8nQ4Gr43XrFg WMRfPfxt76J9jkkWqOxEUEsH1jaeSeedqwvWsByu6ONGQXI/FvGatDtI19TOjY8oeOVzN3fnfqwg 9SfanqVY24M1H2M9NuGjcBS1jKu50NqgTxeDrJKgnpJ0F4u8yzsqfU1xe2eQSX2bayNXCy9B8Gi4 sPYRWR4dSq0EBEiUd3BD26XpgxoctskXrKtMIf6O1zny9OamWSP+9cBH27hicCvlIv9iTic2X/qE 6caH7qKzggC0YBtT+kfxeVpbrNSmuXOfsweEEcxyfqdltgW0tFLUIX8mITa8pasxdN/oAnC4nhhE 614JLNvGZNfSTwAw1weOJHfAR+FgSM+FLDge9Iy8MPWdKACtRK/19EhKbrsCda68VGrqUegidbVf Zwl8iprBm5/0OU6RhzG9myl0LsRafmLSA8BEJ3mEDThSsuYfbkHAoen97BkFbxCUjuhUL+7nQwYf 6hDcfz0/euU7w7+UFsTmb3zLKIU/+VAulI+96yehBnBhFytUZ/Kbelw3r9P7T8G/zOnHX4o+Fpgy RV9w0ACEPYEehBEyM6gmWdUuYJKdGi9/1P7rnhm9Ier278ml4JgAnClJEs/HxMhHmOmQRLzTZ65E 8PAIlF80NbYjjfTYXN/zDQgI+NXeAmVL8tt4+JA3wcYerHSrzBAnDi66UyoWVF+8Pc5GyVvcjAo2 ijJKaddX5mKKcz+aHDi4pZS8Fogmb8MJL6flFt/eLr9Zwr1AW3i6qsg7B2PgWkk03Kh2lzbxRYZS UPsGHLhxPu6TFmRJoJMLPLxBg7cjrQbPqumEHe5v8c2FuQpH8QvkLIYVvd+BW0L5EFn4ie0yion0 VnSEWz/yyc08vjH3kSiiDZFl9N8Kx+ewSXbpKf0BMTv2GZFmvBC4Npm4ruwvr1URODOwdQWBuMno XQS8bWaTTdO1+Wa2cU4kIt9jmg8M+2COUf9ripVTa1T0eMiK4gmBgMyUFQdoy1EYBsNHMJiQ2rDo 6z5iezbOfXHVNKCWNB27AJXFXPtlwotn5PB2LvNMo2ALBKNPfMO12M20PwOzNK/5RpmpGfEK3xGU m6iPeGn5F8Z3Y1eG0TzOv3OiJPddtwREiJVHqqnHt7z3rs9gfutirapTzKQt2o/IQpDD2Vy1kLT9 wXZvhAoMEYFqI8I8LUVMI0+DsQT6Js2defgFGBoS08BS7l/3Sy/t22tNH3U09Oam4Nsr/XKMdGfJ ecnun4ucf/AOfJPoEsFwPvPIikqF5DzFWsw6h/R8dFQK3Hw5mH/ox/V7bB5tYrFQKjynZUfYWQD6 3kzpkX2dmeBLRDrAZxWuf6xGAxUNFVRCGyRUS7eCRdD3dy5/IJ3EA2Vhz+/SyQ6Ygh/bxUVXxaW7 cf5KR6gWcaHZZAWbeiSaYJRs0stFJNEdxtCyaWCyRjEaXIr8eCIJDta7X2DF55Bcs4Hxt9J0mBqt t9WRi1qIxVOrubFkc0DtMUCqkGSWH+mrn8LrBnRvSwaN9C8HV/z9pHxwPYDVsb7vjn7G8/2dwwHr 5v4cHfD8HqvpDi1UOVPIzT+xFU7UMY2m2IY8g4x4f9ThzCaSb6EwPhrJGmmCzWCjXE3edDOF4nqZ oJUQZDByBWqLmyHwGKKaIYF7WSJS+OPONpctOCfugT+v4kO2GGIBrjEHwGh9r3qMdDE8rozhHNOB J7iwwL+qr8TF1W74CnFMY2g/GIS+QnTfsOkMwOgqW5ulRJvd873sTzoO2nsZ7tg+8mHJCHbljwby dh9gc880qH8tsjKPgIKP22UdOXO4JIiPwfofFLFhPU5lC4Xjv3dPWfcrQbXEdZ2TrfKClFK5Odde gwRVmB47zoZyx4FyC2yX64puoejzhXF61D+PytPH3kN32KV2UqQJiRkwfydT5pnWRdRIMwQkQTD7 jNpTu24zsY1JRjgJ6pJ0MyqkB0Bg2gfu9E1WurZaR/5dm2K4yoD+Po9fMJpfR/W3vlTHswoI3MJ3 +LD8HpjLVDbFcKFHXUejzMvMWJ1qIp73QG5DxNDDk+DqcRxwFxyPx/pVwTayFXUErB46EO4uV1MZ Bd42eFW26VQITvFDjyKqTRZYo+kV0kRxc9QCz1XFvaBGWFh9FdFd91OHFEw+F+7CN0vLBQHyGQAv YoC0EdpBIy1T5rm5Lh6pK+qlS/TV9BsTTy0V6wBMShgI2CXLRs9ePaj24R5ZivO54hb298tk13s0 3jfy3FgvD7nO+U55tO3cjM2Izjb4psdBxE6JmQTF5CL6fbPSSVZFpUwlIi2u4ASzlo8DjgEQCe1E eAh3EIeL9bBaAehQ4cDt150V2kETzAXfBNYPAjupvIc/pKCec8EZphiKLXRJ3vZwvP6O9d4sogIg LPpkf6clYeHLPX9vm6VQLm15te3JgA8V8gcvzKTlDcVrl7BgXenKzLlcF8QmbRU0BXXEMa+SqAQI Dp8Uyl7VcAK+gPeT5VcmirRmibS+D1e4bX9/rx//xr8Y/iE5SwnBIe3BtDD4XvSHEZdvYq7quNKb 5X5a8Ae06bmTOzyNDxcSLeOEFA5//dr8TFWx9Lxs59mShZ/Wm0s71j9YxnUsGTzj/YSgrNbi7H3f bjCqzyeruxEzHRB6KFzctjava96VD9OJrfiyTxcOdviGrlQxik6tQxZvefUPWwyNn1MZyya2BRaE F4ApyDBxG1yQ8LLRwTBhDaU4bvY3GXb5eKi/ZVdiiTZmrH22cJdWaZqw/C+akgAZNN4D/ltPyDiI UMtPAF9HiM0CMdlxWYONzy75CaHocGkME9kKnLaFohdXUkjjMeGyi153APAdzcAh5foxhfrjr9vy x68L0QTL+7FRC35MAJhTLuIVTg3qT1Btw3RHhHc+I2/xSzbq//spxnVuZnraNHc3b+iy1+mn2xun RytsBYdsWOG6sYD9CFhKMA8z+rQa1H2AW2CTn8R2Jq2MzDXP85fthZgf8m0fw7NveVS8jPD+R0vd 4xqDJYWQJ+LSbiyiIq/TMQoC8r8R9Hj/+TIYChbtgRG1J8xfW34AzS9o3gsTvCzAHB9aGRKMvYYU S5f5EicWBU04ZsO1kAMYm2WXN6Q+fzVWGkMFFXxB3q9igk8Rd9Ksep+CYSNAYcH6RXsiO1xvgYJP FWwsm+gFdSjTdDvH5Cb8awSWPYVi6ypw6qvOYRQZ91bD4Ir3QGt6w7EtCzU7y/+UBpx2tpxqMR/P fnKGIzD9LRq/gWmQ9nvo5v7mquZcERAOt2dkVuaG4mtiJLmJWwtUxfpGY7R5PCsoIPBeJDURBpp1 1OXf6KDw6wAfrYW8kUnL4kEBnzO8mn0d6ul3fYtZRkaW9XCGxRMcAf1XF4sBrTgkCumygFGX8pz6 eHd4991iwBI4FsHnydA8KCQp80o3yra6VdwcJ9YdAIN72TH+bXkAkpKIbRpDHN31haowZEoRLuyu NI64XPWPDM0XHbQFApXlQIQK6w4eUowMtUyrtfNOkBOZahXu6CQCcWD3aaAQVvAZReWYJsgUd6CC Ym0qolbYgY2jrEMvT1bBq0k9lAeclsgOppiZAGB7pf/rCsFwc6UE8zpXFaFklgpHqGnuETVfhPL6 wwRFRY8ELwwlo0pxTTYAlC/suZbSihTPSw1ZHP2kMUDwLJgi32vMtwkjzYOorCMKZ50FHTfkWpvs 1VwaIOWgCXFcjGmAoIV29j29Qn8VWVvkpS4xJGQjHbj6qwK3XIT7Im4fQb4niz+EKZ4OWjOf/ESp WTdsTr5A5uLjlREnmVgwxBWCMXnAO+A7ftrjeI4PQS4BFXMLuicZDysqfvvvVGPYnDZLdHlYYrfT Xfy1ITQZKjiUHkrZzKB1UqLQ9D+lnYcdHYQrYV8YC34yfh3GCttUm9fQA+5eIimZKX6Q1rhWb4gV /KHDc37KDp/mF3doeZB4y7wheqnFRHBiz7xAyvHajDNKuHFqHJ8Wk+2u8Wc1/bbRW10wDEpvutdL rdzpaps9u/wARWKFwJfCoBiU53N1EOcN+4CzWcLRrSWh8f9PuUBSPMwM9WTLqHIo61VRz9TrRf+c 9WeyufOaIqnDie/SABFbcrFJrEkAwroFSdxP4F6vHxBFkKtScigRW3rLvCu+ca1zTEf+Y+MfCtk/ YxfZCySag2M1cMyup5OUX+ODYgIVgNvf6gCc/hZRl0aPu+RKEngKuqTnWMlbYHeDXMwpFuXHJ4qV EiCl7NrBbGYQEZpZt808XkQNLC64Sep4MVoQK0R4ahsEYDKuP/YWte+ndf/SZ58peh5oQ9BPf8q6 3IR3SbukbcBzgfMaZ+K5Jd59rdtufApOmhPcAerQT0hP3QYr4DWFyF9Ktop54BAlvrbCpx9mI42a I8gZkPkIu0Iw/hekHUr8XVvi6PVUUZK/yNDdNKDPl8yyj7hdZnBDCkNjMmNFZp+3qZ3W3qILraRH OUbTkFwYJ25zQdIdZomi1Gz7LsFNAZVQiChZsjXGpjhZr6fpL986QpSs05vSo6a2xAUKKEtmJA6y fe+E50PB5N7o0PQJzxiBCvVfb0LoQAFRoFNZe+60mZ/qPhodveVyaCwqR9mRe/yY052bpsF1MbIL 19iDIHrGra2Zg0BOzTxPGQzr7vRnQpY5ZtKmJD+CyN2ixlH/Vp+O91naQAhKcX32h6XEv4Mnp8UY uRq5MCGOM2bRTIiivgQUSqDl0tEqrrxLuMUiSRd7PDuGstS3/49DbTw8M2kl7alWmyoWg9ITqHJ4 ssxqCWMCXvCH1Do17Bu+vGqWCtniTmjoSX83n3TvI7qPzJghenzB0uqzH0+ZcwwxmXGtMoVLkMmu BhxWMG88Zp70EHJLcpc+lNo/rZ+oWBEWUSY5oa4DmIvhbT51WxW8ZdzT5xL0ImEkaPJN4itQRWKY VabLe8WU7tlKgJ+mq+1CtiueLBfX3raAd3aj2IwTAf7r9rcwJBXxFnWN3qyycbW5ulHHs1OMOvxI yDOh+3wiQu8EjfJ87CwG3ZEGkd4FR32cj4pGLfaGP/GV0opnGrITtHJRCqYQ+wW6k5/nJUSOj0Zt JqFnyepQEJQLYtyOYPDNNZ6N4W3l1cniaGNsiEIFvXIsK/C7Ty5IoVm0o+8NdY6tVNaPAwl3KwjZ Fyj0fZYCZaRJCke8Y/s60HzixEmrICGBMjVzhbKTyi1zBcbXGqpurYKHIoRial0V6GJz59mojvs3 vGu7JCrsvS0m5exrGTWhn+UTTj6ViKeVS05PtQOv34OG8WKd8DyEetSDvOr9JBEsIYLtM5T2WoUn +mM/JBPXIaE7lLwddhI3ipnbi6ds2qkLqXGT8YnnsDoR+0PEfBX5XCxk4ABRtJIfQQp8e5txpdtb RS6TExrkrlvFM02Icw7GLPotsciqt/7jyI0eYAl5Uvk5xJjQs9zAwi8JZ/M8wS+gvnSp9ARSCQDc FKdo1h+eGYKczLTQgwzc3G7Cr3GoXy8vZo1HNJKAYGZCLRhcLGnRGS2D+LpLDpXxDhhdKhMTnJmn JEqdCvCviYhK7Rq18jZHdKeBM3GUQiJUyKMr/Gj9fMHEBrXpXY9G/gnC1Mj5jvLzo215mVfbVNzJ I8l37EHzLoG5jD/3Yjhd2a9W1ASTMIzNAnsqmuePIuqZZZP/o77Uh8nBr0n8cD2TuBZW0fW4AShd 1tZy4Zf50Icv8dwurqpnY4rZMSoi6WcZAu0SmYeLVv6CrHxJrsQlrSBPLc7vbaL5wUDIZX0Bgh/s GZ5LmkQW5vJcJa0QF5P+2M4haWQNfqVzmQ1UZVLrFoCwuJzRjPiXdOJbOaBDaX/2pwGG74xQITkP Gk11i0kbTkec6/y9PpHk1mJ+7ml0BO3mbVUbgNgPa3UNC6hko5ADLKJQJxFIkNffY4f1LGrVb5hX QeS9dv02M/0val7ZVXBwrx+b94i1DTymEXczomPGI4Y76+Bkk3SEKBAoNDlpEsfGWo5GxrRSx+jH L5O7KyBxR3JkrcG7kMZ8ooZI6fZscZOQCZJRkduxUIw0LdFGvwn5NhRVN+WWOchrLklqCifuTVeR mSDL0GNNtStZOAkXDKh/ndzVPgRsMBJvr6JBP2qvQ3m7ZZjhF3xlhNBh37+AITwuVoPfQaQgZQHX VWy1BzceOkG90h1c1dfC0p3/8prMUTMsO42Iq2bTNPmEShxCmpGLW1ow6xAMHgM2xqlI1OmBDfsN gVPdWgvTwf8UZSKVpcNyb/112kThRRA4vKxJY+K8AbIqH/RMdrZ25l5l+HQA9JvHWnu95OljjeFh 4D2XXh3TyZlp5mJwaL/+M6cnrlQXKnJBbzFAjS8CukadR0NJwcbLBk61kmX4rEMqNTH0jrvMrSRq 8huZChCv6M5QhsF5O6Fm1yMNvusYxQC0afOGOmxgLrWNSgNVAzUjfwPQKMeRtZINntPmJK9yBEIw RCBbND7v0bW+8AMCBFSUZCpm0WllGzYQo1VMV1YsVQXAVMFxjBHMNjMRmpRqa/VgUxmuRpsP9C0p 2p1nzr2hkYG6AeBHomrfkGzT6+3qQvcgD4tfmhxwsq8i5z6kuzQLHIp352drMTHEypXR562TnFL8 RLcfL4pwZJK5zTdTAmODGzrDXzl8bbWui9qFcTWSe67sdL2QhFMfC5KPU7kHCwT0Wx3aKvLAyxk2 Zu/r1AxNV428DxgWa/KCReKoMasMic7Oe6vuKfhlf7VUheIFcvVUQi4D3eheCYR5cEcEUzbQANKP 5bXx5D6sVol/zXmG9kZMP640LKjNycDVs6TXiK9LR3zGWAQXrrNVclmjGanqRat+YZVjfFYbA0v7 gbx15S+Y0++z/FoGcfkUuvLsgHeQFRCkCNAKsl60mij0j0H71sT2VKymxM0OQ5Nq0zU6SMPF2x2P uUtxc0dfXODaWLHHESrh7gZYu9c1zyOwFmeUQmE1FmYkLvvenYgFKCB9ra1wSRYnhTXTeDAZI7xe zwUx/vw6Xg1GN1kUytk37y22rmu6xhUrEKjF+0Jp+pLzNyYnxR4QpXGOPcGd7EP9sUUnMaVyIW+q 8BpnqGsiRI+GU2nvMvQzpF8VVQ/bL1m8l2l9cLTutHIsNc6lrVsaCenL01Yb1DPS+TJ8vkdrUETW NM4qB+NaqMXZ9XIkc3nCInoKAfyT03SPS7FiBh8vSCsxOmi6VGtQJV++SEinisqzz81HgsamE4O8 3PGLN6QPA9P+QvplTMOv5jqKfO5BivDymmlNH654Yuz/CF8Y8mDPibXFf7yuTVh6BYSI2JM/xUAh ORz/RMYmnA4PRbE/77hcw4Jgk8SPTKzXrmofBOIuKg3EnjOyb61akgb7UkcQeA/wWp+6cuBTlDC6 pFRB3BLqQvEcjSg1YZGJ8vJNcAIrP6xelyVl9zFZl/imC0lyCZvP3dR53Qx4Um5JznYUSVYr12dM zd6j4FGg4q3HZ4UJ3LGv5S8rNYHtF6k3KkP7kKJmteV/rryrcPWvPWuk4hTgHHBIiYJ0m7x7uS8N 586A2Kj2Zl1MwlQma9K7w/A/2SOEKkt+4vFAlr/N8vFVqa2T3dkl3Pfc8UfuhTrCiazSFpqg5SgG ZyZJACg9nLBqJ+ZQgTdAlp2HZQZd4FbvMhpAG+/hKYn0Y+zD1IJJ3Utf7Wo+6gFkPvLzWJdrUd8c W3JupD+J2ACZxOCpKhSPUGRA2G0/yRx+UVgBuuT/3yK9L4VQET/UzaH2laCdTuNf2i+ZQNKsqzAE MmUJ9it7QmEwFIDOBmseIICcj5wCsoLQoCSnQ1nx4qEh1Sx2OlvJGyuKa/2ceNRnHbAPlg2SqAnX w0Wf/wDwy0dUnKyzpHX3qv0dUHC8hsE/RjGUZbKpsAWPfiSPeIAiuIsDH9rl0xZMpqEi9vCapsCf 8b05HVIVM6ZOZJHdTkn5XmLOgiABpa+Xrq93w/XNxczU+K1a8+yKTkONPzLI5S3Sx8tSAeRobeim V+TRwIOS3+0nUu7J3L4GO0M9Qu5/hbUVp/e5tRA0GYlpgq/4B1L3I/JCoKzdyKp9oiymRXf6jUtX VBzWrF+XaoBb0eqItL0h5inBOzyOyyAfaX+CLcX5xE9/q/QehoOdJO49JB6e8BVLBp1YzZ+AYURq uzlQjWedlGtxBQFaRr+SWt1eIHgv8MSmf5BYCuddxhZwf6/vZdpRld+mejLgvzRrbpif6TTBgNQk 89WBrCZRDwOWhs8uTEpiqDTKx2kjnd6xSUznrWRg5IEtFDXZFFnUreeTACzm7KMk9yMlQTRdrxzj DYBCaydF8FlnlzGuiVsE13GoxbfB7nmSFBQ2p7h5WDq8d3EeyJaFaRtu/yvex+vzhyEwjB/fVjKp uMKz7L7n1CW1bMckoj7kaFKg4nytFGj5qXIE2ZFmsfDig15EB8oR9hlW5u+qA+V0Tr909BiVStSG CZOolbB7ckw89gRa50v6x7HNXNVp3jCYVgoxgqYZiWkr8DxpGDjWW8FaSZcXqjtDjeoUfDCq445g 6MzRngUJ97FQDCd3cDbRdcNkKgKwvWksE4pK6Wme6r4sh/lDbcy/EAZOUYIiIb9K2DQhfG7Lko2c 6EM5TxpVdDuWbfPtdO8xjFLsTFq2CsIufeTJunSRw1U2+UQ9yd288UMAjealeD0t6TdhnprdffBj 4RaQcz1IE3n9Aif1pYgsjdABRNHC8HYm7CazcENGJVL/XhGBcr4KV48lvUy8pIWDTJkNHCiP6K/B ioXX88QwHs08UACvUqXQUrJ1NMVQ9fWOjZhmnCHKSfXJvmXETjHE8+VjL74iAdv0Pwe8M6L49MKJ +FV7vkFfr+kO3+/ShySwGv7e4KLhxS3y9m8jznQTnG0oRryn3y6BMRQtcWW/q3wCpckyljfa6idh Xld9gqCMQUGFzt7sE4DWYQFuG69ukD71QqHuxP0Q7dQ97wYATA3KHcNHx6rKvBTPOcpWUltQ0rbr x6g7BsJ1H/F+CeUC4H+CoMyAKrLng8qUx+l+/ruKocnXFDUCUBxMuBvUWcb6SMjfYdNzrDIa/h6B /D8INQ4nbbtDHPhG17CLoSwf5h22Gx+G+C2mjNfTcj/hBWcvS9tQyOJZpl6vCbiUB6ENiY1cmqwL iKLtajBVM1zg9jEhT2JrUByqdk/Dc3roLE0BqiLFIRKZqgfr6FiAxtOhCjWGjOjVXJsAwNgEMnjL 8KcdWaXM/t8P1wvQrDogf8+1Zk0ap4sOzVXdvC4XVc3gC5kBzyBBN/xhweypdZh6/2oibBIfk1lr BoqCcBFTP+qWim/qg6qVjL9rMpPyZ0NgyQwTLSzFd4EMLaxGglN3zsa6S6oPFFQEDak7UfcAc0b0 ABT80jgO4SaboRO8780r910Mn23s6hq5Y9sSI1DyDJALpOHD5FyNCjLMMNzeR7ZYQwkvkzpqoTD6 mM3zOZE+mSrMiXxYz9T2sGZoy2QO1xhur92FCOOt1wATeZbJ2jkLnkjWTVer22+/GUTvljT3orPr Sm1Mb5b1kOWFavkeG6DHElNycRgIPrzJNTp1ZfGAbL+BAFlxYdX+Va3hoL0tDwVWvmSlVJlU5gGV zpSwusus0i5hgri/7Whup3w5ax41Lu/o37QuWT6TLirp48rORmC/J0j7LmzVsQWrEBpKvGcDv4ns GcdVWl+swZG/TLYqtDz90Hwgi0hyPA+oxheql+tEhvQUKkBhVJOp5KY4HhjlpX69ANM3S1CSZqsZ uJ2GwZHSQVt314azbG8l0egrWXQxNbsiBzZ9jlL5C+w4xPunlwWkI4nWPvTEsZXuUPoqgt5s9oAl luQw6tD8nbSIPYpzbm6N2Dyq5IEOzAFKPvs+ly/A7verZbN7xEORqL6rrWBDZZlDCtj8VURmioJZ MMXTCcvsRlV/rqCND14hNNI1oBvvC1GrOjUi5VXsBrhaqHrBb5dzo+YJpM+mu6tVF34h/B+40Ulk OEGNIgKi0J5NfzXwwe5CqThYst7lGe/53KtehT9rUjVp1gVGmQBoH2GL5eMJdpWSgFaWP7WRWZEU SkhCp5gBFhkgtiP1ZsSYwMP+A7IhfP7d+GwnLC6BD4iMsnOJicn4U/tBpfBZB9hAdHwKebzL1b7P /4mHPiDYBt7C91w21LQN8cEEme2bc2V/C17JLya5D+KUTriEBd8pHVBTHPECsS7iWcUqqoiXIzK+ J610DyRXuqFzZvpqy5jeYjLUKB8hnGc1+Ec/YFE2B8dd57IXp90988XfNMjcyi9gX6EhL21j6Jm5 kACINvVGam7mdIXvyX+cO5nmWP+Fff3m3bligIBOaWGZuxrjtmjznroBHBxGu4z1Oa9zI05DHd9r Vzl06Z2KtYf3mw7g6whBSSx09M8JqYUSIk/7TpoYbBiUzswBZG94H65vNin7MJNXIm+ItO+SQw/I 6gA+IurIPBlXoF37nyLs+jIdJVMVy/AMX8j43LbKlyOYR0RClqPS8NDbRPorHvfxYxGu4TmxgCO3 aunQexxlp8VXlgcdUd2sKK/S1pmXTyxod+8pp8RnLMgSS+Kyz66WlpXOyQQgmVH5lSclo0d5Jqk9 TF915I7nTxz6JWLHfTPBRu5BZRmD194Li9pVLa0AqwujuuIuDaRkn/Wct2pH8pYcPiUNMHWvb/5h +B7d7w1guNSgmaIMIn2bIZKsrYAW6nY60R4YGbjP2bCKpmjEx0uJqe2SvA6iBpuWFMZGhgJ+fnKD 75KfPSN65k0f1z7soa4WCBMkTTysu4eSQxvTJi6P2239loV0sWWh6FXWwG3o+3SlkrLLVdavjW/1 iUhPJ71qFLz6a0SfdonnUlgzlVqX/aoERXxfAYCi/oZxErc+6mQNObw7ChT5oHsEZq5BNzSsJpe0 6zJj3veO+kHngyWFEycBtXbqUoFWrnh7XgaMaVexflP9/kG0fwqwEzBahzZBiYlaFdVNt7sQKJ+0 6C+uzdKzi0Wz5bnxsoYogwthKm6irJ2hngPqIBLXpQQz2ZHdWabI7FW8jd4wa6mBxREk217dNapq +qNmmi4NbnWnXV3HA31nEWq8NnKDrHH94YAlW7CT3B06ESlRaPwwnfvnqpz0evi1IQNkNGVwm2IO glPA34JoQjxXhIWqOThqMF6UQkdZlpDjkskJMHXHW17fmsKr+MENwF5ruQPOoeYgDHoRsgKHjZa6 fqigSDc9N3XVJ/v0eEdq+UxXE34REh+HBuTBYf7vzkQHl2ycD+m9jzK1I6wfWw00BmjDoGsBX8An 25EDUHYZa9SVaYrws5wjg4uibF+1wFcLwRbhJtc2K11Q/4WqT37KjK5k2g9jpQOZ2928IEhjCRA2 eqV8O9pRu6AO6Sum7QwLRu6vFnQQdJULNjqlQgeRFJGpIf6i+gaJCxagDvZDuUgCDFU6Fz99hlda Dg6J5M+8JnUxfN4fee1jKj+SFYu6mI6LGn51GKM3QByJTkrYPS2tnZ+PijlYmA/VbtIZpYGcfUe2 wj4tclQ/mAzTyXTFs1/oqCIgQtRef1ntEC7GDMrXitwOl3T6GwoVNrIJJde45XZ9J2YIBYvYokzF 8cmnBXAcgbDoTiRG3uqEVn9aWI7bNzQhN4hVGUksXweHobEbQfSt+Z4BnIxOsx/4NQVrpIs2syBr BH0LugMaxhz41TqW0INi9VG+3YKu0Y5tAfBlrTGJUx7aGgJrg2LJPu+RvDigoHFObdl/Sgg7Almr AAHF/e0IAIBvxO6Z++1KJiES5bnNXoXy3H+P6wf3qK9UonuoXTPMK57bLyDzUXUAJmUol7G12aBH tQ+0IWA2EKp9pOeNv+mtqxzSjzhC454+nDncIYEyj5qu7mfPMUTpIEmq+/4RXqbh6UdmuLXxIEfo fD/pd0fsRGe8GWOHT69n7dh9zqc1gTdm4fn4mjBMwWMagwh/9UMIUUw/Oe+HArr41Xr12+ib4aBn Lk/Bc4SQpLJ5eHV3NgA2A6dzNFhLCFA6GTlRGDpsrgsykZrrZ8b2sCG6yyFOSl5iDl8Mxhfx8PFA 0JyH3mOCohth/4YpE7dfq9M3tctHiHqb9nkMnfmyf4b7jEduigiJX2rhYls3LiDKgmRUIS67Qf4x dvNK+v7MgVvBp2Kv32EbY83Ey7+Jho57rnI+xwQAWRsBj5/CgPdB4C+ncmQZS/jQvSdBqJ6DoiTy SKeYvnxkbk/Jvb6xzVIG5UcCO5c/RlvKT7buSFcVTokpjE+kghzrF6FZljCmZo7JHskdfZj2P9rK 6iqbqbjwLsZL/lfcFrJt2DXgVKvQdQucRZOXeM/JegZ2uJCeoesN+yqrCIvsTGyURaBXDlc/UhEE DBxj1YMqBQIA+IK+VwuuSg/AYC7A/J9V1RZ9WIJh/6U9LFR54qaqB+32FPTKDhC4fUwncWfl/os+ /HBFY9jlm1V3Gi4zH8gbw40eoWp8YHMOBEt1wvGbjTtHkQC8Nm6d5EKi0fBe5wUFKwOVDkD1MiK+ j8makjyo4dWmwV8vXaS4eX0mU8sXD6zIX+3sMSbTZmpcjMvyolvcR6PUmFqvz4zPeNXmy4gqXerc 1Jlw5hj+Asov/POQwdt/YvJ6H9knILU1S7TDJ7JQhan0zenQkVUag2lglrK3Y46IgfA8Gur1DrUu RDM9TXwYqR4FggpdC8Hy3OTYQaYv408QOayVK0OHHNvG1mqTNFNHvOXOdgkwK/lIu9O338wVzS4v 74qwTmYbb1SYAoRFVyJ6qyqEptZvRp1RKWBm4O0G7yX0mU+kiP6fRUmApOSf4sodUihTbcdSC+xj ToIaYTIN5mbKII13CfWrZI6QAf/uK3/hXbqY+Rim4vEHTQo8MQKN6TihlxpBlpG+tC+qegjA+1tX qUuWvGQ/3z7hNGF+3hLksW64IO7ln89AYciuix4dsfzF7cny4iLNsE4c19tDILdC5It98E3eRtVY sBXsP7WH95HOnWKXiKTRiuXtJoI5AnZcBCcZ4K5BC+0QUy+/0ohUyZl2edQIaXS0q8zaz+3NgOeY dOoHBJYgLeNApFyhxpTpAuUcIi9/Gj/sNYqYWtpib59bd6CImmPVSTr5I2l9jEOQYMAzkuQwC2Th rn1WNhp5U3PmkUgd9fbLIFij4YzL/BGfyZksPWYxx2lyl/9pA42a2KXHE5Tz2iSRgDcATiFDqyhr zbKm3VLSeKMsNK7h36YpY4wqpmQAmNAXCkDr44h71nSw5MpnP5Jr5k7c7KwvNun8L/ngPEFFG4Gy kpEb1607Gs8R/Z86f4/JtYIO0255I9D1McmSNpbwzCugJcIW1ZFKHSxP235hPUt7DPrvdo5uu+po QicFiFWg5O/+ZVs+8nYHHls8Tzva2O+gkf0nFnEozcyjHmNg9JHBrsCGY0AbV3nHJxjqGKAf5Nus db/yirriVmLlovD/orJ4s1aqdjnDu86MtsaDrvQXvPb37Tacv0Eeomkhlj/njsnnDmXihnLx6QVu P+/prqxqeTlkxUf8jcyHfAahMQG3/9o6NZcdB1FdJ1y7Ghpu8hyyQn+mFOLt/ZW1dI4ByqPuf7uQ 21QmhhUlk91riUGBkMSJgHjER/8k5LBre2gA7K/L+zvH5pqtGIgbSDNB2z4aStmaDbWkVPij1KU1 +y6nf780eD92Ps8wYcMcvexWYD4nDcsepWUszw/tgkYvppSu7OW6b/MHhsjkAg7CDmiNvTUy27F6 LH59O9U7Fs/+W3hW45fQEUWRqszzL6LB8syKflXPg0vQbxUpL3TqHwbWJfyORkq2e5Zeh4wMfVhb zuQSY5Tw9L1EkJDnKkz81K4kTXeBfKGAr9l7xPCWA3AliJy0ZhjMCm3WSGjg9mqHiTArpEifdevg YmmWg0pFXAd/uBQ8vMC7ju0NgBVbYrUlHcal8XnxoqBmO/qiR7orbI3KdfUd0/hsu3clh2UDYq/M pXtuQrvpOhGy+cniinY7gKmXCWW2HfFS0e4fn7dpiKw+NfuETVdGE+Nwp6UM7G7Do1T4RUErJt7z ribHwDgqGQEbdGLI2dY+GvPjOYeIIvThmF37SEFQEJraFbaYj0IKL1k0KtTIVXecERTyasMzJquZ Wk4g0RvNIZdZAF3q66B4Qf+Unjx8omBrCVyZTzM+7jqbIQVRo+N3v678OL112donAc2W/2JLngDq KBtu40g2s3SRpDQb591Dxld69wfMdxuX9JLE0D6cLrV+3w9sgeME22a1LlcGo4qA8eVkgQi+XicR iO1LZ1Vt9msU4lJUB+N63PU3qd7tAjwXO/pXV5zHASB68GEQ6AoDIvOMhRWzHxlsf+bXkrUXeq94 KnjTPwYPWwkBl9Dy/CB1WdS1JYQUg5BlqvbBzEK6aZc10/rx6ZhV27hCkjlZrZkpcW6g4sZnTsyL Wp5QBOq0/to/KSV5wfWaXuIPscfEezRbwvcR4jlCjAWL648laBAYLhpEWaV7SYIhjZ98eHoyZj0e hCmsiADLbrNbTUhFsiOYMC493QqY7bI34PWuMzScJIAIzKZoh4jg9AN0VDtMQMfZPmDioUK8VLPl f3ri1Nj9pdQAo9jP2afeGKk5YJ3/5lK4XE/9iTF0w9EJe+w4RnxrF/+/dZHduOzjq7gplsql9nCw Lxhiy6HOejFP7w9lWGe/dZmlHY+9RBvhMS7r8Q3CnMc41szO+J1BMyHOOzqacjWOtBONVs81jDxM mAax9gwgt67Z/hCGzDz6aZzP5l6tQlksDURvckH8Fo9BxsP4GNJxY5bA6xfIJXqQ0PqccuksuXoC PCw/DE0LOoRdYpFx8uX1RCNfQQCwbRVvINuYK8g/UtOB6F8hhBW6fNGxa/BVqsvMx9tmwh+aV88S 6bZ432SKgM4wwgNvWj37nSFFQfBwL5PgyUdTF2ApNIfU/ZfY2ecX5jSFaz4jZlmS2mka9YI8s6V9 H0cuwdG9IfbCJxUjKRwRVCOF9U0X1vvcEhXZ+33Ef3+41DpNpH+7Xqna6kzkzmaao5nQvIf+LzNg 55DAhrcNJ96o1Tg2vMsfJvXpYDVeB8N2PwGBA/aLLfMA7XCw4c0/gJj3oFTSF/funeOrAYf2vdEt tL9vTwGqvzL3qmrYi8HSfUPTReYDunI7UrTjqgbCUssFvXEjzsog/Px4bpa1zCha1YPvPw2Zw9S7 /0kmt3dO3lx35iNdfrBNSn7qC8TYd+++Vo1XP6q7QBRtRBJNgtfafsQrxZYgr8IvUzgovolRJLHA qUGqq/oCUJSzBdjHDJ+htg1DNZ7qkJSWiNUDbz7AkTZLBpPeVcsZ23rUQdFdCFC6AN8ywXYNbxrj iaIA0kg143annj4XP/A//xhdt/X4+lKejJFY2U+udjurgsEbYwX6M8HQKvkrDadLQpjRVCWx0tyY ceb+SAex1Uv/VouQDf5yNYelS6go2MnmfWfXVUb9wOpAdWWQ61OUFhRsDCcSdwpLynGl7e33cEdT hZijHrSA5j5BIYqLQbT4apSfTT8vE58QBAKqeDvO6p5zkrw77TPFHM2pGOIaabK3QFSKq/cwVJEU fbNsJvNh/mVuxjZi7GQ510lica0DkMdTFx7WNtQreHohHluuUiMUT86IWCAaPSJfFonENDTICckb T56Cffs6eXK7+1ty9yQdfEa+F8IxI7jG1MiQRtiGVc2DPisPrGOLg7eTIQTH7rOiFUfQDBIquq7r TtirQ6xo/uN/j/Ab96Y2wwqu84cmBPWhHdcwPPBo/yioPyRLBZH4+Gva9uJs2/Aa6K4579mPN+n4 4l0FD0IrurNavROF9uy7AMm05epo7Y6Mf6nrOaFuWhGC1X1YkHvKq0BTJ9n6zHVn2ooAXgnLHDsm gOGgcg4UyzJcCYFZs85dKiAaxYP2P2JFx9lxWbOEgW8y3RP6Zo03NepMWb3vU+X+Cr6BmyW+Jg27 /WSKCRHMsA5ojWGVvLvUpxdqnVHbcFajlX+eQyykW3PaQ3/3Vwa23XJkX+LRvzzuwrU3tjntUx7+ 7PW6JUtvGerFXyCt1JJ0PY3C/FA9nJZve7xnnWVYEu4/lSSpi3Xu/cJiLXvgq8uUuqELqMhllwOb P5AQ1eJwi8Ic5XDAtpLdI0imS8uxSBxT9M7zoZ4hmTQc4kNV6vnoBRqnVS1/fRVLYRm4BiyRwNOE JKaNz8Os02/Ol9NJgJM3M8TuAL169arShUA1UHtDZ191EKimarTJZycwaQvKtkWeJErEiJHfySV9 ExWTkZYh5GAVvIDvEt4hsnWwUfS+lnVYgQwfEC/uEsM3O0br0ZxmRYgDj6mwHH3+45sm8daTU8mj soBQ0dXTwcJo7UdXMWMRDFhOGl5TDZRM0+ZpQvMbbWTEHdO+kPVltmQ/HgUkiYo3tDZIITaSl8iP TLEwR8tnNQSog2gCJetSIv7MprQSEzi68pRgcEn/2t+4RdcWtHpaGwaFmfltO6Gwmcj+BfxSNNOt aTUpwuQswJL/sHA608SX7MshPMh46DBrXm3vsocj/pKWmsf8+7cT2q9JQq3Z5rIMCZ7Wqq13TR7q zfpDJ1oV9dNVGSlGZf7mtSo4ltr9gUlcGZJW93jmOcpqN9xRop1if65xG8e8YRBURNAmdEumL96V oj5sgxmrx9vgiOoYQ81IjgqGnGvSgM4+zA24RpY/Rq8Y5shOvloWN6zh6Mi/IuWza2VXAOlrQJV7 Fjv8U/PIX9TfCdBEpq33D0XgwF5OOqifvQ5TAeMGlzZKwUeVWI/k2vUkO3QKaBgRE1WxJICFUtgM 2dl6pg5TQr2LwQc1bi9ti2mwgRYGe+Rym2A9kT/oRNwGhmi+2TrDp7lXFeQoqZQmsiFarU2sYZf7 gcx+7br5o184BSVTK59G9eHyxKp/EARIJ7ovbYrZ8UmOl47elZ1Nfmjz0UB3gOp3+cF/hztm2Z9W JaPZhrRjd1ZdlhJoNtQ2iwwWQve1y4HZi5YUqWHO8yuwn6dU7GDr0FKfU86cPpGn9BHN3IhCKmLJ UwI9u9y6kC9Kr5iEw9y54F1QxyOW2EZcqTnFOmMz1G9oHbph1sg0R3NtT6gqbCXCRDkFmhDZtEiR adx0xEbiErUoYNfYNsO+nHS0KGNgXGIn+Y5B+TaZvEnWWEWBNTg9vWDdt28OQMGMW7LxJgM5xLjY SWWyRr4e6ojA4rkFPW9pzcWMnMsTb7tvzxtvxlG4skpIcgZbrtr2gI69BKzvwRWETFwsdhy92D5R SXklFmINkqZ3xGZcQ+Xh6kyzNBYnPXZRE1WCNc5rzyV3BNQ91d8F3MjF7ndeKZJOt1z1WP2yApU8 QUBuf3dxewdjzSvv1/BqTBX2LGC7J6wJCcBQ7E3O6Fry7pszLGr9PkrEucgmf8H/gjbkU9sYngmh soH/Q+phL+au7jzowTmWR33dbFXWJTJletUDnSEutbZv37UexGKoshos3I4PZ+p9ieTkUQfvomHi C0yS3yZ5WutoRMCZXWLbMW/8EbHserGB9hpqTzWxABCQD1fkzS0GdOIrmwB6ITkh4V4IYAPyZocM DY2G3aOQ9C+CvL8gqOJu/CojSmmZxyxYUTEEfOqbgKd4/RnsNARX9q5vPFmNq1Zw+IaOntCnMPwE 4KBWRvRqQJNtwMDQ+FaUK+4cAj/GN4XIlnxlqGZOKVxlysbzAQA5U7o5jNce1d8+EF4Pt1fa4TP4 7srB/FR64Ktf4zaE/vlk+rKsewLxNMafTgssr6h8VfZLvlnIQDWvln1/t9QMa/gieFtyAbvj+Zq5 cxcc8eI+Rh7ApTs9fjbnB/xNwyNo55OPopErsJqSl/gvObI7Vq+8iw/gVGTQkpVidPogoe7of0qh 2n4ANrh30jonpLP1Q/MbT2qJaSAZctcKUYfyUHcMyC38Tfv4v1Kn7QyHhO+PzamhrItl/90SsGLQ Ejrfb87s6X5MtVmtAaoriJ9u0hCf58pFga24PhL9ueW+SN0Qm2j5/NIminFlB1AK/oQK6o/kdgLr otgKWSci4BYvJg/FkFGUBlBADS4T4EHPGBwKG1WzcJHwTPDh475zGNWA/KhZu3wjmnixA5K0IdbS 4tBK3AXFBOcRXtA3Cwj0IphmJ2guO6kT5Lyq5YX4YPJRRxRqQmLJ20+6sUL4p26LS9XZnxlXl2bQ DX7nmUxxQb00GcQf7DDkbyxzLUp+XB2VnnXDvv2qsJY8z94S+cvGWuvR2IpImQ/7XI9h+tD1CXyg jXqw+iUXc6j31ohRNZz1GfUJH7i3jh8Ybij92r2MreUyp64iBWvKCAGm5XWHbl4QCcP//0oblDgj xaHh4mdtal2UCz6O8FD52sVYgB7XM1EDC62XyBZAEO3xBxR7axOgfWQAgIPphkGbXog1g4Nuc8zC cXdkuB6kjF2vhzn3nhPcpjxiV0KFC2v7+HFqHKnmdccwnRYMd1wIc8wQcLOT0Oe0k5ofEx+WoTwl rDy8WEzMLJ7rWEzYdg0px0teblKdmL0204Rydq0SZuWpSgZpqrW8hjqKYRyfcEfFmN7OaMJ1rViO ihSOoyEtQORoZMSU0RNNvBcHCT6isrVW44CReE7PoRvSrn065vZ1AzcHMyzY0fhXlnlKFc2jCJ7W X0Mv/Ejowezon18b5V2stadYvUsZpq8o5Qo/7kpcpOQZzMadpz2is1mE6p6rtwuqP66jJz//LuaC g44MB61we4bivA7pw9ROeEclguW94zkn9x1jNNDijlViOJ2ijBhKrWOrSBY7n3EZ3buqqUPKdcYV Syjs96UNBFYKz/RV+Ilvp7HAzp199lbuFpJvmaHMTaX8MeJDBs7TYcswtJj4mdWMrDiVwnKIaJQQ d/qzB+SCjkG99e+/iOU5Vk15kTi2nkUWPKmogXMosNNFEheZFaDyz+/2305asdy0tyDflvMaK3FS iiEsy0ienh0Wb8RQw5fnYThjM3q4q6jWf+hoyjS2WDiINLYJExNLPXHp8vEgj3xLmecckTs+G6oP KPlCA5Jh4z/SFQzdCC+/khWNaISqU/kbymzXq+q2q5WkrB95mnuxdBobXqP+jq4WMbJoOzhG/pJW bLX0kzbYjLChi/IvZw4sLH6TgC0HQwMHEd/EepjSxtVAYJpzEqJB9rvu8msDUMzrNTIivo8f2z/W ULHuhCZ9iIJYQ9s1ViqIW0boyQH12GKqXMDGIjdUTPjdlvZFKF1VLERSNYaKM9CGICtfh7f4oBka O+lDMEy6NKe/M4jNBn4VWw7q46igg/LKrl6VS9nXLK325YAMwNj75ytAJ8AMbv13m1fzaThin+Mb tQ9oS1OOp92v1W1Alq4TI2RpsztcnxyOYeSmKrR/m2yUtmovml4/ORV7PcnrqBrUw6+bmioq6k7D CN1l/z7P1oIPF6hAGmHYEPzOEvKwo5Fn2HlEWbRpMCTXBjKF+gtq3qvghKSYJcQnk318DjSCYFWa G8NganXetMB7jrhg/D7vuEW29XnrTD45QURhHbXlXQvY4pzzgWhNrsd443pMd1G7ZFkX93+0RUsr ZJs67SoeBMTOlndANd3fShazr2Ng2DLdKoOU+PkbPOEdYi49GHcVcZ9OVNloZZkoQTT4ZMLbIpXg 7PajP+VbYfFOaGkXM8oNukSzYbcEoCZiStJofX8wwApThLxXD3fq7QgFoUY4PqZqkSkjIbzN96Hu +ag/JgXpiwl28qlCdwQlZeen3fEvTbDQyFqeE6fQns6NuBGIEs+LphoiVNDrxZASoc/AKGRNGA2k 4Hvoj4s2xqt5kSTUMtn2uC+SM7cWJ7KKL8JHsW61oAHYJvAp5APHzQmndB9nSsI7QZUnhPVli+jr VufjF2hZrr8bNyqo/8YJS5q9JdPdxyQ1SaB3LvKrF0PBT3Msgyd5XYK8ChUjmjgIhd/FmNM66BTC OYHaqk8TdrbdqEm89Zd1sDSyivUBz+PbXJ0NBXTejhgLBfFEyEFHpfAK2TnbKkL7CxW8KMtaToEP dk01ngJstayFUuiNuNdDhfRg5qUwkVtgeq+PKxJN70FPYawpST+DjT6X8IKl8iy6RSC+Jkg8tRo8 oIwuTHe4YoD3Zg62IWzGrT751xsUOik5QVpY1+CLwWX7j/L7Y5WUeQzws45s7r7n26pJOFipztvc i12KHMP1nDEBw6jZ1pA+a0x1nx+ytUcqFIC74/BKxLm/CSOLGyfayIFteUHIdFIwpxp5zysLBfiI 96HzKgZtDAskFfrE+sxDtRhMl/iHRDNs7LEPi0RmyMfCe5s34158JUBB6drswmYkH6hq4LjS5Wk6 xa1GPrbL6+BxsDm7YVP4QQt0rpPE48keNzj9en9MOECXdWr6bfLri3GTmMfTJ419zkzRNSUYGEvn nOFvFXjgj/tSZj8sRqzTflgp5ogUC2i5l0ogc0X8wrYjcV4BtG5x6OJtPSKXRiHQyWhOkTSzp/9h 0Axidb9sbMtK5DND0RDk6rpaOyt3O7Bz8lsVT3VGzbm+2xTHbQgzBA4Csf/HyB284mqxsTLD6R4Q vwlN7pe47Txo2bXRbngQbrvAyOVM2zH4gAaOZC023cizxObnjoaIX0692zo6MHMqRhVAjj9gb3+b kDrRkdH5UEzunshVv9ZF/YAJhYPaZ1On3ZyxNBvylNxR4GuXHvB5/nZHEhGkS+kElJInVg/7OkWW 5qP2jgDruptlH68XLHNJeLJ+HLK6mnx2/dZrrLjdfmADq4nH2VFXvE3Ao8Uk/09jYNKUMvNl46Be qb4TluIdLa16IACHz5ClGgWyC+aj1IvQJKd7kcKi1k2bMj6atZTFbB4hsdJRbC5omFlHpvENB7f+ idycZPxNK/807lhT6GwE6GlL9sB0D2lPDoIjTGsOVTKjDunJWuPHRABaulOWPERcQbBiM4tDEJR7 Cb7yOOcDuDbSzsyc10CrtmzFjVqtgsNROMHOZTCWZcSCZXkIhA5hwUuamLPuGuPHykH51EQb39gN 4LMH1u6d4GFsNF2/qT4fmjwLinqnAnTvqi2ALKd91tG28k6DcblHGIqVP2AmWaCYxzVjd2BlaMR5 evK2zRNH+Li7OXFdarsCK+X+vDsxmHWbdhO3B/ClwN20wAKvJSG8Y0I/1XQWq+bsRIwNUmX0jWpT ZlEBADIiWFfrUqdkl9H2rtTo9Y4fzHBaLcu7HRitUXZcDEFcNL+JrwxOAOiHmWG0TzJ89F6/zqA3 I6Prn82kqfEDg8F19OHQKgwGjb9CfgUuz+Ay3S5TvP2ua1HCKBm+Q3r28Y2ra8XZ8ENXo8YWeZ1h kkrazyvx0vsz+l9brtYox+4FutGuSXRyrg9DDQa7l5KTBiBYg5TPmHsSyF20QhKSVPV5SJvB6Ag6 KmrMGOPwzujpk6lxbBRdFvYBwJnGl/XyNWvSIQyxB+OHag38BqjCrL/pqzQaFEe5g7n39EzwXAY+ uDpnoz8a/InnaEqwalTVCtTVcjZZ/YSKqah+mVxkiGFL3HjHHltx0/jAJbIJcEvRQR1rOqnfZl1x fW3sI3ctWn59Po1vHV43lXLHGZAaIdeHFhuOWvA3wgQav6rItoluekoeQIlcsfU1DbmbLhR78UMV AOrq5pv4l+tIRXlghDNnsPgGls2SdPw19uJkdXjQGoJ1xprmQglxjD6EEZT+2HvQm/aFs3XLNgL5 0daMr4dIXNZC3PENzITdvp7LGvYJCz3/UYQZZuT3F2KUSe2Wm7M37FXLxFPhIrkoQJA6fcagJ5Z7 xY9/5hsuxEmzPJAwUnWkCp+dFu+jiuYTKt/CuMCx4tAF10c931DyvGZtlUZFS+IvwI3GNfQC3nU1 aRaforLigrNnw4kfw4pGtO0/1y4nRS+JsrzLyCX3Pk0R+Vxt893VKa9wZSOm1Ry8XD+WtKMv1c3l f21Nd0Bm40+yKS+m8RdlNXAU2Z7NDML4yUNSNM7WoyKS0ppas/NCAi1M3wlQm5B0YkZk0eYr6/Uu g5NYcRDhl6kwe7cB8WXpwArlqcGT1cJgSvUCHPg1Xa33ZXW8Xv1ka956x1GeKZL/V4siu8WWG4XS GAF7rWFtHVzSZHy+ojS02IDyN77vB9kDWNoi1VgXCj8Wf/gyRlnDjnW7/nXPtyrDO+frmKmbIlmn bUcMdRep58L4mlz/s9pk6KH4f55ijHS9TluldU1mGRLa+SmuVkSrwnjpgKDS/kbqC0MIJPk9aJKN 0LtZsrEcBhKD2k2my1hZB9l4q/7qdz5alP7yj8eB7f7nQoz7lsdnVBZ9MucZK21Ey2ER0/qG9P4A Wv03JUGkLLnO1Ittcc8dY6WWu7KLPFlPTmmUUL7YED98KMj0Y+L4lD0lpiFKCdHyWNULzWKox4Nw sRT3Erz5ZfTt1+/HBHXGo9TE0jEMdXEBWsizqqBc90OXA5MsDPOFUfGqY7LEijKNLsKj4+xwDHXp HkPdQlth9QKP6iPMRpKuMoC1TwgmaQGDboy+ZkcseeTwqjYf4PeEqAunKRjCb+N5B3SXMUF4Cv01 TtaflUUNrA18kCR04mXqHWyfD6lep837I3fiFHKNkeerNc+KVTFuW7n12NNSCdk7gCgTHQcnD9rM JZ4i/IaGOMa1vcEL1/bwfxsENVE/bOlBcgxaLdgLR/LN4oeiIOD0aWV9GW7WCvz/iSiCaYbDapHJ pJtRq5FU5AEvZC9Fxc3VBsizGKcABxAxtZx/uuDipkAe+8IufC6+xcTl9GQCGEUB3V3QfndxUwah fCMQl/Y/PvQAUZ8dmcVQIbP91EOTisOYHOvmiod0VtKaEgmOjmldzg93zpyHDmpmC6flJJ+CdXkb BB6VF9APIX8eRxRtopuNcmMRGfhOFbQhFCBV2DpwNkIKB00hYz8P6DiI5DKST91O7w5HsGkK+8rM wY9OaeZJWZqV6LXBBiyuOVaxgZOHpcXAG/hvwiwr9XP7xx/9pvdKpXk67diwGLbMO9SfahJvv7k8 eZeQI2xr/xr4NE7MTJ7swepbDzGcnDKEGLlcRftTIyZHjM9sZSbFI05oSLw5x7fSepCBmA0yKCqS BxIu4J7xjgyIG8KD87wFtU/IeFZg+eY5hZJaInOC/emYFzyAU1TLd2NTZocGwwj0vjRCJPff3Rjm XEnG90KD/0pZsUKliPjNSQCAzq6f4hgwogYdU4LcrbAwrJTRFDv2Dniv6TubCdf2YRWQaScJUEpD Kv40AxMUo/1D9hoRinuVSvG2XB8Skwykhtm5rAXYP4EKsOH2vLavwxX3JbQNlpXenqq14d9JT4Qk FJ/K81vymENaDZPaVkPsV0khAfXfC28YuJb9DpSjiAzbgfP2U1IANo2aQ8bpgFjbHzuPcOM+GIVx z2sv24EFHvEIaemdh/o7eRm58VRl83m6ocEBbW7jfzq2VL7RR1mhDgsfG9tBkl1B1qPwLc1v/a3T HOSLqAmonlro3N5M4Vzt1Y22u6HVmeAnZvYogeV8TVxlyAnpNleRAj8YgWcyCaSnELKP/ynSjYx/ CITE6qttdt3NxlHC3j6fRki6Yi0jlH8dec69ibd9gLf78uruuLcrEL+g9Yce80gyuocZ5YqNgfVh HzaNDUfJWrirGPWQ1le6743XkYjkLAzjEmfCCElZ0zujxD4SYQcxMiF5vHDvIp2aW7MAJ5lE3rGH 1Qmw1fU85Z+eXJF3uOS9FanGXGVLEchhVels5G5FZdtAe7DEQ20ekSGZiBgqpBM938cCvgPbeYp5 QAKoN95UEwoQu8xwQM+LsPwcT3NmqLtp2jhhl/B3bowiDKHko1eE2vCF8gmLleq53YZ/MqqbFBoA Glux4uT5dcMAMVusYDIibuUDnYdCQ3VS/c6TWicP6xto6SwmGkLayeElNRO+s6osWm+1jQs9ci2T ELm2pS3Z0xdzJd7d99ZZFBbOznVgEEU9YxQCPCWNjABXli8TxDzcMsOWzUknfQxLHwHnRhiwmRJC gop8gLIKP5hbhNF05GPnP4WVxMTyq3rC8zHGWLbEPXLc9mssP/fIr+hySN8t4ww+suOvR84GRLhi v/DSFG3eWCpZtNmgzxDv7teA5uLKMSvm92JiA24lc0AdbVCxEu1PkPMaJzPbM3f4rTVt23p43HTP KG+vIH/Lt+0MwnrbY8WJHerBOkb59Xv9z3N7b7XTClULVnaQHwpHa5F+/jYeYySkAd7JIKDKKbEb inRF5KWUKjXpG3vhWvQ5lDh0LAbsyauLh9cljlYdgJqXXF91KT1lrZfM7yKpExtIyWAj7Jn9Rdvq JCSoFNi97vOgNGjaSdwUYnQQjw1aDuk6hg5F71gnvjig+hYd5IfdVzIELsv1+h4yzoA8PYr3Ptu4 y4mZjfyzFL/v75Rl+Qb0/wM3rrm/c6wswMnbsumFqTgixh3x3SfixNm8pHztmV45aCvsDK8Jl2pa HW1XckbaL6Tp7ktxCeKE6I/6q24OvX1P9TYn2qgvyGYsxFPcjzsKyoDVN+fVCLp1Rq8qyq0TYITw ObrrXCEx77w6TixKU2h3qQusF8ShDCsNDJnswBTc+TtMPuWF1H3KiPtYnfUkEeiARjSY8zC9UVTk 08qTxFaZnLRoimwp2DTUptvJ6kfS2f0vwUwk8CySKEofJbcOOn7greBn0/eBq+sDN9Qbj6xoq4fo /E4/askOArauIpxwaHXesJlHFC/jwODVcsPT+pZugbhBJudHf6V4kiPZnNpnO1WaT3e0cTUMAuW0 Ge1Xt+nTbY5aX7tZuk1ywLw6TkbL4CV/4bxXNG2m1bQHkm1Hr9ohdLvHvpTVBV5CVvKO408KJtyk ythHBdxvHOqUYkaAU0G+Qiy/eI6GBRunIiCO1RzOqYlNb1CDghJmqBxs/i0aZ/jeKCxyQdsK26pC 4CYewFfEjzbsynWN7nlD+O17uX3XMcdWGWFYi/DVaeKKgALbwqYVEQeX2mPvZx1i5uqDCUL9GM/S hQVtFpgvOaMpgvlnMl9tpNWK1yB2dC+FkcbsDh0T3NvmayGyvehfnbHDJFEL0kMlWszny16bWSyO GzxibjTiRD4PKQxhbCG21NQJz+DirTtHg2JS4wYm9dSlVobrmXdghhZTudIzFqL7bJvtmNeUaVLF mKPlgq4ePX6gfVCxBT/cyXGjgrABWNVykiiPa5Bosne8y9zsOLy1x+CcOv50BJM5GA+VRcZdkNgt si8whKefOT2cu9OyCVLbjQiQBbW5HYJrj/KUKeJNyA+MIfElF2xKW1JZPQ2N6CCUWeWL1aT0cnz0 eenxoPxYDsz15DGMaEgK7wBXx/5bxKlWRwT9CHzeejyh2u4rbg+5ToiCxZnTvBzSkj2DnfUmE5fK EmiZek0N4FiZsRA+dC9hU2U6Dm1w35jl73WwCIwNOLLUn6/ldySk2Ht9OjnqP9NMQaxnOiEdLdC8 2Fj/Wmq3qpwmXWBE9Pppyja5+gi1njqKokqeX8DqEZeo1vKvDo1Xy/ewYIoxV3kuPEZFMXWIMdVY KfSLGCp6Ar4xkcHXGQTOV5wgDwCXBTHzu5Se9Gb6Vtcr9RXj8dnub0Kv+Fd9Cj1JEWm2CCawzDA1 qVO30idXfhmKkdZKsIUYHo903eqn49dAopPz5RfKVod1Siv3A/aWpJM34KegtBqXh6qLDCp5fgXh 3A32PXB1eEz1vvzeAdOScu39UMHNGGJQhKm58TKk8Kz1Vvr2+0zUfJ+7B3zRAyyh/lXirSehE4Gz 3l/02qRKDJyqHjwr6B2JpNRoLb29IKa/CY9q1UjP+Li4IuyIqvAgK65Zzx0KXpv7+7iGHFMXQIJu YZRmxFsm3pRE8NC8EmYgaRkCJjkkiW4Ue9U9EarlguDZd264yBLB0S3x1s/XLtJwJ1QqLjV+QZQH 5IPHT8pbbV2OFbNFT8xXfhH+QRe1PR1G1IltlH9XnM6H3x0UyJgAtW4xBPPI42FJHPKmM/3WBTrr cedGGvOvZQmoyrweCaJvBTeXT4OzAwBixTEoed71lD1pztozRT4pvkCkziYW+jAIPliyx5+G4Pw3 9D19e9jYRve2b04HOy4OfOpE+T/9kVtJHUR4AXLNDhq67mrLVotKMb/I/p5e/k3xRTgP7Gx1vGv+ 3ol/HphUxRHfRHQk1uKiSL1d4Homrb7VAMupgVsyuxvnTCiFfTLCkBq37rv61f+FCy54GbXVK4Tp 2dZ5QwI2EVxkkgCrpF7nQklAlrT0GtSuKahPQciWTVF45RlsAAnwRq3BIOEc76vliylL0wTEAB2I XIH9gtFKIXNV7C9iqtXjreDPjrw20lpRgTtcJ7nozdorIU4UPfpMdxWxaWUbgeyX0QxDDyPfo7g0 +fLilkImNElyuq7uOH9Rcab80tdGKfwYy0WcW4x4yIwKhxGWH1VMWMNUQZmXcnjP6xNZyL0xCVKE ew9rsKUiqjfy9FF/OCTrO4eQXxCmyFmqVD4gTCJzqPPcYHGWWfAcRztVpUQCMr7mcc7ISMg970D6 H884UJMAHz07KGtKyA1b4AxnHDYVfixtLqWrytltH/YpWEMoY1/Pq1rEYdlbXwOxOFU4TS+bUwxx lOVBlG4DZhn3MlsT13eOduDQ2EF8DXqyn8ZHhPlcdqeblb2fZUTVr38pqXzQL8AU+Tm5oT/O+tfX +1t6aij/rMW3l+pJEkwrw3sZhe+h4p9Dm4k1zrs9F+q1sYZq667QN1hlBjA/wdTZ+Aevo+9SEyDa z1mk+sc/02S3Y+12hBBzeoWXztNqCAaye+MkDRMubD5PYmSgNfnDHUIEsxwEkEVn3+oOp/o0b2/T Mc7ezpHzpPmajFX+z5c9/CrJNVa1So5E72FLjX44bCWX4Ppc2MSbGCBbwuNQc2mQ3OZXDbsUtmMz sbtIRt4zYLJCNeKksdpHLHQ5l3PGVmi2PaG3elE5/RJM99eibsd81/vM87BbQcg+WUCjWcZgXFGW Qv7nR+4RkWjd8Rz5dluJlc+99UQBKH1kW7mDPXrm5CadXafAfBaHY4BZzrYXePCM6uTbNrz+c1KP P0CDNizptpCNWt3iqlQJOwwp3hpfT1ZSc5WMuT8INo7mic8n6uK8e1bo4qb5alNyCBnwYTVN6JC9 AZLqo4V9rrPJUHbvaqp46sFolrEcdOM+0BjbqH7CJopYMfQB7eQ8SPoQVW/n2K35c5QDUOxTkVIj G7smzOBdoojQLeH4D9ktyBs737DcyfEMK8SF0WBhwOP2JVwxQRKpxJ3ce+2uTLUBm0QIQXvg9oN0 VkU6R0QTVGqA1aH0syfx09FfypdwYdYfucc8SkYmeBXva+bATKf9A60oPFPJnPWM9+LB0JxTAxdq Wf9mwh3p+IDXRkpPpjj6Hpje9+VeRuIg5IV5j0mUlw9fqNGfCCK0OpC2xmagQtMbQWk+6gW6qtqG aFeQjk350AExzl2T0Q86iF2wt2PvXj/qsrlHAqIQsN8zXNxQggGQ8R39fAJqv7lpXva25ZxStH/O fcJ4/ZVAD/QJVQe5NodPMo/rB3hFyoqliBxeXd66ULJ/l0IpavYUxLaHwzWIFj6GxBLZgQTCr7rV OXkE6Vq2nwFJ+qSJbBmrbHfbHfT5Fzq0yy2yHO80HzzFL4MGxnVESaCYwTSJg1vaq4Kik1L6RBNd dCx5tcYf8zzQLYebpa39RIbAe/3BuVsDU4Sw1iikGgKyuRBmtpjQfejdBr9rJ4+ZT2UVpOWULTVK jqRRv8GcEHTPuU808/sC8hUtKYPEZfRR2SaP5WtVaW7slcGiV3yG8Y2TxLZ6mowejGtYPqAM9b4r 2NGgnBGLlfhprwe56Tx/qS0ijvHNptC+FXBkwHFV+mBCyCIsNDDj26/IcGBZxeXWYNQPwJqCA9JC 7f09TQdmPvfnvK1WAF6Ose4ldWETWtsX0IFFO6mOt9Feuw4+rsG8TvLno/MAGWUTalIkGqjZOYog jfBX6MeORZjBftVVWiiDNa/ZdJfMH+MVLQ/GrlsPePiVY8fg1sGNZ3m7EeCkrFjYaVW79uR1Ock5 4Me4mGcZJhgDOx+e1mpMMbQ6EmSuy6uwzbHIj2eUTPSBbtgIwItRztdkhe2hlj0kIZd/BgrCVv5v 7Yt4KgtIrxTvh2erbyWSArTtQAI6+xOwszH3GiiCQgu21+ii5L0RxyIweOlblbWYPsORe6wYqrgn KGe5h0Aqwsmjpd8KkgjHgvrM/2vwe5iQGmaFmqF9p4p4X9LjMmc+wBbzdVs2mmwwNVBbQuqW7wvl QFvrasUUkq5UFwptZDf1WzZu8LXy3hG7522UN1WG0lgTjf2nMHA3S04Sg7heex8gNjunnM4q85sD tiyZBe0kjL/fyKlXtIxJZzqvamlYXgvYaBwX7fJHvvbmYuDEYz2kheaWgH/VrS3t7Z7ihkMXSit7 HwjeFIsCcZWvDfYfpK9Zyvrj4lj4eTPAZB7Ik3shKEFO0NWk1xcjFJV05UesPl5OgQQAkekI+JMO 3M8aeFSn/rUmhNh7Kj/EmovsgJGpz2C9rP+bPyU/rAiBigHwwAT2ZKkWF33ruoK0LEfwUUHu5lZV oYlAXPUucY/63PzLtbWEhf48XrZQUrrIAzRLxh0hSFN4xkr2LTbD8EzdV7QnEJL+rkiY749LFozJ Xo+QjpErSM3LZkqV1iUedsfjLQHvfg1BbrfNvUgeK/Ok703Lv+s32tPPtNvLV1ZeCNb8Fq/xRWBn exBu7T5ZJ3nzvvHtbzocKUKVN93QM3Few8EUCHnmIztsH732KyN3YCH/n717nczpyrSyNc0d1oEI 3QYrO0Du0rJXg4Febg4FXJ/7KKVWrRDMV+L4tp2wWPndcPqcpZrAxFNrCYFhjoqvS4rC8Pd9NAOF VrW3h9PijFBPhZxpweMtmH+TunvuGLBcT9PGR27tYUsFxJ+3zuT9y3qWdHVY+yaw9/c6I546brbv qLfP36Ti45xRM0WDcAmwCsJPEVkSs6iPxrchZNVmcBS1gkIXOrLnkpehNn2shhpRFzyyOB1VdW/G kXB8U2BtMQF98QrWKL2MG3betExUqM3EiLPxa2g6N9oedbz7sFfSX7EfpWEAzAeSBAwJFLoCuNhm W5FRpWTaW8M4/dzYXopCCeEYTQ1zf+K3hWhSWn+NHGraRx3CWjeM/7vD8Op8e25UsnxyKCQ60gYp 4+RwFGpvd1e6T8eMFvCM39neReyet+EAvbppUBUFvSHjg1JcJk09iMduW+n5u26JZAxb5X0Fqi5s 8DNfwuV/DR4CqsNV/3vZyqNI0k/VhTvM5glktt2nsj/cH5HnJySII5Qnm+U/5v/LwruQwOdun9un SoHyqBZsJ63GND/c64tp6tyCkTRhJ6JGbMdu5PIPOvH/pFWOpXR2PwTr2LiUiFeLC+9VLC6Cou4H OsWIsysZ/GDDwPGAUtSYqNsG4ZzDKlzL6J2O9Gpblm7VG6MqTb54IHBdWrhqfe4C5jhcyKEILthg ZeLlRN2zXZ943gugLT23G2+t9Hd4UL0flBye4KbDb6v3m+reVrip6XtHmzgyVI50X0irJE6TXRPJ K+xNL32IQQDI2qPP+a52qNiUzC73cumYmcZX6dKBy2l6s9dJFl0Z6Rn4AvAm6Dd16QvSsb7tY3vH P0UIQ1lm3v+hVZL8DSMw2etp8CfD/q5lElCx6H3VXGAtUKm7UWBq/0TysPHzj67chYsfx1ABeKX7 710DntoXtnF1tI0lr2RznCVOlxHTeHW4tKeVAsLXCSeKguj2exkplCfVL7cUSDt9O4DgYYdCqUyF pnoXZmYQu5Vw9rwhbxqCGAjAgDf19pnfDSbIyJxJEupYFfgho2P0uqUNibNmXG5gWTktDZ37JeKZ HUdAX8gCbeV/iWoNEmlNRbkqJIrlO2uzFtOO0L+3+lUOI+oH44hDzFsaXZ/nbERDY97kwSaUYC9E CQcomdRbK7qXTTDRorQlQs9MM8UZYsucnz1zVfIGInH94Ts/xbwmf/3qneA7Z9W5Xq8KLa0TEaDZ mz7Ht9EJCmDwT49U2VBIHvNYISwSZ4N4MPkhGt01n0Kf/5ow8OOu6OJQUvD7s6bLrJsuPvJMpVCQ L5lgk0gdu6cv/a4KNS9mdKDX0NQLrVgRV8c0tHXznr6gWFWf0XzfEH6LA602uOhv5ayznugh12/9 UYfbxffZNZ6W1l1cGFtitLn62tRptW8FIgWPvEox9NLDV8El1YBAQHkpDgayHQyZNkhJb/8yxG1U OZ9AsUzdRUZ1uxVI8vXzCY8ewutkk/JK6fvK8vwTNFBLJ3GGGhe5BXOFt0mdICPH1r0+fQd0VLWd dPgqYOhXbQVIhXkoZsn/JQICCVLkK8ul3ei22lQwZCNT8vbUcXxEuJvapoCuMpAOQ5SnETinuev+ qyYAFvdN9eZ8JGOIGFPD8GylXuN6Ugg8whKWuEVtRTzvX6C5ILZet4IT6apQllFz1b7pbphplAJB EA61SZzvUUl/BN4fpr+PRpi07bZOUs7PS/5hk7xdedRxLLm/P2qsL/+evqgVJJx+C1cMkhh+IIym l3C6GwkWuvzUdmPgDQxresBOK62k01ybgLDjN8BGv2XhWjxuSYFhilctyP6KGIHYr8gG9aKpwWhe fzAtIA1Le+911sdDTaAq3kw8bbi5Wp4I/DB4YMGunvAcnZRVYiVdpORWh0f08uGjRHYTk7J/pCwl kq5WItgeyon0gYE6nrWd8LM3fqWapOAygrOaCFUtiFMDXs3n4Hxff3QMhEhJagny0/n7Yp0LmviO VkOn3UAtVlfeWtGnxLb6DFUe/jhPKXZFMdFzFVVlgCpfaP2cB68IyPtyOT5paYtRJYHEVb15y538 HzFAXJs+z1BP+6SAEUfcjBnf3lRizLvzaz4fOGitLPV4+2kD1MevmpcrZya9vqJ/5wyLZyhh1AdQ 0r5dvbc3tjCKnZlxAV93NTFWlB7FV3Kg7nXVedkFL4dKHzmZcZt7u4Nr1YRqxkK5lAimAViTNj+l 7VS5XcIybnL663/N8utFM1RtpwiOq6n9wwRDw97dLr70f7xUFE8aZIYAUxoHf0gngnf7m2+TlFCG RoAa+vEze7VzH/FAhFP0bulY3S/zsS4CDPo/4B7hC1aQ8yuiAt/k6SoQNVhL2L4sQrZ7U9I5a/8x YcUqVqQ0dhyBRKnNGnpVnQvelmyMskYMhrfeT63jhf+Qrv/l7fYcHOsdUU8HgxpBZjoRxU2Ibs1k 5Cn8Dt9CNjlNZZg+8VGFc8b/kZWh1LVj5zLzdvDv2v4AmMXLTxr1ZSUzza1fIq042VX76vpZC36B 5QZ+rQZ0ManqSW8gkUclWJrdoPn2L4HlWTynF7LdHAC7JriZdLjXqY4TBVte0qJv/npEutDwL3SD DCHpOVcCi5brZF/RXCGb+/qNAx9lJN08hyEg1XcjbXePCXk0Os9ihJoPPeuY+eWeIZrLBSsF9TNe jsu8s+fwK7dNIpG58uBj5Ym7zKX4LR8hcRvuyU5RDTaDdQp2bWokz0ia4IpkcwKX5GUXwWeqna+T k40VXrkterJdFCidnZ/ZiQ28n9fLpTQmLYMGn2pFmwbco6vsgfjLvxK/eyOA17moF7CIzo7xplPz yH4+ssQDdZlk/0jkOuh2xJ56wGTj0s4mJLc47QoSPgnQJJZqtreesJqhVEAKFGVHbuOVqBYe6MpV g5dOBGyTOWBGnE0nbNVeZ5g+Q2HN76Mx6e40pX3lpT+QtmqhACOr9RaI2VIv9FSbsTfSktcYUrcc JffaUwylqmRG9XJfFS1khA2FuP1jRoL0BqHPDW9I1mM8ik5mLbwSt9lSXgugKfRF1boJO5dakHKA 4ZZ7y5xxSRctYaHN9duWv0UPISVg8GndAMeQ4tluppGv7wW232OhkpYvKKP2Nt5H1pjKLTLov7nj na+V3PhtXY80WQs49z+hkzIxYj8MJdbKIbwwxJlhootTethPJBimxoclhpJQO3p3gjvixfupxWbI znPhCnu+HkNBTkycYcC8fP2KyiHo6QLx4LfBKqoGRAb1p5F8Xk9WoqjMOAsFiNm4JVmn5ZFzBsFj P9kHiIerw/cqmSMUZFi19/vCnJSt7mNY6OKJmRTILoDEvojvxqwa+FEpMV1c1hFo93/k+QChlllf W8NjuHDlpj4to95nH9glrPAPXqFGnTUEptOaaDDaD8yvUt0vkffEmiWahy6CPmzVJ+q5hnFWh/gn QiTTekIheylfkzA9+yiBq2/VEf1Dxbzt78HXU3nhRq09VAZfcyj6b2jT5mT0k+EuOv3eWLRiviiQ nmoPzhLfHcYPE3j6RpvsYk0jK+iStN7Bf8sUjASSK779+tcml3jQHhRVWXy0cstw93dJaUyhfcRQ 8UJan2b6ZZPwm/POgfq7WdFN6/771GSC+5w084SARIhXWpFzFFz/8c6bW3hURFcsYmC3hQP+JTdf fjfS1NEUQYIUY1hbok/5jaxu3xR3Ih3xCXtCutuS8h08ZbEslXcSZURGvR/LBpQw6qQljfJioBlL ZeRmr5uYt80qCjmNiw9xUIYSNXKymRyESx4Y9F3GJrR+438OoyTDbqZ4JHR6zM9Eh6PLZYuAv//d ew5MGLUSP1Gl32i1B/WUS21waj3UqqP4urKCb99LudzFqDd9o9OxDd35oFUxzk48CUamMCzRAnbg 3eLygiINgLoUdO4PVLwxEeXrIMKc5uoo6WymtXhWKPKatCbEHwJ8lU5bWc7iSDkTKTCLy3mKbe4w ZhOOXIwN2zID988QR2ZxzjJpTaXTMVsOD0TZY5sCdue7OC9wDErUlyQZmE+Uagkyy8WsIcJy1CI2 DfbS6NdnqHmEDc+0KsySwQloog55vHIAq+uvKrrtzXuK6Jibau7Bqy1Po2eq69Jsg6iHXnB3ciMy pLZmbDE4g6KFTfNy5D37uCTF7xskGm5gjp5xqSbx3zixH/DWskjY9FUudP3EytnSsdSYwhKy3drv H7RsjDaO2Ntm4B/O38zKyKftaS+RXm9rsA3WjTKeJ+MyAHWlS3UYI4SJ/LtoT8t8C/JUwWtlFwQa PPY6il9bZXs8Aw5L1GYM1f0kqhgLKrjq+rYIVu8xxaa4qtKVgV8e7WJgMYA0EV/f7xO5kEirbUhX 9E6OpQV1D1nsuVMQAnquDXlhUHfMoHAMm2io+O++GFESciHGoJPwlRNsyYn+7uTj53mTMwHmC+Cl 1my3+68ybatlJ9xPjLByQnJn+DKhQ8pwqml/gLOb+AkzxEW69azMbm2LcbjGotaC/5rPAAxMH2Gq qNlCPoWmZ97NmkSOjBPCkVLs75SByhVjmCsowGmHaIbYTQz9RMPUjZjFZ0x3zVgJ1OcPs7YpGWhS kCo3/JdHzzqc7yg7YhhXC6kKi+siPAIDNg7SLr02IlCjriSQLLjYHbAtOeYgj/I2vk4eXCZvhTzL sRTdTsMn9U19gcnP6W0ldzC3P3KVqOx7UcHY671tOMZxJPZvBGQIoH8thQeHNT3Ux8WCSOMWIOC6 Jf27sMRyMimN8eiRlIAzqtNLksDBH0YeP49iUeCDNnmdtRgSqbChZvhbULsJbOmePd3qUqXHHGYI ZeZrEfMLYqA4Q74FB2/YC8oBp3CPAPHn2BoCJnjioAI6onGReITzC6wvgEssfuQ0yQBXrUUQRKin qSWWHegOvQK5VZpTLIVEvHv6HKGfzGO8XzzwCE1Ud1m/VluD34P/3bpgUMbbKVZkDTuXxmFzSEGd myFVtqtZ6iES2Nm4rw/LnCANvfQWxh4/SMpjlSGw8IZ55BCrXH63ebar2BCH+2Uz6wZfrkJklv/u uXGjkfkL+tO5xChBpYIZcOnlDyFlkbunVr670wi718oqr8XCB2sMfpzoSuMXXbsONYyX+iI30MIz FHPCfWQJ/j8vStOphqgRRMUJbjoGDC6sktZrDXDIl3bNY69TlouPdzvnqt6VCNsjXuhsWiw844po 4gEeQ72LnKbV2I5CN5JRVaQ/9iMZqeF+V2F57kDj43/kys2HDPLlfF4VNe4EBeTnjlEZ/YMpqtEM 0PS8BjHbbFi23SLfgUz4X69XPfLDNVpN+UEY6nTXr4jg8083egx5myHzVAHNDdgd4l3U68A20D69 EU2UxEAsgoSZRgnr1Wn/ihBVu5Yp0bfpASTirhVxP0jMfBHA140mOGxfb+q/eVIRLJgh4Pk9i+/z yvF4asksHgqdjB2hp4hnYQQY31dVyFl4cg5rVGX+X8BZYO/VLnTTJtEcB+EGILkI7jv7uyfnQlqK LV9hHQuziOnOj+KO4qPdjCfPhDd5JnNzM9WLiM8MWmWjPzsPANG1PJD7wgbo0gA81AhQKPoh8vxH 1dj4DairdIpPzCz90i4zarzLLa4Fq58XBe3cxr8UpNMsU+KRjyxpKaKNYqMnEliti/vHx2Z6WogA Z1kIXqUkrDF+lsvXBpTyZhRs1+Bwo6EXNLgH1y6znMCySbUOW0q/uDHzLVeFABuiknJY7urAkrT2 xfJnht37bVbKe5ZVqmQuyNQ9crxgN0eUoLaJxabx3oF2OFrcU92OD2TCwx3sZIOaQP4riuZT8KQJ zG2jN22RrheBl/TOVQCV/ezdy4s8ecSrVJpQsWmw+vGx0Ek9t/uyHKqA2QtYJK2DBj7RGGm5A7xy E76BAGUwAv6+f+AzB0qipuTk4jzcOfGCHlZb5Uq143PsuFb0z1bLTM7BBObnPk+fTJ7o79Qgidcw cnCKgbl2UCCPUQ7HyalgusX3+AaktueLdKubXLWTvJk9Y5OVQhIG5ELGBAb31rKoSRdCgY341rYb ysbH9d//MX2QvcymSMleRG3icqWqAlubBZdvW7drDgUzjGSHDNGSfZMyU6eS8RalhVV7FL8y3RvN SwRtWlabX9BiKS+GpPOu6k+6abE8RWpu6LDgPJ7VFO4rKM+rhfW1DpOm93DqDJA/DvwyUHWEsdwB bE6eSMMfEOXOhY5otf4uSb08WcFdCpN4Sm+ohJlHkkqs9C+WTHQXqoQUYjLYNrufeHQg/EE52p18 dcrx0rrIswRUJuOIrtvvRTH0Kmx9635hg7wiz7+dfCOyIGMXwcr5sWPM2h7V1OIhneVldgLCMLoM RX4ubAjePU6XaK203TBcrVzmh+4m0x6ClCFyMevyksyjlvKxi2NiurisdHa5uonLaMCchrSnTRfS 8Pyl16ACzW/YpKUFJvyAZM/391hObwA3U7UVeKR20bVQg7JZEGijBvat+7ly1O7OV3IOJTcZWmjK eTdFofzZ7eYgb2cJ5ZElKA8GSqWIaQjVK2pQbHj0j1WV4O6JXqETbhyWJnSQBwVBu4YZiOh1ryVn kl9wsCdQX31ghD5GmZ9FZzAUYYwHq9tybYHwG1b7VnWNKaT74LIJdcJ9EhDx6mVX/8fJyA+c+6sA UTFk8AlHaksuHPInUE6/MIQCiOYW2uqkkTt9xt5dVav32x8JIe1W+hbyMi2tgxRD2EHFGMP7gWye ByeOcuB2+xyq2veGU49PUHqmHyF2/f+sFIeP9grgYJ4AArT8wpXuhQI9fTa3pzucMwXXoxPpGQlY /pXAQKePWP5G7DVyrQeZrEgTn59B/Gja+BOA2iECvVZrV8XEiJr/HwdYj7Aucu1fkrmKHmc28Ysh L5k/RrJGpN8gDaAUc8ChuY5jP+1MqRmOAGgohXNn6EWP5KGdzUGBtAzCKTPqUguNsGPA4O5GBgFn 3dY+/5NBC5Lz8syZ8hn33jDqL2uP9Fx10Hsnhzs0xQlkytpy7lfV5QRzJBvZRTIUgrPa8PAjCnsi SyOdC1ZTAwLoN9RRfAUwjz/zOsdxEvar+0/PuHja6ta5HcPzb/EwrR0d2DT0Rh9TCOy3EBkKnuC/ zAoeaTNWUr5NO+vetIWlV8THVw8WijWL37SXigkNIq4aNHhACrOoQmLMG2R+qgo83kfqSMadPfZR JTwMw47Kjcz4Tqqo5liwwx8xQ2rmyvL96sifmYaak3GaI8+ger5PXZAI51xLu3DTe99T8kb6isSG DOZqA+53gKYRzswqhw80b0ONWuWmkKLpRCLQ0WTE4+0clF/dC/CafKC1xxvXgA2UeQ5wGxNz89ji y8zB7DEnDbDee+1tZlpUDp15kx8U+eBDVvH9a8M2yuvosyaZbo+6u3dABmW0UX6XWETYROoupUOC WwRgqM6GoY6w8Lv1Ki7FSkytSUDhY+EINBdDS1AFIlodX5wdHePGt6MSEoJrXJfTnrj8TrJsREdj Zi8xNanp/GHsJeqnz5sffYYA2k/OpGdI3NZ+WUGB4saTMky3siWc49F475jxJsbXGKUbmcbrztMP EtYEiXqXTGHlyIQsIT0kjRo8emma24uaKdtJm9RRJ55GyHFU6ZLZ+rNefAJwZmdZQqAAyrsSNYWY 1TV77kWGXYHeUoUm+RfeiFmG8MXHtrfmfwqAjpmlE8LHGvk8NLQbdVJHel0uOp5bQBcZa8cWJrP5 HhNjcRCAyDRHVy3BSdaDXNaykyNzu1kutDBs48BvF60Y75IiDmElVplKdYymfg5dB+CqQXiR9Z3D 1bvlj6muxOrdZAzGxv9ParCuupSbuSmMG7F5v0zKfgKeZx/SQGMQadXZsYY0O6o1VUj1CK52FhL3 DWoY88UAroEE9NgFGGpVuA5ALA5NnD7oMmtzcWYemr5jjiEflbYYItxxkfDtN5uFjOY2ssN9gUYV LI04raekh8lFDdSydM6Y6fqSnUO7eYKAmdhcOIY15IlFFoBh0KUQAh/VeBt+eDxLM/8gnVTkntQR ujo/xpt7ccXBiaoghQnZz/lp2V4wW1XwUAerFyyZIT6vdxdO6f6trOt2mc+wzW3LpXzdZ2HCaFZY ncZwhxX2HaVSQUUPRRDxy6GNGt/s4hR98/umk0acfKEVel2lgIgMONTeoJ3+n8WexI5mx0dcvf69 2FsSqcyP+U9VMw+K7d2bnMHJ504hgyqraka5TXrt4KSuMNsykItI0QIMDccHKze2b12Ze40s8JBR ZFKI9ezq6bYWVFAfrEdg71/LlGYbp5OlCFow9NU4fFd+lKYXOMDOt5+voq1B0pnwzwB9vKnerqxz C+TC+eMhdWXu2LiebX4JX009+pfKRF+lkwaGGh+chwb8EpEf2Ch0dxlyuG2ZhMeXCAT+CvFgNHZ5 Izs1cMaSjSLW8cnD76p9SIfyD6U3+9bVgRqGxXGfRPv2oWLUoJgWDfpeZod2N84tmJDdnP+fZtlK i3SKFZGpzXjYEqJqjJegSRnnmgOPJk3W2hE69Iwjy44IUuF3zN+Is6vi7KDMZuJv9537hmgIGcME 9ZwNQ535tVU9yk1AXFk2x352TgEBNezrXZMFnFGeWGFKKux+oNAftYsUg/m/3//u6Ekum5xQT2z6 UP891W6Ven6ealHM5/noDErA7Q2zkEEpRsMJpyOCLTEkDqgbIHL+gVnuNWZByhYiLgvU8YeKy7Sk s2YKNXVynHnzx7t3KulfIKfoJD0olQxJtQ0QkAb61moNTq2eMckE4Hpw0y2OXTdAGRMdWgaVTXzu 2wHCOghfQg4hgLth1l8WgWXF4cw2Bk1QhYc25sFXPUMsFBwpsC1lY12LU1l972uCa/hoh6MUfJoS kmflKeu50leMteVR6+QJ0tvQYFZSOwDGGnoXSwURCWicRImU4Ci0vonLvwgPdwOYaCDsYFo0PoFQ cRlG1YauyAVSNGA5heCjBXUgUDZ+6WZgI2PvH4hhelZRqkSgiiFjf4mtf5wc8pcgwsKlHODuRGLP HwzykcxvEeMhOifC3CRPQmPDHnGz+vHGXcIVxHbVdlBenGCZxW8Y/Uiqd7H7RLNfLtKK1ZIU7VXR qKEMMjgoCc+mLL4+BF0dqt90yUM62ptJGunaj1NCFTP9sXRG/8sgaNEwBVR9QcGLBkof+oBb5dEA XaeZ8hUZn2wlCtMU/uxNeHDepGOQavp6TdKgGwhcd995LhCO7Mg1+97/MCgGCRY5R+Tr5BUKdkOh QKDOJBOdScVaw71fHwDB7E6Ido35ZiEKxazfKonFIVPZ6G66+z4SAAsFMZBsSf1XCx+6LNJ+OVnD hVUwOvAAJzEOz2uoPYOeEiqSgayB4F/QG+y4BKM6vbSy5y7LPCTuXlXXkfsBFyDDcWHddoMopITe 2vlwbFrUmuA27u6I43HtvIbZ8wk6QpWDeqzytY6k2IvLnQx+JNdPLosShhPdU+k1DKnm7t3xJ1PN nzV2l2Iat9wrSQ8vHQypyapKXEoEvXHtf9kkbUu3adn44O2Iqw5+Heit6/QdbpbJqRPkHKXqre6X UnN52T+U1Tll3jmja5Flxwiuf796I4ekd2UlNGVoSHH7JtbanI6SoUKrkAuMxFgORJB+Rf9JNuBi ZWVUjRxzESypbqq3W2TOuPtyRxt38G8Wzk9d/bOCuOecLcAym36afe+XjCwhx9U2tejacl2wx7gQ dSvLmuexjGkwrdl90aoS+uUmF7e1rBJFa5CXxN+hpRSOcWbrCvzATavUb+1AwJqwn+lPTOD5/iMB WqL7ewzpH+i7s3wzQu4LSspnqY0PPjCakW9UE5ll0sSTybqfICaSGVIAXvBGvmGy1/ydUlE5X05T PpbBRu3Luj2qZPTXnuKOR0ihA2xcjVQu4nn3QFVPW56x0de8N1yHSmzG0ZJnZkHaihpBNUZLgVhT PPqKWUz+CbJHhIXIc8n11LvM1yOkJ4m+VyfM81pexjWNIoVTuq1Aztgq74VUecmYd/Vc5HpICOhJ QiXISycTraKtqSWuELPlnWuJ9tAxZvMjHZLJP8mQt69ro0dbV63fJKWegZaJI+AE/4eNheKyyamW hl1y1id+2izHoL6KnUI0uCOELwIvICZsmwbT/Exa8LAVjhKTN6/gbOtE7bRcS02CldDoPD+dEpoy 1H49BtGe2JsRm6yWaWejrHy1/zc6LNdSbLJ7jy2V6GuX4cN+geF9IeKzplKiHGovpKkhQ4RqwzZ8 g2jPM/UUO/wL3Rqa8DRMlM2oYpmfEXzo3gM/BIVgaOcblcZ2iYikbIDfZOJmwUHW8X1yFckpt0r/ Bn5tJaKoNW6o/ifkSloiuxl0fIxgL8d4LXchtsvLWIv2sM4PkMTGfO+GzL2W9XKS/r2RCxO3HDOi 8KfW1ghTpdNuC1PEXaJM4f6ktvkxZci2OmNEVtVBBGTgmPNlcw8v1BXqUEZmkkxjGbFKv39nIZMQ /kulXxOnBdA4g6NqJ+76y2Ad147ewGtqG2dcG2QipY297e0vN4FntWz0lUj6GuFt5JCXGRBStBPa yF9ndEe1aIuDNrqi3HGU/IPTUCcZ0bc8p6H1t9mapBL8VWqn0ayhgbRvQ6xH48WA7qJILY2HPjos J6klu2oewP3Sd/HhXSDGiuAtXpxaVi8jvPHjqyo7sPiddhwYQKNEPT4SxHRjgLhjTcTgwebrD5sN HGzPt7+QDh17bpvL/Slq8VHKURmpXkrMSVnkyBbl/0vh5ck2H/NvidllZxx9GhGUMV69E2rM10tS aqWH5hfVpK5Cht9Wusn4W/SBS65LH6KoGRvwTk0tTv3mXJYrvdwm8QVvKNWpswPpGHy5UMK5D157 YkAsOshS98tEASiPRTHkr5PQEJ/rPA2dx2gScIFX2PZwLOGuVK5V31+v2BRhnFlNG8ZMCr/ciLIN S+9dLt90ipx4n/IM8TqpMs0F7GORmnrzV33yRhrJYrK2Ug4QZa5h9ZXbiCfAeac9MrwQlxv1dwnU Uk12PKEjeaYxdd2OGB6Dijw3CKAwUZvOvixE24Tp8cVtqD197/Iu/qPPCuPyjrQDo08RoboImvnr 8mil2jZY/mhwL3E3N66RURBVUkW0YpXc4L826Rv+HVUn9b6xJ8PRhwkpIXol5BtyaSBdyaLD8gdu o6ypF1Cd60/lV16dFAv7DzBFLSnhVQQqUi1uqw+9P+0Q19Kl722/qyhCj//Xu+lg1H/SIRSz3NWX p6/JQNUMYCLjizYWMWhdY5Hg9mZi95elyma3RY3QsCYXjlxVp74PWzUhB2NX+7ZNBzCLV/VNl1Fs PhnghtOCb+Iu6c+q6KjS7pk7Qczy4XHMKcvpsbU/4epSDknupcYupuX2ouNFGY8lvVPNyM0xxsXy krioJzQ6/1KPZBXaoLnmzZvC7IXlthHCDkWfK6i46lq/tTMT93JW1kJyRMkcKoQQjUtENWlMt1IB EwVu2KCEA3Ot1lnqZoeAgbZGrnXvNMOsZWVUtMqGjuJhFY1E82JBuK3VlnCfQbnmtfyof9xVKJu/ 10RGpe4hqGlbSIwSUtsMtsXNYkB1mnFspMw0WJrTYJDNONBG1kXLgrJY4NRfhWgM/fDYSNHiKGca mSS9WmMM2Qp54zz17Ak9afz+138SU+dEMp1gyfiT/PNskWyV3ezvGaYD0Q4bbhOslplMAYmSHYjT U0+1sEn0FszEEkSacSsrTX5hjHEKCmxQfNqDUqzMfM61ylp43Fwu2IFBDZ1PWIhB4tNUxWFv6XEh T0VqYQyEFHeK7QOBpTZD/0EasZiyF9Xr0d2BFyejXfBP3En5p0HWAVc9/RoGugJ2Gwx8QHCHosyK tvcCwFJMRY4CI+8aZPd9dnKuA/cweRV63BzduPOjSgfQYP+1AVB9SWbPRUhv9nC2cV7nrIZgRh2I NnkFb36G2puHzaVQdCwNtWSoCjFrJirUSRtkKYdO3DappiHiCxpkl94bq3NfUipwQLpLPvZE6AD1 DIGjuvN3m+aTVKpyfC+Hx2BObPAy44R2cuFK2xnzmdOBMO991HBPPCrX8rQ5s98yRp51Fi0KFpfN J6rmnsRKPU3RToDbfHVZZGUrZ071PbimJYfIxMVyt/h8Nz0X7rDaRa1qjrp6VcXpu34rJqjPEdX5 ORku7f1jLsLTxvhG+1whN5rKHLBMtq50U7CmmSPBDKzUsx1mEo7MO9wStC4fBkQ29judr1HbBHTs Ycdb+EAAQGfP8+ScX0hsUCTuDy++y8NDvTIAL0LzMCWF+cl6xwltKhlkzYkW8ItveAi4na51l3YG PLcQ4W4s4cQ+IC0TJ17HQ88yKKJ0v0t+03F4f9aGJonWs7Caz8OIe31GXtaahc4Srwz/gWOjMZjH YB/gk9EnoDk0dZWOm+jzU3NPNVyCeK8n7Nb/8vWzygkHLkL0JEQx5juLwAKJZZ1H3YmEbSGWS8Lg 6na8Fg2T+XK8XTlhJUwx7qYh7qePkg955L4iNKf86/pcGQhhFhdiWjnP9lGVei0LBt7K3Dini5Wk T2XvIm9vGQYswK1I6htJ1wUbLGSWC17Y/B+8Fol27hbz2xLSBmOms4Q/OcQ7nb4BOn1Pugjpdxhs ZftHh9vIpMOw/d256AVECoYzwOQbN0NlYSSbZ2UoKdKn2Ijjz9I1m/YenxKtbkozIwyq/W7A0AxS rdOAJWmMLEW4rb5IEKjLrbtwLfghpr7RL181/QJgeN23YBrrvifAx3ffCvX3iZ0M2bL9EYDR2+Ne b3riP0VmJCgQJsUjF3LcV9wPy4pgNARgrLaZuPLoG3CaYNJ1BVmv6t8GatRD8i5M/5tfG4Qq2Vep 0Tu9/1NXP3m4QbvPXf9Kp4o203EYAzGPh3dIV8x1jekKtM33izwVZrYHq9b36mEGHz4KLQCB+kpk xVUyy3RywwvsVd4kfcE39c5zevYWrslJ9N+lHmei7O1FIclwsyX+Z1mRxKaklkE18h5Yp5SJXu4l c5vM1rUmesRb1jn/KRbYnU7UdR7GDH660plRdxcO71ckaRjftR329IGxwUuORZqeVWNtMulKnfbF 5KQuXQpF5PzoPm0w4j+fifvs5yAiOfKweRVj05d7zrFjNJu/NZ/6WW+c1+YB6CxevGvhgh3doZZk rwDvt6SSATLFDoXLqwCxvLRyb95G7Xg5yDkrLz6HgBJVAlooGw9na4/LxRP8GgrVq0SU/Wf8Ypqu oL/2ww6DqMnqnpMiUaTKYj6YgT6IC9O+xuyJlfYb2T0g1I3LNw2n8xogyOL3lVVrUbpEUWFO5X2D LelzvOvJoH9yRrogiRYdwGXQ4v2UrTEPW7JaiiMGwMrU2ygCqj8ojpMKLitJ+8ONdzO5/VC+ETxW VU4cwQMtIlnxmfo9ZnJAJkkUkspWIcDzdu55s+GScCLFRMdf93W8KpmYsp9izS2uoCh8O8xcbhe5 /VIAG9e6hTy4HRLBNNY409ONqHxoSfbxfAQgVQiYxa0EI7SxoYtPdCy0fEIyfNe17vx/4PMkadYU VOEtU6OFSwE0NWFoQThOhFs8AHR6j0KHY7Icbguu4hoIa/TiTgnl7/KYOKOkegWARJ612GvL2C86 Sems0RKPEqHiLRGevM34oFiDvWCfovpzaxrZ5e/LsEeF1GtCd4q0PB1yY+0UUaeiP5k6r0UdpQnX TTOi3CjsRyhOYzlbguE+mL63fa8smrSkl7434t6ZYWjXnJ6HuKr0zoBn/uGtwVsR+sCbVL4mIgQ/ fzwzFTBhunmm1zzXzrRFSRFNDhxANbETjYynGJBP8XZH/O0Wo4NZ+oFFHnDL5XpbVNImNrXhX3Iv Jnv+/cEvwxJP/FILzSE1txv4Y8vVJYKYrCvd0wY9QX97uA6IEd5cqzckIdUDrSBAtzOsNjONICP+ AvKbC+WM8iQMdH1qiVhlB0cDvwJT9OY4f7iL4jO1UmMq3dTObjS95egjRXEnX/lYfsi1KhyVD/Fh U9O1jG3Ktj4I9rDb936JUoFaNkLWlLj8Ft9k4b+M/JVZdJC1dBt5PHzCAyRdgB5YI9C6IEgJb6DZ OE8ewQaaxPLpS5Sxl40tUYdtLh3a4i805eJMbq7LgpByZeh5kmPbEVUWxI7mprrg6qpuUeR5JhAN qB8JdMrbF6qi9WWqCXd3hRIn4xFaCpQ9myFF7kyWb9o/ZsOWLqeaox7jHdyT8qVRtF20nLaPEV8+ Wl0kEmdgAJPuVkc0fpRLZMk+qMwXusFNBRHVbO7JDuozKX8LIN4fzYzgsr/GqTeH5Jzk9Z9tz9h7 e7DcVeeXZjEMbfUlKN4RqFqac/iR9aroHfmkqz7JWVVqf6xDo6VMdseepVGLUOC4CV9knM6+gTVf HauhApfFi+AnllP0O3ax6SYhHHB3xeOD4o2V8GboiYIopo7cKboZGgVtM9s5puacxuBgTfkpyOk5 bEMstG8WcCkgcx6hvUmlHypVWuk9yN0WVYCKnvmGQhpDBr2QgZ12J8P/Kn1KkJ7Qu09U9NL4J7LU knfnRG2lspfydhvrtK2/oy/qrQad0R8p/Eivdl9GD95Mm3ScEU7W2uvNYRdkz55KYyC4KFx2WM9g IFInc0Qi8dAaIgbDOcEyUxSgEwEMOB/hgXp7p9GlGaFoNCHjuUCavCxVK77mKyzHgHpJkirAnHim RQLOFt1TpUcc59/+lvIgPnBILJVGQmggKD7XscSVcRNK2+5qj8zy4cJkqEJqByh08Z95Zh3Uo1+p kvce91kNbHJ3OEw8fANACfTICJNlSwR9mCHSKgY7CIhz9O6KOIojvmPP07vobUMNTQYNpeO9SnMy vwLVGWYfJKACQjGdFS+CS5RMRlTNJXrO7pO+w/ZvFIoIHBs3Q1V5sgTL8mprCSrFCluiPg+KvCBU T55C2h0YLmmS07i9etE397dQNvTHWVYFj90+QFd/ODbcrivBeyqEgAItHgl4lN+TkpvTSRTkTQ7a bfb7/8KtMy/f5cwzg5wAwfJAgP7TADCN/044ggp8WxR5UpuNP3fMuGqApezwQZrWliz6c5MJa7T/ YspFIwJMnsQXCed27Apd8u1qw92BHtN8p1EUlvl7wWQrhV1AR/BWhYZl06WoWiP6e4aTuM5ADGO6 Jtj1df5+fgpBYsGOFhGcUVaadckE5fd1rwbV96xrCxa21S6bNJtfsN94Pv5BsiBwWb9Ew+JkhL4F rDZhf+1g61SVsnES+eCofN6C5DYwewfU8vSGRbVUwhu0WdU8T+M9VxWpVN3LpJqDqTVPtnAheCuQ RaZwUWf8m1uRO7ISH/tbVnjBZyu/2SJJsOQNx4Neyyl0pZhKKA2feeC+Bd5aseXtZH1FVH5FCjqK aWaNZ7aH1MJagUFnVO/hmDvH/MwMr0Gd4Aw6ezLCKLfOCTKJtEIMng3TUhkG1njSDSFM8IpKGHw2 BVTkqWhmA3jvNURY1pUeXNa2CT/RFlGu67ke1a5QzEt6pGuVhgnOWqrgkZcJJzd/MLOKmWgBFE+Q u6+mCHip50Hzfmouw21m4ucOuUM85FjjVJ8Ir5BjdjZnC3245QxhcRVRQORrYoPMm3F32GKYF4TP tIDfxCUJcgb5y7UoqY0/HsDlsoW4YrDo7smWkVkP6IvSoqJoAQpojNsPGQWblQas3qB85TuodAJT ve75XqLHi4IQoSDMYZ6MnW9w0Vvc6LPcTBfPxW5rVkJw07FMqXEdEpVfv5CTsS2qm3B/qO73cgln Cwewx6aCPgkmR/I0pG2IuvELsVO/GUDyiQe+hi8RVn67aVPR0X5X32Y+mdQ3u1GP35rvjwyMm+Hj JH2eIaURA2lEGh0+XXds43zTG7IIQGw2tMLHcVAwBF0HhJ5u7f/ky+KIfoVl967JadFq8oT2623i 2OPGpR2Ot4kgVrS0dYT7VH5To9JjOLWg1AdmSP+M7kJUFqulCcGORslQmcdjeCcVmEsy1uOTphW6 t0hlDsjId+RMn636MibYGOoRYXZ5T5Viq34atAtlxzx17ADIhYhIy0PXOp1D3LSIXjD3IOQgZXs6 SGwN/zp3xplmMzKZmw1R5btM2b1W9aP/rSEQAx5RUi0fJH5BrtnpGpHfrRhyP7Ub9865ahGZ8AY6 ULRZaatdFxC1wp+oACWPDK442mZ3R9iuafEys3o5NaqWkFdt9v2keZcFTZ8DF4pGLpm9hjRBiIrC OTZ0tG0crJ6BmilxLvpIFFOX4FoFjzV+bTZHbv9XcT+8vsEqPurS7ev4HsvXCoHJl6FKcSTn1u0S f3T8pOEXEPblyYrFwhhpPtOP1mndaNFKeCYw5EfbuDxqe5XEaxrAi2y/D//S0Q71cnqXpJgQXJ9Q M8d+YHaG2FAIl24w9oK0vBL124ntisetd9ErdrCYFuAICYQe+ozIBPjoePj+dA1n2BnYOrkjnU0h 3MsxjPd2zAIMvOj1mVlJ1NJJgukQ1CyvEBEgUb4SaAmri5jgX4tWsgyIewpXOxn6kAVpR68iGlCX u33183CS7spL2Ns7wOVr2nnu/BCGvpkobY5KZgQeQPMdRjlFzfAfNqpqVwDPwS0hYPLCQzLtE3SY 3InNeZTbM62TGfvjd6NuwRLqTsJGyMFZR0LKich+ojxdDG1u66vEgJvgSjDoFJ1i0F4tMaXndBEx h/mPj1d2AvzHqjbRQgGKS3BZGP3BMi3cE+x1ZA6SjlklIgVN/mB+ODv5/uvmbfSuYPsHayLAZ/pb tg5YNtfRX22K7wndS9FZpcZrDjk4RnbGnLzFXt6Z245HVZ312tbyL77vxSLHO5x2y+zy5fC60FZT POk+MexVYyIvw8WRohkU6k7H3XdIXjdWvywlT6TsG7YHN4CZCDMfWUN/iTJyZIl1ORXwpM4tXlpE 7qviR0b8tCg0Aw6Cbku0ccOpCtiVSOTeVsvCtdOZyfraUW5EnTox7zIy+zC31nGOHO15YMi24rIb rMIo+FRcl09grVNZHlrlZXZhd8rm7cRJ4QArDrZ3r6pi/zxQDV6vQSr7puMP63xXAzpk7NVELr3x 2ZFoB803R/M3XMWYCzd5e4KlIO8ol+7VvbzTB3FFACFPkeiySCNG9jkC1MCnvGB3JOf2PBl+Feo3 hwaBd9eMVIFI57vNBDeW26RVQrklTePJJkUuapoQKsG2bty3dP2mWVARrooPyyPuzbb+UdZy0eoe 2GQi03WBnnWrgBnHZeG8Br7bZNTb7N9qNHx+Tj102yMdc5U8d8fp3GXfERMPWs3t2Sc8dfCdeP86 JSMXTopAApHgqbE808anlFS5qe40HvCglxQNKVhi6wCtPpwVokJh7oNxoGakUC6fZXLDnkSK/KG9 eZlsydXHIwfySBnzwKhgOFt/PMcVzGiJA8yEuZivfwLMXuYpy1IFBCXxly2g147kgGOPm4WXNihk ir4PbtrQuqT3CDIaeHqWM5vMa50e5zhfUJMXK+joKXCHJEMdSNFxLul7ZAughRmX/0KhUNwa9dEt 0kGumg/4rjWJUnhFvjN7pdlh26j0ut4Fejn9L/BP6C1sW8vu45/UG4GyrLoWtlp5N7ua/3psp0AE RMdxYlibAa2kVnZFUefTbQRUWUdWfqmnCx8O55tW8ZiUa7ulz1955WpCa2D4Zb4pmhTneqW+OXta drpFSUk6xZBgGLuhFm8cI7rzrehDrgY4yTwegVb9g7xgal1XHZU6onE2ium/DikemA96BvjQ11xs /Qs4H22gJEaSnu6Q9oebWQCzSbpNM1B2Q5kgNaxH0fhPlnPPAPWOQEdP9zv3AazfhvFyjNoKtab2 bGWjalzrAGDBCBANWeMaBVz4hrXwZCnrLqygGM1PWgkh03EaPTqrY+AuLdeUNNlxvjdH2g3eQedV 7HQNhlL3JyYkrjSYPQ6BsYDVU8V9ui4inYo7fQZ3rLK0kljd0WDiYKMmjXXbdXClDkiO5NQltm08 +zRAhqZmF00Xtj3AAClVhTrW+lkyoLQRJInWomAv8q3/4SFwSqlxtFJo5jXc/1WOUuD5MsbabSm9 IDsfdPUYJ8zcWlRRXpWQZqL/GSl4qEotygmv0ILlDh8kfDcAySmMWchktOD34UyCtpFjqqCtTRb4 plv8hx5T9Vbx1bNk0fKzKPaFmSwPYXztrZpJheRDt2bmSJeYl1BFUz7H6giQ0grsUzHV05tbBZoM NlGbzxOL0ZUg1lrVbiCZ2/TkOnvs0h2xR7JotFL2hoWTmweHEYhDFvSqwUJunDnCbkiPKbbV+FqL XHgMvNiTLQeuF6ddOsjXnvL8gojTuyQVtEWt8szPnrWk++p2BPMr+my5rdq5AMNYMzJoun51D4A9 joKZN67RoQfaBpzJz6ntAeROlQRDHAZXP2KyQShLJ4RBsb/Uipd7ZUGczEJrCujwLxI2hjIwMFme jNAi6/YYkrrrtg+xIcGYRZHD5w6DUviSLVthynOl2VqecVOr9SW75xV37bAf9LiEpqs/ALdsPaUJ h6W2xD1fw3FTJ+MWPEptC3IQ1poLrCOb+gYZgIHtccz68eE5xun2o5M5p4gxOtU0dJ5xYxttGEPu Mj0euCsftz/3b6sgQ0av5YBMHzQfityXUtuw3qUiysEf/6vPy29SE2NeLaF569w/X6Xs3+mI9FA0 WKyhtvOyJUrV6GCOde57Tm8674hG9TWdT79DNSxXANZlupmrrc8Gp1QxcRvpxzwUQplbXsDs2SgZ +rIPhMwjEVXfsSRcpcOqpUm6ZZl/+e8zmc5Uug0EkV9tfArMybV8KJFbHTOmAXqv9LFRO0oEuBAc 0vFzRdu5BeLMpNbqCAquAX84pC3tSMQFxwpinIb1NUBk1DhlTdX6gFQ06XAEcJcmov/NA6xZS3Kr 3nLBzfNSmy0i2bHsvuQqUVoHC5T6Lo9mbKOtCVJg0sPyCcDSao+45wgL4sGpic5GSRBoPI51/8Ge xaDHUUCsJq8zZVgKMD15GAXwOa8rf35goR/YRuLDMOzmVM/R/9lykyuj2Ye0GF966r7WXfPfFiDI wejVIA9JA/f9aLuUTnau/7ZMl1mYyQzx/INSb164WwxPBEFWHBLa5DbqCKKNfl6z6WjGjLCRyYlF FKz8P5oDzgVx5kC10LjwCZ+QoTExGbvwoiI+t/p//QQNc0uTEvcimqQjE6jRnb7XmXyvsHz0VAmE jzXBDavD85h7rWiTQwq2PxTZ0ocMVQCZuOpu8z1CUp9Od85cfPGMLo9EJqftUmJaPfTCUkm/NPXJ d0ayRb7mNimZX/Avq8HRZ8FgOUOr1M6y/cTf+IWUZqMHTEN8gDAKDJqnakRvDDlSiK1IcrneA2nI j5LIt4L1z8MgxgsxFYNhL/0gQXYYb7iynX2D4Y+aAG+H4VJsaWIVPCFAlXTGfhehYiiXV1ZS+1+j OsnDEDNSPNCvKO2JlKzN/C0aKS72J2fdOG7W70+WsBuuvzgT5q6ZWlvPi6qmv9rlpB1BvYbyYavr IXc4bKAQ6zZTtA5eQKvWAFczFMP2bX3fjxHCmsEx8IDbOBgFEEBm5IZiRXJD46NRdcefAHpT8zW9 K8p2OnDZGqspwR5cCbnCoWkj6pT2o/7PtaIotMdmmwukhnI1GR0W9XUKB+62ayNxK2fjKFeRuyTP Z/N+x2vVjYLc/h21zMeiPHnRZlfY/E7iE9+0dvU5vhboPxqzvfMp5KPADneP2tcJVCyESO0SxiPF o/Vk0ujFiTkofC4CULij3J2qyhYWxd4f6xcLS8OTASav4j0u1Cnz9qNcqU35EGodjMDphiCFz+HU 9pOMfkKhKl4weuycJROzvf9KcGQnnoZR39+dMaseG61+uaXzIRl0YJVCMZrh3av1DV+pD2W0gsz0 LJNFDPGrzvzsn6wSGnJHoxyNjbI9H5Wff2gDvf3Ha094LqRKUZKYuTRdO8wkyK5WFYICTjSz6AwD bLWjld23LKFdRatfIcZieozD35HAFWRMqNx5dKhUIQRw/EurQWN6HP4taFobjxLc7s5pkwI19PB5 ROxQX8U/qjEC09Yc3iYzB7msTF5sMpER/wsD/j5FZAIJngt3Sw0kDyYsKAMdL/4OpQlM5iDRifn4 BJFyQxqW18CoBKSnq/yCXGJWs7zy3pi7MAi8+fWRECZxYWolEPM/e7sOqnDVXIJ+qWf3bE7Ux+kw 9Z2vIIt5F8J4KzgylS0X3GHQBrSIYiocDgdxZ5vMHjQWRHRd5nGaQ0M3cUTKWfVK/9JdmbS2kJqY s0L5VN3EuEiKE4ipbTC6ccEW42HwuCHWOzTqNXLiNaIZJchiGdJjOw9s0RUNKOswEKEoBKo948wl utvX9e//cJ0ArVWOm0qiepReMbVeiu/VBGnouFXm5Yt2353FkI+iY8ulJ7EAexvuv+MmZWLjbxMU 99k9mr9+dadztFdsokCb8fV0ftu48wQUOD/Sn90+nV7X5a9SU3FYXuJcx8dVCBOX0T2IPjF+rKqh 6CphfryyGPf078xaSaHpGJMZEyFGtwE+sXKK/pexIoCjdeEW8zFPAM7kYXnXN4h4B2+xlHsr8nKD jn1EDAxiIgI95SMJV05hHXtSKNmNXN98Qk6ff4dM32xKtdjmX28Y2tpgM0H930g7ZpnucOKXV1rs 5CHx+GGz3GsEgrGp4uvNGE2e8gzzFkXEtquOaIpP8gotOqgZtzSZX8ZZfjanBPzf1RYYIeoiU0MH De32D75kh5g1xjt0iBPF2yUml9FErcDnJgE/uHyD/Eh/fjZqzNGdCknuBbxV60Wi23ZqbufGlMen EdaYe9PNLVSAydoSZPOn3MsGL6GEAOgTQQN+CrJjF2VT7LM7IbDC5ToX4yGr7BHlLXcrdysEVq5x RxjLMzAtMIxMuCMC/umr/dYSwWEGkZFUtaOIFY/gMZur0VTmWh05vRsn6TZcFAA88tBLYiz9o14O t2vutu1BIkdpMdFXFshcTetJu6oWnOKVGuEhwdJa84dASjeaYI/5gS/sxuSGZ5AbZX/hLMAZ7EAO 88W7BXwl5RY0eZsjdOl8uWqB3bVQDUq3k0de651EWloQlA4PwQGyqepfojyw7pXJp0qFkKaUjPVD YLqyZRsqweBv50X4DKVnaS3woSjjFGHEjwlDpRIz8mveFmcGzWqQ9BkcJPpNR/TAg18Q0ZuwN3k/ J9wEOVNy389vgMiffs8wKTU0nY7JJDSrzI+8CWqd618jIaOS5uV1Zdqq+I47Q2rOb8IZM68gxPuW 7Jzic6Mfq/VZm1CEIzpmVJlwcUtL5zy0/lhyhyF03CRuHspPTcBr2YuK6lSlLpWXlaHG6GbiCPBO 8wdPvEINzv9uYXWuyWdqvmy7ybi90ZcRBjXd0mUiq+Lu6babHT64tU0ZFn7pG6j42FGivQ6zvjcz PhPq320hZ6IxRUnNenrOxuZYm8aaVH7WJgkVcL9IVXsuzcOX4CUEPsxlWGFuLsaY8dGX6lhRlEpj K/+KIS5eVR+frfqQClBb9abAJRJjbPZTNOi4AqcijpTm81tQm6CRN/O5RAIf/XEXfKbEli/LCqWd 2VbxtDuEe6ZQln6KB7slUXwsEsBEZUHYt+1UUotF7SugrRaJ3hRNxVKS0oyrH6wLOIdApOyEZbmR ayfbmnXiQCqWaDFOWrSQE5AG6rtg/mZ3wxPbfS9B+F5qsStcxAQjCYGIKBmcJOUd2YJUWZpMiw6g CxeAO8eNVsWMsf/Tfoxbt59xlUF0ofSP5qQhwm924hcbMkZFyOv4z3aO/CJElUPJwiTcEAy1TBK1 6iTtPQdEC5cQ21tToD4kVk8f7ZuN9O2h/iPhozJBK1H72QFVTdqreBjvRe7QWMYVti8iJpuZ/Bpp RLeUnNnP68sZMNx2w1/+TpgFexGgu6Ml3sMEKtOBcAHkSU+D+d2pkxnh4lp43K4a7QmPDRs0bXNb OC+tboKKeXKyVzZfW6wT5vtRHTFj42LbbtIZR+bFDITkEOFXTcrnMKjM3hkUWkCwTW+031jfgHNA mmSC0AaLRA+cV3TxKddorx24HvvPBgoANAev0YDk+bu8Qo0Xots/rbB+CdgzIB6xNZ2dYDTbbf+h eVweYEvCb74+Te8JHSdks+GbkTJyH49sKn9VDLqFj08RFKX6VpmOrUtTbxB3nfGIJFAWWqEBKwzQ JOJmk/bs2Ve4hwORoSXR9sESwqiQv+lCP5nvlf06bXu3Flvk3sEAu5QNVeLXiagA+R8W/dA+R1a4 n24cXT+76wuaBFCTGxp2F7NP3rd8e8r7mwgAT/Vinq3h6G1+A9ZywqKJMCf+zO+TtCgSRG4E8ESR HHvrWuWaoCUgr2LaK4f8zwlKbrqRzP2SdccBr5npXirb5ClXiTnkeJBetx33FD6j7cDFStnMu/sd c9vDYBK26rDvFwyJnkhbGZ9S0F2j6Ii8arBC14l05znAKdgkaP2uAtzW+wa3ETlCvBkIkrNfJgL1 Xa8LuYntc1ouy9zwntXqq/nSLbiWHPfRSELHBeiFU1O6hlNMuuas06p23cQO0UsnKf+SIINXEycN Fal4dOTN2mOmaGYvZqzO4GlkdJSStG++m/fVa2t1enGrNVx+5ffKUK0WTqfOOBvbbo0V7KK7vPd9 MK4WMvSiTDzBbkQoPQT+4r3eDqxBnbtswNc1fUtqh9HbehYWKPTQB+acgtTLWkJ/OITTcFxcK0IX E7m2sx24QL0FijEZlHLzdur7RVnz4gKlVT05wlsjmo/mBzWFdjt737++iQS72nl7RxDQMFXpNGVH OX2o7jnuAopjN5bvnep2JlFHJPdqVjDsxjCyQWLtoy27q89PaFbyk1ujqe64zke90hGHXjObgPDP HIo+78VXwiBLEqQCq0PHm1oqqQuTqAuHjXAQPQ/+EGSIZOxGMETtswedXvqVjdslNpUjfEFCTu07 L6NimjqJzwLoOvu0480pe8RPvgGh2mFlJkNSzQbPbveiihGDYxeeOT/C1dN7joTfEh8a1brg8x7J XDQvICHUe8pFqM3eQxx5/k70QvQb6LkyuA26C+iBL2tYDyS+V9xqmCQRxNleRRLat4PPtGDa7hpF uqtqNJsOQvFUJY4E3ou5deGcF7DClg/fg/hMfd3PDn1FmyG6jTi6P/0Si+pNOvt3cbuMG+nwOUwW ezCjzwjLGAnREqlRKyCDa2xjlJZuy6TkSe4wJaZJ+Yvdf0pFPzyzwTyDHVoSsQd1+nyZpbMvOhKK Sj2ZviHVvsixPyJQLWSw2lB09eJZ+GI8CZNhsKtryrSU0sF/EIW2yc3FHYivdGZIv7ZazjeSay5h 8QFhSHG+JTe7v2qwd51sgRtjrJ5xP2+I7Tw3QZaAdOF+cfEy6xiz/kDmE/gXnQAXKdiSgK1jc2Lz FLAjg4W732eT+i84kl5ahx9MyDUrSYUVDu3zOsrmCL4XmSxp5/WJk0LfCTobKvPYGyTx61l4IIJg hH8MZkOm3wIRLYW6+ne2cthkC6lEgnYpqHGybC579G1KbZCRWxKCS/lPIgw9ru16bnC/CHuPirnx sXCpU12XQxmDAtHQo/RQiC+zIbatg5cN0Mg/mjdTA6TBNKGsHcfU4soThfxpD/d8RGpDJKHeT7qw MGlh7fibGegQw6WYNZYM5URMnW7Mj2HzcKISadxS33U++9NvwXz0+USbZB7DikUCLeLyYZSYnbzJ 6B0M5DBFwIT0yhVVK6+5AMNfaJXfQvwS8jtvHJbCKL3koZISp5WqBfU2yPAUVk3Ccv7dU4dJYRkz JgXnO0Xw3BqCbSdEOE42sit8aR1DJMywp4wUAZ5FMfNTn6Uyxll4rfuQNj4AhE8aDybwWpuUylCd vNkA2HpMzKIc4QQUo2hwUrvTWC4HLcAjMyRcYs2iqAD6l2rhgRtmeR0OomNqe3TtYdsbwHJGj+Ia zaSvbm4IFAXb8bkppAl0gBrWWZ/Oeps0C3BUccuo2MTZxGlzYAIRWd1IFf24z+nPalkxqRCKdf8j QOmqtRMWwt5dcwTLDha+HdV4LuYEmNgLgL4NMV4Mzp6CkSeRMx7KG9UguNU0zZ6+CQdIaERwGaWM nU5ILT++hEni1yqjDWqwtEyanRkOZbMdiPn+lAFQ6614XUzHhdP6pksXZo7oj1mq2wFk+7moFAyS 22v0h6i3uvajQyLwBnLgCRDanaSB5v6RnE0k6hBZUaPcJSTevm3p4Mh01HvpTD/nZZGb6H/TthGm OazdCMXZF29x+zzeN6ZedUFkkWzdaNPqLaopKKh8/dW2FdOwfvD/QmcKhlhzfKvBBFkE5AzU6/s8 mpfa1LekQH0LsTmnEtqTfnqNAP8wFa1sgkcNHCTcQrpBzCvwTTPCxxuBwFyGcuqf5UpjPhPaCP0a HAsdpp0rxMHhHgmWtnpJivY6IKwTm/nrRUP/2UArTq7hv/9KRAdqsM6Bls3cmU5bN5nYEnzxw3Ra xhZWpxmnUe6hMptU8uZKtm1VIN5EW97QXLf1+wH7yOVpX7AbtKa8MimBWfc3zrvSe9LnxdXFHYIw lJsWr/TUjvUs+6I0bAEQL3ncEU+2HUeB6bProM+X0eIqn7l1swzBsyMLbrhJHvzPixKFWOp0+vd9 I8mQF8VkSqHvPpd4Wvx/epCBS8vaRZw0rG5oKzO7XSNR8Cuh9+umwVhoFHM0VlUegaYUXb8y0L4u UrIzoyBaz8A7E26p3nthSji/0B2+Grv/oN9pPVC3n6ZhTJkcwUcgl0wKle57wdzjqZQUJ+eXwIu6 6VfZeZNe7EB/l8ikwbc1J9fet1Zgl+HSK1uhCOpc8OndSINPrOwrbLWRP9TATZPjQ9v/re4D2f2W uhbSy53+36g2r6PehRxsW7co8w47oDAeNOr3HmcIxb2Rsg+dh2ZdP6SKpRpRCI22XqAN4LCBRJUg XjXgVHUoAv6vSwBp7i26NbY5c7MlaDi47UCa30utRUKpzsxoCpLcza5J14e0x5pHDnVkv3r64iF5 BSkjW0TdEJIF/F/nykkjb4Jc+RZfUrjFaocjABG3X7cAckhrlDeFPuPmEl1G+4wKqkDrVibv88A0 qPzyk1whwQEOy4T2DhVcF0s1p4SxLaHHJ0U6xlYqmEev7y/t2hfaJO0rgXf2aYPs4BymOrNxcV/S Xsh+pQTI7PtW1GhOQp2RbqDb6oazayz8RoFrjOt+FVM7DcyTbC6fXFVqT4KY9ZkILzfBS27xprPC O5jxftDZvn3tljms+fbJhlu7fVZwONbthdF7J9HDvKZw0DocHbFWpupDGE6XE55l1TTbIbZTbiVV Ne86fKb3cibQFvWBxr+CRJoGIonL+i8tvdHQ0wWSznlekjOZVm79PlgeFgxII5ySTGZp6ibcBm6O EoBEhlAxbi0VORtLhExKSw0djzcmmiyUfHOL8NWEU4mlv3G+n4fd76mv/XCvyMFyAuPpLeKaGDf/ mdlefZH+UKDzywgfJibdUo25E77BmdLOpAp7XPVS0fRIaFdQNi458qpVPzqlvcxQzCse/0ekk8mO Vn0k2/W5M8p8HeKEaKKonypKZX3sbf3kfXc+0qVY9ZLkib57SYK8xVUX72URnc7Aiif5gHFruVMn N8AqI5r+qzkD2ugNTZDV0/RU9YWDqxhp+0sl2NenXtPuuNBYHI6YcsG7ZCJVqAXPuJVrasvj51lh MVZ9vcg5JE4NfOd4q6pjID7wMstcKTCeFdYl5Dfro3wUw4uV6hQGdD+bhV0j8KA3izFQZvc3lgvL gQD5CSmlHgw1M3rh3MblcPMTpDMQ09HwdoRY4lC5uJqWl0C2VnCq0dy1uWJ1pboC6mWOc58iHhxp 7dLf5j+R16bDRqXY6n1+1aaYS4NJ41Q6BBkV23wGMf6m08eWG7REp//l1Jf/bJTfsGN1N3pYEiNC BrTQjTR6Thu7x7Ns9JbxqopriQP/NvgVgElB704Qt0vtDj5ySINu7PfPXr8s6btzOgenDi5np4dI W71i4hmG8PZV/XLnae26znHhGIOg5Xgvp4Pcaa1HOXR2FdajBZZ78RylledPRbOaDloa/R2RxQYN Itr46pUEE74gkm8gYnFXHFbIg2K3TOXhpkc4x/XTmppXqFdP6eh2BqWm/rUXl8FEqZTvWFCD73sT VC7/MJaKkjstfTWW04hTcdbTzP7JONs2q9cVFqF2jzsrGOQ2pXj36y88xbgkz1YUeShIpbNI/OaB O61QdxdnX87YgazDjuexpR8dmKPIAubkOa4Y1r6VPXktXL2dyNK6OsYtN+6BtaTpzylZ3+lQbBuZ CGREDf2xjQeioInDzNX19vo5CXljzmwjpKcIwigIKnc3x2wSODeJJMjMVRj9cR6c3pCBromtWTmR Dy4CqggAR5g5ibjjsZjtA/QVMJN5QdQ2Y6GgZf8CFoD4lavb+ByghU20FrpET5Nj35k+g9DoV0b8 ETH+VxwX85/kYYRJivIAPEefez8Og2SOO9CbuOjXWEOh4mQDY/+KrRCw2jpYswqHe8H6bVu0ibAp wdSbuqTMzbb5zSUxqBn+hdqo30apGj+wfzWL5lFcPMFLpA0IczRtd1YhD5nddVGwi/vPGUvCM3xJ oHQty6gb4WE3vuVvSDjmYzEvLGxR+di87mHNszX5HN5qFN1TVjLebHvJ/HTWVXpG+qeZzLCdy6CK YAnxIB/uvkvJHWZj6m6/Eb+r+aq5IW+0vBrow4HFPTjrgfVCvYN927H9xKRloJl4iuIqgYBYgBfs fOZJTRjxRflxaLWusPflEjimUZyKgoAuJ0DC5QMTv2DqpJlTDnuPhsriEf1uuC9uazfmPksgxVWC AL1abu2uHNpcwhUfFozoIM0/Ssn5lbv0gSZ6c9utnW7XwkliLNzzX1GuMtv7s8c6Z2H1iyqOASrQ nmnxw6I2XLL6B//1mCfY/FTop97DwHAJFO5dpPXgxUUVdQKMq42JF3qC9TcCkhjVDGcvuDxwbEUQ 1ueZTER1PMXGEBzNYH/8rqDkUB7VI7a/5KF9aaC5kcoU8eUiiAMFqZz+VQ80q2qvcNuBts9sgXoc KnfZNSwVeEyZAV1wYuDSmVjez46hx4/HCFUD7icVN0a4Okocm+X01Jj2VRgEUCxhS0StOlIoLtCM eaqyeS818pOgq6RtbpcHDLtVnvt6Hpa8Q52/RaQjBt4c3TUCMT7VzIO0ZpWbCf1lpzLIY930SlhE VjqKe48U8WMSblOxmawIOfwYoDJitIvZC+295Wz9pTI8xuD5k9TS7TZBHNTjA+UYYt4/a/JexLvj LHH9IxQ1EbeLC3DRsjNAOtB/CJuiBoPfF3oByEx7L7cCRVUAfJNRrcKqhuoT0MvP3rgI+NuasCpu goXhBUVmWgYFVD3R1Pm07gmGyNTsJrWHiL4zgqiVCceu3FFEzZxvIOR+EWLP3o2elQAlUeC8Vuuc S3nZRq0Y/u+M6cPjFnHfHNbna+j4EFr9CWgRTN3f9RbB9xJH7ph77EubDW3V7Zl8hcf+bGQc7a9d EBdo63rZoktinfS17eBANY7L4VKd8Hqfz60XYZcx6lTlQrhq82e3I5b8JYVra2rbFBTCuWlrHIEk HDm8q2S8n8mzIqnFTaqTYvJLG05ly9l+MEzZX47dqcUqTgUrt8vhNpgJCfdhhN718mmJTKqB8/P1 oRYTINlyYUfgpPfIDisAMeX774failIdmcWPajmzRgS7bY9JwG3eBanJCo1vno1LXSH9MNlgpSg2 mLNGr1x9x4Ru+pPX7qHIDDBjdDNyaZwaFiec+lgzdCCoQlxTpmslsG7ik7bQNp85bNRgm400Fwme +8BT77R5fMkM82w0kXdd9pGh7U5bpDiAHrhZIUxvyCwc852YqWOdrYKlYo+/W57+/P0i9KpHySEG URrfEOMBEYgT2Cc66siVR6X8WlP3KbKrL+hyzh+XiXEIRDIDVGnOZvHAsglf/ErSURH/u4/gSGEL 3PNXwZnE7nIdXThwShRqHHH8B3RQOK/SvAHV4pEXarZAwnyC8x03Tbp5pH4pOnOEF9dgwAmDz8Nc WstgJcNcZHH0S/V3WMOrybMMG5ArBFcgDWe0ThLHM1vRuDG6WdKjwXTuDrdOrIXVXxTCi/oRU4F4 JKczpGdJrhojVyZX5we7MbGdL7f+hHBEUfGtNO6l6yWNWj3AGQZQ+Fv66WZNaqz2Q4Vpp1IXLE/0 mxPqsdikxqazRPViu7gSttK+CoT+83hXsAIam92sHVTt++YPlh8RrhN4VMGvRGckosLxJl8TzDgj uFaRYHNYFDJBSYlbzF80kRcXqpJsumNOJgrZn7b56+N1R++Zhdc4ld0dGcJUYWzY8ryf0XoS5zcY w0u9KTX6F3zGc/6O05B3oHtBzdZErB1rD13+bWJJqhV3g5nDI0leRheno9gyR1sYh5KxjGArniKb phM3N3ENhA6rO1WhWOktPGY7BMXzTZEHTyxPiysPJNeM44fXU7YkdZd9wFAqk+aomRWoYE48aRn4 XoiJW4lKvWOabDU0g0QgOAjMb2Nf1+Cpi5QSSGYrtSZYN5CkTesbKOifDmTKXL8W98BwWm6abQUm t0eXGuNTNH9y7qIyAFHkn7xcEz53/Dx/x7gmYS7UPoyNEBwCplFQUjfQVrFncy12g5JB+6GpOoyk GeHVBwvHb8k4Axq3J3i7vDk/+0Ti4HFgr4m3nvjIEWVvOobNvMNnOyhU+KxCxLGSclsAbGdqHc0P yzDBgL7/0G0rz+8OQckzBL8+5Ntp4ZHNeSsIOIYxroxeVeA3Ir39WvU2rvmsY6rfl3GKJ+3Mr94K ICGFpGJAg3/QINJQRaz8c4vPfNzXObkS/xQxB3MMW/XHA5NMiQXyflS17hhJL8D88Ze/h6ZhT7fZ 9ZcnhtyCiuKctLF8f7kc6iyHzTS6FtsqZayIMW30hJ2apVm3Yz2mDYND9nSPXJm4Sm0mNZMo4Fxa qSRlD5HAtaBucNgugkqmJKlXvlGHinamnGH3fVfDxRL4gGYmxTZTPPtPbBb99D483BffKRQ8WXVS BhD5ZCwflJD+WK8OZEAiMBsdW1+UQECnCvxZAy6tFw39hAdvgyC/d0Bz2xTzTJx4O1v9xmzTI/or pHMcb94oQJIBPktDBrEmFgcV871J5n60qGCGLo6EgNlntZlpD9J5MxX56ZwS8W0JuGFBGeJ4KJGX 6EWXqMnxecxi2TthG7AFA7Mg/WOAMYiN+ZdqX7MwVT/RTch0i7c7P9i8ZCI6X39443kTI6kCfrnC kbUBAgP9umCGJgV6J0ec7cBJX3w0NM70wEcNXF/Hhd83Q+HnLyVfLR3pIxBBOWzBi4GSBx0zVaDI qkZ6bLQ1O7C4Mt75tFo7x+WRYa7ib760pFXvFTGNpUyOw3deOBOINrtF1+PZpZRsLZAP43EVQTnc tGB2nnkQNpxHG4mg20FzKVDZoO41S03+zczfLFp2Nzpkla8YJoBdWSJ7c/v9NsholqTzAIhRnDdm xibCecHz+bnMJUvWMn7PkXRECXEGkLo7Gu7DMgDgi5yfiOWI+H2IYrcKJXqwlE6FnUYlw67Df/x0 yzwyQWgObPvCCvh2Cnz8mSxJnV/SzJhWnR/dbR7m68/anvudaaJH6VtHSY9/arheKCvmD5Sc1XAU XzkGs9X8dxyhglGcBP1LPcG9R6MNlmjcOZhBP/eALdy+f/+bzyth8Es0qEjx8nbYLdXzcUtQr02y A+2PFC8xbAIDz15c/ht/EVu+6m1Ui5MqOyKQ1j99epUORE9GhMSD90odAOjS0LEDP1ajWuxxRHUY 5+AqidSIFjCQdNnDZ+IG8EJpUUmIbOighvDTgBmGbnuy17gSNGki8sAkbQkbNjYqCs2dgZuSzAJP drKyUIUi/VgUtCJgAw8J04fnGq/KhMznuH76qTzPSVM/kLgbDi8I6vOfrYqZ3iSH427WMQ0EyyB6 7AikSs7+V9HUpLyTYAynDLpbatLudpG9QQNSEO2qRkgPcl36Y7wWLU46Y1GXSP2uNljuEFtRSXPr n7tItkd33BSxVhge8f52OLLdSftsITQEoxNlm42XTXeJ9fz7Dm/JqkwGsbAOX/M/IrpfNQVF05hH iyiFPAHhMnE8je7tnnJkCdxI6GpXW4WrSzdOX0WUX6VJ80lw4sOfbZCjFG/2NhsaalmT9JTrfLpO pPVGaVkQ6apGC4UknMitpGOIc6f4a8YQy0O2Us9VAd6A9FgU18RIUA/AHyFgM1qfFZ5vF4a4sj1H ooK6djzCM4PgwGx2GFjWPJhEXuZ8t1+KNtekxcGcoAXzTpjNakVUzSDwYDwXHirQY2awAIj3aiA0 RzAkCCCeTpVfJL+mf1mHMbX61KJdB9jCjQElnTxg7VYqBuPAt/R00nZ+EaXFHAZNDM8jUkS+DIlH 6uARcc4tLFthvKxCD4oUtTpUdj1BvHzv52l8IXqWS50eooFcxJN8NLGKrqgPEF3DJ6vgiS24PKtU bP1dgReTO+4XsF/guFobDmfRyiPycEm4WegYjg4TOAki76jPCBNUsuGR88SNWOsZTEK2hAa11+3O kZW4lLmO5jWHnOYByQY8FYsSYRw+wk4NKg7B4njise8+LC3ru+FN/b27ZzWZJxSnKTy/pCwX2iE7 zkzQ5hap8RGsddvwU3fRSSzlQuZsSk/CCntVFwbTBWjkWC+lEKyuQrd+YvBwvBnMCC7yKyEa5qOu P2f8NwKer9v4dOK4BZlLwnXGYVZXjnLm2UjGl8n1vlTSq9E/5txudOKp+aOuEaK8kxhn/XoDga0q 27JVH1kbBZhqQWGUXFCaCxUHz4BFOxAR7CqbkA+iNrWKk0xuoLg1wXDJ97N02L7wJkAIDD7u8mKO kIgyxTVVaKqPzwFiya2DcHXL9kCfr7FrYFm4SCB8xfgyQm+pT1RhJHmUOUQw0GEGMw3QsJmokNs3 CStxMmUDdHP6stZalBNsAvYSkQNjjuPB6PaBgHdWU9ySeCuSgCeR8Nrtfx1gsJhM/6upJNZsprTa Ffb064i/kzlxURfY21/ioaYRPWoejMj+rtGdiX6fmpzgC1LQ9lm1OBfTu5tcBA5v8paO4agMr+vg ByEl5aD3OjBoEXxbDqsRa0tyFsBJI7kVY1dUCE/2BL9asILmp3SPZuE178N849qqFrd/rRJEdskU jZMavBVkfBTn99cKr9oWiOcxD5J/K/EuEKL4Clmgn3AAE0F7ql/R4HifStQptnj07FVE0FSFHzJl dtOkuT1xbOZmBNRhr3JFK+KKxjT8CJyt48ZAlnICpoS02rnBswbyj6aWVzJ1oYvCmx5qgHUkH2co qhurxKDT4I1GJMkLaXX5OvVc9Blee5oJ4BY8FJPEOX66yXBLwPLTtu0fvuineUB9ZGNE9xaI0riV 8uVZrUCwX8axLoTaIK+RRylQXbIwu+Twjb/tWRkVBinzqn4xw7kUG1RmavSlxq2pvV8qM0UfjgS+ nt0M2XLJkEcGOJyc6gvDU18dlH2pYCIoUL7ZyFJccy3KMMt/XsNSzM56UAbi8IDigTI5zkscgH8l XUVLJAqHOA/AMpig30kFjnIlCLbY0QbOuZpXsumrXtz/0mJCnkU0TbMORpVRZpToryQ148lnvDzx lyUFfMMuOWCbAw1aHedbC8s7SNI1Kd+rfOv4q6EAnAAZ4LZQ4WXcXcDtDx8QAsr75EXvDcNVaysW mFxFkvbV7Ys2M3c2IjTGRpHdijbDy6iWIzJLrYDf0L6/WIU2Vv3WBNXbqFXcwsdJ+0yNL0947rvu ipE9kaOyFEfNnfTDDdTxR+qr/F7gnFBqQzW0dL7ansvMXrRuNT+oEfa3937t1KAPcVeMXKkXWxqx G40U2CfUfqWKbHeTAmVCBT5g1vbZrCmr10F4EX4kE168Y/FvVEhU1YIgbrEH59HAFtpaN66OWtvp 4krGcuqfFK05rqouKmYbOMxck1Xa3kr+2hUNaMULq7T3m2tMj09oQR8EYMV0U1y4G8eFwr3jpkN/ 2yAFPrLC6O0bsKL0aq9R3KUtqMrWYpNZiPDWWzawxy18n0HePqtuyIKAD2NTLfYQ4zr5Vqlb5zEl jveYM4GLC7phYJ4+y5b6kFJJgzBT2DZWM1RFumYs3YWVj8BU6CTSySZiiKr0D3PYUO8DjWq/bvtz VBDShKLH7w6sRrN4fmnpvqjdAByIpdZV6oLnpolwF4evooOdIVaUn8v0f/48V4EL36ZnL/PhpQdk 5P8BGw6XLn4s810a8q/g+aQU/Qd9HPKZ5QfcXkiYY02WXmsD4NnSpkr1To/ylmKiOrvIpOuqD2fF +d17ZHy6oo6gUyt8xZl6STM+oBl7LxhM2SlNhdBLn5jK2FmnN4ECQCeocV0gQLLa8Au5ahwXC4nz kLQJb6DrjnfMEmxy8Aj6hiO2m9q4x3PZJTiW8Q+HTpuY1quSW5Drw1xhfsuWtU6PTOGFTcMng6DT Ff9WLIKOvMn8z/RPOvQAlnBSoq38aRLNJoyzscUADCU14cH6EYDLbZzb9gCCLXgITgSexdheFcRw /h9BQfuLhY23aWiti734JLdvG44LRhato9iGr8eHVo1QjSpus3hi6xUNV7g2Tmkm7T5OQIaNcn+H 9DXsp59cdgz94fh7jlAhqpe+MUZ/FrYVKP+c9qhdrRmYGAMIuY3iIpgpKddSPSUnVUprUeYuqSJd 1QoL9ZVTyEu0h30+7lHp16gpT4TqKYVqawf+R4fWlZ4TQoA+GTGXuICgv4HbXNmcf14uNByhbjzY nGCNXAbiVEWxu8qAsN/og8jI380FC1heZhR0+N0abzvLLM9tP3sj164/VR/5IUCE1i+VtdxqREWK IzH4qpVdflNjlne3Nlod7x5dfBB99qCMS+zRPFLB2I+lzkBiKLfOeUDxLWKpyza2VtnhO6/bNyjb vV8LuOHVdNd8OYyBXvWrmKJZ7mOPWCVXnxI6t/L+5DnmoHzzL7IOv0PSD9957WBcZWhfcRfiAUkJ x6S6+9ZgQB+rVssI9WMCZHlfdEjt2VlMo0trDsMya56R1BO2lLQ64dLL961OGlEAJclWGKuDbA2W KSWGYlbzGR5DjYvPYAKK9sOwBQnxZBOvhM5tia2EyRp4qc0YiYzAY9Tb+R551Qi5GDcbUriHM2OH 6t8VD7sbrjFD23/IekhLx6a+JFa+JGIqbdVJNt6Kr6nccX/vtqssiBg6eiks1xBR53Z5Tr4DxukZ wt7VE6TdWGypqYspeAjGCe3w2Oh5QIWrMzJ3K/zyaT5/kYRDqxXv7iGmp/lEYtLF+DB/v/ie+yz2 GbJasAkBntlZu21E4twfoLcazCk1GVyGUeNiM0zPTVSnm0jhwDVLtqg7Pxl8+YYWZ1rAbILau6bc pSkcALazyPIeDn3URim9kHapn8bR4qJCpEcOlTclDgTDuFJZ3GNLffPyg2ThOla+sytmYWCqb+/h aQrsAetFGEOsgRA6f5ZMfQQp7UouWAf9JPwoSoSnQAsHejHpQyPEHoVUG/EvYvU4HC6vHS3XN7bX wzZRtbdcsbRUMHzYRGSFjAWTcsPAkx9yA8pLZqqnJptB1q2b7OSaP4UoiyXj5S2ao15mDvUFL9Bs Tn3Gf6aj7xNvAjbM9lPjgahpxFHRWGFiIZDYfdv7JmFiE1kjWQow0CwAq+Zwmi6FR2mj44EFDnod KkNJqfGDxYoRg7IbGFvSr+1JfZ3xrVOiYU1XnuFYa6X880qL7GgC+WM8872pi+G/1bzo0H/2CdNG dwOpsGpyoGJafJPpIndmjcEobblp+ZNABRMhzwmJSvb3q4v/elVmEkiFuDlKRClf4VRj3OhVDY8X dMxPGFcRLAJZV7cTjpI3S6uMwP15mr9rbwkVQk5cYFBmYND/LL8/MckABs2wPpMiUKwLL0S0CrO2 x7DKR1qR/nmHKUuBRU/G+r8Wd7pc0nz4YIvld16zpbMlprJboqoxD8q81cPJrchO9QJaphiKwRDT 4eAkM2N+utFNqOfrXwBwevD7sFI5UO6F9JkkyogNHzs/KwEZ47ABq2Pol7cq23omSAcgKtNrrcKD dsRkjU4/DZ3TinsUenOeOMAOMUu5OEPJ95OufIqkSLL+wc+JPSDJS2jkzQU3I0+C2T7JmE4aCkB9 pDp8v3PBnNFTNEwW7eDv+anLEZJVJIrwQJbk7AFJuqInyjFNVNy4UBHfCtg7ej5QEB/98vfV+mfk libioXDlSKwc+L9anQeqx/P225MADPeLBvxHOQHeUDzgnmuuAZmyDzqHO7kjivtm1v4pBzqgV4nY Dt5N1qeQNQXdOR93y79DYoO34sLA1L7jVh9w2ddu5D5ECohyPi1B2J5QQV7FUX3JJ9tg6qbWIX+Y Zy+iiNnaRHaOQZwJFJ7ec431A0YSWR4ApU2C1HZ1Q3HjxpbPJ2Oj6m0UsUua15Xe/2JkoMgLy88A p2kamD+9SwXU3ah22FWCsZQniAAPPG10+n9LztOmzTYGgszCS7NIiiaYxXbiRJLHlz1JCsjiez0r NjWH5sLhfwiY/C7RJWh57rb4RrTd5YJ16/CGyzpKwABFdzzxEkIcAQQc8tzWorbs+hiBEjXDEZLt FpYqdr+/P6K6Yj21tM7ZhV1glX7uYKLO8W3VjSFNHUJxHB/YSvi4q5lUhMPN5EOQ4ISfowogJPYB UubxKBOeoXwRo0P+LQeWsDQp9bNTXP2Cp/gCjXwka7y1iocYhIdU2yxNxudlfmPQdOYXhXCG0XCa 3fgbyOpyFZMkFMPuH0iuV+OvCawkqTs2tlfEoJSNe8bBKdLGEdGJyy07QU2YDwHQ+gktue3a3pvC XTN6c9gr3Yq2PJ9v6ikB9yN1WL3LKALwptRApB9k28zlXTVVP19Ch2ObMKw7EfdTwaPuGsbz7Ooz Gv1cd8WoWotpu8yAVx2ofQA9vi4tk9u10z7aUg6b61jlo+psOpcgf4uakeONUgRS4zmL+cRvWJ0D 9afH9kdFhwf8xJ/3xppxkgOcms/MGiSSHIOXhfdaqNgfPK4HCizKlucsEopO4K2rVqvff2AUA2DF Gl2j5iYHjR6xnGSqLQKXwLqzx2NCoC+SIQQ4ht5weaVhiXTb0j/GmHvifLr3yOhrEIyr+F/udMJ0 4xTM/j1Y3+wkpXZjB+iH6ezemFM3rIHZZO/2OjGTTY+zzD3Z21DwfXKe62wN5vEhdGXOBryvCQjJ K1zrBtAeHmqyGfVJpLa/bVVk7GQqwsvRRXnFPPGNAnBtPpNpbQ00KI2WDtIXLn7PjRIoeIaIXRpb 4u9RYFL7zbE+4cXGF0h1paNHS9YcCbJezEdg8/KscRv5x0hdD80yRIa3FQLIZ8PnXpMt040uF6an Jyvw/2WWrQMNpvxThBNJGUstfd+qYDZuZZplw/ShZjgj9cVsTeZG/0S7LjgahfMtaKbHBD1YvS1E kRhKPHlWQG+neqEdteDApVx0tideqeoIZqcDw7chgV4+9ZDUz8x8E3/YnNqC9ODWu8w7zyET+3b6 PXK28+Z0CFlxeOAs7Lx/Nj7tefAD7RBNENDVW36zlhu1FMMB9EiLCfjLm0LlELzLGH4I0fv+7Bkv KcCbZqR91sZGZ+xyDjZiNFJmoBVkbpV29/Tv3jDoL0ESPYqdtxQbnEMC1fhkmN+nOsR4ug4ng5QZ n6sV9439l46ktYYm4lBcLHOVZzrhBWY0nFsNGpQYsdkZre00ThHPET7xvEpEBGu83MlHe13u+dW3 qF/t6mUKJLGXFBNlUZyyCt/64kNpU6UOxtwvvk25HPdF6qBXTTqCfuppOZP8TN1karuWIPJ7daUX kr3UFbsBv73xswIvwj6AU6awyrYCzMFJ1SorhU+IvzI/9zIs50dUd9/SzX1DSL9L/rYLQHVLlqvU bN+tBeFapbDTVkYK6gLwYCnbhKuDr7y2j0h3N2AWsZI0EMNDUmR5MOk9rTxNHUnhChygRJ/jtxTW pr7zTacOF1Oi0jLBE3s0LwlQXc4P+TzVlSr1WAASjwlVER6suSXf/4vRHOZMYoc9YFSQrXl5oGmt pahkQI2goOA245a/gvOFofp/NMu04ZZE81w5s/j0cfsv/c057B9LakeVsWMTTURT5UJLUHZ+3gZw ZxfJ1ZbAwIo35oTH8bUmPITmk4pc0+J13Xgq6OzNGfQFKKg7sIOPz/iPjtDvMCmojHzyJ+UIQbBR 9eT/Pgw9NEKfjL31s1Jjtr/a12EtkxXY5Lz9g1ma+9uEiVosEAkIQu+KJJK+HihHNdHouJo8Ccu0 sjEqvxAYJpaMyq7I1tYpULQTpM46f7IkpuXI5Rr1tVmMDPBj8rnHTQUoqbR5ZaAKuqI8TMcWp5hK ZpqtjeJIpEASqRtYyK4NFtd9AW7/4zB4KBDVd+GOg5IrGedMdzGhG1BzXBppb09JfEbgPh2WgIFX L7OcUMPufpNUtU2/FXXiHuqfvbWE4frW1CAmjDTe9Z4MsCLa6WAyrvqRzXYj+j6YL/Pp88QRaFH3 07asy3aUltJJauNYDdK0xoa/TIxnzpxEGzPjwPLllxrnAb7fCv0pCd0BSh1ZXLE62KwZyoGCfUeN GK36gdh4rzdRg2wtB0YFa1r5hBh99YyWSmDnmp0MG4YKxqJxDv12b8C/uH3tEB1TGhyABKp3a/un iLfHO7cVzA/rFGFSAXWeC9b1T7T6Gq+mAqNcicUmzeO9vbs38xnTyVNojq8pG++e4d/a0PmOz13h YPHIPOPDEvvbzxA/WZdCwLMBw3oZ7QTxe6tgLkxof+ryWppfATyzyqQ5WG5YWk0Pl71D7BVthKPQ 9S+UiyDCOTI2bQMXanYJksc9dBxBTuo9HMRcWk6j+rrLuYN31iOO/yKZRYPmRKyz+DcpO9hxn3dW Z/OVQwdLIfnZBo1pWJnE/sHbPTfMNi9yfMG2VN7+uACPNMYDqr7XsBUkMhfEJ8wX7k+sSbc8DzbX pRVz/PPv7Fqspif9Nf7dYk0sJLL4adcZAGPcBv9G99MqEo/YjMfm9DicCcxl7VmKSc07K56/MRzK np9xENcXt1IjvdZ93yyC0mdGeKcqJHNwvbaf+f43EmkoywS0LeHMl8TEFSuUcH8WkVnxxoNa9ON/ 048ysSUInQ7Khf4EEBdke9zQjQod0IfHL8d0CQsrLTw6Tt4mMLU/KVKGKvhPM5gu3XRwIGJ0fpTc aYFDVTdbfMSOQoBEMwBZye0FEN1PP+mRpazLt5X/L4RoZumisG21GFuiE/ubCORhyZpMnvMK0lcD h7iwfVOJ6yqhbMq2+Fy0VvRMmf22Z+JqaRzbD+frMjjylLKo958NKewDExxgkHIwu4FoO8DQnhzk y45V/o5BB+ktWcWA6a9VUhBt3SfenjIX9lktvyN+EqqR4LvJCW+xz7EFMjAlbbAyiNrVIdTRC5Zc KzCVkT3tbC8LhF12exsv5KyQrm1jKJU0VngHpA2g6i+LEDagXF9IaFUALCUAdEAeM91YmZojy2TG eNG3beg/IQHKaT6kXxCCpPhoyl/EccBiLvZ81OdNVWbiWvT+h/QC7r01BNtuGihxfeEZ3MGk6NyK +f8oK55gY3KaRKHLkdF84/HuJnPgU98LjQoQfAPw/vxyD8vgHSivDMVSY0AkmgWSlIZRchPZKEEi vLkVxtb4kSuK7QwuK26mSjRehv4KGBTysS4yfkTTcUvfxTh2td2N4kaio8zc+ITGTejbEsWO2xcc SRjRIeGa6Gzn05nmTnICfAxN5d4hfspAtbRe4FNFQqhTPSIWHoB+xFPd3uN8jbNqFftULCaktn03 yS45Op6M46exzc9XsDP9JM7uQaVYP63m5er1YzA5w4Pg+MlgoBACCrmcJDE2mJn8nOaNjigGtEUD QM8pxICQI2yj3/PSBA90+XHqnzIoeyiH5AlARBGSqvwA0zbNr3Y5FXs0FwnF6nkeyhhP+kPhtAyw to7ZQNM3UIKLPEBijACs22cvF0l0QVy4MK3tCDcEqvJfXbgKjN91/e6AKzvxmT3Pd7M6dyUM57tk EebL5TnN7U35nAYOcWukXMsT5vdAYO5enLAwZGWSeqILcHoIkANTbjYFWEMRBx/qkwrY93VRdwn8 fub2TFqEDTKEZanlzMajJHgyYw1UAPXkeh1cpfOLQk+sRDgn1X45IdCu62XpIhYEMtaXSUjHOxkA TVhweO+IoP1FzLJGRpeqAbyzClgAr3kT4gaXwbIrZiw7HJ0AjJjhQzvh0jm+fVJSSLLk6sz52YeW WKOlXDCde8atmGPKKzvfyzkceCPu02OGq4wFBcF5BXFoD6w+LXIwFuwbhfHGiZgCIUwC0Fn9389d USoT8T59owl8uDjNJQ2BVGdJs9cyBdQVON2dXo4EkI1D1SM0bCIBBZyciQenCuAa2jTOjqcXNeVR ZluLC1yBSjC6FpT7jLKRMInJnqkk7xOHNdDvrkthSh2Ut0prsr0I0VaOqFPGXNBIe1XNugjjhJ/r prfobchEbWc9uv8BKM4v/IROsEl7g3AhzfPxKpj3AJxw3xwgSo23SYNKFID0qy4f2/0o3Ykni001 DSgw279sZWPjYjTsGCx2+tch53YLNg9sRnpy7U7+nt2cZqkIvgxtczKbEAJPcMODCw9CILTfgo/B u/cpokyvGyJaH7jsa7fPe4Y6A6LnlDBlCcddmwQ/wwEzlCk5N1rsGf82Gyz3idSE+v5wNpDsAdiN XBJo4wTYOH8ta63Lku9FV+RBo/AiCdvRyVwaILhRnJskV/V6Z9DutLijsKY+/sdl3lIqKSlwabuC 3AHuwTZIkQ9mxHcQ6hdqYh4S+teK5AuHU4fyh60wwy7TSqxUKamNWkSkZsBRcM4+Zoj6I158X96J au01axxaBcDwi7tEcONw3bkGMA6rPL4ZvjsXj50ckDP/yVdSz1aqdKIKyEjbQ/LuACxH2MqB3qQX t6mDU92ozaOudoG0xN8c9Lrz1z9EfbTQc5Kq3Es++g9z8/o7L6hZygbwPEAzw31ZjGhk6nJ6bmzc ejvMPjMbB87Sml2Kcdh0xY3fb8MZ6APXz937wjsU050KiW8qSzLw4mcsOnJSGKGLc6MLkt5tTKb5 W5CD4i4FfkY03XljNmkMCferGmU/pkZ66dGc2ywIiImRwLkg0R0WLjfpVSvQvy5LpQ6rd7UdZvoL 2oRsA9EDVLDWOCjtCFIVDzFg5e09MKc2xCopEpfC1YmPM859g8cIltgGoXbmvb9LgP8NHyzT7Nyh 6b/U/KKNXrHXbSiD5rSGsm2CIQ2/VgquFJ9V4BG9p6RyPPRxR5h6i3LlDe2TcPNMh5dsc/QJr3f6 2gztFhbNTVrHUefew8ej/ZuAKIgyDdTQN8iSS0X2l6k3u7nzKftLVG4Q/Kyp9sGx2z6qxC+FwEJs lscrcqDHAuHaKiscrGpIaalX8JhIngPjZSNScAUiaoT6+emUoEPnkFcaGsSg4P528lr2WU0IM8nf uAhRxZnIZvrN9z2pOYtlH8JKDCL+u1z9IMxzg4r0hXd5a5AJGPzyOgb+576pMA7DwZv44G2l6M/9 qcif5khNbfxFS5kv41hqfDflwJiaW4Vrg3wJLR9yqyqLwryiUp42nDqVo6f6uzl9nnXFPakJwxlX gVfv73uCFqeXnn+mZY01oV8HKskBV7c3mmhXMDSPnHx5PL5TuNiHcolKBKTW2oRtIF7uklEin4A+ Hxb9KYbwzN8WTr4QrCO64B+YkbIfLinE87Kz2m8EiWYOoGbh0A9RGBrMx1k7qLyET7FSMC5a8xx+ EXNOUK29z9stJ6EhEnNK2EnrUtfw3OU3/qJQi8ukFt56dHTZvPrc4pqEc1ms2yOuBX4nWsPkYm/f 4fCYPv/d7G34XEFudkqVlIKhUKsKUn2dg5huNpJCjXlQYz4ejg9maewF1k3gAVZLGkf4oK82lLnY cJFwcwMEv3dtcxKiMKNnp8SJ9E0QD6FdVrHjH9+s5TGpKf2E1B4al0zmu4xgjvQoY3V4SyILeoiC VGYjW3K1l6O/dPdpSQjEryfI4RarwbdIVfNdWFqmwM0JxjUEg+g6WqYtumH/xuj+sVHowkzmJzIH rpM+TbRedCA3UuCInAnUTSWnwoQOeqGdwW3srgM322Y1LK3YC1AbzwqMHSS/E9hZjKE3J8wWt8ic MlRCgMpo0jbVaJXFm9z7QRGfDLCSlyYiSNw9754/iUec5lSpIWp/C7EkybBw7saRcCBAFj821U1p LohiRxVgwKN71oClIrL4wU8oE8GGRK9E2xDLiv7KpYvr0q4lHD8bWUZyBKOJjs8goUfLcAY2EX4y 6GPKg/Rzih833dxLefP/4sWK1uu8pfH4E6e+M4MzBPVGpw+wTDtdUjVW/nieqCoMA53gCcenD1vR quiidrXK76h5a3zpfVFo7oV5RaMSXcCieGhbP8kfidbWiiGaniPrSMgCc+ffd1yRkDUVQxLrnEUc Pa6qOQ8KeG28oBUdL5FnYDvlTsKnVrGBqxJY9vBqPFBAvhJaWNMNo8vhQsESqigutA/6iiKk322M 6LXY4u71ruBO+VwH/JVXWb0yMN9OcE8zWYR8b4tPqaqCEKphOQu09EUxrFoNyWVasm4Vm6mG3Jkg dZ0tGyVUlKub+3DqWn0hpmkwnfug+ix7bAyeExW/OgJZwYWmbyBy4rwkx8KoJaqleCjUP+m8e/f4 rtG0C0dxFy+r9D3CjG/RDMOKIGaYpolXEDCt48+J4bA3plHWOItgOTyAU6RqAjhMR+pp0pQwxCO4 OTrpL5b3XTQgL5e+Vwq2MEWn9diGf3V6nXn724I7RsXa6ciklCF4772gbsKyl83MjJSr+liaBnTs F+0S3Zvv6r4fwImUs2TucPlyGXEw3oNH0fmo8LwVg4P4Jga5XTK/Rrb1VoQ7aX/xiTx8h/07h6ao 3CMYfJKBjG+54XAA97SMY2Xu6jm8wIIPhZPBG8ZH403kKNeOXxhTHYvENKC+iMx4MOYLUHI/cG1E 7pXjqu7PXJMeZ7egyBq96AyjEBo5ZCQX3Qrpba8OgpFrYaQZlUqb1GxA7UTIsMjzFf9HFyqyabAp GpOmgHoVmfrJmoxlHk5OiP+mwiS4PHTukN29SxHYG5JgkCHjz5D608aP7KuaCMCkwiQEHqioLsrG gwHTmHd3OZloasSosph93Y36NDrQVlk97c5LrfeddWnCHCl7rfSleVuTWVpSAMqSENRXRsQX8ozK XGHIfsUGJWt8f/Tbqi/guFFxLypwTz7ktYMN99YG9YfDUvztc9vB2bQgTjuxvKt553OiSPIKdaBa Ovx+Y3ZT+xEDbm2lsKl5Jg0l1S4QJWkjyC1rR/4Z6yytKbJDDVMRxmZQtXGPrh46IOaokWg283B2 IMto/4WNxbMQ8BK5hz+kECYPfSWZEepC0sosYtEElrl6aME3/rH1e+/UYhWAsRPgGY8EKGtbv9Lc 55o4dzGSvP5aZhtB8fAsAziaWxgUVPUC354kPa2zgu3Bi1730ymSCP0Jm+sk/FcDbHRPWmzMRT4D qdRMaRP+qpxZnN2Ez5AY/9YtDWNFYsqTA5yDljRFl7+nQiHgz9RdVScyAYjONfoLsKpwPXPeyeYK SxxSK6W032iFd0dq4NSMBCaZ5g4pq/xFvIJiYPdL03sSu6/huwTbvNischoNTqxsa4LC8DvYzYsQ b58ZEpZIbkofQM1vFXyUCgMO8FOrIf0EkSYg62IVJKK9267AajIgUW0Jc3agFBOyGEMQCDaUT1d5 KF+DLQUn2ZN6hVE3B6lFi/U4Y0+HP6aLgVXGzPUu7RDlV+XO0QJG4wKWfrGZ96ODbh2Ya3yYX6x1 VYoWjcLLmPrnVSPOgWmNikUfxZVV7T+Et+5AuMp/4HExsoXazsi+0t1WypgD/DVMzZR/axpcO5kO HhJBFfcquc1Vd4G5DAfUFEHLZdT+bhpAybYzz3iyZOb4uZZy2ZmGFwpHNkxTPNlYnu+AU8gBlgwh XBUdYVKZyuCVUtA2YEy6j+2DIYJf9KLtqNyP7IlnxjZzQDVCjdGW1oCqseISigCtlHecH44Pf44e Fx1SBWqKTqnzI5dpEC9WOygT7rb8A2X+yPdCcaUWcbetcmYz+QPrQNBwdIDpk2pd8zfioUjL98DN X/37vL7tAnjEGqzpllTliwrFaxOy8sYYY0R55CdrDC/+8tW+7rp78jIm1tTi2xZLMcPKW6dfQTBv AFDLiyCGTeq+hU9ZZzigCGzmWmewa6hVsTaN5aK0Sn+W/QzZoQfLj4QW9x+B7QSUQji/HSvq0DQN thIrpqgX3gTn10lNC6J0i5v6z8s1Ifd0e6iUHIQNtkGbVcGUY4w3WXwJCa8RSn7pCNIOpVppxlzi MI2n1XlIckZYijW30rSBiRS4mBmZRDuvZmriMozBsW2qkSCrj1diIRaJIt1N7stNSIeS1g6OtqjH 5+rj0pBPJUN7Rlk8zr1dyJPyKDjQ8ZrPa1GTz/uwlSX+aMqQvQr3WKNc6XU5uGwgF7RybKcLWl8W O76unDn96QBGa5trIvVWLJKFMdFSwkTkTWQzlq0z62u+UtVYX4g0juIPxBY+cDbktFh3WyXwwcD6 4dFR2CIDeE4JRq7Jra43WT2nparqx3nqVHEZkqiiiS6P7beQUUwwunn9o77YEYWrwBbJG/mnHmgc +9YGCiexnXnQokSXOD7caEBRM/h01+oVIKfGvdPxoRNxsGJO5f9fAD/qybQaXC5qHtxVHiDVFp3k +SGJvazPcGovL6LVZVIrC3Kpke9XyRuL4/c7erR10evSd3GofyjEq9UrpbymbBdLDTeZuEYufV91 AZU/BTMP7jdfMMcWEPg0jrI+s1pwVBgRRvik5dTOCIQCefKuyhrMGdJTVNm6KDE/wxOqpVrZnYSS nhMg68xWnSxAPU7xcgjxh9qI4Qt6IW/FbGSd6Hc/K656exf1VvOKGm5ZjP3O59YSeOKClMhHlaEq SIFvJTuJUgWpNvWXck3ZI5EcTqK186PjtmyTCUfpm4SKEvRm+f8+p04mr1d/dNg9+Rpxgcab6N5n u1zlVTKkKupJNaHYB83gsY4T25BSsECy5I1CFJW82EpP3r5noPk5cK7D2mUfOra422spF47Xps8j bCNJ7l/gP6nvAgnlb6GnUFsKSabluk475SI6qVLiKUWHrOAyDc6MH0QgX0hsXuNVAimYXb+JUn7v dqdEL51zKfAk9eMw32dnQs6yBkP/lxAYsKJXN1Y4AEgMeR86JvxAR9JpVrRtQEsb7X33gfDZaIG0 TdF2sWukUjFzkPK4E+L6ix//a4kI08Ed+FFpVt4cvMvMT9dJVwKcf1ydjaQ9HrmXL69OJtMV/pop 0eeXxe8ZfFtwO8sRV190cDqDmIZBQvfJ3puaNk0mHAA6683/sJ+sw5qDSrNNjZSOeqH6Ro5I1bMo JVoeAsf6+mAzcoXKKpouG1cY7erApu2aAj0ZKRPIRJxeaC+qS/aLWO52QCR01mZX8ZI8v6LK5icw 3CUwTMQusturjyqASvTylr0ta92X4C+QIF+14aUTNClTj4DcLZrfuhAqjEgmlz3FC7dn66cEAu4e ECTlShGjwb6dMUJpdZ8us0W+u8lHw4PT1B5zBQKbEDzHR1Hnvqqt0D6vWU/3KKwy076s6JUKAF9/ P8vJFTVhJ443yRqf9NmvlJiGcw0qne8HmCSW3935fLChUsYhdtyCTNWaaRxbvj36wadtqqp9MPUg WKzd3FL9zFIvuF5jKYAzIHkE/ujKNq5Muwjev+QF21wL+189DT4ObNhS0SjgWUn5qu3XYencGCM/ +HBJUDUagpgKbfK/UIe5rPtGKcJ5rzrI5SSFESoNwep9I4NLiyCoxS2BeJYYtyelYn13oSkbeGyw UexQJ/4xkSjvkhr2cBBsuwRiYQqQdkjUdTxxeyB0Wpqzh57Xe8TC7DuZ8y2dI++jXT9qNO6QzUmy 4v8LSRkTx05Z+BHVos8LmY8X+hqpEO4dDHoTEoUodoY7SRY1wAdiMglS43ecYMm+oFTrvIuyz8t6 UCQF+dJzfULrehDhV0/EjjHN20Y7EtsU6a3D8BWPuueNkJY2iZ51i9gQTVNLjkifsKQbQvhiksCE krv1YSuJ6lOPvBh7R1kgKfS2AJpvE8bMrso9jYr1AOFVrSZu4Auyg7GDcLduyVpXlGQuuyeGibN9 QsNyqUmmkE2fRY3UDf8zowjfO5fRCwt2kDW5VkBdtyoMDAQE9dWeYhSbvMSmziWJoGIZ/fmxc65b mAXTl3sQ8Hjb7379ai+8DYJmTKWqHWsQadKZzSHN8aPdQkmmhNAgw5cr+kNAI1UtwxAuzr9ZOEvB 5lzrD/6Pz+GVz41YopbZ5Rg6oTbd9lsIGf11eSCMmKZDNU272+mZXzPCqYmhTHUp+X0GXPb+rOC8 VTHwODvVDI4AAm1hgFN/Pq8rOhUJ6OHMMNQ7r00jmaR3fZTBH4/VlG/wLW0Uz1/F5jVL2RinkltX dsZow3zr/k2hcr4FOVND5/KkpmUBW5FKMzSbDw9aeKRZoLQ0XWX3TiXMYzjxHEgltAoaSEt9xnJl QavHeQaLNl2L5HbuEq569wzyxMS6+3EbuDbEDrcOOFDGKQPYm6WhwljjYXy+kFZxlf/XAvOHuGz6 M5y/bl9UuBeL1tUhZ+8fmtC7ngdNCRbya9a5kWtB8Uq8tgPu2SM1qpj2EDh1W7oY0QqCj3ClnWPJ OcARJ5e8AMX4Z91dlALgUDAoAOMgzh2HqK277U3UoP3iz0TLB9JatEZJDAd/WJORehMwWd6NPFm1 yA/dbC5ESIqVOW0uA4bFwJyUnFCorQK/7eYf/wu2iezCm+i10Zce/hok48Wk7ejLGsKqO5kT1zgz 7zyHu5fiT3gQwcAGGkClE3SPFwPDmrbG817MIqXTEfSV3hotop10gLvlftT3eUQJM7RJOtdu1IZ6 6EiOq3IeYzKIbF7AsvAATZFGFStCimCjIVHNZvmBD5z7szBsawuSKQijXi/YNeuZHKQgAV5CQTA4 VCCISjL3hc6WxVFUcyczw8TUUlJiP0ZM0FNq6PZFyIyyH+sTGH5l2hmLTJlg8X6xCaYiLfok3flA agNjQU05VBwY280Lafn9PWFAOPeDncGaF0l1jEYvSF4CxRBEeWOe3khMgpZwbuOV/mhLSkjr+uVq 9pwhSAknZ6WynRSpQfsyPt8DNlbGJ8bHe8XKqA46aZZk+N6dZPPyM6J4rQ4FFxcFZ1FIcWWa8kOC CzeFxYV5GuZGbpJLGG2BZskFzTk/9BKcQcqf9Xlc3lEaG4khfSyptaAObNoqNYT+IVQTrpjPdBqr Rzl0M5dRBhfEIQN6+jcvGczBFU3NWbAmt4C77jrAeBV0dqr9ky4bRGq6UwGhZPxeOT/N584X3m4x W+PUtE4o/LE0crEfzH10Uol8/aIDxGLeT8vlyvN20Ev5LTxZ+LSJDM6xcx9b1wl47/l70le/bQCi EpCWv3s1SZTcQ7NMjlzrEU04fQ7mmLJ2OrtHk7j8lfqQzqZwptBwRFIcZjczDIEFXZkfNDbWOxz9 O82musEdEynQgUMKThu+sY4Wx/9TAgDvwKIF8Qo0lZpE60Gdao+rLWQUd75N7rWNZXGuEeP9bPW5 GTUE05Ut5gP6ZPWCx2YVVokCsucvpxrMFfNq2uviqANlktq5FDyqYo2GapGhin3Al8BMtYsvkr2w OMtdAyZnsiksa8rI6pENAQfcfmZG2xAA4nzk3PcazwSlOfUhI5oWW2bz4+rzhsi0vRsTGVbpV3r+ NrsrKqhaLcBOe6p3aJADr1NT6zSqDfwfGPxCK8//vaOpk6hiy1i/u+W67hOg6/i0UURmTwdH2vvq 3c8FA6x0S3Pi2fJ0m3SC3TlWp2o8KogQG4Bh1cp6ohhtQ3gx3gU6EIRemW7UOZa/2sZfAKkGUjeU BSVb6wb2lx3N1ddNb1gnB4yre/HWfOOLlkblEjETkTsi4qt4T1Z4dJ4cBgU7SV1tyfxOm+vkG1YP SZvpxqYrJcb0B+tGqHinMGmjemdqF7BhOcHE8Ry+lqVLhMnujgxrjZxQSG2Kh6wkallN9JeA1WsP AFyhhHPgS7lD0TbwliIzd1wKSrMqZcGzUKqCnrkzzlCwOI5GGdroGtWfpDepCuzkPEsa0Sq5NyE9 42UfK/4c9+6Dw2IO2zdIyZwK17baaw0KGCyRtUYpPPkIEsGWnhYs8QqKWPiyINNd7mrNx/bEV4Rl /p4g3URMCE+AyiYUF8wZt3TpS9bqM6+Els55gAfumZRS13MFAKi6T35aDf109GF0W2nfSKkxe6GJ foG2Nu1OsP27pRTqnBEHtCLlisiBDo5hz7wMMS0alzgD2Fr5YG7bNHhVVYMr+cR5pfnUq937SBwQ MxUlihkK/F7n6imyQKNuj1d4iQMknQvrShvqHGUu6mcCgGlMAx/KCnkU4J1fvDZc6pJCRorvv5NR vyhUb5Z8qnbghIHhCTc+D26iQpoSzP2gFBhpVBbS1A5lDIuFHjFKGNuha9ShJDMg7z75zpfhANDB mn8jP2IMjvcnrQezlRT14ppAKgdewp2K5JUQYCndVFBMxW4NSB2S4A3TKHMKmJJquicAILQ0wygL XuuDuH4M//qGITK7qL8xv8vLbEbY8kVX4sjkMMm+PjCsblXzxWTkDxnXihpYkHHhSSeaRJxYaVnM GPxM2bguhFYmZCdFA/Yllzg5OYhOEHShUQIY3+jonXwubjzOYlgLed68umSFr72nr6rM4MQUHin0 dlP2iMahaX6VCGb4hUEZHkKe3wu6GCKXLGzUEz7SeFHz1pgzp14Q6wVEI+RjwAPIuHIg0QQg4p+1 Gn9Q2qLt6A5F/EPLyL3HlVAjI4ZBWhEqxr/gTzF6Biye1eNWsGgP9OOEe4s77AdiAPAENed0vzvw QdEvXHFM+DpTkfmqtp+Fz0t8jknXjBRWD/zv/0KKjnC5OcKpUY0AXMd4WE8Spqg3YJhggisZbaKd YKaN8ZqvkI7efsjiuIxOjnCb8G5mRzGMojZ/hXhDWr5eP+U+d45orFdykSuSUYURyH1p/xJzwy5O V6R1c9Gw6UPPpR5gxc85kSkuHkdJu85q00C32ctwGsEpylMVO6tv5FtuIPDSTE99Ul6eyrMjyFf0 bQsTfKRzaKVQ1p825tQQbDw62/HdZ5JOFRfo9PYm4AxQAw0bdlWKK5n/WFTvhPjDi9PoHFGdc8mh YkjbUJhWX5wcQaeGB6tQvprfTEdmtIb23zG+d3+otwN3u7Uvi4KwJXgRirxEXPeWBSRDgA+atxtC 86OToDgiZfib6XpF0aS8+OVMta7JVZFP8yrnhR7YRaJcCjlr15jut9EUVLr18wYzm7MYuh7QnvDz PG+oluakKuuQ7O7sVeFwZJVdTqLOz+kVMOK2bIctI3IYikNix9ZEz6kAwJwg9YBOTB0z93pvagT/ Q0ZZScAtaABykODtj/GfVe8AL1zo0VxNHZlVidAJT05c1i8J2WT0+zyRdS6oc5NEjxwh7okEcBkz RRfM6BqL2PJeXkwA2K8rpttY0TD/qnkOoVxdK/BFilqNANiyJiuGQYm3igEWszKPI8h5Kpc9r6vr 5cauUMqOYUpi4/QgrmMxx6nmNMM6HIwIqdjzPqNK/S+muzFj9jSEQdBoU4NHtzyMJp4oYIFzeuLO /nsv1Qq/DVL9ADgnLjbgkkVQXLwLktWtaaqNe9XNxPdhXFxpcb2xLKqxmd+nTAE9rxr4HC42dgv3 Nv7T7ik5WzlEJBJjml0IqoN7GGfs1CJgnWb8dwHZCMKmUVxyYkbJMsBwWTC+g1EC9TJHnbvhTWcH HCKJ7Pq9QzVI5bYaL4UujErVOrnmtzRu2/cTQcbTnOLFwjYZVBvw1fx+X5kwUOsHm6AquUyAvT9O TmSXoNNMdTWRNPj2ld81c2QL3IX6nfqcbpyMR5nyu3gtAKjD7Z2xYIRSMRjxVkvKvPIE9TKLrACa ZPCAWOsJ/3WUTPMEKcmXc5RAaM9sJq3Nls473mHU23zD1mRmqHIgA2ExVlvCveyH7iI467n8ymTh dqVszR3hhZKSrd7XWnFBReLjN3U0Tz8znocxG0X87yAjwG5xDAv2HSeWySFy6Z3jJfLFDVNwLL8m H3FxRdXiVa0WMbMC0TTcud0sOEB65RYoi/JtZKKQIvq7akquEwIZ/LudxPG7b9dZqd3+pZU/hxRv /+jGQQBPYuQ+ZPkO2tOHxNy0vBaMkpMBaZsCiA2m7W6IeoLHWq7VNTGStjND+Do9zI2extlfKwvR x6v8KRrwjSXnP7WYdFWZ2IvzueQXEYwnEndvncpKeTr9SdVPec/dXuH7i9QqUXc4ynwij80jDCH8 x7WY2LAD8k2QyJia5i1MXeYUXaDinblQytasYDm7kQZGoXAeytiPC9E5MCB1K57s165vEzlmnq9q WtR1eu3b7rkMPhM9koIIbXsoCSLuoD/XEj3ytVjEZNHqwJfPi9JgYL2aFbjYjMhbttjo9yrkNvxq 46epuU5AMN0ZblP6vowAMnc9IkBZJfoG6jmUV7i67cWxLQH6QTugSu2hJaXYnN7hH+5uw1k7u8TU purc+FcnmlWWYzIc+yJtOSFgasxee/knWqcg69zhst1l/Vd4h/LUOrSiGI2oYrOXljq1ZUAGI/UQ k4GDsADr0l+IMmWq2jFftnsKk8ktzW1JUIakTo31ALA4Gc+YTXG0k1KJdeqgxMBNI71HxTAfrVMP xAQ5ChmP49e4caa3ecoS/TyBgWQuyS4ybMOepo2BIwe1CY8ojXUQ/lDRjUNDsPGfYjUzm0EhHDlo JTCX64TVibj5GyZfj8EAEEUlUccEos5TETx2cO6PUFZcAZdsq6G5TvvMHfi7tbbm1Y7LzxIBcdNx 1Atl9g1tOaB7JqPvIfEXbeEybg9gCf582wcB0w2dmLj9q336yyu8I9+79FKOdq0Uif9pVRejUnhg n0xMI1ZYBCsN5Ssl/PY9zhGQbvOIROFgPuegdgjYQPUhvDibJanGpVZYjY3Hs9qWR6FQZYMydjSt ckwUmPiWqv7k13odxZT4RPLILFYz+0CnrCycDGrYOgM6XPQ4ByHEpOW9Mn9QgW3DsCOPyWdjKHJU iG73umXVvbSqQ5oapQA129iXvGLf2LYhbr3JpgQhZ369t0q6x29fWM33QXObqDIZfOlKlECkUgoh kDcvGc2C2qlzY8xsDVs9CkbYR+TTlS28kivDQjyubJFOxDXVuXn40U/BsJxblkJqfc6foYVdlyKO DFpfO4ZLCzajlunWyJ2Aatt7Ynv95LzhbJSGE38Q2X/Re+W/GyNjIQk+VxeD2kWmPuBEnvym1r3o LfXpP1y+b0aHJOPqnyDNjzUF7Oha2TSRmhpv8cfYZH1+NOsERB5ds8Q0rAhv1JbZhDaRLqWuSQOT q6kL1YdBbRO+3/OPFHqZs9nHjGPXJ0t/daY+3qMDcQ0JDzyvXNRflmd08H1CR9H4js7UQ50YKfHG bVK3mg5Hwtk+a3BKoyZHhBSHNBpGFIvoYh4TUiaXvfu601LH8n/eU10wg03Kt2Sda+v6YxTjd3NJ BtIdYstE2EmfIiTLGHke6Pw8ItMYC3cBLC4YHM/AysZnYJJhB8GnNNGgHuSWC+TEgEXyO01rPckb oETSC7a2hWkd4OMarBupn8P3MY9vDlsuoaKAW2hLGNJmTRgg5x2RzlFPCvJrDIzBuzITCsbzflyn S4L9gmCL1PnayqULER6I9Ew1q47Jx9oaoYBDbh4IJdoF4y9JDO1dEPNZ0/qoKgdTNc3PyydqZ4cQ A6hS8HumYmtDrCEwB//AWFyIgS48en0jCBEC4oYy7W8TKoPnY/OBIN/ybeIZS9L+tcSefAJdCp/W AXWCSuFGS8vqPKFGXPI8rYAS3Ucwj9OQeSwAje92NN2ZvVxxCeqTxY+LA9EkYEltDB3bugoCPOCi nkxDWrnfxzl9WRae38tmxp6XGNMkud1vsWzmR0SLRSpr2BuJD1q+k8fb5rXAJ/LP6PyuSO/SDXZz zOyUgrVUPYwi7mj6j5URyyXxhzQr+lw3VfQWmAor7Sj1eyfmmBvau9y0YqrY/c7hn0frGzU1Mgz+ a7oPDtmn6/L17+VPs2tWSuCZq1YSRyR4eNtFF/BpVEXtMVzMzTp+5GCPKjAOgBJZeyQnRnetjsc/ 3tAZq331ou1OGO6fl+LLNnOZebWPUeGtjkJfa/MDQrX0b20yD0m1koHHX8sodzLo0q0W0sZC62c3 t2TmgVaNh3OZDNoxGNiOfB3YGGOim1UX1OP0hi5k/sNmuARftTgESIZYfYbNFwk9l7bEc/Gug781 tCBJzUsewC4h1hLH2qwrUZRLKqbtJ0LDtPSQuojlLXeks+Z15naG/mcWvRCefV5xJ9xWxWILP2a5 n/lyMW6hcTB9XrUWkbVCekBokq6uGSZ7GW+fzNxOlbYoXw2GNqUYXEnpWLE7j6BmJgMqgiMPF45l 8iKUso13B3hdaWUNg0TMAbL/WqBbt06aRFV7g8y0PCcFCYgWz8OgjstRTH4dOeakQogpiMYBlUu9 PEUxmxrlDq8RcmCxDL1CTfljP+1JXG4k4VtWJiut9V9cema32GW7DHO/twF5QHqtm50reBDoeG6P CC6bZNXHxbK0E/iHR7hN1AFhWIbuZsFxHb0N3/OvfKTymVhI+BLN+bHvHkQxW6goBtfz5RIOm+F4 VERrysuXYRnimywp9WlsrHIAhlNKCtAQhBzHQLqJPfRHkmf64wVRxYMe1rA/fVYsroC2aeLG7OHG 5oMS5DLxxxiJN/MRIEjPH1Fd2LVCuD0ktMXN8tuxBmPFvhIJTSoNPZ4P00Q/Z+zWgazOr72HCWCD Tz3PljU3xPGY7OHcOv6uS2mHBioVpmEbn9vVaeE3Szi+gpBjH7wdcsJyW8ySOO8DgOm/nMMeMKqh VK5jwFV4fsrkIUwHBXp7BW2sjY5zhYuxueStmf3NoveMKBOQdtk5ru4t1OLekLwTvFTM9LpKnU3P HxSfBjVlTYEtQLcFvfqOF/WSb3VvQnoYZxoKejjWydXYpDUDa34SJjg3L0dO5XaAcqZXhWFvURcx hWNqth61ylmVw46c2+IdnY3RrQDV98MBN/nhDE2oONpCh3xOh+mhhrIfElsn46pVja+bIWUGj6gJ OhLWo8QUJjuJ6pQwalaVNICHyzT8hPpx7S1a6UQYZVDZTh+I1T7tqn2zsMGnQuNjWtH1c0cCI3+Y YoEAEcwLMgDCi9jcSPpztrNNq30xFobBcSi+Y4F3VdRdrlszrto/4LanITwDB35sILFxGMaWqyDC UnUdi2xNDotzWP0KnyqSzdZRb3PTxiPNoK4HRIiDgtcSNkXTfa8nQ1zO3miLyaOdBpQSo6rKojA3 wfGt4cjhJFI4qGPjQOmvUrvisGtri2JjtiXAYnpvf4b5lIPeqQ4voA+lQhsGSf8s4+n9TuIBVJTF aw8xp/MZij47cE+mUy6WevQzddZcTMJSWxli3z0yZ/+09GWJQk6CqqnNo1ekJjAyvZtg/zqi7aAs niWqzcXITDKq6Z96/bX+fran/rvc5a7+FGfNNW/+aPDt/b4Uklcgatlke3N9QVQZdOkBwTUrgjk5 kNRLUIfjwS6ObFtP+CuMAZ+63QtIjfzoUJickTUZR7bJGBx6Mphb6qT4GNpfswo6Og71alD6EIxW vaqgwHyyB0FrttR6gV7nAafR0Ol3cBPrXxijI7rNQRWF/pod485lB+wvIz42FDr29orGQ+w8xUSh P8Ylzqp6MXViNr0aSo+Gd+mdOy50mdq+9Smd3/8r1tII2bTIA/B7aBRNb7D8CkZg0Li9SQwnr9qc Zh6vP/7ADiUGgfWSkgspps4eTRa/Z7Mvtk784Tju6DTGUcPh9CmDUbfkahNHU5pcNt/276UBq7wd uyAqYcs4ytajVU4trrtqzCoKz6uGqlx021SrHwci8ZRrcTJe3r7Pu1wTwySkTif/07oRtGHndSzt pgCnvF++H4SpxPn8Tedq4ks4ybnbJjRaoxj6K6sNHhlSqwgGPUb1jym/7mXY4WtNGnvya6nAiC8a XOF40BE4sajeDFbJWkuHw92yA+XneZXLzPMd60+rVrgbfoZGBThjR+S5tv60qquz4rCi0SS7DMDs 63j8bwA1QuWWSn6Yq2duANutCyouB/hRU/X1AcLx1ytHH3qbS/30Sb4nQSKeeFkzOiuNNlaB8+aC znGrODN0A4KHUjRWJF+h4e9Vf/Oc1mU6GIB59G/T5NFQhKTG+ljLqHymoml1xaod5ZfCGh4k3CXg ViuFg0GSa9HHxMkos8IQC3AiHdBvkSAr7DAjs+vt3k2IIMljFe7UO4zeKU+AWlt62U6WnQU0AmsD cBxJFwqVOw+761jsNxGFAtAAQ+LMMf/paSs2SKQlbOXkCtu04twABpw3fRG4XawvWnAmHsOiLzLE 5yee4XEWs3KEjTo7y8zMADOmhWSo871NtqVDjw5dJ9d15XalBu/k5slvyK8jkloKdc1IO3+uR87P +Lmv+VfYgpv3285h1vPbkLB42uBiW0d6THGJ3bnEuO8cckhJJXClf9RrFj/F7+V7ACR8jk59dufq /7NIyehRg5LUb7GN336Mhe2RW7G3JO3WZtWGSgK62iQjedF265F2LR/hGEXxPJ7OYwEXvPpI/nSe XKELcRAW7bI6YnrDaecG9SyHQ0g6xjr+/plp53/1llNRvLu+maMxZIsvstRZdW1yJw6O86bnpBDX 02R110rpKoRFp9jEsSnQNomQIpLzWXO/fmaWFh74TuSoDWTkl6x0wJwoSf1rbwPvVBDsO/EKiG1D RWJAaW9nKt8dOgND6/rjVbsVD0OsrzlztDqBneJl4mTeSEgUJwRSPn8E45eQtwpl641hTH3+2BPd NBJjxNouQKJ7p6vvQmAebcVCHoBcBKMDtfmyYuddR3J5KFXaEWSPwh+Y3PczGHdoVA7iv4bGRJ2L CEkZf/V7avaQ2e1mon3P8hC9BIjfVyeDfb6l9anHV2WHHvaMI06efeT1cpXvIL5r9DOpc9BLEqtr bkqGIGbR1godkuS52FgyhvZVDN9pNLJisM9AYdqOy+s1/oQzSkPERWySsJVAJmc1brcoiN889LUf DsYOfxuGez+OtZIyrdtqGW4m6qoIru0sFmFuy+axWnZNQKdIFPHijyR2I+6WlCItmVVjG4/La6+k 2xi2YdMOqfv5LU1tr1R1yF6fYD3BW+x0+n5Kp2I5PTBBoM0jWyIk/yRjJLpe2w8L+fKGw44QCMDm SsvJqfVzStM7YrLz0IqZRrYX5N6Zg9V/UJLWdjhZu2Bihm5iaKZk/p6arMBJ8/AOyNIj1lIgQ+ol Wqrq/dbYdeCrSKp7etRhx6WWRQLJesNYVkRCWw9B4M04xaVL5gE6myaVa5qXHlP3Zn7XlrQLcAip uRJelEEdXpDvCyN+3VJjwU1GCz58CLZlSQ8ZNodS8ncg8iMmci+O7/AF0MAwsSUr3UICBAiJIO9y GoDISE4BDBmFBSg4sdcWIwNDC5ofxSPsa/lZ+LWSsrQBQqI+I36q3VcPlH7RTj7tuPXcugDiXnQV MIq8ciqishJml/iH+YWNm+3Y0WwdHUrFPZssw5rOgC+E7N41Wbm/TepZayEz9DJIammgORj1LlQh ilSZV8XoQPv2c6wKk9ukTu8Y2kZeUspMx6Qd5ifgSWyJIh9wnU+C3lgl3IbLtEygcQuU9jZVokU6 +BCCjKV/AuPBEbAZC8B9zizlkTNJjtmpMc4GhXxks7pdgiin/Ikk71uTOUMyf1aI86Q26+qUdXGZ T1lHlotJDEuV3vSb1i2XKAAevMWm9SOW09a3e3qwwEZb7fwmTRH9FHWi/p8AVPZCTYCuUup0c13j ugowgDKGk+cK4qhpKGKmSSWmyWwJx161jHyJWlKmwEukjI+/GMKR7R6SnTc6ZaiVC5PmfeEB32tw fu1+/oC5W5RP5FuH7wqRmyZmktwO5RctfI89R60BwUWnI/U10Ku2nRBJ4kb2BvMe4EctePG01RNd ulAVLMynCfK66Z0iEddyCTMQMgbP508wjjSj3RWcuS9tCjK3X9XOQ0OU7viDpdEsQizUTUhqKpqB KtndVkm/TmqU7kNuUHptO7PD0f0TSkHV4NrEIMqP15BBfwLsS1r7UOi0Y6TTPVSt0W4flwo1IR2e RblFAvDlaNyROhOfhsoUmbsf2NqyIz+XhaeQLTBW1Stobmd6pN07bRJJyQ6doGQk1SmxsSfHyRNy CbkBPAVRLoBvRTa7QBNm6UA/h3CQAUtA8nUuH6vxpZxdW3O5gwL9qbVPf31VtpE2XdK12d8lqmcY Wde1YXE32ybu71uf7zB4C/rVM4DL/UOeuWFdgJ4Cvr35eYeGxQEufUEXcipVFs9ck5VqkT8l069I LQgBuPeGs4XlH6MtftsIL9WKnC6LD40sHvkhRsruQ5fC3V6bUF88u4fMU09FyTs4H54kqu4F/0xT aCOH6r++/iVn4u+AI36z3CJl5d07ZBMefGaIUFIG3kCwQ8IYM8XJugYmFXhr+fk9jx9IU8h6ivPb euhXTenIlYxvLGZN2dd7jjKyYtYiTQmv/G1WHvpfrWzuN9OyyjOpyWYSNZIxJ7LAytFhve7sYfJH ENBLtnoBNMHiuRcZo6/k/4j/IiiYbxOuXMklsVvgQPqUd7xew6K2bMzSd/9fuh+ibiBTLjmnwGNP 6UBCq5elX+BcM/pK6rOnqE13IUFBq0UhstJBFI6YG+ri+bZk3YLK1k5ohM2N2zd26cu+2bYIvkE0 FmV11k/fSQS3jibQ3+c2+eoH1zBSLSn8vGgHvFmQvHKw6vkyAfFMgPdKGoUctdZvsCkgCEKEHZYx 2sYLeJE418Z5HPr0ROA/f/0FIMRsN4pUotTkUX2WztK7fg9pAsc+g6qKmEDTvInBLu37alhgdQkN HE+xeZGU5fsXQ6K3045iyTAVW69TlV4vdp+7b6A4wDw6/69Jlw/YYXG4YYUTy22d6dPeovNKTi1m iIyiksGjOqq7qWyuiQGIBDmRaB90oRAPmYFOo7/QDPiezkH6od4vMZlt4mGE3wW/c7y35eyKQKC+ FaNKprq/hOyWSM3AHI+KgxgZ9d4YYDUPb8uSXdvACgpOiaevsDpCKunbQ0UhG9byettd0ef1gmGi 63fk0074TKa+zxgBA3QcWiSLAxhGLSsLTlzEaCJoN3Ut6daOe2KGhFSRXgDnZt5rsIpfXrqtkPb7 knmfN6PZAxawNY/AyxDxf+hRyS/L9mhGCR5sa/vH4Y0Y/MGWJU7zQNpKLXiFzENvAhSWsJKqgPCl Jr3BFVMwm1C2yZ7m3l7pfVZFkqTFEJFs6kmBTlNZy0WxYgdqTuG/u87+pfo4xzMCTJtd6yIPcEb6 /BdSBZkPYM8QE2vM42uYoSPlxXWXGaQiZa/weAu2OajdBstuTvBWZBkReqiwSNFQNR3O+e7IH+Xk aUj6vYmFVfAyH+7NAf8msj4Ok0QePiYmYB6upbgPjhuzlXiO4piIqhg14/ViDj2noMhUOBsIbS6w DzyG91v32EVreZSSt0Ki8a2jhWU02pStrgX5Nnk8HwAxOiGlwiR59mKwaGP5bhiZtYiJhL4L/qOa cEF7rRgjvS5Z5N67qEbOyeQ5yVemXxVVORuMB7Nqd5QO8OFM0OU2cgFCtO5quqto61QUWpKZZKCV RB5qu0EXLAV1KfMrYJE0Z/s2cDPVxByXCwiHIEHPLO5MDdGvF3OwXhTZY8wjYjT7pEZJU/YUyV/y xCpSudHSzZGWGLI+bq9/Wv07Ed0GQ+arhJdjeKR1wsF+TSgZGarR9Gsgb/+ndekEMBt3lKfvqVx8 r8bx8+SoIRgKZru64+NcB5Gy6j8Wf264IT9WdRgQUZsDQv1ONVY/JtPMH434tCmIgv3Zp+qUbese vo3+jazCZK2u3sDghMhkoXmSQnnJNDxxvVtoLp9lopy+7A/SGwPw//xc+/k3EaD34aCxt3tGUFuL YjRy6oo6/Vcy40pgiwipkEAkyOMJi9/lUqIn+JniuMyqg3GRXJIKoxSd6xJc2qcXlA9I8UsTrD0p nvHr3ZcESb2qQJkLzgegM1asczrlpOZoPmsHqBAWDSPziEVciTJwvS3Shq/wv4eUZzi3Xd20USx8 Nk5hfV3dlj8onKrSaWTfXf3Kt60oYWaYUPzYscLbNCfrFsVFcwC0SxKJxrcr2RoJIl6cMtZZF1Gj 2a18Becjlg2PgJNfb0vFHkA8otzOpzuXhKxkceQDugS/qaO5nfA+mrs7bpPyLJ+3IgDj4UHRYO+R SC1fMvz045taJzen3JIdxOrdSbQLIXeeZntnG2Pzuw3S3chQtIkfmtgf7e8FYxgC2T0LXKelD4Ey CbvIwc/72qqgrqdESCZRQTP0mOpTheH9YRMBhtjNW7irm1eR9E73eYrJ+2TemcxSecZs67VVkGDX GpHOww0w9PVYsdG/E228aN43NO19irB7Lfn4yfhV/FPGOc/grtioxowTLrKKp6umdBtAShfGmBlk 2/W4zuVM+hvu5D3GGFIBnsT8SUmZ29JbewG36wldXxoeWa/MbJa1jXfnzBAsCmT1nx/VQttSjpew fDB+E7AgIZMFHWmr/f0rpZYGuPAwS2JQsCYKtCF3qxe9ITV3W6Z3/+VmxutKz/5gbQn3BZoF/Q1I XBsojEev313qo7uyrFai4QRMXodnwdWX2YZG3tcyMGBJScQySqL1cqK9IifRIv3NSH8KePZ9XIjt BJRr1l1UM4G/6gbhzvI941ynGF7XBTv4bc5DdA6QeWXt/oeUO8UGm9zemXyLAO/dYMDtWUIMxOUp 6bC+1VyF5QdVKTPN5FEP/9oPOic3qay/T43LCDiJym8JrHwPHoA0qHzHxNOdp6J24EeLhXGZ3gEW n+b+cLV8F2wx4XRE5KeEuoyBki9MC3ds3deA23dIzbP3UBviW+AxiKAyXi0oNBnijNDLMFvS1934 h8rsDM2avdZPkl6Jo62UvG0DU34YfmQUyWyK0a3BzqzQizJLScg3xrBA4b4hEdLia/MzJUjUyRxQ cqtE1xsaRq2oN2aJnew4cAmuOeCCve6v3cpgvMYYyrKFXFiIHFowKeRQEOeWPoiut+/8kaAiBUe4 qhViVQnM+fm59AcWBD0lxncsyuqChlzlHrZfTzCnIxmJAc3Afctw8glJumTLyNoLoyh+rswl9x0m 2mkiheNu557YDCVIft/x0L6y/FKqXYKRJC3pmc1b2hSHuZt6by9ZlOWgtCKbTqYGwvI2I/nilcOV 0w6AMoMkt93i2AqhygYmiGQpGbaPMbxXKkb/Sw6DUn8w++THpBMTiYqnCQHdg/amjwgfiW8yIRi8 jcutMmHBbwbk0bfCOKaLByZtFqnkZ2rx2n4mtY9YCmkHS//AfQq6p8iFSbi/most6rbC0bPepTzD UoEGbkr4ia5p1tEInav3oBH7/aJRxML0trV3uuKsCjfPbgkkZRo3AMErNbmlHlwNVXjxOrCN3ts5 QY3ac0wCeiwULlCR08f/Rq7s2OUi/rkEfT8rcfmz9btVIjfUwDSKLYRZ65iFYK3MvZkTna7ayi90 Z3FXMqMPPChaEBPunhautbH+YgSBpnYSn+SdJhN8G+jHUGfCH9WQwv1/oJsX49Rc4imjP6JE4K8V A+Nj4ZQC2eZXlMr5GHv6IrXkIgaDH1x+HvxpQIqFbUXIr1NRtgNvzbs5OQTvGFu2Dfz3Git5fOSY +CLgzqPyD+VcSPJm4vltjfvnVy5f6Vvmj8yyAELcxyo8gQ6A02t44xensOuvrdoqBxdeHlISZAbZ wLliF9OWtPASZJYhX23p15Bdwr0CRMl38GGyFvzWqWk7qJbRI5FMlD4YDy9dx6CMwJJHGgis2aDJ AJl8efL2OQgVQ38WhuknY6UwpnxK1tYfMpAryaBomkTih9yukRNb1wStrl98nDW4IqGO4Pe2xAO5 AERE2Ccex7UXtgeUfzTgxaTuxvRlpvYfrJR7MtpS3JQ0boCh0zuph/bn7js1/6bgH3Av47G3UtcI dHDblNNyoQ9iMjjDQvFrU8oKQaiZZ4HEHNqjULHfDo5Ij3cjTZlxnMS+VGF0FnU9mn8B4qm3Ld8m 95Uyz3mmYP349pMIfb03Q5KXgnFJs9sceCUzg/McLS4zeYMUMVvBu78CkR/t+6fkVn7WDYZsxmhY mKYUJgkWNkGENBvDUk0dkN75bcHfHLnAXTGB0kKSvyajPCnhEEEVWngp2xOUZf0qork5cCAr+JzQ /a7z/S8itz46pciVQ/LFUBeE8stlhwSE6grgd+a4+A2E6zjKQ3qhTcsUpSR/Vvh+d8XtHcJgSwVW qv3GPrjZ9Um7giMhvSlpLQs6sGrhBRLahhv8tlGMk8a/aLNstLA9GVQAkdXljcUnkaVEb1Jw5+b4 bdYne3w+BKOw9NUfqHqf6XLJHQrlTWIl6dGx1dAAxCROqa21uSe76BlFZ+YmTyLPXh891alzcRWR ubZ/fwRHxXouMiOQ0T6NKFt/npYIH4rYbRD8iJdyripew3WWnjAq+7x7PxyWiGjhBIVhgNyQiWA/ HJnphBS0qKe8Nu0TTmBYUeY2F2q1znMl0CAkamsotwkI7svhpjEUbXzWo6WbzKe7fPgnCrYHEz9G OfeZr2X8swgbW7IvbM9N+qtEWgKcGj2m//CGQWKzlj5ufgzUCTERJUh2pfI/Nf8d64hyy9lmdQHA bTY78gL4cjr2gw8Hj+wGpkAsS5IYgbRCe63PcSqE6AuWI8Zjsx2+zJHa6LCOUoLCui4GbAqv83Xu Rsq2ATB7JG3Cd1oyheh9P2diKq0fSl/flrN+Iz0xxC4frXnqExIVvAJZ0xv8ZjuZmAW+cY9DoEhQ Gtzmfch1z6Kid6j/qYz20mwPmjzIWVHvjV0UeJIvesngL5+wFhuXGHun13ajyr5ltFXc2YhosBZS 8dNXv9yjnb8e9Bdyfc4i8eOKdJJV368eWC3T+TLq6flJqngF9iIh1FGX4d5JTyBBposfXE/oRThS Uk2qcp0RBep14so1jEJ0SRTGCEc3xlRzbvtclrGCL02QExeIIxSf84GAN7HYp6knNMkviMeXVykg XVvia1mQiXqQVfVk45yKavOaDMaRonWiaj8Xejqav88wKtm4VEpkuLiQ+mgN3/OpEr/8hyJXRbZ3 Jii3fr8thdlOdjs6O3B6bEBkN+VRDtQ59QX0I6+8zMxOWcKsB/zAiZ4aYP8dj9fTiHkj3Cfcbujt bDeWLz3Cw+YDZ1gan0lPaVz5PdIfR4GrThWLrUUiKKebGvVM/LkicpxasYsOgQx3Q1aSz7QqjkMh uKxyEoDOtKqjqIQRHyMUlHSbcmaSZYHUBJljzN9GDWBdta1r2sB6csldQWhX8/75SizccaiamPa9 VzBRl5R1YCMjmJlRRKLys6DZlPVmCgWZ1OJ439mH/3sCb2wFgfOVskZBDPbVsdQyvDt5VY5h3HHN qt7VrhI4hX/Zwv+Tqg8peZmPnYjUUZX4/AlsN2htKOuh1ts//jDq/cVx+JKjw8S6uM70c2C4S18Z PoXaiaGQr2IOLTA7KF0wpoBGtqHi4VoLO4f1Ca9nxKqlN18de0NqyqrE4KykAhNMB0AAnUGxdgfC rlIw9SAgz1mDrkz3JjyY5l5uEiG39XZBbrReDa+9GyNtYmpW6MSgS5MnjNdblgAK9RtAtc16qqvD UYuVAP5Sgvom9NO4ytxaX8Eu8dc5Ehy8BaKIE3ZreYzpZ3v3XaoNPTXyqg7r2pJ7TcUjapIHmNlQ 140KANUOUdAZp5ohFDY7yAERvEoda0K+tOzq2SxFwfxj5UVic9yOEDzUiVM2IoMBZwS6QPjdeDi2 bhs0tY+2Q4kQYfwslWcDwD9gCSCXSYR2+A7tpLa0+Z100ISHWTHTtkAqvMRfNp2iR6ia01ADtznE X9hHvhM+mO3nCz7RVJ0JlZQdyxZ1WauWv7MY1f76MfGgZ0os0OQLmZF0xdjMWAEvfJBSxPXDaeDd YQwuzxNC0LpazFBFEtw80gRmxR8uyxVKow9fX/8Y1L1hF82GtyHOmngJOWyZ8D7BTNJfUkaJh/ON wK4QhnOFn9jzkACb/RBb3K0QiKbswHVaq6WQLhK/LeL4UNDCozeb0K0u/kViGXjSvDuvaEr9lLSp 8XQWpgVP5s50UbRpShpAfBCqFSoHxd4zmwxTe7S6UGKEZbjk5rujd/gzvYik4f75MrMfD/xJbt+T 7L7QqvOzx+aDaBuIRdWCVRpH42pwl4m0h0ykBvziSdSNj73ZJn3oAAG45CvqxQDJh6FoOXrF6/6/ 5pIyZ+Z62NJc2HlbcDFEh5HNcTbMdVBuPJJDGvNPbjpDcjQ/tVP3YSLcxh4YqqqMc3WHktm6EfMU UFOXfdVvtKT/+EZ7/zmICxQOzwqQhfRv2FVhO6gpT2B7t58AV1nQqJ5s7djSO393UO+kj/NfpCdQ cwSlurQsR25qDxoNYzSFyMbLnXs3MY+33G+GkO755FBM/nCbAsUbWjENHNyOI/ZcceSjO0yKpopA CGgEGP1S7FG765yIb1rZM43Elog7QNk10K8TAkNj0fUKP3WFDMOYHxl9/1+u7Z6BCRL7iANCxolg 3dnMHNh7D2ige2e2GVydGMIYkX5ZRzapI4DfGoSFsbG6MO9so9Ac3kIxscLDtDcY+dvfKVijzyxL SwijkVZ9lxRixnkktpMAFmtwHyRS6vwW/n+a+mQhEHH6k9Ni0Ur7W0mJ0O99OHL0gBjmM4WZVv+4 I1tvow7LoJJBKyul/7lQjZXunnFlhmF7C8xVnag1fndAfrbkhVbvR9Ejqi3j3DooDpWEKAJ9nDvl 1NT06aYuWNoScdYujwoH8XkgXcVzddhyy3wGLPuqOAKCX3ZAeh6xh2Z8Y1ShW7ZEQ+jLNFnBySKS FFWDc3uaQJ9XVxZCe+v8L9KtCPh6ZdkfJHNaPZ7y/0qnEBOpyP3lxJl8DH+F/21aYTJULoH/g0uG 9qsDFKBFDYU2OPhqoyroAeR3k2ot0IYH/ICWbi8NP2tYmmUdldZQdRLQtxaFA8nVEL0dGVUKaCGW xF/ueJe+uMuYvapsphdoVjAGRnmSF4zpBGN/bl4MklNEQZBMGBECOywaytadZB5KAfgv8ExO7FGM VxD7mVYxf0D2D9uTHKOYKpXgMXnGW+XtDHXsmNXEWp9n57XJPFw7mcNZnyuoRQU/7ECtioqHFNHH rNKHqMsWIfdoa4x+3JVnuyatmRxcwCB/TAC2yZmxw0EFJ51UkGWwW4yJP59eepeAZEwZvNXp1F6f 5Qjqxpqlcxnkbr/9Lm1mndP7Q8Q1t9zUsZqkP9JkJjhlqZAyDDZbN2GVTL3gszw6koI1pxSaNVHG I/Py8FalPX4janENDP6Sg/vI+FQ0GruIIFC9BvWiJi7utEQQDAL42z05d+xTXbZ4vEJBf1HMNL5A Ce73qZfLItIDlfehUnuQPyFkufnRX2dzEkMZh2JjEdiqh0DmPdheg+VDrFhLoN4SfHjFulnGHal9 fVf6M9kW3VwhwDRW10ockLmCNUTt6B9/j75j6TMaaIqgz6XtXTlF2oC5XJmKxhdvLhJbuXjkD2uX cd7wVRyhyESh87Uj5fpxl5e1rsn4Xkee8eMlIhP10AIEFi5SH/+sG/0IGAk9KMHztfaUJJiWcHhV rI1oQCrLOlZnc+EAc8GOSALRk3T3coinpmvvOTPDCe0oNlKpXhYN8WQGhsADf+HWWgT+b+DI/if7 3xHzAgLtTxGAJ0duZy8ikZR94VgxnTlxbVr8zMYnEhVzxf4P+ZPnVNhpjsQ+45YfytH7vYHIBVus HJKgAl0EpRdlej2kL8ly5B/SyYq1ZhEbqr3O/4eMcfVP8MMqPhjfFCfRRJoi5HXcsDRWglkBQDkG ryK3SnpY+wik1yYCsJgWAUzUCWCzUWPAHI6L0jSVwDtJiyFMqdg3KEIZE/Hz3NvVw++BtRb76ji3 yKbGyVLP3SwEsJRn1D06XRY1C5wRbe2r1hOcRfSRF1bx5E3NFAa6MurG76oDauGDCN2jZgNzeQk4 Aqh9DElwnuVBlyXpp88+tCMTpgsbjYKD78LCtP0swwYk6QYLisbMi2QHXf3qVE2XRksxHZ2fcX5I I8jFImQkEJMzZPLmTJs3uO3X5s1TLTmTcVam4WdoIxq0/qaw+w6KVqRtBWzUWizEoqAXub83SGLs d0Uz8LfP4f0kExZQygdEgt2/AQ4nQx0Esih+f6ZT7oN2YwI/mhZgrUyqws04BVUbnoTFrlsffJr9 vQeu2/y6Sw8d0lrJUa/rQhVmVu0OWsr4bJ9K9WL/ybnV7ZSvJ0xRTei+FLKjEmWhVd0sZb6MfRms abYtop5RD0N1X8TwrjEnIqNVHUlHUZPnPNRSvFtwl3aLN9U3R1E5IiyyLpT0NkaO5i2Vhq68enwJ Qg22qQcy1CY3uGY8VAaUpH2y8GeWker7lNjmP5yGacSROmyEXUcz1uri8N76xwz7sL1BIk2IKnZa 9hbCkihtFKBKKpwJyYiOV0MRmy95jSkO3w/KA6/amy0cI7Ma1H+ie2vR0PMqgC3qoHRnJ2iK1Izd knp1rAOh1Pj9dQtTT4Cj97uStX3k6uSffxHr5fqh2myhdrUuM4ix970B/HoQj10/Ld5RWk07Dp/2 944oMD425Doi4RSXg/WEP89KGqmeHpKG8VdmcwAgjwCkFMke/ybbJxSTby9En+PXIAg5BUShAP/S ri8vTFtX0O4rEnVG4FUiES8dUonSNIcNNipj1gnjgM1CXtuCZkf4R/eMg2P5mAC4yyRfZHY45mqV OJhKR0Z5SknDl0aONeOk+gfB7fSyXkHxBqK8iDcs7zskvopIcQqRiOGIPEHX+O8dKkl/RqR/35xt t5VUPdEYZKLDf2cdkialE4fL5B2dqa3XSEL7wAEXqgIP8hNgd+KpDa7A1duvFqpWAbG/5vPPnxh0 Z+N1K7chh3UoS7Kr/lnmZXAzMH9b7Iva7JZBMXp9Y1xARQQYcd0XqakweSHmZ3XdhRt8gp2FTfEy 5lv9icxHQVQ53soaFhmCCLqPiSNvVHVqTcNg+SdGPvXZ03twQdmAMKYrGjPuWIbnxsZz8FqDNGC4 pGhc8Pm6htq/AxbHNOBdVAhU0uTMjHJXjCIDVvisIj5QJLY0OwCIOb20+h2k+ZVuf6gnWk8KkSMK efXxzmlohblXGGeQ0fIK5pY3FRI1kQjOazLbuYuAbJh1o32nRrMDYKLaqXfun4H6HBf77bQ1Se0Z +aO4AbjchzoirPRutae3Mx7jZ2dyHYYg8nf6mPNrOcH7BwlF+J/fBvmfRto9gxZNOzk4hO/+fNjs i9TKIYbsu39THMNpIW6g1RkDkgEL/JUumRI7nvs/je/oBLPccLFCfQ9EM6KmPD8jpgX9gUO8cnb6 4UnIiMNotBXhLNsdefP1n52xyeewrKikwJExipiwyHBby2u4W9oV7J4qPJlz71n5e/7TElnA7CzW YeNZgQj2vMPBhksZorjGJ1Lz5cq0wzbTLE0yD5ecP75kgaDuV8CU4TbxTaiMva6salZYgNnMtGAy lgcYDqgQFdERrCn9F9ji8rHR6s/r8yliJt5ggHsCGzQVAX7rxGhjZ9Q4MkhGcX1zcity4TYyu1Fa XUPCiYqr+e4kIpk+9df3yT4O9Ns8pt2ixsayHIuAxTm/Uwt3xsrxvpmjYHO/HCpAZojqwT7uFUyP C0CioL9qyqlbt0901L0zBqbgpkC+9tpYTcQmbrpNUW2rSTxr7+pV1yb1RWDaMJ1PcvfhLYbft14W TdWI0ysARSXdyJFyATMT6omBAjgMw08r/GAS993HcEIBBHUL5PON8SGJ6P7nek3Gmsl9dAXVJQJx gvUahDBCmmyIwPDqJV/WlMF0em5Hmz4Id5Q5uWkKKYHF0CCc7FKkCYoPWxjmDiVTj0zT3/YkIRAB rj5YW8fQkYEGBKfqQIBU5H8t5YoPiBFGgvWW8NxXlCq/ZKYupb1J1YvdMYweHfk/1KXAAw3Niu1h 4DYtcJ6CIbNY4bpMol3sxF8HOhISmHTGDGnrSD64hkh4D5ZuKg3SizH3uuPvCVCuHiJXnRpMOb/q dU/QnDFa/15huqZmBFDsFlo3zWaSHk8CtNheMYaj/UYIIRCST9S5CBE2wJepebTMydjLjAmnlDoF 063VK8RBYPLiAgJcW0/smtqFRmEg8zKLYQreQbugcZ5kqGNIsf2pWhYc1wRn8upTW4GlI2G6BGxK I0uOEsp/BtBUnev5caKSqvW8zmSry/esCjCPmCb4kJ7PpKmEnfSZiUiwWynd60gPh+Vw/x8nxSwT ymv8nQuN/kcR281Y31+SUdXkzKxOyIyswQ9+aOn2eAHIvcsc5xQ6zy9IsOrYEeucH0tWxGqNLRWn pZrNe4KQ9ahe1Iv8GcBPZYUK/EL5TKkDoEYCcilhFbq+K5uzFedoA1BsyzeWgY1NzzqC9XmSlq0T XfQSfocg8RG3EN2c+xLCMvIUz7uFtKp0pmZI7erq4HCxlvVMqUESbY04Sy7FvdF+bXx1rxeKjX19 u/D2FpGXHNLeh8dbNkEet87PPcozzSV8OZuNXf8qEb4N8axjhbVVcZG57q8RWZ4pITOdCUrQL7k8 0X7OByfjJQ6a5QOysbE2x8l+3ZbTxHvd2IQT5cGJ4lw/S9pub5nQtgrt3/JrwA2I1ahBo6pITqgy khkFCwaFXDkGqv9rJEYVmL00F6sFYi9+iwe3YxSDhsQysVaCl9FRKbNWf+qfnExfh4wqPra35KNy I0i+4JwDqNXCiJ8XFzdzDiIVE/m378tjAsQM0hAR6JdCQ/EI2Ysx3cZOpPY8BMQ860kB8Uxst6Xv Jo5VbFdPneUsVltczxJPhKHVxg2sim7b8/2wmMXwIk5IJE06wGm3yQSqaBfOYlP4Zk7f05EqFNGd PWw3/pUXN/DBCoi+RqQ1Zrk/nq8eJiNzNIYkbvkclXzGqCQcuW3ub4dS6bHgssOd7bXJeFCDgg6R ap3v/PvkpjX9RZS6X/KTC3y50NMoOPjt925so8iA6zPbQywHlHA5a9iuh6UsU8p/TKJKx2IgdJMF ez6ZWjigP8wfXyInRHeqiNb9EHH1AEbJqi5zmzVouA2NxPnNmvOgAD5nadMro59UoS8tdU7MzL28 ylnZOrqxYYN1sa1Gxkq+Ez/mkX4qseXwHES4Es8zMPDdmYBmSJeWV9dxheULUCYGrWVuz+yD/8ox 0A0+nIlKCk/ryb+TaNZ0twEwBvH6zAyiUhOu6Fq2xf5PvW4baDjUJbZOTsXhrDWJAQJQifd0JsbL FskwXDUrUMOFWmSlmCxrdb2sjU9YDL9RBfmbov6/ddvaxqF5z0WkeAo8fHHpZNj51L4ItKY+ibP7 p6aOaxo0PfYy54+//dn6g1zcz9NMWTTKf1dYlFHrjx2XOC/J9IVKiMxWTb3yVRdiTvqQbH4jnLMG nNEoduej5yI7MAydH5/tQ1tytvXbDOOXSha0c4rtW2mds/rr56fESfyJvxUYGj9gCCEeJ3HQQt1K h412cEuWl143xbYSAM3NLAJGSYLR0UrQExOAU/UEEBh/lsGY/5anbg6qjqJ/7X0DhIb2Dv/9iT04 R4uWpJgMzzLoBE1PC6eok//7ok8QszO+plUfH20DitVel7itiGQVTqAFQlsSFMFEU9dehVen1FOH +Ctf1EJNYRjO3TtrGOi/3200M7TfKF2SDAnsXCo7kDrQKQrFiJGTHPRB3Emhrddy+m0f+nx0h5mk uJWAhl/sKZkYIfZckW+aWBDaDKxumFW4eQXVxuVnFKnhfe86GZQLJQAz2lufqsi6YkPcY+8tij3b jDLtZbT/Ccv7Jr+3XNBusH0BW3llSkJ9tH3v2gIco39GGHggs+qgGc0zqLHsoIUOlOm04N4vdK2V dugCW6LBrZGrJxYUn8UbmrcmTu7NV7oM9zCt4fqpifcn16dm3XGxy7H2WpEdhY1htPa/rQnCHXUP hgfUklIaZ2PJIcGfcgqtPYkxgc20EsdcGCepIGwR5qFnw6q7jBhDUcLC6SiOIRdwT/9t/7gdV83+ SOVP4SUGzfSaCKewi+1B/YT8AcLsHBeZFE0gv7Zq+qn6QaknltNK1/T+tOy0PIAddF/QwpUQQQRk qdKjuISvNqRJVQWMaijDNhn7IjPu3b1EUink8AKKji2eK/YSnylklZjLtMe3gjDuexqb90fesC+I xOnpEf15krOJYgE31YMrXjCglW14ETIzxRYrIn8/ciAywIjCkEZBxZjEKlgFB6WYcWq4hkwhJcVT lM/AehuAqr5cUVQeQ+A+QybjH2WFxhomd0QuzMJRAKZlRhIvheblIDltYTpsO8Xvtg3bzS4LqoGI /YWvXJzns2qLxcvv1nO/Vz+AjPT4O+D2ZkpwfriN2QegBixLKO5r0ZqQJ05JhOcpn1WM/gplAO5h q9zhGK9FQ+23e07R3m5yhqUwHZ/ltfZh7hEXhcv/ncXHwf7I4JytQYLE16dDrt6gEg7Pg0+R1mrV D4w3tyHwtAnIbqAsBq8561dVwA1pXoh83ZH22mYrebBmXlLwDbWGLvqTqL9Q7IFNcxgGA61iyTRB hTjyc/fbUoa1FWRnOE/Ho9viU9x0r0a8iQxfJ3pVjP30aTH8rrY6GrFoHGZLB/fTZ0I/no9Six6R tmWrFRRGY9+/NSURd6QJIyU4QHWVqQctUGT6STcb5JUKZ+XHxR5aEZeWkKqVoP231sjQTzs/p8Dq qNxLsBqAd4mA4x816ut8B0z2QIyTdzosL1lgmoTqhAWjEYxdhAquU4pxgmqYCjsmTz0g+AnDnhUg yEP7w8GV1q7B+osddrH/mGqot5ODiiKYVdsBiZr12npLk3myJpd+6ruAWCrxARhuARav3473CLMS kITtdtScoXhy59Nw4oHsDCNcio4fcepgyaLndKxH8v7XUU1XG05/EXQgTverm8LuSxzAJajzE+19 R8LFAuiz7vr+wsl2bqSWztHv6G+q6ygpKPWZtJCIvZSGQl7lNSK8LMWako8dICwEY+VslkNc9N84 MCmArCB79Mw0NrOtYfZ/vdTqXgIgS3NJiL/WyuLQ5zSnUTP38XvlCwTtHH1lRWXU5r4UduTjkiSi hF/ymwSUZFCayB9A/9AewzsHZakZU0HaFIksnktbQ8yR+ePGnd/HI5PIgtv5A6WOjW7HMUmWNSiB MKdiNzJ5VIpbn0RR0ogMNlLPXhOmcv3/MVibW62ANcRYwcjhhP2jS5Ize53CIbQZd7UgQfz8/1Xq jIk6IIKHYDUloYFgR0ykEKT1/PQF/2Jyz0jZygzqIUXJOA99Ph5DwR3CqlntHhquf8Kb3ea4vfy9 HhJXaA6T5nRXyE7CWG0NkjSzxbWiwkiwNIJ4Ndd3zO6glsdPOip3KXEdb0BxjPAqFf7Ccz7eLXih eGZdqGPaXEqmqz+UsHS6PuIoffOLbm5zsYc1enewVzE46+YNxwFtTDMSQ1jWapdM2h3H9wTLtrDV k/2ypsLoGzpU6fAiC6Ff8frf9Wg1z8HuWIgMf0w8lSWXYYKa8H/oOm+8v1utQaczPKhWIKHp9D+6 9JxPC8cdn+3wvbkTvA0EkYVdqMQgcv49emBUl3aDoTC2mMpYHdaoQayNoVaKjzMGYPtJslqhAmSJ gdAotw3D70mqMO2FkFf3VrEhamx+15aZPFH+oJU9UbQRzR6DZSJUlF/XM9UHO2oosraLAhZqi4kZ nlxRcQj5auP0mWRB6nIXw75fFOllYLJ9w9A46aLWAQNoH8N/5eMvFRU5fGcdl7Zi+tyeA7JKWyKv 5Jqr4goWm3HAbwJabvjRX+9xZJ+LRSgA5CeWQuKnWFQ38jjyoECdJbuFibwBaO0C0CMKBU6Dn4am uwXCTVQgpwmEnUixCR/p/dvT/oSDVG1tNwXZcBDgxZenijNRsZdwT6u/izUtQ643ZE7c/dCxHH+l L5m0sA8LQhYychJHZErJcLD3SHPoALs9bPbn+I8jAKYkszOFuTRvAbrU+mcwhGfdAn0K293xW1Ix LeF9Wb83Id9GnBzGa/rJlHWAcqXrAKgoDXf0eWdknmYRuPUIHaZnJnwstjdIDchdB/ZZRUM8LUop hh0sG4Bhsb6c5WJmqleVS2OcjVWDYKNYV8DhBGoeCMwRGO5oXMBWjFIRaOghTboko6UX+bQFS/Kd MV3hEFDs4Anbx9rSQf9nIJAiREDdNKru85YReTavj+y15m2s9EdvCvx1Wxg0dllJx9gq4JgIck8A 5EiFpSu52ZqdhAtCHAbiJC6JxDbpf0X/7x3B2Vjr8RwQM6825izr9t7z4BXszdkNQl4wlucV95ix aodd0d/TIxceuTVa9VOxU6NsRbhWxQJ919Vk1jUo7z+yU3KPLpY1VcxoLDnyAFEkc3zrO9uiIyb6 +vY3KwgZUu/zXpFKlaquo4unPlST4f6x/zOtcjoJzByBE6tatYNswhZVWitsRepIOWilJZBW2wLk Jbjf306C0cvcyRbKvBbElTXoQBdx+/DSmr5eKrIHo99PfAKO1yfBzoAfNDadam9dfD3JyG/8v9u6 8YYRwJlEsPYcyJzAEzzRhYaCWq/VVljMLKloOrFQUK1bvl1DLiGTFbWdpm68vkBAOD15YN+qcTlR iarrghk3ods2/fdtezuoiNT1Ym0rug8r5203oYwhZMOUErdFotzySSBMPWCEU3uyggoYh0GqSTbz 9BxuYiqZqHsQLB9MnFXA9mjoDcuv96wtP5tLj2GPnbDn6d/XmeS2ZNhGA8q1rxmZZ94zqDFjblE0 njCU4Iytu5y8w/IrU10amL7V2xG1ORGlunXIws11AKps7KyOR7qO6s4FvFk6DMHbD1IAje2YYxXb bsYQoIok7dnD/3qLgTeg334KuDNLH0FqFzbc+AfRoLMdPipEHLzwya6yt4JuerWMgJHsDXZfJBFo RWoY0GGeBTjAuSlx5w/JUP7hb5tVP85Nnrmv4nbBXjy2D39ilG8ceS3rX1H62NX8pQuV4TCmXx33 sOb4YU/1XP8Sxl0ezqDNDR5HCSDYHMjzDBajgCALlxCxf4l1BNvl+OltmsT6n7B8Ksw9RQDxjsDF Sf3VpjRekdhZ2ACcLUQkdW8adPw/gXXPus982I4FHycCt8RkEsdFAic50bgNLSUZfbhpwri83TZr VugtzTfuim6CnSCqnKbyD9tHOOE6fTloYoNnapN3yCEwhCdWTAWOJ0Tygjrl80bCTrrirDeXR7yi 9xDV4tr65nF5fe6JdNBL9d1KN36F3rs713OJJtVK5ksJymk4Q93Op755DLdjQapgRSqzvfEpiWKr 9bsQ1d6udLu6bO1IJ76ixdaYxG6cMHP9UFu88HajR6zH1Ja9+s2GMlT2Xf33+OiwqAnIyuIuZt42 3+vbgJ7xuuHoINzjyw7ETstowBvDQZVPOj/gnsCaq2/JQfC7+g/bSGlj3sjqienRGdiAuOQcdo0V CMBXEVIcE1uEpWqfKkj4UqbAz8axJlCPqKoIwu1YDrEEjzYm5RnHA4s6A7X50pfG2idiFHH6FArY j8IUIIC5v71MJAAHIRPkPRGKnLdGuX9PTaymrKdM1Q+91lJ3Y5plx05L0o7mwHqy5awfQyOjpv9h BnvjSpZT/V2NwgQTjYDpIyZCyM8Q5xWdiyVpMxLNa/WOX22EUeOEyf3pCSgqMgDlVqbGwtQ4fpeH WsmXYeHJhN8KYhzxzUQ5gynn9QP7gtH4A2n3/rNV/HGoJoOMnNxDEk/cikxnlJRvOvKGI2umWTSX 1JECtArQcO/E/+p3NwE00GLcosu9Ek/HEMnqSNZtZyjznxm2x4hVi6x9VOjyiW+6myg4Mf92c7IZ vSf9UHRTeKahGyZVCO8E3CVDF4AwOEFo/cUd4JhgUeJcgM0+VdB7zcdiN9S/HaUmUhBJ0blSfy/F bcb5JdOIXfdnjIK/J3/DTh8Is92wfJ9rBQBn8VH/b7/jF0Tl/PBs7jcohrjdNJzUD8dXiysaXW5n WJJqU6rH0AsvTSOXffwJ9RV2lLyJf81+U/4BsCqYM3jsP8uHAQdMLTAXnV6Nf2ng1ap8zhILoOiW 3ZcZT4BvU0BIzAA0hxdgP7GrJ/JcqNL2HGG/PzBJvtEDq9Rf/bnv7T9pNi5zFoz5JKQDry+BzRdU yuAgkNxY5MdKbREg+OY/4I+2qep3DeN2SJC+Wc2tymZ/ItBnCJaaD0gfbIEksFBS25WdZfrKwoDo 8AShpOx4sJQHIoyzllCHZyR+CVOvJNTlPMSAtUpAbW6RKDIEyYNjc9fhLc61GFjvOJhQ+AkvqiCd dN5Jc5fAfXp8e3OUMe96QzcFfxFUHeYhfDjhEeEdFW9/k93a7xAyKcI+//TSljplJ/b2mBqqKDmL AIUVCIKKZoqz6xjPR/dOSGLz+5YvmI6zweoPOk5e2Gq3PzWEUm1WJYzhLb2sLPm5N2tr8+CsH1gh YUj8j4czOc++T0uvioIqWTHacHC0i2YWtrK1e3JRLzjkRpRi5xdRb76CNxyZIXH5nOFOq1LLnvgt 1T0kVW5W8taSDoXqQQ9ZFGHocZkwkRCtBxR/2Uz04AQSbgqVwmhGt+h3fhUgz5By5O0+h87O6qEl a+usCyLN5EmBjzbrGsZ4P8Pl5D28AeN1R9XH59hYV2aKi5+w3r/O3NmmL5dcBF2E65/xdBNV66b+ p14jdKqgl1c1xvW/Ca2/9hnbwp2oDIGOiH+XyLkcjfO3EnJTpaeeUpEnf7oq4lGlPXvldeeqE3y8 U6itwGT2Ixdqojy0AmwmoW8HTG81Vs/NaK5Of4jb3CpAx6BsFAIqaCtCTuErCc2SvywEs3NPehTV JIRSg0Ftu4aHGleGfYBUWJEycovEOwZuexdR1CtICrxuBkwDRUHSt0mX3bYSvmBpTVXGW3f+zy1j tqf88Q6dLwehaF0CfpE27IfCVhOi6+AOxtGKmpDZt4mQlY1cDkKi4voDqXAoJP1jB/ci+MmBEx4g gteSMMl9MnUbBbOwTEHaye95xdqaMPp9w3JiuRUZlk+dFMRwCF9CS8uO5AcxMYV0YePJdKsxEqai 4Gb51+wTJIuQPDDq55J7INt/DDCHkxhCUwjQN2t1E1F5iVF/K55V0fHg8z5YILiQApLva6Ck7bAY gza1VXqnVgq9qyicqu0tLV2tzKE+E79l58OXB/AC04G+gD8pdeYWURjnio1TJaCOS8zxPp+m8ohN dNXOIeYzWF2oSAwr+jJbhzqWso/oFsa4MJ33v2KO1h2ybyDui9SgekY2sio9yCpNVSTHHqmqbLXn wrEvbSMmPzDd5Ut3VFZ45JEzqwfrW2hoWO1l90dIIcJOZ0L/YhEardfJViGFklkd+xzjgENinpZS rlBIYvSlQtpD7PEt9In+r2QIccnSogvrdM8joQ/hEiELhPnp3CTV9fmtxCzCo7I1N4RSIZUHQuBc rQn44zG5OyUFRGbOFdGFoNiH/7MGGT0xl24arJBojhX2PaFSKbk0kmjFjWmh+3T81j//A1I8i0SE cVRtB7lWDt4PMyMhALTts/hNoqYymVq9EKvnkZLioGMO2tGPlrVDsEaAfwf32PzMotibmxDpzFAF Kqzpjxr2HyXYPfXYPOrVbL1gM7h7Wgkx32t0WSvIJPyyhwtcKN1AntbcgiR0nXgtMH2AWUfJmtLT oz39LhqNmWrBDjYnjflAucG6eSZrob0PwliTc5Uv8BvCO1M/V30S2+qdLDkvMDmL4cq92P8jQIeS LnamTvZ5s1WTQAq+YsxUhw3lTqnD0HaMeu6R44cBakWQLN98boAdIqMYQifCR49zTXocGdurJ+zj nLdwh/oMKr2kWJ+KOhQSkBVZ+AxjYJBZvOwnn5ZbvukjPO4NIh0m2z1X6xmZKKapD+VXzMJ4ve0R EGwUHhrBuSS4aHQvYPncBYmSOBoMX0IzFnRdMxEsW8Q3EV8k2J8gukWoZL2XqK7DUemDYD/TIOqY wxQyNwaPzFP41JMrg3INIk50aaR9S/fbKCQMhGP2efGPGsCqSJRvPwP2jMFfirHZEx0Nue6w/bbC P9/Nny9wRPnaJJLPbxPKwOoix6CXQcvJj8bLbGHKysADERK1rfFHzw+wq9dpKnFSQ+PGzIxFP6uy rijzaaTQVk0XWrKq1q0TA6F2gWhN9k5tvIKtUjjAVf3iyptRE6ch1Hj01ttFNVjWdioezIyBaVyI GZ3eB+9Tv3aW3Mu+7Vz1462RznQ+dy2TibgDVdTgsfUm/+WiPLJ9p6VKfphmdVmhP6+GieluleG5 paB5/9/DYrl860vMore2BzoE+snEr75cmBlKnOv5wqWnLnh28UZGBpitwQ5XqA0TM2lO+R0Ofs4I 6/LzNfIsuSvoyuitzHHaINsna6l9sqyUI6yVRFg6h8wPcEm/tAyLizGkcrXsWrymUMKiUeGMKxx5 BlWOZOyHFzB5TrF9J0asyeo6GDhtM6rz4bobcKibnwxwXohJ0CgPXgSKhKpxtaljxXyf8HXXyH1P n9jJF75S+m5S+aiN2hQbk2+o9ugb3Ws1pIf1tXUZIBHoA8ebhYgL+MiPkWSMytWweqvvG8l5JcZa uUXcvnZRQGLYk4qQQNU9egUPtgXkZG+UNiLm/rJL5bh9DOYkE+IUPydPkMND/QQ8yXwtiXN2uefX EM6b6qPzEgR5yqaAAGliiz5ECs1FB/mcro1RT3EcSxv4RJGXXZuB/Ku8tx2gPXBw8Le7enfs8FyQ 2DHibAyEpCM3/9grns9v5OsIbrnhuY9emxqLsIqHX2NF1lOKIzL7ZA6qTJacQTfYutu7oHjJp8yd iuLUM0C33r41MepVPpSv4JNjMcYa9TqlMH1fHCO4kWywtITPiL7AkMncJjyKv/FJNlSc6MK9hv1v MGgkvHkxGjE8iPdcuTid0JHttvXSNMHvobXyOJ7SkVBtThOhq9vSHTKVVF5tksK68S9Pzej56Pai RrEwxcBdKjUJt/Z3LrRfoWPmlpCo2VXwZ0RHz4VlNdZrqXdpzzk70fEuMrd8QeH2AizX6Yo6SKET IHynHdK/vXCb89Hwb6qSNw/rDvJKnJ11K3W2VVdJRu/NW1vg4DRdiVvuwwEz5jLsS5gbazXTOHdI An3uy39SU1Mt/Rr8w1L8KmmQqKFUDTBHv7wPtPMdbNAjOYX5WBh2+h1BxswrtjpY+7wivMrJm5Kh YKh/l3F3AE/Cqw1D7GUek+jO54ydRsfDTB3/FYW+UhDYUGJBTbc9PVxvLb+J2WEUom0XEwYoEG82 KsvKTU8R3su8FzQGkgTgp9IIpk2Bq9gP9YdmbcWo2PmYoQIiRy2zBwxiRKnYuVZkMPMLzKA0iK7q u7zEkayyveWx48IwQU2Agu+e/BUqZ2n8q+7//1BlLx/dwxvBaAQp5UTWnAeLZFW2MW3uBHS9UpBn sjZj8Da9mJXxqo2YdojU4mMbHrW53ue94tK7qjvAXFZ1yOPNrP70aVl9uJqEHlX4O5i4WMt5idH3 ftpg0yvuTLvoP+4aywDY7/gq62OW3zEILoUrulieD9bOKSX7XJUZ4iZNKFn7AEdZQLHM8MpqWwOk NssiNhSk3+eH8d0K8zOQj24GFLQvUVj/7pNH5pP3RtQy8fdYpGUYyZhfKGY3g9Sre4LCCoZKggOk fmEGI6dmO16n3gEMVGE+BSsrMT6NlZikdaQlHOFTK7z1Z7Ubx7s5S1aqaAmThujrrWeKdghW47aa 0co9Hu3Udw10VsRrZGJOM2OSU3AMwC9j/gVVn9CdsA8zOO97JcOcwfqoT4J2ra70KWLtSofxB6d1 E9hsIjloAVvUs2qbzfnpiUCfDJgdy2A/1IFCGuPhN1068EqI9mUvR+n2baQSw2hnqcSiIVUCQtny oHj2cYAQsDVJy3L1zL6tiwZgdWmH/oE08azYI6OydSttcGWlpSfayLPXNYo1+0RopjHENntACTwq HK7FxWRVMoFc4hbmAh5DEKuotmHp6oYnjGCbXX9izIy91pr3mwN/rcfkeMdroyep5dUWP5k5kjkT Q/S/g6sBZFo/CHdb4HrAzhX88J/AS0O4C91BWiZ7iNED3U7HRhZqTghLno6OVL2no7QJlEckkqJs Su6z9WDflrf3ngbD2sdNde9SInGABQPZITT5ruO3GkMEcW72kaj/EMPhZVClMM5ZBxYESoBuVK+K 2HrD3tu349Idn+zRKLMofG38Tq9bt6pwNoAfLjXcSwwQH+5ORQb+y1S3L0H4XHcdJcJJjCjD6r6F 21NMukcr94n4+d+75X+ozmbyUgt6oDJGK4ldK4KjTXR8ZkmCDOUBIEURawJF10YOqijtAQC+FcDJ BMC8LZjrmhhgRLs7FFQv4GO10NFNXJrch8XP8CFn0m0moALfHqaGGJo3jHVI7Pk3JX+NOPFlAZNp bEjgV3c64spS+hMhP4OFq2sDH7wlbtApQnsxHtX/p7YmOchmkM6oC2MKvZ/NACxvl4re+r2F4g// W76ZqmXgXV5d1352CXKvQ5ZGJO4FAuQIK1b+5D1JnZNQXgDhT8B/K9hwYHiwjRgLZ6JXf2FWj4kv Shggk4uOoNdguZOBQN74wNJEkGkyQSh28BJklw3TUuMPfX9ukkCPK/+3CFrPSai0ojHlBjEO6Lu0 kRUj0StEyNySKZcXLciSWI+u4W62hhmvIXRMkfRWVPDCs0HY9Z9+m8aBD9E1fhFDnZ/OH2pnBsDO bmrRWN6+VZ3Rbas+M22tmV1lV7k7spxs5rk0o+OTYO1NUSvukc3rwdUVURIlIzmgwvIt8qAB+1jm shmQg3H73RGzGxDiAOsc9/7aEqMvPI3jAlDX1PgPTdhlUlub4ZZZdqgQByZoKSa8UaNyu66FTNMK UjEzVmTDeNlDHe3U/EwARFDlY/OWtGmzp2uMwuuZe7YHFArHgxfvpr2LKKqJQuK1GHT5PPX1/55x sYS1xOT1bvDOxFivYhJFyXS5D6ByczBPXaKP7QriRw0KfkNUDNbltmy+djAnsq2Zk41BdRrnK72X zCWURrhBRx0CZHuATaJ3jBatwfp9+5Ao1usSSS+3mQQ8TE6C+mWk+fF7oydx4fYDIpeOmFkxLimi FK3FBlUUnDEdkO40LjJAXQ4cqW3dmZUHgCE6SEnQg4JA1htIAh3kG7EdGNMJQRZdTXS7YElYQEeZ XteVnetRVjjItfJkRoCsI83DMjtV+S6VOaQs42t1cOqI72WfK0mksgE9ZCwWdNgTf/3whyC59J1b OsZLU1c+NhAOjbUfLxXv/g/5sDKyS/faOQtuo/qCFO0qDPycwbldy5dTnCKlD5KzmA2kUwvWDwEl kYkML/FuqTvQ8lcghfSgA1Zr+JhIhSJsIG+tIUNpmHRCMVd2CV6jlBYXjKtjGgEXeMmsBL22rN+T FmArr7LwTkXulKPKzfmcqQkgbjPh/qxQ8I1qmuvg17NDttikhsaH49MimOcJHUPB8mjCsy+YqRHD 69OtGf8CPiWRhL7BlND23+qaIvrYCoqDjwpfGmFRJl3VcWcGsTkSFbSH9O5SxsZj674iUtjcXLAy hRCixn54MHUH+5ZL62ke+u0Mxbf5asifW4qZ9Kk909kEQaDVQGDfPh6QboFqHI6/THDKrtecpAlj GP+6mbyuXraG+xgurJDG6DV3xlcda8ULk0HL7g7zu3gtIxh9CvDWm+iVyT9nkSyjlpMs6pW1kCfE neU022BxOUtxzvM4SBXxN8Hyv3aOWF+li9BNSHTCHDQtr/5oYkXKj+gRIppKxYNIDw5y4qld/+hD IvQXiasJ1Q3lqWNBHnVGirWq/a+7+MLa5zRF/OMlfmB5icKiYghAR+C29BfZpWfF+lgjgLgnpVKz bTM/i9lizAm2RoG8zyQ138lo65fEAuotPgKw6+dUMHXSiS7V1X8RCIPBs+5hZg+BOHvie3f2ggNa Xb9YQIXZGTORKXPqp+IDIULJZzLFjT21VOzllgYHWCCfrZDKI+YAWUKs+J3sy65vf5juHo17VP9l N5dTYTizFwsArsEO95Scd2mSHAOajgSFPPjwKwbiH9UiPAx1UWK/mYifa+EOfmP1j8J67W0tPHHa hLVRAQ7fUDj1arUdZnHJf7UuCriyQ5D1QYpKPV+3z4RQYhO9az3bp7tOl6ipmI31wSQHWWajQE26 TzeuXFoAk5tjPWF45NJUeQWaDCeFWF4v9hyIcGX8LGJ3gPakPNhLok7qNjHa81vQx6zZT8UtrV2R peMhYkL4IMamvkydwkbyJNeHr/Eg8kX6uc3SL+8cu0ct+AOIehzJpyRVDcyQ+lDTYr3RxXcL74xp dvLIh6QZtIQOTzYKzWtZAQsEtz1WveixFP4HAuLk0Ay6cZRdYI27Js4Jql82oQyCCNGQ+I1hDTso J4+H3ZMhtfjUt9LYnlX0ZWUDeYxoKeq75VTRYED6FfWq1Dg26QKmddJN/mMdCYAhP5CiLWMOzBM5 jBPvQMVqNDeH57fNXVaw3KS38+kZAO6LGstxxHgZmCFECj360a4VI4w6HdEwvcyKcZI/HbuhPqwc mLwPBo6qRgV90CC2DtpB8sb0XJ2bMqk0WUzgCKQqf2f40fucigkrJ7f0l6xiKqp51OXMhTNPKJWH C3njTbSqNrSGkrn+gzut/QDq6sYLFyjOxwmDkifEtgvrDTerAtDqERWGvQd+Dk3JtQEdWGa+dvr3 D0m6DiPdkJu5DwNTMRiOxaCafpl2NTTPbBoWHu4XMnob4yzg19KwchLE4IGu1IGFZnHPsXUL2wtp X7SQDY2grUkSkgzJ3q3zWgorZSSrxdPhazMz64Xwlgnwtb30CZUc+uqGRMs/LeQeC5v0Q3uWGaXi HhOwM1aQEZIJhbE3YUXo+5VelSdw9UXxgcY+k/tIjO9SvWsqZ5lwlK+2KHbBMXFYVzuZxU9b1Hzp ngVjec97guZIouMUCN0Ire0QjO5uTEtbHO9XL9lNJtL8VmPbMMiFsYQU1eJzMY+HzNjbte+iXTBY X79+hYiHvzj9F6+idJSIIlBzQvR3SY4i1jrC5/2fInzT2Ck1IoLUmVxqBvYlpnj4OxDPFt+C044M Smrx7iZujyLiz0HPXlnTsOX41IAqnVsedX8gaZ6gvKN5Tm/9wB7BQMYcp5QtmV3PaBwcZ683W2SK /Kz9OMRLFLnlKIm2yEQ1akEy61K8jCVZwgICvPr7kwU8fhs6Da7dI7Ysluohp3nyv7ID2KNzHpCi QA8DG3P3VjsRGFBmZHejJjOwSpZMwP90yAhRp9Xib36gfctenChiCtGoOh3jVflL9OSaR0TraR7V L1gbHQhl0nBrnNUTf3gSFi0av88gCZtLkw/COe35wjdrZigeilmSJIxXH497SRyoF3smODxwFUAA zdHxK/H7Cj/L3eHEopwihGz4BfQYvOJ5A94/FLM84Y1jxF98lnbpHYEWy/kshU+u+u/j3ci6HZIc GOHNpqxOfHVozyFvI+cfU7xZVeO7Bh3FcBEGj93aoruE9Bqr8LNeNN4BgQVi9YOelbueYq4J0jYR kYRGsxSC/d1WFVc8lE6mNFmc4rHgmsQ+4xf2aRbLcwScmYdWSdPzN6PlVNfCSmHtC1LP7YKtFiJI h4UQlyXhTRIRMPBH4D9B6V27SbHWAYcEIhbswTIBSxvP8hRDEEQS948i6Kqmpl1UySVWd2HSLXHv zI+tGJP1HpNi8plVEARAu+jyF5TAn+pNtxUz2RgpR6WgM7HMfMFUweLZHslmUOSqPehcR4wV0ouj Y8Tk8r1HI3E9Lak7ua6zntES7q3GkE6usz6I2slHkEUrAiOrVvC7K1uwa7JTdq14aOX06ehWhU1G ODy9ZBgLBioqirqr0La0ZWE3anVotmwBspfjye3MnPMBFe+d35W1z+jClBLUBUpuYEEb2qElJmUy 9mjSxLjymu4Lsu0rsIvnu4bJoIU909vH6XAMSzLWy+Y4cEgtEX/35vixkL5U45aQL3m0vJ9sSnxK 8BE97g0M5behNOevxJqqvXbxThp0VgKfH12V2aCHkwGycjxsNatBg1Y9Ql9nzOC9pzEZaJ4sg3jj e0aya0kVsOXxsQFer2po3jTWAwM4/CyzXsP8EdR1Wa5/DtchsrCJWI8XHT52Ru+a1uHGMubTy+63 WanE/bjsUFPX16LwwvLX8KepEoZTw6oPCgRR+LGe2hoogr5ozd+Xdx6q7kQRmrhJrSfZHOdjpCVh 7+AQ4zJuP/UtpwJ4PkneGRKAZtsPmv1SvRSH+CnZaEcVh7SorfHcdCp91tyzpJXOFK/dSB5jEG3g aI/QoVcDXVEbTlnxiOmWOfEv+o7SfSLSmbZjRRBXmPvulV3iZ1HS7UpMHmLXUS4DRpmDZe2eAErY nMsZkGUk1zXxaOxo0Y40Ai8Ni91z3h4+Lb4aYpnnjtXN8OaMH8jM6LJNemh0ZRUCgLlr69vsR67X O0YjHCjJPO0Bvpa1iGFMak2aZUoitG6mkw11wJfP0MDpA1VN0OGMTcvwpLowRXU6Cg5XVr+2kgKs oa9+4WlxueOTsJEJOrqawg8/CArzFoA51vpzEowsFwTaMLnbOmqC0hN7Qz4A3JyllYPEZu6ReCD2 33vSy5zO5CRZC8qYzDmgyGhsUw1YuaKRZD14+s2WR58MoD5q8u1nLDu+irk5faoVtcNV7Zcc9z8v 8AB35obKv5MsTzIETMmVqir1hseANDyTX5GY1vqxJIRHDy8xCTOdl9Lj2YF/rOpOa+qmeNJHX5au LJ2gyScMFzb855C4poTQPxk35g0h8jmXc3lJMWdQq4IeqgDemZnanquH8NlkNuXUReL5QB/Fwq+I 2YPGsOhUk+8WpNB+6WfWy6EMk2M7TkeRrJqk/mF/tOzDrxMyADe86HoFMRgFiPFYAktsCDtSnVi/ 1iFBkfnUlPfNkIdONLRGdMALXMtji9sj3cSVFgy924F27jVXNpCpKZg2kpQ1IxrtFFo+nH4mCcqA 7w7Y6MB71G0M9ccBvVx8VBvZETn1b/WRLVXU2pkvhbgprSy8AbJYzI5shC9aB/luPI7y07tQp8hP zjaSvFreLPgdEoXSlT6Op0xdX6bxXutmj8VzoUL/gSQnXJsCU48feja+8/DGVGfU1UXPEp2Xzg24 wTweLmdt6+QjCHQtV+GxjMj6CzRLZmxUZirQMNBRig+Ku53EfpXFOC61eKAgR8lfrry2AGHCuLTq 13CP6+1m5LtcTcY7Z4P+xbydqh4S9YSEAzuXNDVsdxzeI49BfPwjZIDdHVzmBaU3Iw7gJoxfwzy9 a5KC9P3dNqsJPmn72GXSqQ0u5OCdy8oB/m1zpKRSVgbX6h4LEiVAWtoCkVZOg2qEs9JbYhjrcGxt trbc5uWTNH0uKXYTaAqUefziBlk5CAjlTZljQAnKyYElReFV37wkodjRgsgQxrj4sjSugfE8EGnq Qv8tIcBpeg2lGV9TMl33K98b2mF8SI3y3ZiilBZlPv/ajqTxbpbExjjddKgOARLCu7fo4ay0k1QT Spua8McQr7Vwqppg/OmAwfbCbJpJDrtqsPjGgr358FOagP1CTsTnwZI1x1EkkWkOmEQH4JQkCEEG X8pkpyZkSDTvQchysnxuCuKRy1TldflIP22r6TFsMAi/YOHwLtsz/nboKELcLsE3VKiJ+zDhydDI WNQLuuxp2+D8bkhNsTIr07fwh8zpRbfO6jq8sudIUqFUUbdaszEaxC3yOARqXEgGqEADBjHPR0Cw QDul9e3b+bXS8leZtJ++tD/No9wfJVZppZTUH0Nj2kKDRaWumma5xX/AliRb4eQmx3a3KUP5JXDD ticwVitVbnMOmUL3wvnjUea9DU7jzvhGp4vg+JbmzHft3DKdQ94i7iF4ptOkdN7+LoIeKsYG2uZD m5RJeixlkXrInbPKI5ALAzBeGzsAUz4t7J51lLrKWD3In8CWXugfSELiMmqPfRHXSfiC7BU1zwnD Xa/IeysBZ4feBrkzXz7jxWNXolU+TnuWFw7ABqu1Jw0pTeRhw2LHKcSA9VFQFp8OFqWL+aR6Vb+6 i+AuB45j7YkGhwKScd9ZU19QEQXHBtcTkZux8r75nbkbUu2iPjLpPOCwJ3teBjFhF0mmOtpHxROG 5TLCoKFf1iEiSbmB9muG287jBfjqGtnxEkKVWXAaSRxTYmh/saE7jiHq0MKruMab2qyXLaLnZk0J UvKSQl3/X2XEbPTBF4lADHRybG7EZLGTy369lmRgWnmXhyh4mpiFmpPNRi11kGN3vQxh+VSOEZKv ZluyDc10sCqiE1iCYOPwB5kXwLIJpwQTMHv1goFXwIIfrstvQqwqnUkZZYjRG6OwfXJLSTkJvSn1 a5EleHbkQfAliwoEk9HfubHAobgQZQVCMUS+nSDz8hNsNbqE2Mg2KvBolN58I5WvCfFijZFForzq b/TghnAhY2o6ZxDVGK6jRRZmRONcQnlVdVTXu3a3uUy1G3RiXTQnWoOqpmNiYJM8MAJAaXtjSqlA Ka87/rpDpQGF/ufPJmj69IaldrGExquONq2xGbAXubdWFXdj2IaSomK1ZdDiagODoh/BPVZqli/l xrq5a8fnVzxPgqxLqMY5dpMY6DUKhiDtaclA7whbQCByqbHxmxGfR3hr+i0HXv+tDjdrpPKomcAv 3m1/mIXjOE7yzGSScSlTsBip8p/Ga4S8Ine7lCxYYMNlmXwuPrQU8X4FXfSBSHVqhNAIDXiY0XNw cGtruNpexAJTmmXbA/AJJKMbZOYldlpYX9jR4PnvkxHLBWxswV1F9uYsgMGfW05oxWcem7yLPvfz 5D/hzz8y/IeRNLAekUkFPHlwDzjmoisxaKzRs4pGkX5f4dKsnkQX5EPN9NDWFyc/Rb+5l7KQ+BPM 4JwsZA6dyXEtcCjnGcE6cO+clxyxttDYrw5mY9cUxlWi96sKk0oDPDluMH1VOzP0HhD9eYFBFS4h 0Ma+JBZPBCztvlxT2gYycsiUQUBn+yRt20yitOMupK03NTdkAUcqK+E0PsHiAT3XEISQeg+CpC1c uAjvDqtj6qUEMZzrKyw1yLFpuh+SfDIfpcdI0lvxOVZHjrxh5+qAkvFzHhBQLonS1Phjdee0HmG5 /+G7xmduqjZlWGNbwy9xRivZbC4o3W+Wrzx8bBqRbeQJVmFjhlkaFDJOifoLgS8iCiGuNukEF4N3 wjAfI45NAnmc369dv8tL66icpvKmaiA1EZDahMd9QSIywAGg+u5BhXMj/LcHQ5EQcL9URoD9hrrV IZcsFTtSGyyXgPkQLEmI3TGnCx4Fs2bimge2D/bXfx+zRN5lY1TqPpkwo/ju2YfDn82/xam0LuQd qtWTPpnHQHBTHcHmZmU92BFeOFOG9JUty+2KS2eT/1N0Sfq4pih7uC+XbYo5sVmUVrsaQzRXarNW 8HlKK44MV4S5P0G6/jJeR6admHMe2ScSSXi26vpjKeOkAn6+vzhKKUY5k4iu3IqQ8N0h7tlHbavE PgJ/vj7opWv01u84rQKUFhRqQiP7q2McofqyOIcnL9vRV+oSzpS9gkQ802M7l3hn95DtPFN4fHz5 2i5sCz+2WrhkN7RIR3n2wRB5uKAQBF0CxyyDBfRQ0af0QEWbBaReEuvaGL023cop+GLS4R+S1Ucl nd5DsLCazFCRXXz/MZvY2tiOyDZLdtWZEYuzCRoYrc3ESsPhIv9RSQmR/Hf/05BzGzQiO2RYkKOr 9LkzRFG8HC4eMd3rB/sYOJcrMRE6ADy1mL6tcUo8pAdSyjYXta3Wj3eoV7B1Gk1jc6FnlGiKwt+c K8Tb/NBwL4jDfOg5jCd+ZCIwSvSuHNahp7h5X1aLZU27zK+D5KWng5iLnPQw/D06s1dGEU96CNf1 bM4uXAMFIJn1urEwlPUUTlG/A4o1ZJF3Yjhc1E9VhYMrer0vyzwJTbG2P8Dm2X65n8n5Hs9scxZD huc+msGPlJIEcA0cP3TogNj7YCYMU26O+hzhtwfNlM8LZlRjrfkvjKdQHmM06J7tX0cuWd+uan3i vIJVj8ieA1d9CfRcT9ursc2Y9CbnA+rOOIAALiJLeAxZdBrrhzlvdUlQt9puq1jaepIW6Dit5h4c OHBV5Rn5GrBt+CeInkxBdEhNDB6DwjxiGDmKdzLjI+CKYOmI/YXR3sL7Dmh9412w2TJFwl1KMK0A wBGEyX3eYT/GBQvpjLxr1MR99y26N7Q3itvUCQuPbSmXt1ibHe1lQ6ovWBve1NwdiiSlEyGcjAga hnhbko4PmTMJ+UjTtjAAgDHwSkDy1BFSgNSgRFpOuASb8+cZKI1F659LROUCFIzRXbFewm8o4RC7 yCft412KeaXz0LU57d1PYqCpnR8N/izeoqjUS+7LfIBs/goBctIJX+PnFPy7x8Tu7s9YYbINjSPX Huf7/go9sRIWhXTIYW8hekfCnJmd6lkhgUXFpburrLsct+havFQkxvYTnC6c+l5zUbGvAUR9xZqM rYW6R4Alh+CMehIr8L3/hFECrOdGQCSUtyrV/r0VNaEQ/sFSQY9fS1gPrhOAIlcW4AB5nhZ+Mzn+ +2Hy99Jf7TFCIa7e4As226jEyEjwKJ4lljkshoGuCK11POUoP83Zi7lK3jX8UUr1gkRlFlHdF0f2 CXEVc82UsKcVhwZOUbj9EzfVZ6egTyNokfwngF0/Uh5MRoAz0+6qlAEkWX6gb8u6ccjuRO0g+jen W28numuAVsZQ9JkRij9rvBtBs8iwkziN4xXRRMOiOnFoiyGUgY0xMpN1CNUd0u3syJ3sy6V2ygOt ZoGCdcbLjVsa7rdX2VQdyNVTYrrUdviQ/alwyXKMxNi+7FrJ08yZPKAWi3DptNZEDUUpKU4basaA 2iwgUZyFPEy4BHHqK492lEA/HLQHQS8p1mv14seQjXoZLdyhVOpsO/2ZK9HH64dxGd4PH/LDNPTd Z3eDhc/Xwu558NOZzjLnjeOL6DvqRB5Eagi7wAXa1sSoRw91+nh2sJJxPYDQbAoFxczp9DWSSGu5 qofJvM+hFFh++COLJt6t/0l1g0uPzyGMYC4q72mWODST0i9PdC+YSUTl+lRW4sCPN8//p/PqY1al TnrSDrjOAcCHcjo7yfBVB59c0NQaWysxtmQwtS9PD3qN6GJLd6xrDPqkDHXAxPECEQ4/Fe7DP1Mw NbEVsDkdZ6ewCrHuA9x7BvBN1SMJwZfr1wnjfcCnvGQZNL6WTDKJQMcuPUTLtIEVHVPQlNeVMcMz 4trq7JQdo7RHwJm4dt1QEhjTiPcXvaCc6ZhJ7MszOFGeXERiBbF4SA2EGKBD9c/mzbeAtCB4BibQ M6rx1bnRRIlbKwt5nHuekgpJb031DlE0KrNOtI0IjB1rBE1jh5aJEDTjTOZNljYoze7//SIL4DQ/ CWl4fXHyqKCCM0IDrC0vNbxrBBNQSdZjo1EXYkPBlLarQxbrWAR83mqZYMtRXaTOd3VtJ4ctDide DqPrbidT2p78cwIfNh61QPrl9KyewLrdksydl0myvJDRCbkqeesodPR2oiKwc9065V+SG52484w7 bKSa19dE3gH2VxpUOSpJffC4FOGiVWcgepoBv5khrrsm1jzoEl9z/AfDhKXrBwwaS6M1v5uBpDVf pha9ncZPBpkL9UN0tquXQ706j/XjhOT3fApKpHdeU78XCO93+GuQMCda3lYL3bhvbFsJsZxnsrbS WBvSL33jqWksMhj5HU6I3tWZxXzHP/gZmrIETxqveQgtLdbZCvLb5gbnelqlLA1S6VCLLmuncH2d HKraxaBEhAW+6nwRXkTa+5l9jLYst3nYSl21a40wUC7zwWFq6LyzrS8y7HbpKj6hqpZSEi+h9uMU pcxmZkOS5aWwmVyc5r9tX9ZVXsgvmrlbwhH1i5QUkMqBebERQ+lvbWTvbbGBRc6/9b6/XpAkB3B5 a0kwaaR5fr2riCN6xrB6ld72EeyINL3aLPvDDFsyDsFBGHmHKgFUQDs41aWhJvRiI5jbUvHTF2cH GehKNemx83hAnQvv9hbDBXgexUj6vBhrGvtlLCWktLqhj/rJ9cX9a8tW3Bd6Zin99PUcwDhU8c9q +M6a2inr5pkbpmd6O3fdIhajLVm9r4ffyt1O3dQ0YlUPIs+0kj0YXXGaVG19v8094LxMro/SNhXC 8ycqx19hQUEMfS9SGUmraih6aL7unKP3KkqNnHhLvKGJIm1QvYLwuB6+aC+ic1NG3I0VpJAA530X IKD3FltMzEwOxV0ctJMG24i2KDR0T01VRQwJk+kfL3vvnv1Tx29ujfYu6Gs2uC4/7Do/cu7EgJLB HMsFNxp5H84c2N+DAYlgQAWwz74axdUgXW+eHt9IfKU+XGxQXmx5Or5Mqv/f7482DvCGpzU6uYx7 oBjx59wWeaZ/27BjemSUNOergQqUOjlxvy3S0BPR2zId8b6G6ckGIOkvBy6yWJIv2PEHWKTARjS6 td+Z+SNAX6VR5rWA4UCtMNw3QiYnxOyVbotUyT1peqBNPbvQQDwIXsNw7k9xQn/EfwjuRnWCgO2j ZUd3O7SYn7gpuzBUk42Vne5ZMOsA0uh2En9Ugwjx2gFhQ1qIj9fFEeP75ZJUM77zvAsihnIlD29t I9kyPf3X6aNrx9ZtkbfR0+SNqAopGwVVvU32GCL0xD+dcU+KywUCvwulibcgqVvPAV4TpjOrfub8 d/q70qXe+3mZOeW2sW5Am9DPfO4TPUvchJz6+KL6V7Su5BYSRJsPeiqw1D3HJ2ek91SBXl65/KH6 gurD+pJr3zBx6p5dZy9f2f7koU7Rn9z42755Lc753uhrSYUbYxfJahlQSaNLaL+MafbrAh98eXda YnfXo315ruL1g0Uzf1dljkJQpsH4e5HupFSevy+vJh1I9bvoMLLdSzyNnU3PTF1Rz10Ua2U8OEqX c8LMLcHWCJXnL+iylK+cc8C6M2xpAyLCzwS1vULvHlnO0IX2Ae8RdHljQOhn20sKSy5RmwGwaHAM G2lNgjH0j1YkAeJgImj1T9PljSPtoa4AveYhJL1nGEO/dh4fGDwA2CvX6zFsdAbEsPMVM9Sh9VHC aui7Oz6HMfiBD3nKeQ53TiqLQnK97oYmnR9D1NtQhlEzEiLpUD1KKZAP+XaAIZsmkaZ5BQgRIH8b +LAhjos/Nwf5j/YRUKVJNUw2REjXOjqeDY6QFRGeEE0KrTgwV+4xjzPuIpPDFccUGr+6YW6w3Fcl molrcgzIy0owqS0pB7x6tXYf2vMYmv4TCL88qDFiyE/SFb5nVE3XQaGOMFDcyYu2uqO6nr0HMM9n GeDMB0IoqESzlPJJb7YA5QNEdju8qPCR0qVcwBBKSyrUKwLhci9v75WL+CmwwxlTuh7mG2zJcqVO rUkeDVNLjeL5Wu/7RRwUFz7jGrQ8wyri11ci78KMC07A/KxM97Wo1lh+M9JOzI7S1nSNwv6rv/D6 Q/pSYn2CIdu+bJIOM8NHf/tsbWX+L3wGX9Zs5R5hePOD8093rgEdlmJhQ3NYTY+57Z1c58fmExUX N5zNJf+Nr1gefVuyBGWEvuK7O26XsKPcAMmODM3bcYzQAfK8b8IOKteHO1kryd/hKJRg5xy7h4YA vha6bwLWx6kC0HGG1mXoBT7IoH1BVj4GD+T5OSormDgQj3Xu+EA37nM+SqL1rXBsH4I9vGjZ9Paq O1rCDuoCyDsHvHdXY9wbKLw6qk0xSrrMEIIOsZhGcCVNnKEYzdteKna+tPMQU13hx0mrItdxEXUU OOKVhISADsPZ430RiTxPH0aPKUD40COCrOQ29UNU27HztmHqhTWeQjNX1qFn86e8L1BqBMXEellw Uk7wb83vx+7u1qSd5MDyRnSjE0t3DdMQG0O696srQ1xQxQpOyfjgXnYuwTKJ2uLPwLMUWlo6EGbg EK/WbfXlK5MlcccUP83d/EEIiws1B6pelY2hOa0/b6QpAl4FJht7Z/W6ZkzCiw8+d79lJy7Og+Qp aA3ZB2que5K429ecujECe/8KV93do7r9I0baV7ye8w6TCmSyWgeL3MpO8r4XXI6dSJlbiPISA+e5 0llIp5qtmAlXOLU/3BKiPlp0GaL/B4RUDxDaIlIWkQgEUAv45+XPLiO/X68qe5jXo42ijgM0FLOX VRNcVKCwF6PVwqWLd6Hu/fOxwp37w7jY9mDnRN4HeGPzkwd6KI0MUFcvMs+xluFXVYbzerci+omu ZuWIac57EZAB5npxZCar2JxwAuV4GItmlBYiUtCZQsWrccEvFphgPhHOCRAPOkNJSWgeoEmhvqje bhgMK8CDt+ZTo3+Wexexpt9ByiNEkW5UmR2XX8qt+vYZY63OeuN/6fnRDygRj8YTQafjCI8grncN RlRzDdJ5TuoZANAkb86zcDnU2YPY95yqgEPtXpZmRulsjsYMpNFmKvLvjgxXC92ZNrVHKDnuwT+B 5SZn4osMZkIxhWMrY9AJSxyt1OhXriofszdxwMOXpxeOi5l399UnVcTEa8BofIAsWJstVYuFYY1t 3kiM8kLXgWINkHs+rAa52HDstUg+bCmAyN9KJ8TDqkJ9xTAJC5sbGuc/BXVrd3F9Z9jtwWHfqoUW g+hYL7Na+BdzwHQVjVtVPZ+ox1SpsXRq+aryWzITZcAI6OmXagCUnLDLbzem8QUK0oXdaf2yyays r8f5gNxCYvssFuKO4MK//3+sajKXSABnQZ7JcdEZ3rC1R9CoTj+L84XQ2OPA1x8kTP+AnKVr9+KE XAE28hdmoKJMIuZKicGbSGAj2W6v+QAyz1J1+LykQxtG0wj2tDbtOixZExaPYsBuDd6XYiWLy5T3 GvTt/19qJA12cS71aGpxDHvuephxoNDqYf0QsldKQnBRJNfZf6BycDgDBNFYMVtljcVAcD6rel3N rLql0OjaZARNnZXTQ/yL4d6zwQXjNKN6V5czk8gOtLTmHnObQn0GY610SNRvphJdCdXuiK4SFPia lecDNK2Zh+cEnQFlvqxTGyTL8MV7Ao1nR54QxKhYTgG0sIZ0onEMFusY0uFucriqiXyATIXbJmtg hijfdtD5BgPPlLTqj8thmJIu2ni0lVSRVBkG0I/sDLLV6lpZq55HyZIO/DlUGopsdy5aLkynH/6V uutBrEONii5KQG4gcFh8hUe3gvlAHDHQZrI3nxzPuIIEQ5AdRrERBCrmaPf4g38Z47uOCKhBFCWM UgqAMNFNcMFjU1UdEvUw5RzcvYA1kt260g4ZY2O8X2TLIB6EcSMsVopPcNttJKBCeV0HK8fJwZGY ufPogvtd+iQaGUPRlUhRaYpzB+GUr+heVV/061oauwYvjoigu+ljUiaR6vMi/kSshrnTLBL8mNUj FM0ySkaUM/OLVtPLvKksvl9O/xKSzTTPaljbPzMmbM54L8c4J2KslqWOhgRnEw7CRaAz0MsDipll yJvrccdW/OK71MxpSe+ohiZhbTMC80knxDY3athC3wVVxpXxUejTf5VemOec5ao5kZuZxZEk6lsV Qa/geHmqsHVCLeM3o3Tsp/9dtMhzPDhthm5McWU03CC86diIEzF3OF0AkTKf2XxYWUhgHkduHo/i OcbEw2LQCZCC90/LMO2xAYYY9k2VVU87cjcka+QYzWiEyrL8doQJ2PfOnIUoKS/fRi7hahDLj+QB ExfoNuv7OKeWyu02gB7KnRkKP6+IlfjeDgGiZxxc1QfpDwKygPuAA1GubiqTOI9PydASOhE0oHwy CO+PmzMl7KmSIMLydCoPX65+ZkolttGwahm39HG76iohJppC11h3lj85FsNnWx0FTVaKJx7xeP4t qWZIS7/bErTw9TNSL45FK15kHvOLGTCnFzFWkjVDiQd72Gw/7zNzfCJiKbeEGZDj8YXA5vslI3gn YFY7A5DKn5V4+3JlwBcx6ipGKvOzgrNnrYT4soTqAXHS90xZRht+mmky/RdSqkmPV0QlCwL1AyKX YNiFmiyTRKr4T6iWCQpIY4mwpnoT4Xo/euKrTFaTh2XXNJ0yLuHAzkhwcK/4TfMJ6LYSlyHQL5R2 FeexpQqpsdsYv+QCV80pd1Ven7fOwONQxpKpVRwQa2+ve0bSVEnBQ6QclGSN30L0Ad1yKmRqvJQh kNRmMDoU56d2jl4AoUoGnIPXjZVU1BuQzP5fTwPi4pq0EDzW+LDm7Ev+8R6bVIYF8CgAisAil8y6 UkeggMXubeyOnazJo+NRGFY20AJQex6kZ6lbwhN7TCFh0CC0PK6V8Q2fbrbeXw8k9CUwHgU8KQnu PKcXm75GOy62NZR9fpADP/uRlTFeW/gS8MBlMR8GM3ZRGqLjIdgV5ucge+Q1yH03Cva2zNWizkWO 9kN6RWduYN+VgCOpPTya+Yz/ubUzuxRqkve2I41cmdkDI6fwx8uAOhBvGfY4T3mnxcwa/cAN6OXp KsTHMzKrQYSjs/QZ5gRK1tnqc3/FWlCiOlIYk22ARE2fYAULX7ujly3/fJwYcIE7O7Ro/FN69Sm9 0feWAAPVjh5NId89GtWuhwzKFn02hHL8i+iBbHuNlT9J+0P++XMEJxyfBZRKpG0nZ8dor1KnI8BN wIOkrNh9WznI1zuFng+IYQVPZjr0UlX9014PqoEfGnmXCeaX29SR4a4U1xckenEnU/BsWIfqMiaO NUtKvYJ/7v64kVI9cOwTEFmLlV9Sg4oeWW54QWyOkeZ7Bec1ZSiMS07n1dBEIlEewOPSvnJPIJ2A +PdRhrWqZNLriTtpD7KRH7E5YHXi9IPAq7jiYPzQq6rDdYK19Y9/zU7wcfiIJXihBfVjKGxQzN/T uQIkirYWBRWBQ2PW60rTijGGZ5X3pqBBDv6k5W7hvAg/+j9d2OiqwUef6j3LNtSGiqXhR+K9kCre dBhAf4qE5z9/l+1gaOpk9u33CAQSthNbhp3fW6dKVK+w6UeMYatqslRgfx48BrXznjWZinW3Y7a3 sI2ZgXtW2UegLKK57ZnZDLIOLJX51qRcAjfUuzOlEdo3iA6N+4R1hURNofiQqlhYPXhfnAhp2dxk 1k211oP7bOT0thnt5tBnQbvZJxf8AFdo59KLbH/P2aZBBMT6qNUr6qMmxVZMUA3wpx+0TsutEb0x 6dYyP6ukFSdv5BerdtRiCc+6iUVzdEIs145yGbGb6rD8CZmRwWrJ3mYFIsmYfpOzYmdtr8Mg894E VM3JEQQSJX/THvHn9bvxSujT0qHN5poSl4R6FxHu9mJ/+hLTSdRkzKo6eOPNw0Q7K6dXvaZzUawo R6VHcIfzNLd4sLJbTvWGOPzpTv+hTNneOLjk/yP3bVn5TOjzXsaiLD+x4mIBzFFadnAK7JwCY5WB PWSDhHTUjLLEqQbyVMTkIiNCLc7xm7qPJJc3D14nfEhq6QPyOERGQRIij/Y8igyConFQyQycpK20 WOWP4iCdMHDUxnzGXhERK8JFHD2MzLxrtr/mNbZwjW86NaLMXs14jcTrqDub6H8JMbKOSxg4ik4H jR1pXCZ2WUVFR1YIc/HD6cKmJBYnaTbeMGLbpawbs8vVPASRlsJjEdZcialrGtmbJo2djlGJfpHh NAEongbjwxWPlbT/pXepoJieY0TFHflo/x++qgIVKShTWVTwO4O0jURfSvnzxpJ2utmUGE1ItRPD ahzwxgCRVCEEC4tTk/vXZ6kG4pomcu79FXMGK95jVAeqIgCRNMylpcJsGjpqyjL+gzNazhQZGMQD cIJsUQTtK1L0GrMKyp+9JoOh8RkbMBGp2gYbzqcbGLkL+75xCH5HBss3Us/HKjRWDbQsfPYh2EId xBn+C76EiO8VITpdj5QNBeRR/jYxe8QxOrQT4VpyI0sQbdgUomfE3Vhav958bBa6sSz4iko4T53K C9WTpioHQdXji/5whGdOIzROUd/fWF1ArMfa762goMwlV+gsdnHWMbBaVkIcz68w2pqeBdA/2Uz3 UZwdOoRzsZINoBNujMWdS3/pL6WXx/3ErqjyaQql+lufdRhU+v4HqwyKDnZEjy8du9vBcxgqIiqn 4+rSbokmxInFZ7BBryRFTk2GPZ1XqLe2LOYGfXSB5lDBsxvc4uRhkuFsjOP37uoMTykVQRgSYSFS jhasoHY/EZ28ISiWApy5pXhpLB5TZG4+pnoX2aRBeBLmZoTYfRAOiOt8HTpeSohccaQIHv7155cu WVXTq8XsDM6KJZH/EBzJfV5RWr3+Jyq1XPEkn8K8sqOAdUuVktR76PpqU5U8F2L4Wu5t8tZzjOKc XY6wCdvuR856LqTYS7uNUbsulQST/5M+2DiogwB62qgd701HlsBDGUx5Uu5hUTvg3be6K8MpQvAd sQSOJVTmz8qpeth/e5V1HdAJfnO2vtRGzxvxkZmz/OfTkEOOsMeM9i7PLDucHKij4CnyXptm8r33 HIYBY6XYG+j2R23CUsj96lbdCinVeNZ+cteUTeK3ScUVFr/zoWVgDR/kcABV/9qAk/DNDzrqE/4G W0lDGrUremJr0ru9s+GaldZDAlrnCZ8jn0jpw5rZTus+2Hd01Wv91iJbE+yTbtyuhOM6TJZQxOHz JF8y27hi+iBnficXrZngvWAwJqx9OikFoEogMEAG9QBsQ5iLdPe+14A7gzP8P/cxbPnSrUVSiNid HptgJptJ9AgYAOOun3d7/H3AWYcoylqFIPi/ZDW39SXAquMVGztCYuBOAZWHlGBfds4izSNCVcCY sZzm411Yygaak8+3ZTIMgdzeCNv8qGs/pc59lwQvzoP6dY3aOPsJ8lQt+KBREixr6rheWyTl1rmi Yl5Eg0ZlrHdWYYWzVSdnkAvEBl+hckU+mZDsEPX9W/gWSXwHioNbdhXshKN50rOWXwfxHf3//71G ov6fwsLBry8mXyhvkVEjQa2chxvXEdsk6wI6AmkUWrzVlcFtTRllPcBxyW0YiusFLCnEC6mz0PkP sI2G9XaN7FAdZmRKvhuA/944+iYljHuPok3wEIQ170bW2mmUzPoOEYy7MaCyI1aetD2tunH7oJA3 42jT6PUY+71tV0oxI20EZ7Z1ORfln627673y2zQuDHntdZw0x9hrl4VdsL1XVyyzfPRLZBhSXs5q 2D21h5omiOlPpZ2jrVJSDQERL/qmtS3jbdN/LK7vDxcsGlrkaZ1Y95S7EZbjF4L4m2TVN00CmCs6 0/UZd/F19iy29fKoteRvkKdvatd1RGa7C3FAcUjlO8oiJaomN02hCbvv/bWGZQq4n+LHL5+9NQKN You3VrFN4cFMQd4A1oZlBfnkKP3smqSpGmtyeo6EF0ogRlLqJqzX+FeY/AhN9tDwn2YVKg0PUkyT H/JzETk7AQCZvMOGxYEX/EyWpfK4uoE27CzsYVYWw4hR+K6cWEA0DpfNZxy0u/vF1p4oHMH5QbcP wHXVT/vkYb/7ZN4wmOixZMwodVCVmGr4aU4grXISXDez8+PBWhNy1UJd+FKCOto3yv2XVZKqB2hV sBoMTk16+/qVrjvdWl50hi1Lt6zd5f09ynTj5Vh88BM/Si3leVYXoG9vFexjnrTLmoxJHMt48EV8 BJIEkb2lwWFmmZjAKGmiCWhM/8RntRJtfP4mfINpN7zjcK4ElEoWDPirh1R5hUWPX+wTQw7zgvV9 qNp/pHykqNlQQDtuuEQPbVvlla1TIYzQ9DeEadMVQnOpCxy8+yRNaoY3Y15T1p0WdiMsuB/dVw8M 7DzIge1/TajK2EFu9xKZYvNSCDsToUKFWhQJdw8tdm/+IHeTwlJukTpYukzAl9QeOxQVE6b10d4P M+q6MHKPOme0XMFxOvM1uzmr4Q2m7358TklulDR1TMegmWAs3kYpSObi7/qwix1l/j2PFuy8/cRu BjnGYOxUntnEsRnTpZummPSESv0dU73T3rZ1xLt9hzwSA82xRBv0xFPAGe0CSMYcI2mbvzSVlaUR AYal5yv9Ucsf3PS5Dfu6AsvgfxFhvkPQMjc5qtlZS9u66CJwBIr74W9RmaOr6wmFow7z6n0ZKRls MOMM161sE1nsDza0Yp0k4g74DpPOAztZy9VH1dMA4eISo+y8PBhu+U1T/SkxXAjk1Pp1IJ/wUu6Z sJFuP7/pBy2DfM/TEdHN0j34dPOA5DA55bbLDA5fvXxCvTQbnVqNn3BtWX6KuSfv/XyG0LhLYwGC jJl9LdvjutRxeHaf/ueFa9RsmCQia1rMDhx3StmrdvpFC27ZNe+D/GinM90uC1TXbnHuPfUSJMae PQUfxAWeNR4jxJezGmqNXfge3PVUqU+ms2/CQT0vJz09v3yb/jghlcu+FQBhVA/Xrrx/bVw3MACr XuTo5VPqgWbEUtKxO7xgmZzIdrbD1IPAjK/Z3I4jvIxrUnyeLbAM9EnHFoBVRlx+lEUZ+eGY4Qki Qz4QqxW1UUMhGDDIBFMmYcwDIKFv6B0kJfDbXbSXnl71FG6tmKi4hpF/WqC2tpJmLFF1mpVrjgbd qVkfFp8hr4yeYj1FiokDuida1lxtXsqiwPKOKYuWTg73xzGkuwASGMEvYyhXV5OJIIADXqb9WCcg wDIDHl+bHOHqIOZRXisrb+/u+ppiZJhgirnx0lyF5pe73tpsZ+zDBZo8UqE4GAgkaUxVOz8mYq4e 78rwpARsn9QTot1Zw/9IxgZuJ9uGs3yncvzqiXKsOzHb9BmnxgxiuPCW0bVeKugdJK09aBKRvuom 7HbX79FlR5UdaF3KLUsNlCYerD+iMYh9wk4RsBYEIvi4fNMmITf36quuc+9kNFyZuASXi5E6GTyx IS+zwNt8Es3er3LESE3fIpxhVHAKZyGsRlcBmVZyTLEz7RcIaYSJsPeXpfuCfG5BdemkcOkkOTb2 gnggVTZtuuXoLpmBWgMHybZhBNyWvWrkaFZ4UwQbLGVMnyh1RuIcMjeWG/27qeZU0j8UiesbSfbq MPIFsFTP7ZOnvyKSoTuvQz6e9hxE0kJmzlIsjC4GSoqw10/maV5wqDA/fCp7ZYPX3OVJB452EFWa +9Sxet5SoSN44eGXmyroOgd7Lr/9YGx8MWAqmAhESB4Q0k3+Bb1NDLmocx6Ux2KAT5eqSGNw0qmf nqwJWXspMYU6OYJjCxl5V5GI4lPYGCEV0whyfTmc46tfCpnpwrKIn4Yk0Ov0moxMyKLvlDICBK8u 1LcgqKamHq3hgQr+w7nf45Fnze8xkFC1vE0B5mYyh1QzUU0VhKyET2wVanWK9Yw46S5HDq6DuDTZ jEI7dK3T3GDCxVj0XS92IjYd3jzcadwa/hJk0ANExyONyjoLwBfZPkMxiJEbUlAmDQgz3bMNuT69 qJh+FkYkGmhlpERDorAcgHNfxGXvg8D2WO4M9vRHlWlTTyPbGa5RGkV2fTxCH+9o/8LsNEVAJ+Fv v5Z7RANx7nlgVhwu7cK1mjW12n+b2jBACL2D0A+q31ZLs1V0SzPe5GX08S+RG2H4MEWjhgGO0QXV OHTfAarb3uD0JEJb/1UgYWQb6Q3JVqeFt9f6HKqb0DEuIb5HgdiboEpz7mFqBgSYKBiHlI+9UH/7 Bjtl3/LdGbV9NjT53ljEeEQkcC98Qsza/mKV9JgtpJwLVThs1jmYGJFNCo0ciUM/dBbPgV0Lm8uy KuPDq12U4F/eQc/35letBb1WaNBP49iChv5Axl8PuJ5SQ/rTUo0tUfcdYys8HJZJoDn7M43nks9q BK3WGWomVtw3bkTi5QCrZU45vJJiSA6nBki6NpAs5VDmvNIMsfoiem0ZbaGmseD0779L8Ua8C0rL VNB0tEWj7tRWhwkiA4Pvd/97y7V/BjSZoPgYqNaAteLCynt0RU/jGJee9bze6SJe8bg756DKugUR SiOKyUfalN5P3aJ2eME8FEUaYas/Hlk0UHPiU0X2vSM6pNy7yPIDzUg3Lh1odNyPkZ/rQVkF2M/K BEcdHcwep/h4VqNTfpHfnRzEC9W0EfEQGu4H7KS0ByDAkdslqNA2QLHl5u50KKMEYwLWIz5svK/L 7xjlhEN1B6oELAzIwMghTsaS+nGOCFh1CaHkUh0lure94c3w3CevrhAJurZAVamz+o7auP4rpkgB 8sL4CsK2ocB4weKYLezjopHSaKsuHrot/AcOYeAuUQ+dVnF1jVnoq5M8MTZ+ECyxJMTwrAuiD+rm OK2ZuUgS4CEd7GkiV9DlCaJirAKsnkRL/7MmqHGfugGYw5M2XZ2xBgeCEmNJ1wL8/6MFomoM7iQ0 FKRJnvaAYMM8dTjytCVvv7R8ZLFj1BccWTwjqlepka+6JOnRPFkrvUIcQzTJFWFDh+VGy8axHDpj Ht4kxo/LURdJWe1ROseBsZXK9+TQ3OQenhbSRfRQBmrNV0QRRZLa33eSG59PJFMQkyiCvzfDpHeV OSo90OomqtjO/+noqLrD8j5d9MWc56Dja9NvkdgOJ0WGDMOI5udo8/jhm4YDYhs4RCzjeAtLOcgo YwON7Q61C0ScxU3L3b76pM8eI+ZCperGcsUAmyycJSPoh3WR2rofimO3/MPw2yBaOw7FNFqhcDMz Zi3FG1ks9WHY06OwfevlRLO9/syLsbhjL5L4Z/DI23OOEX3+zOqptvmGY6gnzofZwAJKTO7aWL6b T4RKTbnHm17vyRC8ZLN05blPzJjndrdvNcIc0Lj2Tbu2Z5It9A9Bhg2NvvOXl1V+v78B6YwKp+uI bICzz4ULaseKizeHq0Vgkmad37llFfhqlIyTVCd9OzEfXbVMrSblAs7d3h8g4WidanSXKcxYOTea QBzhkI1lBzGmvTS/PPF/RQ545NYXINnxY+cchvsWKnKDVnRbfom906xEo5maKNhQsTB8xK2ulu5E a26i59RZWbGd2Abl86LOvauMGTdCErfVGp6GoCcrEa0BKbutOnfzSLv4yvuCcHdwLREJlir6h9O8 XvnCloVXa2yQrDga040LTGQpRsrPXWGVbcb9dLEK2VWFWDwekrIFsT4oSbV0WhjlUPFpP7uohoz9 O2CLU8Cj8g52K49xlvvkC5RPXlVRcw2fUqNl+kH9oT5vuCMIRq2lcEER0NxDXHDGW0JPPw3EPXDX j7HwGJ5lznWws8fhJv0fd/6ql/P5Af2B7/jwInhhc+6u0zNs9Rcx8qX+xZyr1yOC0E01jjCGL8Oo thceFcBw7m+Mm+zijgUvlr77hl8GUKsgO5b4qAfEQMJSUnXLqNOiSACTRyj0akQOzJJaissG996Z fz6ouzuRfwyX9Cjfg3iv2hl70yAc++WPiqxyxMVx3m00yraPYHjfrcIY7YIDqU2HdzGPQ5qxhh+9 xpzRffoIh17Hx+5FSFPG/PWIQA6bfnGUmobif4C6wEWwk1wRbsd2fNTSDhNhOlA4DC0DH9tXdnC2 bFqh+Kfe7y2lveRIErYv/ch/3g6Yja2Hhd+16UfguZ2B0sErrAz/w3+TjcqpPXQ04NZjSWx0tTl+ vaw5Asgz/I7mJsyRTtpwi2rUN74CttT4POscoMPym8VxdSxhJJLmWLL+TwmUCLIA4KeasrhdWOr+ ZGZezwF3JhoscXiZu7OsZ0KP54fWExl/O4ZCStzjXbfMRxiIE/iA9ybOrBpmiLnwUtJKK/xrlTMh /pCgPkX2Ea2r2TjAs4eqhTWUUij+U/prB9KVwngxXOFvjVxaz+qo/Q8yttEbC9r3YBoy/TsQNdsm NJ8/PZnvjQCz3lN/gQmPaCu8T1hPPwmkKPkg6oTNP2qUOjuWI+huY8TnCXoVjRq0AVqul+ldzpBy U4XBU1HfF5pF0pQW+vzbfbeOeu4sTXgc6aF2lA5VuVIXQhJrSZ29NDP4Xnfe11mhaWd3YMKkX1iK 78kKdabKz0/44XDbGMYMTTgQBCOvY8qCZPSRpAUJwEOEg7Q2feTh47MLHhQbE1kQD43larON/psP WzB/hPIJtGXc+lXpxV3zJTF1iK9XThoyD5WDrl+PVQMpEkAy/kN+bqeS+ZxJkgnB4pbNJv4jGCtl n9vQiN2yi2KzbP2VQ/+D4DVqBpnmdtbH4VGxEAo7KwKSZBTeam6gEZ2AGyeV/jI8a0/5aIGcwiTY cX+kjcMPhSwMqQfWO9QB8eqC6FZJQGxqMQNANj8cSLQ91VsLUTVYzJPMX9kYSq08hwn+nSFV4fwC f0p+O2biXjZ6+HK5Tt1cD8dt7/CcLNKjJos2stYJ9rkhzLCtW3G8WZn3d2PNUjuBbkpdyXrsKRb5 Zd/FHW2LmeSTIZNZg0LXfRWHquw4BbaSzvKG8bc0XYCVL31wyGQm9YSqhWp4dDtjRpK9Qa/r4XJ4 3waZ38xD2auW0feGwSTvVFZRWduuTGBMu3uHcia/EMPy39yURrcUYKH4+Ikttm6ShCroWpNleB8q kvwEQrmPl0nYhlxIgzAGnyKz8HdCIuqsoa71ukNHni15YlFiN5e0aSyT1qIMCZj/a5Up7Je42di/ JpsI8Jv3c7RdXtPWPLHbJuPK8gEeci0rZZlTmFgzKqcVlHosahIP8SEaKmyibeaWcCf4BWyVcO/k Jy4ea9Clf7rWc1qkdzmGatV+xtaoMmocHzqwmmV9qatWqGfRq/nRGMKMnIHNFJZSyeiXt47zsqEO GUjU/sj6XEH53tuKqpT0BZbptp8+FUNgH7365tTKCgMOGxsIbwyQDUP2r2eldq/rbJImuwTyfDYV z+kyUlyh8hsuZbqFSVaJ5uxnffX5bINl32JJkL4QxFS/0HwZdCmq6XgLCVJRnVx18Da3MMeIfjoA 8QNRalSQ2wRhtS/7MNDob17BvkNr0RbM9+wzHfyjkbiCHHcT5iL351Kf7chf30H7iRWSlsquEIFK gYI/DR5hf2CI8RwNc2sjede1rWx4ieteguugdcJL8QN4DCaCFA42PLLzUxkQ7rj2ZIi+tvZh4ZCR cjBVDADyk8XUXpL+xG3H+eezvtKWcXTVMtl1Ye5xmBc9ODWDIaODZin4maBZKSdXUnc5hFkx5oG3 Fftt/ulOFT2zIuJiijQTmjJ6lgczG1O7SaeDtN5woZ4KVc1wZLWkB/sl+UVSi1sqINpa6kCC76h9 Ij8wF/pCUJVJ1kCag6avjgr1FCsyiRNPSb4DaMDdmlR7pnLx76jSwezFxK4qz9PzpfiU25HloTQ6 ZyyiTkRUrK/ZuolwzY5qVitQHPxTz1U0CLdsbmO53ODPYgzixkGsiU0TnK0KfhVtirlOycrmy9Mm J9immwTEv32du2p2UBAf0YVmP4+PZwOnbsmYvV4B5CD9fPMiILKIw7LbVYS9OE4IzIAvgxtJ9/Qc Ahh6+eVQH/kthjnUBUBGIDrD7peFsZNzq9WC3GbJ46cAtNSbBGm0IjLxlUhuHOJJPjIKp0gIK5+k rwGBAUpuXMXKNWCdDnKpCFloaR3EKm0A+3i4cIpg72kpmiZ4r6VDN8vdiB1XCgAubMsn0ZSHCRA4 DR544LAWliYSDLIJCOT1t35llUoDdfLxqCxKC1c97R0iSK7EqLfyYDcUZmS8Wt5ApmAOWSUVFeCg gqO/QwNUWowqLKjPoOpMNvqN20dX54O/8uY4WmSg/TGJsRiMR92LRpC5RXikmLIc7klko6jFvWf4 O1/rxBByzJc7NDJDZh19ih8Eiqr7qKaXefdULky+n8EgVxS8B8YGlU9EoGiMLgce97p/WaF2+Mav LK0hYKLDKgLeKrkj9GIYoBFOSA252IoFl+JkX8FDvYSA+pxe7rZHaK9bqTpenDJC/9fVyOjPvgvT JbbLFasqJl5smOEX4GKMqFyceDnzmygl6oavnMxVgfjJxGaQHYo7BpJWoGNzn3kIfrj0zL8CVaQq NRQMCd/hmYYtWIMD2VY74AEQhYyy8zkqLi0oIpoZFtzYg7wbfd3SDNkll+osT5j9RjYMfIBScFL+ AyShtneZJSDDLdkWZhcRNnJWo32HstM4QeGZki4DnqUWAOSZUzeH7JEHHC2NgOpYc5SGrWdFdX7K 0lP1pI8WvGaKeiSubALQAN10oXBGghdn8hKJQmSurAFS1IN581hxjVMaFwvvMwhI3DS54RkLIhiJ I0kjCBSC1SyBfR2EHIyL/8SYYff2/7gRYF7/8pBzpFXh1bqz5U32J1WMsF9ibpHqL1bzcmvX9ZMb a7uY3RLkryIhhBEu0KsSYa1GOZmeOlwxw0W8d/v/5jJ4ht4bq/Bf3yMvOABug2Hi9ZXePTxXOEvm koQQFHAHDokbO8kd8IwMyIS5/F6qgtiq7mVSlgRi8TnovsepDjWsoS7R1a+/TuRyRh27VWWOveVx 0c0ThYx1AICY9GmfEMSUFu7xSdvW7E3t9zjjxUHoUr+zm8vLQo63qE+76OHjplmXkc3m202xSzcE s5+G2H3j7r7cS/ti9QO0sB5/w9WtboRKZ0OFEbzd31zj3RlXq65vaChErFwx3JwMG00ZHlFenob3 hmfV47oY3Y1UYLRuWsiH0t74Kyl4OBMUF8+YKnDZ3432rUFQRiOMTemGqKAZ9R3xwPrqvhCrBF0u f/qTcfPgDZDRvGwOBbywgD6TmKJrsAEXp2pg7UybHcQm7cyD6fb0ADI5xvvFiHbvBlrkFzn8uYcY 46q5hmgNvDuR7cZuPjDku5sSQKI7P/RxCy/+8+/lDmPpxYOgVXrzZ9yzy5tGNRN7uzgsCktkQ37W zHm76i9NF6/pNQXoU+qEB8vdnYRjRi17ISDcVwVVFVNsnCthF4/lmxOe+hUJKH2bkZi2qpWRgcwh XHeRKwhTAP67OFPcAOtg1GwKUWsI7ms/hofJTItPavxjHTzDySYeU0kKR+lyzsoa+T7bDks7N5NA ah94sQl7q629EruzqEqjVrxPsX1+jmXZQCOn1HnF7ufA7u3xpXkePsJby2JrJbyJoKqr1kyoB125 0NeeBS52qKBarA39ta6yyipTka81HK1cimVq7lnytAvRbm8vKHcgW6qWlHcVf4jzv3bOZxngBNNd 8bsTI5CDypnVaGb8XiGk5k93oGo2GI1il5zTGn5lOSU1b4REVsC1IPrSlQpSF1PvavLWzmV47SCl VRBfgfDAyagPVg/aKoO3sDa/70pIo9IB1X/nFrExR9jRCk8h2OA9pVVr6VXAVoMmRkIIGUKWNNT0 BLQDnqggJKnTb0w5N5Q5gEWnIowFouMnP20hXETrx60eTO6QQsoTm1C7kWdtntRZ8LIZSeTzDtId dZiqNtEP5Ov9BxhRrug76VB3YPXADJ/GJG5x6CZuWeH62Q3ttYr22x5FLoNpR2BXOOMi0WlkCx+f 6yM0gWoGIj6J18FWfQ+a80XkE//UTSPbV5OfozcEUzNCNs9c8Oz8JLsWL6uTx7KztwwKUr7YNqph AU+yW8b07Ms7uguuuJ6ONvbKu3MGjz3hZ/GVnm/7ZAP80Y65wg6AWf+6FK8KnJ2kPiXRFudNPa0/ BY7BtDNo+3jpocSnMHas1wbsSPHauph9StWqD2pwK5ZvQ3nkEAD4s5mWIVertY3s0xIM1o6FtTlG ZQsGHk/LJJ1wFgUrF4Hmi3orjU0rhbZvBNezlRjNNsGUT0mkRros3IImBWavZz4pxLhak43ji7KB Xc516bKedTkmVVQOiOkEffXAo7GtlKMRQBUp0UCy2c9D/wIGpaCfJU9zvCRmpNFbvyUiniIM3iPZ /RiCpyc/2v7h53vVcZRfqzQNTk/3JTtmaiQiq0SJq6y6gjmeNqu9UwCPK2B+ob1Ckt4QV0Q1YTgD skHbriM3fK/6pg6nKE8VhAcTrZ/EbSgH7KKtLPSfIXs7T88sBNEQ7krMnqAEM5ka9t1GgV5QhTKD aDFh/1MK8oNFhemunpO/r8TkeY2XAcutBwCA77QnfyqNfAX2R3+HwQ0uuP7zjpJdi5hzK6MwOeJO D4lU39liZXPwEx7t+WBJjNOcuinTozamRKAqpkAFwmX+8jj7g0m9SE0r8SQZk9TRn6HTjfLhHL37 xXusGifTlC91OhjIwLJwYrlaEtHHvh2O53mi9AOrZ6D2r8luI0dX1S/mMtp3XXl7ZkBthoXBb7ji RNPaqZkCfQQIIzBoTZPBZMx8zvFwlenfZ3XobmTc/W87dvY/affBjRUJ4W+HZD8DzROW8Hh8nCMZ 5bilk0ElIPB2bZNFQqoQxQEjJq8p7/S4oZoOGPW26kZZYVShpXd9Uhxd4+xm3iZa0Cd1PPAgD9MZ ID2XyFuv4eqsVAe25U9zE9rcM8OEsqFjtHfO3oCfk9DvZud5n2VMlq6M/JcI4Rfy94af7HdnAXjy fNVfoy3yZI8hJtAkTCaguvBaUyIOZ1MXzfUDCjClHjMczEtbQdaEteoiQMPesehd7B7kFG2kazSW YqtvbP0PF83BltyAX8ZKSbnde46K7IF2XmCe18E8qsQAFcqrlixXA9cR5MPneetCwK0PtllMb5c2 KD5KEeJJr64oWZ9qzDEA1N3TgVmIhoH13VxWElRMZU+bL6ZiWkVWpoesVCByEdjXXvt63UdDpqgI fKPrnuxSlnfZc9Wv/Jn9QiR7muDW85PQNGXdgdwsMKIA5lhvNz3i3jUxsT2A8we3CcZXAqrCSU2L UBJcgzlyTy5KQ75T7nRNsudjj/EljB2inOI50wvz0kFwpaAShK48Chedap6yi9pi9XMoDwfSV+Fe lBC3BoRbJbVMLchZfUbbr6XDLt3lgjLORXgzcDbxYEulk11EfSxHOredl/RnPR3M5vDN7WjIbocH V02jVrKfqPm35KdmEZy4Tpe2OypKltgX8uKF27LJ0hUS1nfVBmh2fFbLc+xM5YMROemu/IpI3aag uzcJgj5yFICahdO/U5puaAxFS+zIErnWHfJWZK6EOl81UiMLclu5mdJidv1awc8SL6C9rDH7KeRH EvgCGrkv4+9qThkFyXoBZ/4xIAC5CkxwaA269QdLehsLrhzT0tFJtuGx7adbvEyMJ4v6akT225BR BOa7LsS7AhYMlCaeuBYijnVQIidXjkMgiOiJdJOIYlpO0FC1Bz2giHb9rJ/orlE75cqHzgFaHaIm rbOOF4JRNTf/b9PDUpXhC5xJ4wOrF0hpVI9UjaTKgeKzCjvz677NF0d29l9Algz5C+oQxSVJjy0Z QrqVeRo2GJqKDW10ox20A8UHgwLwx+IsKd4CsFxkaMsr5vgx/bAR/FnQAuTGUbYZYIBnZxiAgJ4l 7cNCF9KDth9rOyeXAOGNY+wmw+V4An0AU/YZvlJLi/+bdfZ8L8Xw0A4kjBYQ9USGuZp3SBCGjl1D G88+2Ttx0Sj784oa3BqsTOoZ/ERmEeL+1pVzT3JLaagYClEOyfavef9zHADUhcCT6Nn4tx0qQ4Gz 9vosaapJ7htcfEtXJsGrDI1i+CX3Fs1EShgj6fzVz2S6/eiKfHSSR+NLP5k5J2MM4lm5O5ZrpZ+K XYNpyUbNp14EWvxNfesDSnQK8kKPWSg5PxrBgirXskDiH4fna5bWCP9d77DgDjHHDceS7NFq34Xo 096k+2uS2Yo/lTbKGil5yaCt8waW/Lx556BGJDDlZ13Ki9x4wcxRO3dtC1TX93qVX1GERRlLD7Gi EjUQJnlDLM1dJEy2wzASpwvl5CdG6XTQsNCPdxwxljQhF79vspV2Y29CERHWc/j1H6qRMMBpP679 kLdon1RInjM+Pl8KuH7NmAU0qxOmr1gs8o2tsXrh69zpWmyl/DEgN6xK1Joo+klqVhtxhOF7Cz3a zxKxRGFp34Td6vQymDr6XvI7VCAzgtXWJegBrVsQ+tfml6101mIlSQ7mZ6mOQbMcfqPNe0+iwaCn qz9vZjaQdTC+kN8e/TsOlt1T3ON6dfpQAtLKQs06t6DDKk2cQytDxJ5FGKf2eaR83RjGuE/PJt/Z cKyZyXvkFFasEiTT2VZHa12HAaD5TRdPYFO8BXQzyfWZdCDISQGvd4QKmK2jvenzvKMdllAn3KIX vl1tAz5OwT9yFe5LIE5mFijhQsgtLJSp7CPo+IZlFoy8ulv1OT2C9XBE89dNIOvoSIHtaJgnYOn7 lFI7y7xi99/F/XpXsJInJLzqVBPY0i0UeEiODTGzP3xmbd92I+Difm10Tm11UGmhoFsA+H+ff9k0 R5u/rAb1DmE+w8+rP03t7ZOBmn1mhIY1AVnaKPn2j1UmqXN43E5WgxodfY3pKbO4xkWyayZHmd/G AEnB+aKD0gYPGMYhGHcZXQgSPJ66/ZbDbv0dz9HYC9sb+rPh+s6/gji4li8ejwDB1ecao6cnYcIc niuOU2rgfmqowPIXaEmcyP8OOKOyjvhr/DMWQtLdrXxAyauHZg2ECb7tSl/XIqPQlHjGBY+0eI9j u8HFH5LgA7PEr4ZQLwG3VqclvNEsNJg0YQ6YA+K9L5mkf8KX1OIwy1mfhf6MubazNiyA3E7CjNgZ 6M+5Rv3/+7iMn7tsx3xvHneWbKjoMhODsiDdFooRy4G+0Si8/3Uejz1vjhZIs+dvGieQ0EkiW14U TA/NqUyfkolsKXbb15fs1pRdtS025p+8Jdc5f8mGTRHwbEpr3Wp4jk3WgdKFNQ7Lqv5MkLCHnqQ3 DfgQcUyujZXubsWoUyQ6LZUX12S1Hy9jvkKXEf11vuYHO6bgDwCXEQdzey1R6QKoI94SA792CggS F1vDMrgaAkeCbFtD5L/dmkf/Ele8SzNlmGA6ez2lmwEXZHj49t8CGq6z7FSTznjCHmI+zig+xTiN NDPK2fM36BA1yYCJGjHXpCTtscVkvL5ZhnBaC4xBZewejV/hh94HDKfsHLiC1821WVAfLcCraCyQ ZPRq13R85Ow4+mz9CIwJiMqM7QuhdAcvVUjCChQLPrQj9XwSAmcd1H8UilfpD+VcJhAkN4UCzSqx lwL4N+FJx7B/duzJTHO8JgfdbrKEqXgkxlz8XR089gf05Ua72Oc8tzFVE4ifKietSoaJ1pMQo6+T /CBmx3/4r9+ZwyYRHAX9BysaL7n5tmzEoClIui0uOftu2ZcKK6buZfhG0rj5Tvt1pHOq0z3WyQs9 katxLr2m/XFDZvEYlif6djscMToLDE8NPlhoHiJtulcq0WvWrCLbVG/hl3ItvzQ7r7X7RfhCrDnq zGpbbXOfPDImUYavh1SNd8xkr3K0n5T7ioaTTwIfxNHyVhljLAgxkq6heDdHMMfzd/495u7Hyj09 eObgaQgYdqXtWAnv2WHYy7rF4W2Si4P+g5hsHLnDoNDvmxW/x9ZOhXEAlWTJzXZGTWMy3zq6aZJO aPevzUWA20VF2nH6+OQ/CaiNZBDQiX2m0L6EN6X1znP0cZPSaCnVv8OETYRrwqkQ7hbXI6lceDkZ c/26ILAnDaDDsbKI433pxtbxYe9FMQC7rk87HIOtaWk8mj+wRr4/UtIUyPt+SQjklTi6r/3dGiJY PVQah6imfcZ+gzY3LeVPBSFhcFRYzou5qqu9kkQuTLO3mRX5kB2LsvTjwQD9kHTnS+LV4+U0WrwT qNbRUtCZRWkCMYASWTLhVnhvymEsRJ2t4CfpYUpQ6WnnpdzsQoABIts5sBWCHLFCGm1UwpRL2ynb kBU6pUOYy5Uvm6VuKQEB3xldM5HtFNy5dJZxHSMOu57ssruYWwSGR29dQvqgCIJRinTj+p9CAKV3 1qYftydYp0ceLsKTSNfhQuQ1BV9NZZyRjbjoVx/eTRpiCSfB44eJe0A9loFHmbH0aiaU4K/bgLuh QMe/rMj5HqZU0nUKCPCbT0hyfRDXQ32pLBnfGlEDa69atzvbiRE3RjW3tgdEflDg1TQfeRHqO/NA ryXqduPmGgFS3UAoTJJ8V8FaexjjeSVQV5K+YKFhKtULwrCBg/XrFubLpskQKn8n246vW5H2+QsS E/2OIcK8W310JFuQVdzfe7g2OUk+gAlZY096y5lj99DRbWaqCCfLYb2KHWH6HHTv+ew+cVFNWfNU YzdnHNMrhfC0dT4D9eLe7/3xzfiOzboqt0IUC23e4KRUwqbqfXNE/McFMkJEXiyvGKe18umCeChy VaKqx3GKEEF6WIEUDnZ5Ij2Kp4x+iuccUfKg0Skbf90b2iIA00sH59whzWIAF6ni4rZYNEpQx2A4 CbPizu0g9TazJ7nfeSKmBQ89rK5IBVCdIsioakL1/MXUkSdR+I51CBy8G0HJuqzKI6vOWcUJQ5ee K+9Qj79C3NVSVqWjIqgH+CL8c9sIX+L3NLEDyQ1eCecDmHdcGmWB/XUb1RMT2N1K9E92zWWFCWLG Y93QrvX5BZzfvuEVhJdz1fJbbkMG0TA3y0B2wsNBwiIJpUvB350gPl++Zw8/JVNs1QX26w7xqyW/ l3BiYLebfRAL4MaAVkKhRu+HaHx/Oc/G+7iKRLTPCE7pIeT+3eklrlEKhyYj81I3cWhZjiGtdfqX 1DPzMgLUp2KCVmkXp1QCsVWiKNK8Oc2qg00W7PTO6xTg6QDYGG3EMDSSk8AWo59ts8rAuPVv/2R7 A7HVl5eJF/999AidravW1+rMvrx28gBId8CrWATgTbP9LI/3u+R2GBX6p4yjyXqhNUpUAVUBXYP3 3xxG+4b3Mf3FKJId3c6cFv5EB2H2JL6jWnBHdL7WKqiMTUFd8sAFiuDy2fPSaKQQRa1iytAc/k2z XhblpZqkvPCKEqPNMlFQr4FRtiVifNbzFqZFNlxZA+buVmmlbN2NB0FqSvsHcj6ZBQSmEBkWb9an 4vukGLvqysmXhj0ZjnBmS0RrX/d5e6KNC6nnws3A/Y9c/b8/aneWapwzzrWGj2G+nWzO4Otm7jkl czRZin02OLLhPDrzIL6T10Bb92xtwtXMGHwyeSm7Q5UcAAQitPUH7KSdUBQGtptv8/hkM+j2eQe+ NLLnofroyNehQcss7FkIzlk1yCuBErnML68XXJTyTnqsyUC9/I2ma0XvDI9TqWKEwPTaaTVLdQLh mlWdhp864aY15d0ghuR7Us9nfSQsdPqYuYeWIxMs69c3NLFcNHnVGikQtj0NRWnXoaahCI8GYs6P Rt3d+uOkgakGsNXDDY755usXHkt7cLFPYLjlcEBgbU+xiKusxsRCeMxNLD7cdysZ3C7MbVD39oOo s7i6ftE5U19nkEpdJGOd8WuNghSAYfLV5/w8JeHG+G4s0Ed6stLKMjGo274frrArZVBV8myprq50 Ji/vDqUWK1wj95LKuWTmHeIYNWQeXrLX3M6bof8rWqRCv6S974FLJj5SuB2dypT9tpJws+MZP/Hn sHNPXFlqQWgbrTHkwZo0u4UG22wcLNMLTy2zUtHIMxtcYJEh/+6E9FsY8Dsx1yFWx40AO7L4nPBn z+c35jJGkNkkMEtlW2Db3vhhRTHtPTrmmXcAXlVdRXvR60oIWK4n+LM7Q6lIo04vkPdhbnla3+wn qwWg0aaH1HsN1+4Sb6J6yarQ5YMDvr/V7ONKyEPZy2rA0embVeEFNASTIjS1MYVMzpQ0Vyg2LeeK WNSB0RGgVBaLUgVyq7ANNtHbzTmbX8oqlfF1CSiekKwmereXULZn8o7wfMjQIjQsjp1hq/vQge0H 7aAUtuhsYPk9iP/pg6LMuI5pF8YiS03SVGzF/Uw64t/2qToWHA/zroBAvddKiDq1Jmny/Fueh9Sn C2DKOH5n9DoWppzccIT8HJbrYOnKMP9Tk8Yqv7Xw2AhfklAPaI3sRY5PAkYeoHAquRt1hHQXBqou HA8YuUKDYbR9dfz7ppsHhLgYGUBNFK8ltg2fJxfosjzzJv/2mv8O+kCBzxxajG592LzBk5D9PM1t 9jJYfKlv1KX7KAmCqbvuhqUtsHMnK8aXs9V0GsbAgyEMk7mTnsuR5bhriYjRVLm/5XuQjpaRFiZj ebG+o7cwnlYl85n4CjOWBc8ah+e2eNJptuDou/bt/YJftIpqZymJF5aGv8bHOoW/ffVGbjDfjMjx cE0+oCvmaTDzxhVkPLr2kXhzCY1IHbUHHSHsBrpUEAA1E4Ooy60QA6oF4SPhlhuL5yobAqRZLyar RS2D4XfMtkYb9+t2JjtRVU+otPlr+WGZyPN+KxFEAvpFCrTD9lfnMS5DLmuqCsiDjSOXkG3pIHrf 9OeVDVZGvJ8cbEnI6B5KufRrxGBVIGMzY2jjpIKIXca0nvTBjC7DfAzlQhB6v02Lzq42gfimIFAb fe5fX0gv0TsA5jMYcj5w+2sWYIA7MhG6th+Ly2fWNiPSVR5P/JPVf4F/aZl0e6Wj/pt+vtNvK2SW 5t5inuxzlMfAoiPfyqMMLdI27NECipC2ElQ8OLjVwVVkNOpavlhMvNSnS2kXtyh047UvG/S2P6WD OFrMlW/Aj4dyC6IcxnsyoZn8GTvUpSBxrzq1UrUY4Q6LSNO3Qh1hatRm2zKVwsmyGTO7UUk3B0lD u3lJxBYB6UF9FymzAU0YOWXLWL9Wsl7xjkd2PHC08c0yRaODJheXF91CcFSNSQpWnOFCBsvjgsxh YLt0MMgM+nN2pV+ggdGK+MRpMpjb4uGJ/9MLTP2AytF6JvkmE37tR7++zI2JhSIvb7miqHITefCN 55o/iiOn/iTUMx3epyDsz+z/QZqGvK6N5GFU6Kn7HrfWMGO6vyyE9TwxPMHzHeuHtMPA9yA5TsqH O1XnusGWsiRijzPTxSZ1WKkHT4JwCnPw1R38E6CpigP5uzlFXf4k6VQDsEN4coPMojGlNG4W55oU KVeS2T4IVea1J9sGgJ1ejgjU66dWx7dEko7AJIx+3DD2/PBuCHUsBYYmwVK5+EaZyytQVzxcU4mR YRkWRNl0fmCHRfuMWflLXDHI2bkh3fBdC1CHgPnDlZhxbnKle24YstEuuKsk4u0XwN5fglDg7Bxz wVJVWzZX3b43UemMEneOZPlX8VZq5juDtG1X0RX2wtTav0bdw1almpJGkTVNQBB/xJoeSuwWYz7w Pfr6AhNX++zCTWOhmVdynuT8872QcsGFtyBQa8MOHYE5HS2la1TBSVfPCl6Om5c8lcz/LgWqxiFP CFXAbtBHE92911OfToeo3Jx9/dAldq23ql5CuAvq85mqjQphaCi6zFPvvpgvWo0/koTbIbbMWEJk FrOaEa8yzbePntmEyXPkv7AqXNKbSSfiCPZ+Px4iw70OysuPENTfCtaFQje/h3fSqBCcgvubxedg VMxwyovglyRvJrTdpNJ0mokzREXJE7s2aYSPjthDmdGV32NiBP/hhjDH00k9Se4C3vB4vbpFgtYK IasimIROXvpIDLfv38rOjajnJ5O6Wy1CTMA7TGOi+b7DA/pHthmvH03FcM8HDNOgDhqBlxkZhW5+ voHGOp+BtvjsJxBw+LH7vzkEsuBAiUaWbfr2q3zPkQwcOFRsg0jmpkCJgBdpZC04GQXlAnsgcvXj sI6IRYZe7GLezOEMnRejcVUST5AEoQZa9bT0W/FH9UaZUBRFLOc3uVSEXPzGWzGX7xkS0ipB+s3W 2br9NMDrzZQAfDpTd6x1JuTWo8l4e0BDc9bx+WS02PCdzmKKgE7DS8A3qclMJikK99GF2E3qUKzX 09M1IlRnAQ+yCUiNuujEvBPT+06N4gisyKLS0PIfU3ZGOZv2SMztRu2lLX2gP2C5y54aEPELjwTl Wh3phMX2ToayEob605EIA5cPofLpFN4K0xbocHXtiqMp/e94S/4imFH8FeY4U03UTiHanTF4D8S+ ix9C/4Usx72HV8P/Uwe3KBzG0EhayC5KAQlvYiYGjmtYMwqYCTr+Ez4vrgp0YSzDfjsBpm0EJKSS 2TqggzU53vI1g2nhrWw8S3uqf/Bra5eCe8pcua62rnWjmciST+UlWRur+QlzrAOElw7qhkqNUY0Z Mq3vjyzKv859fylR4APRCbCkceezg/kY5+xfvUtoTscASDUiuEW6LPF328cOPapQYzDUYgimxcTB 5o2cyHUFRW7MjR/Zd8/Fi5I0kzekxSOfyjhpcDru3TnxIX2GrSlEzUgoZ8VN7j6KghXsDrhFxqgh oYrgJOmS1NWTj/3reaTbsjFGl8E5DLIyWfiLyEwuiDioT7IRLW9KmLc5cJeUHgJq0oKZ7YfW2qgP rU3zN52YkyC+aB0hIQvo6v5Y0VTPHhERGmmlqfJToDNmO1luFVSsXjivUwfYFf740P8cStvMypjN n5RF0ShCTM2n3Ctwf0GLDflKlp3LD8F/zAEuggMM+44v33pjTTm47lmgeJcucXiUCNhYkL2mF9k/ r4YdWnr/DqwugrhbwOREHOhi6IdJ+k4o5dDHzoAeCw57/hlzp6WiCqVZYNaEGxW2qim23IQngqLY Nsu4EOgQrizhUpeJHqRHohOoG8BooH1mebtn3jpiTuHTKeXedIzbMkhU4RV9DixN+RyqitW/z0Jl XRfN9EwL/gymPgd3IcwdQ+shZbc5Fqi7a4RiF4cvWyn3GXE07Xy7/bkajV461nCINhLYmR16JqDK JegM5LFJetN37ZHGm8yxKW1YZZ4XEtNOl3dqARY2ra1dIWm/07hBdTk+nyUINmQEKn5ZNxsUC2ze 0XTRldD04rNVFCbmepFf8m3yMvNiHT3TKGFCWO9wTB3N3NBBwyQOaDQRUUSUHcDCysj6XFxI1xS6 Yw4QJTXjOP/3D8wGjMPO8S1L89s5WDk5ct2vuPJMr4Fdti/wzd12ovnqwjucZvJId7A86/rI29Wp nINvACDIuixo3a0k49NkRHx9i/pHxk8RYuOMU3dW0sZtX2FWr4YLRXKjtIakpjUelR+6Kum5xovq U4tnBTdCdOqimq4v4+NrPgkpimge4YEG75bk0PymYs/it5fL0qWGRlFaX8ncajofo3nnNmmyMD7V ZFHSq7ookSJmE4r1TPp+I8/2+4I9N+UX1VYdPphlCwkOSQHUioDTyfUwyoZ8NmZfMUxcZVa1OSl5 und7ZnktoLQNLy/FLof7MKGzMSMF5PLtWAjkcN4LCwm31tcndmv91jenq4EYdrmYU1T2oe+3abnL lgdkMNhmxVNYnMgUY7dWqo3j2AHI1zoqesI3hAQfItSye9SkrAQlpI+Gs3cGHJ+PRVyOkZk36PP4 9fDvKF0CGNIilPJiWvrm7vr0sIMdO1l1/lMQc6alOM98FAEl977u4CzUONaPgB08TfRrrF4tRSla nFCcdc99e8OS+Sd+UfDt63yr+5vt7RtQ2aEWrtwVjKDvtAvAb9BomPX99QzJ+tjmQCkZYFYc7QDD pZ1c/pIa5heeaqx+fUPoiSCsEWJRy0As7WFifjq/9TPYcveBY0lfUP7gT6ghFik4Z75vT9mV3y8i Wml7nGtM5gFWhlje7xAacvMz+4ZAaev6nMWJ6Bn+Vu+HLwHr4buEm6mHBSeQGwGKuSI3tQciyfye 8qWeV5E+UCvSj9JMszLelGe82vc45IHp548wKJuzgXDQFAt7drX1B83ScPxCfrtYBOiSSu/ZmzP7 qAOO3m3dSgp3loGnyTlzwIdXJHo3OCgDa6V3GorDWBIaOraiFp9PX7qj5P3eRAEX6SwqhFzx7p9s rvTloOCdzD6F/JUF7hs3ap6cU9YcnsBam/cC4gYYQidXj15HZFC4Kahs9oQ+h9uScmqTNe3MTzMJ ANptxWDOOp+yBiBRs1kub2D8ree93gAxR/nHj5ehwFSUq1VYS6jmKQz7a7eqoilP514ecBrb5VSH JPVYuH7zDXTHslryQOSjrd7N+puj/b9IoitTU4ZFbuV3HqjbkEIYQ7HcFEMXrf1sQVLc/GYMI/lO k57pMlRnnlgA6xAb+JShgMvRIPmNvj7Uh3cPDtQTg1hxVYyZX6mrwSyivjpf7ZErtJIE3CyO+SzL 9oR+2umJ7LDWZWb6vnkTS5W+pcSfF+qtVAjehzIAgKl+3Bmid4KvR9sGhOfZj+BMmEI46cRLOmPv TrA+ugbQjo5nWtPJzHMpIpfb1W1vQTFEJgOKrIOTwVI3nRTsUg15yt+/UK+TQBVH01uwEoLIMPRq eMjxysfX8YnfSSaoFRwR2tCjYC4bNnZNZus1mQVyo1pMe396AhfEZmoU7BmU6EZVXgt4tgqDIXF7 fHWbQJ5nTaP6jgDHRaIW/0Pu3CRjTKEqwgyU4JOzLdCdHc32sNMAX0kklu84gk2RtHsbo7pVYC+Z 335z1OUfDcJScbhwWkx0hFujCGAeQiZlhKlK7BLe2esvgd1voamJkad2Dqw35ri0zd06lUvA/UL3 v2jH7cIm1uMZmVHu6PAqMhsOxphT29etVz1b2GWkJkfuG1daJbfJDWQC3YMJVSLQ+8ihBYa1yilL xXPNC3tDzEbZV/G0qLI59nSp43ydJPMfnWkStfg1B3YFPvBlGzRMPJg1UzSr4eLuyV5uglWp5GYQ SGKQW00DPEM0Tf+RQ8cVoU69POZ7MbTvH8Tou5fDt9IGudGUSua0s4Hq9hOx0wCcoyXXvyGM+3iX taLnp/3vFuxjvW3XBnTxB5gTUGOjGNa/q370dyg4YC4YYKzUp50F/+DHlp+DlDUsyR9opDhpKCiz XatkEnov6v6qdamy42zWzgNnMK+IVBSD7YtJSV3odUyVKC0ZDGeU4a9QRZs6qYpfBX12IV2YAEJC WzOTSs5jN9qZU9tR1nWSWhSY4JMVfNf8Up8cICKyBDc4q1IzApYymkfAFIIBIAL2OTQXsWK+yrJQ 1/q6Aq0+ThEl2IBk8T917cUkii8NSSo6c6rjqkKNLCF2aLEjGW3LVCYFHgCLWO38oMIMudQoincg M4hNDEPEYAevL1cy3OofRHuy0DfQASqvgx8wkZeMoj01gslQeQzStiq+BjYf5u2ePbMIjP2Hndfw sSRNnBqSLgIL58fa3H2eJcyUZHCAGMehEY2lSmWu0Ln4cLCaS8XPe9F3orNp5wElH9oSSO16xFNn EJFpyCTV1he4Jq/8ulHDvGXXn5pdW5ydkPiWMBZeO/btv60mjTXyBKpUfYLFqdGinUz74rJL9u/e hiRw6V8SedDxAUZWdn1wk2V0b/l8DmqbZK+yhJIMWl2RbN9cKOCTWa3zUEGcz5lf6uAk9ItwkLe6 dXKHyYp9i+eMxums8VI//SlAbWt1M4d2ld/q2iQPLDuW2EpzMqdf7I7Pf7ufOOoDqoKLsL8BWTM5 1hr2mfjH7sNhCJUfsCU3OupKQRWQ/1R4RMxp5CdvELjMWbf4ctPGkEKwXVpVtVsn24DxLgRbj3MB k/PDsgM/hNdez6WE4hzALdFwN8Q/o3ENFTN4dqC9Dry5SYQlq9r3rTowEOl3sApSM67Owz/Q0cjN XWWjYJQFoVVxdBkXRRzJyDZaqbbiilYdSgx0XgdEFNTtWKh+MZtW/b70/KZSF3MbW9B+t6xlIhJ8 qXHLilozconknsv8PjHt3ZQkb83UXxdoP7Zr3vkMZDAKBImABwnXaXabG1HVxCQic4/6VqV1QjU1 R7G8NitDdAuFh91a8LaPbi0J3yTzACz+BlxzziI9NM51JWoGuASf4mHZWTFnuMDBv0J7L1eD5Fsh G5a7CmisCL07n70Yz0kzugEPVK8X5UXtEKUajodo5hPmuApvC/qiyydRCeBOGz5rTYqkDlbGKa1B l4MhKz/RmBO8YkrYQ3IWELtwPX8S/sX78FctldObvuH1YnzoSf99HeqUdySAKdAzvc8qHmKy3wSa SfQXxyMCJfqlwKmQQ1yYoNlAOOzbLsVLrxhTJz0YfEIJdQt9+5PHOnWTInw6AqVouvhGy3rMjAWJ 6vABl7iJl68x6g65FkSx6uOVefIxuSIIC9Br9JIg+ko8lB8utknK4JtydU4qHN56X6utLRDobUvT ppbgb0OSdTqrMBLePNUseuRlLWXbCBbsyjgSQJciSDQxU/ShRI0Rpd2Z33CJ1wSwJPl4rCFPtVso AF7UbpLkX5A692cZEjm/aOY2xk1IanGI92YMIfWjQZKXQK/8zWuZRja1Qo8dZ5pw+dy2jf4lfc5A vhcLvf6/SLxVKDPn8sK2zM0/PBtmmA2yYkxxrUiIk4tsVmoFZodv1rq7RbKZ9q5Qx5TtQlfp67zf O6Vi0CusHp03wmL0ZLgUqvr4GlAwcy378f6s818XGUKNWMe7Ue9lvP8x2f7d8x9iwpDZP2F7Rl1a ygKA+Q6Xnb3DKV6uODdCbyROn/D1Fsvf0EP6m1tSkHQfY7ppFpBcpTxw4lo4zElR2GaoMXWcolDk buJ4knbWxQj9oiA9ZN1nqaJEwA6W3KOkR6qlubU2j/j6ju+lIdpw4VtOH6WkUBepTYyy6I0vHh0e IhkHSXx/KBFS7p/4GvjhLBLk9cIFijpgn7NFy+mPnrTxxZy+VnIpCmVxy5UDFHt7jYbkC0oNJne4 RrFxLiwpFbaOGscEt/4aOOTXdemCz1Q/PAMSg0bZZpwRBIF1Kb4pqpL1ngD2ZLpn4gdc1PbzWAIJ TWRAjHu7S1PT1GXtx+GJwa1ENxrBjsf4uM8iqmjeeyalvB84F+/FjBfXeauT4GvyVtC0bq8JTGRe wFqbvoHrRl/f5e8imGS5CSKxVDEpUPlirCgaT0vRqRvknb/ra0I/ONSDwSl65TyUf4RPZ5lvXiq+ 841rhgwhyn0BGC1wE7Gxai/Zlzyp5cwyHD/AI+MQI5ju+QZgtOpZfrH/AApPPKL69rAXTw6QeyEs kGUA4GINgP+H50XEg8goBKgWbaW1lX7Ag1MeznoRRHniIXB6U5Q5+05IHCgzJkMcSr71OGDSWXAW izBc7LHx53ePGzBx2Ylb6JXpAMi79CvswuPs4q84AvbWPPDeFU/NqEBIWzq6hrMWchHgSTsG/Ixl NqtMV2d7IZAj/DcbGuUoPupS/nIymdTMZZiz/kUOIHR34dbY34ZF5/qJXrKH+ZYHtRE5P/2gARzA C8t2OQDSsjxk/HBwT6/S+AN44ug67VHm4rg9euone7M06X+MZ+Fg/TzuWHdfQfzpXDIsF5xzaPdD 3sago8rHu7kFM94ZL7YQaBrkdCgiCpFT+3HGd4Ibeggw0gibenbR+mv0sPOT2O3ScpPwhrVNVjg8 cPwKHtY9zUv6wj25ok82+i72hAvqUOT+rYbtscB1XR5IE0mpJIFqAVetLJKxPDI8IFED156Zhq2R ICehAKg4luNrXYx/HQBym6EGneGk7IzhqZ4rdhlW8hmZ5wfNKmwhImc55L66yKL7304Rz6/Ourn5 280mkafjZFcd55a+Kow+jrV6Avy6Sv/C6IZk5XgmHyCnsaXwjFMSLk15W4oMO5d7VCiSIlgdJMY6 zLfsTgVDb25iigjAY8GfFvIkxbyvqJukqbXOUOCaAL81K+othki1E0QZ3LuwdMyLZpFsEgNXernG q8d2nv27RcuxC2cU29f/qq0duhbgVs2W16Oo4vtH5hwU1vMnNS//0tLHKsdpR5hLA+m2UIk6jjCW 3cRFlB21GKvpe4uieMJGZCZLEjbYizYOqYjUOHgfPVc0COpw86Kudj7b4gDz/9ugFGHvkO00xEau eWW7SlgNPPRS2XnT6CayGfBMPN2vSCC53fqCmoZUQJjfWaNS6hmsJa/fxM8Zu/D7wL2P6e19ehm4 SRZ49afV67B2ZtT/H2eNNC1uLR8ym2xR5xfNeOvak9XnPEi2hSdWHJ8TWiUwrdwrJyaR/1tM5/kU WJgU8bNswk3roGkmQB04vNX4XilK7wqS4volPRZFJAd8tvM9kiidP3d0WxjNCEn35K/Srk8GTUGZ ve0S+1nXN1oM6jfNZRTj6ZRixisqpntzua7FF5m2wpOynbczKS/TsF8NZjsRreB064fzmd6YRY/A ETk4ZwpZh2oCV0efmd8g8TFlKkBGQZ8BgIpsdQsZDUfJllru940GTb1W5pYshs+CDaEpU0ZrGOmu arGNzzB/KJDX1O4H9npBjPQWeX5pGT9iIeYow70poH0LFjPjqoU9oPvX46wRRhNbldtZ9FMvzu5k puL+m32++RYq7yBYvofg6y80+QEE3DCYBDfkk2kWBvicbpL5qzMW0Z0kV+rtmzpOaaKNGVnOQZKc o386zWntoR+7DQsn2GW9NDnds3u4HotfytOUVoQ+Bn6dx/siP/wbg/R+dzJFgiZ+WagJTwnVU/pd WFuWXOcHRS1ykDf1EYZkd8rDXKqR69VAb43QWX6oCxo5cxp2keYi8L13BPxKYsOeXUMvDscB1iZg 5H6ZSX1/oQZgkoD5wPleeWi/aEgDXOMXv9wmANyR69L3KvOLTtiH2d7PyJIY9AMWVFp3I//GpG7x t7q128/IPxm3SJR2B+eE9Tw36OEYCuHYDZaRNK+aYeb0CBkmuUl36/m+WsnOysK5P8B8aHfgg/aV Nf6Gi8VsWhKYyAClxzz32cHOyxc4GJArqW+lxTqgAIeEYeAjqbfdYdk0qhPVsaHeSKf043gGEWD+ JjCaoTO+d5ryCX/SiHW+e48Ymcz21xfjsjAvkHAIyPGH/PcnIqdoCd++8tOjxwn0PF3i9dE7qk0z CT28E73MBRvjQCygp0IWGE0Zzv/n99Y65iRtz0tgZ+bifT9V9U/7YWelGmZQ7dssBb2e/mOavHh+ QswKtkHasoJe3k7iPtjvkUQ2UlAOeCRaxzFsC8h80Z/nlu88tHXaow3ecnFUXd3cHNX2Dj8BgvN5 gTMwpOpe0oCCWA51dHFeo17pzZCJ4p/D931pp3pnyL8oTTIbz1IEExdbkRhw4spDEBEruhIMmyY1 g+cf78xqCjDcENoeo+tqOkkQkG6QnxgO9FkstyrLB3R0wPeAlqxsEasMk69aXLg3IUTmTTYExn2v qR/irBXX1ODwgbuGnSSxdsouPOAl+0SN2PBKL1Xl+K9tZxln3TpmD9aNQFk0Rpf1Id38+1U3kZ+2 QStNope6O/vL5hWvmxFBw9NbCrQDq+TXMv9Odr4C3rilVG6Yug07CVE1DIGUkY8Zo+rEMUCHDBLR s9DLmiZNHAnN47tBoxAvlKHHDNJE7cpaKTUT3eUelX8Vetq92kJGC6rr2nLUhfkAGSu4c2HWcl5n igyw47ebdqxdvU3kP75NtTu0cVSlp2fi1Frl7jiYSDa25bixnVi19+xyntfuqU1n5rUZSYpCuo2E 0+e6Y3n3W+6QaJAZOU4TjfFBkK8fVS+Hm4EX/IBwMpmTscmfHkbGAv99aUmOTFK594i+ccTDNpjy E8pybUPUwYXYu8nLmZzW9+plfWZpB15KhkfXsVRDyhx3OUDGIoKwGhWvv9OvD394oY+UZ6eEDZCv pkUXK8g1oR/RjUQvFO6xv+hDjmZYjESlzXuBLaqI1U6pyNpAVic+z5F1qtXhMVp4OkZ0H7Kh5NHt 3NiHlBA4qw0LRp57yemYhMBvQymU7AMSsrF25dJ7c9FI8iaHNAIUxn7Rza3bFo/OsEMACbxwaKyi cxwuMT8JcQ2pUMcQZc69P3F3ls7ZXrUv6T9e+MhptaTY4EuUp6H7LTAaV+9iBLsRkVh6l+XBi9Up hnYqad3uV2g/gGIVu0vQyXqiGxhUjTKU9ZcGZWzvjZrIR0Rm1I/6ULPZYdiXP+L75jsAFYcsFCwI WHNlyEZ6wh3wRgcYBWzD4rUUz82i+OpJ4XE0A9yx9gCHPEsy6gO0c0REHPQBPx+7LR3DMTCgiA+a O0AzyLJcamTo85v+WjPVrxPVBBsuRiRlPpC7ybzuPyWQT7fddlKICnEdvmd8AyidOQIerjHikNwm IAcqXwLYhAPr0enxrOzA7LKGI6pqRgwtVk6nUVlsdci/ZBuWMkElNni9LoXJrKzbEUw6VNT8UGQa KZhE7ycAq/t10cxk8GgEOLinvT4vXKB1fg7Z4dxRJywZsTpw8Y19lwE67b5TeFf0a4WtMQyjqUOH egPoM09VA5O1fAfsOO0pcyLuA/v2DHX9IB1zhSQ2lZt3Tf/rrmz3alziocvleg7EpkOtdoen3Us5 ZaPUAGQxhwyeMaUg+ci6VCXPGeVCFs+KKaTnt6nXCuxL5iOG/hHHpkvU0rsHjHBTYtXEL1Gxxd4A z18TeaCoopEn70EMULm5e16Et+OpbMs5WjXfX1YPFnskXubUlqfLHI7bzImYUVt2GD9yNtcvgorl ZeMYfugFoNgOj7bTK2ChfqDqoyPt0e5KZje2FN1XHigwzSgqV5r9vrQNsdeuQiaWK2s5ohdeU7WM r2fTAA7oX8VbtJKdNYjHo639UGd+z/78QFnAeZIOt/dmmPYMkGPMX4ve+guMXD6h/U9WILaZpXB4 FYByZi836x/imNbQ0o/ybekwh/iwl7QKc06zhX/ld2jW+4xBxbheUzHMwjf62K9jhEks6PUEM7nw nQiqYgKNUOSUPUnmd1gTBNOxtsGQKmvO/o2O7wBNb6PV5up+eos1o2hdOSAjAaAyGB1v1CjDQGYf 6UUD5WRkY8wi4Xoqw9oF8YmDnPsipJ+04ZO7y54dr5qrKmAuouorzhCUPLflcjkWNK95bcPEGgOj WSw+D+q34IZpdeKkX0Q8/KZ0eyvgSGoVVRl+qdlXMZJcuI8izwYHZCI7CQXIhHC6s0Czn+ewzJAI 5W1OQ8Z79fpuf8NR05UCebhDn8Unb7W0aeW4Ca7snlV6hLGXujLC0UzM8BAAJc6h3Q3V38HvC0+C q/OZU5rHxaLbq9GUVbAWWxV/q3m1PRaMWHrVumO2HDYj8L+cCr+aC6GO0zLpoDdaZ2TWAZu9WbHz CbbRdevKfEcV59Nnrj955Kpkfn18CFFL5IYbOp00t2XkMnSxy1G6PPNkJB7qOVp+NXgdRwVOUbCm 4odF29QKu2J4cC4SFMJa2YzdtGwf43IaFPNI5TuvKNEC8Dd0LvBezxO38RNycCduRTT+JUyaNhLf Qh53py3DtX+XtRghqIzlYPIvmoqGq2hGWPEGMDNrAjG3oKman3g1QdPnzo7zUMxsEYp5I9NHyjGl wl4TEmH3mo2deoCpbEFmjb4mC8ipphNIEITRX5yzs3HI0fYYOK0bfuo2dWFgVj5sOOsyWP+lrhNR gcCFXAuk/d+dTuOK+8vlCh3Sa9k+tpT7zWn55+GfsYFdAXhZmLdA8Mm6UVHMaD3XQyWWpWnHOTeZ sTOOW5OKRlGtSc+QPBjs0hjl881x8fsZkBfhzoPB9uqMI4xRq5dp0IVHa9u9HXRhDHeWXOeyVSq4 MxOVoUka4BikvgWBp9NSK2AE9DnTbtYZ/nvowqHPV25OO9l5zSEovlDz9Z5H+Z76v5euBu+cSqSC 9ny6vlVV2C/yPNrBbZkZ46sEpaSjpqWn2+tFlZX+LMSkhXdCOGNPT6h02l/9hP+0IuaPryTJ7JfC 06+f7ftLCBwZBSqSc14gQfPDR/GdNZxIRMW/Lxxx6Eu+5AjhMpFhTp9Ue1DIQXNvnmItTAEtus5r HfohYZYzaNwUbyTqVk4PCU5XZguudmeDGn0b2EM/Fl2zG0/aAs5qwNJ9PljIT/1YmZslNTWlsgFk djFCKdi1lfEBgL6vDjmeklEgapgT4xX04Z0g200YVvxfpKnKgggrQp5eJ40flhejoRwvHlHB+7ef dP+wSfd6CycDP4VqoQ2yuzj39VlOGXx1xMe+kZObULJsKQUP1aAUABYWDaumbiZQXHHsyjRDqyPB VvORVzcLC/EMtjibXn5yusNKd3xiyXGQs/i1c9eTWCZBDPCG1vibzhR7MLde+D774cDP91DIKgMn SzNr+AiWDnWmgtVUlAoxydohMqnZXmstgSeqP232kwP42ni/P3XP0ltGrdn28caJxx1S4nipu5tv m4+tySuag99Qmbt7DBQ+cUXKEtoU2z6pD5FjNjWHjVbpbvK7vEX+rsY4/Fsj9yFZhJhi5mAzviF0 tl4l5KuBRB3H9iZFtqx6ttdR6ro5aIheAEFSJkG2UkrCRl0fC8F+ghJCX2guL3mHftg+CvnSCkNJ KDimmp6OPoicQJg+258QjyxCh3YzvqrW6vfAhuw5cvbfkCEGVodG/TW/IxJQZ0TpOSJyyMgzzXAN cgECdIRwEojeHEuzd2MvJdNQqra9N1ToLpNIzVZ6y9mpfV8+UKpMiUgne0PYIlzl9fT2AckStRUU 8nFJxWQWNJEAl9es0trWNAVkSkdEHwYBiGAxy8mVTUuGWpqiATRmWJX+msB8bu7aXNUdX4bZnAMS n5ctbuOwfOL7o02LJAuaE6wqZNtmWX42dwnjVrJ730QvZOE3mQGNgFFSNNOsttOLH9xN23HQT/sJ LoUk+Dpa5ZErMFycYZToEeKUHRyifoh6W6XnNbki5GxN83dZamPi1XEwNAapJQCg70s/oMUBy4qz RfDJA0nY+Vuso6GNpECwz6Sw2T5e5/uw8TuwtrXBkMN0o2CidWjcSLtN1MScW/VWUgcggwvrcXAy nW27bVuLjnC5FoS8UetB7VBfwzAFRMxW3NIgr90CGLXKbrkK6tL0qpDUpH/V9JdxabaQrC99pepy lkl++LdFKtC/WLN0rMXTJPEChsjETigmPbYTjUrl8a+JDrzWJxMGAXIzsr+luUYtxh+iXyao+Yfn RpLreZ/9YLivP6pn9MpviDK1tlZl0D6fbHJqnEW7RXIWmhrgf8d0Pdo2W4kPXwrSIuIPLHYL32PS ssSMgCGyDOw9DE8xf75bf6KFS5JOm7Oq1nd6kG5q775lnoT1G1W84MbFcCHmfI2Iv6zeGkqNHQ1c A4XVaUhR7CuHSpv6LOe4ZpkxS7p+TiRc5pFQV4R8PuWv0kDo3mHYOthb3X42IpL9HIG4glpTW9r2 gBiFxZpXD8yPAaZeoH57OoFxmyfRjHfuooPyTDd2+fwNBzF4F6TTPhoAGUxcPyY/HaMM7tgI9mGa qwVz99eg+FelBBXu/SAKlpIn1o9sRk1U5S0T59EDnJwwZajACo0bVUMIDVSoAU+Bod/d001T61E6 lGY4R05USKBllzhB9UViiJMVu903pMaUnz1S0h8+ztmZY2AOoaI8POwTcW4UoTSqzy2Ap61ZMFH6 CJY+BLCAswzSDwRJKKtfwSTTxHsnOfZb1lsCC98xhGZXNRHqQEAG3lsYY/YpgZ+5Sp+UBQ28YzR/ ozKzfQyRJ2ZAtlwmSVQ7w7CO5PCOYHYbytbV/kgQS87jEnVj3K7Zxai/Vx7t+K81Fj1l5WwqOxbO fuBWrj36y9RRzxJso/CsuAVMGpPN2r63bzOZ6SbcvBNsXe1ZZsKS2a9nMjkoUHhK5aX3OgsWFsQa djdYntn73mYAWrPTjzc8dhwaw3+QZh6xn6I16imheQ5UC72JMyn/V7McZsqIFJ/my6ltYrtsPQjF 5Aix15zyR9Qz+6Av1m5txWNcmIlyst0Wj9fOLKbUiUYeNNqv33fPQPCuX6GgOrPnkR+wqjHquReb igwFeNpenidPmPkjaqK7HqM+xBrgilhKfWNVFL3bFFOhkHiTjjzyfG+qk+bL5sjonmpLcAex3p9A xJRDQpG/qIpp4/ge7qHC2+kqFYZKDlUArFv/pwCREKi6DIgsh2EQTcrFf1dRVZ9ROjG7otYc2hzu QZwi6B7hjSwJiRtuNWGMKK+ggVdq5GJS2UmUkgLnTD7xV7rCChsOwLbULQMRz/noLwe4fuiArG/x FWeNF5tEJEUDIElqsrvi19NKQ16Ib8tBhl68b4bLtTp/4Iv308j0yrSlsMvFMB+iICPU75AfKbqh md8+j/fKCn9hhQ3CeZ8OpF7y6oQNei5DaTfCHwOeYhBqteIbMQa9NV+r5PzxOKHPq9BNZcJDdU3V V+tmeLpybNVKHMYDTq802SC5x6UxFVRKuKDsIuAp91Wtv7FQHIFBwmiCRbkQlbJF0/n2T9Eaag2j 5jAMpNEa1I4cxPK5/tnTKOUsgs1n5k1c38VHb9AtFKfFS9SP7PQWBy977LtGatVWTsF12wfBC1hp 3VYxLtMv6t7fsUZHnH3EuYvw/PbM2WpfKTv3aarVxY1KNkL/Frl+XKQ7Y3DXSqHKpyH6l5Usj9lJ HpVx76BUkF/raZ6HRymj5L63onvKSUA3PA/pngwS+CBGC3YE04MEIgQvrDgZkF9Pw+MI8DBTIpza 3IVEpzqWA1iDPV0+XS5+Zbj4s5AfOBsnKjvHiUctvlh7+qgXeXiLygVhbNW4P467Jpuc4L1iJC+v +LlTkDJPpmsL2OoBEI6cVmN/Hw0OcrzGuZy2WiYjHGs0EtqKsTaGI0FX/Fmjxr+mE0c6iQBaaaeE tH4ToRrQ5Gq4Wyd1JS3+Zj9cEFo2U7kLA2RXbU8R/352AFChGDQKh5fWrF4Jlz/qvCHe68NwgiD+ OeUSleH386OGaxT3lf9WWZn2MhIiwDwmJQoDFI9EFy42VopUvBwyuUlNtHnQmm0a3At/k3NUvZVs WzZPOeHncgxNNSZMjZxwX3c4cmg1tgGB7v1tvTV/mv+iNaJfmeAHP8xvLqZCG+Ki+Pcl1Rw5T7XE wE7lpSrI5RvERI3pjfo904+XjpEuR0W8vAmXSgq4AKpGuouyZXXPBPJw9WXnATNhzn6mPhE/SGnZ Wyaz1o0zpEy7usW3nZOZVnvBzaNpUk4HPmGeGyTVixtEI2lgWmiCrWtr7sKbrKGUapS0QYK71rPd uG89i5qpQ6xG3p8TIsCdvi8XjdpG4wTTYrKZ0FD12nSiY3wMcbNjBS/lGyPjRuJ3Djm168ccuTcW 4URzrk9RZQ3rd8I6FAGzafx+r4b33UqCnXtP4fTr5BuL219ZKje8RbUcfvI2FrtumzWF+06EF6UL 90KM/x/7uEMli64aOHLh0QcPDiwiHS65hMC93od4Ku7Uhnt8mR5gBKYeHdyKsM2T/ogSLTBRlwn8 6Vri9Cbm1ifzpsknikHp3FcloMIHsNeRUMQOqJtTqS6qrBYvn6SOKiCQHj6mtT3QToPu1LrjAZRC IhMBVaKSbKhrnTsmPOALrQk4KCg4kHr4elDF1zCWC0fi7dtuFhz2Uu68XwKe3Tn7OjxtK0KZFI+F neH5EiTgZGqHLEpxdQBmUpvWMbCHNsbdv4HMKnxNH/6faVNn8utTTuh2gl5ztAWZL7HEWHJ6KZ+L 3ng4aKDhtSIgIzuDRZ1vvxWNgUR2gtb31zmEAkFw+wJfpVBNulscaPcWQBk/8CV5EyH1FShnpM+q tCdgy4XAcEI4CJc8IWh+x1qd0CN0gVTn+Gq90k0uwSC88PvZJscgk+3aBo62oxS/v7mpmH63cui7 cSfGPvR2lUnot62dvUcb/9kWuXJSHv3v6QY8SLM40OSjzx/qy/zQUNOSo+lSEobRBUZaKRl61Q2n UKRpQXiQICWSDUOeHxYr9Ir54wqarfC9Yp1fPKfvcLSp+nARDxyXbiP/DIxsG8mj/iv+YdCYy9VX ev3HwwpwSmOirAalk27SILGsdydW/Sm/N9euTCSz2j0mQpagCdLvYnAEl1W+1NbdHJF5nbBTeFGk 5w5uorSr0bQFwq8ia2ThrmoeDd7u3o0ILMgPoMuAWXt6GkPEVvRPX/GXd0y7w41jDfw+40O4oNMp lf6Uiu+3iLWjAwycIDC6vGMzUFKkmD5yYDJ/JAn7v5yrIGDDZwZ9zsSWd2B7SLlZXFz6prddSeZh YVgujGAZuJs7uH0KasulurnVP59NjiPvQ2XE+HTLZx86fNJNozcRs1thZemllFhDkP7h/HJPXzuG pDY2PVNuQ/yYr5pBgXLpToyaRXNU03BBfCs4px1BJfmd9WtBva4rkSJ8yupgiU9qIPLHXafwuFJZ CbTxTWeoX/kF/n4LIGs/5BFMxoggyELdEpLT4mogWDOpy26lHCrJbWaqEcGdomCR6zy3v7JVzpxO LHmvKRhxAfJTk/631X++q9Q3TjrB/9jSay4UZZFejiCnz66kfwU5E2iAGQ4JnrcVkF8BkzSK0tO6 7LKiNSYmQq7/1fyQ4A28VzzKOVq6aSyMqC2JGx6gKf/Hu/Xl1OcHyiN9u3b4NjuOvZbB2m9FqvLZ UfFoDKKTHR7+qE2FqP7juACuuYhDyAQtCisob9DryFzZmFTMKRJAG2xuLBZEXDqZLfcAIOLevUxs BVIBgXyWqC6FkqQg+gFxMtyl9YzASc5iRPBX2ZubTzv8HSg94BKdD85UN3feUDu5XTKe9FXxLCzl L+ewTZAE8WYu9w3zkT49Vpe1qUlVm0A8Nbl/p4tA3nnBKW+qqtJbaI9lK4zL7BJuWsfKC12EFkav a2F/eQHpf1yVQxdViuhO5CGu8RZKFAURxfATE8OyoPyGCR9W2+OuURufQaV+yRRnxwICwxLmOhIT Rs/iydQ+PC9ZEvezC6LCxDrlvk9mfCYK0nmD3bb9DNYRt0hpow+cbk6mnYPeb3pGfGGwZ2djVs6q XbUZml1DLsvaCyF6W2caRhtCSWamGR7l/6Me19a6d24ddFrD2g9cPnGBDResW/KgaxHVTYJlHkRX pxzPDcjAo8kCdV5XwJ0/cxns9FNguPi898ti81FlCkp/iSiMti+GHpInU+HcF0YGi70+ibP6YFLw L9oiDKWfewyq3wrP9nuCDqJv/u58ItEiUjuNtrqMWKCCVaLHqwGAkpt1uqpy3yUbpk2xTRHGq1A/ XHoDJwOrwb3eVLRodDHJYIoNUyb+rOE5YNyX07YcSOvhvWDBIL/4cTMUgdY8bimO+ktYHzqagt/0 MS0j2fmdoE6+2cYBiK+yISTgmMUVLfYVN7GcGdgbssljRkJBIc10Hzz6qBcYD+lRcRztAJEjyniM pw/eqSlYHMQdKmMuBVgMnqHAWfhHc8ae/5LIzA3XSNWnNAZZgar3JP7+nhwR+2zAS/KIVRrRUE6w m8E5bjRoq4XR6jiCUm4JfVnWGaq4i+tV3mqrQ/7LX2MfYZuYrmtlJLZGAYJPyM85KmpzkxhXcNqQ jJ3x4znm+CylZbuoUDCx//q79rDHXm20FxH05leqyGJAfwEpp7rtN7ecZKO1fNb1q6fntoEwRrmn KmTEu8oMXjHjx2MNBUCJPicSpoUxFvAkBu02QntOU+GCiiwGWMHsc4BIPzF9eQkTffBImwulRyrL NmYbj7KgS2RbQso6CzJO/E1JZzacU6oibQ/mVKHvDoeQ7kV9JHmJarvmXgnGkBaEQHwh9k1Zzqhr Bkb6DetIvNiZekgM+TCDdMypps2TUFoH38s5C3Zhk4RZQnzN80vYi9jefqSVOpBRqKggMM7LPgq/ xlQoxH+9gLF1IC3YehdyE6j10kY7IY0zFMcO1UrpX8sBpr9SP81hcbcn3BXIi+EEX/IhzGLPP/Gk GEYy0jfZvsfwRkU2aTmep+N5o/yAp879z2DTkyID/MHu1bcla52NnnBDltIc8ns0BLGgzopa5TF7 7+sIgHoYBaP5zBNrl0qfmbZrQAcfAyNgcYHmVguZpjno4Id8mkfP3T7koqv1AQcce7jl0lQS5mqq CmIwLzZ212QraeoApD8pBSMciT+U5M6HGpnc13HEWMACA6RGmTGO3fsg9AVHnLhSa6ZwxYiseETZ +4RDU5wgOtFoOvljUIi6pfH7h4MKXIyVdu40Ky2+VbkeCQrCPMBpsuigSDdXujz3NdwP7ukRkgSc YKwWZbsQ2MDeZeWf5XhX/LrDYeF3LLSeUo14oaNwrrA5vAX6S34jFMvvZJFNOgyN2yV8p0jajkcv KBGudxtCYsmd8dny/Wra8xnEbs1UGc4wIi0ylqgtQxt/YDduqhoM79Y6rFQrVukNizbDcLJjIj7k +1PXiplxPbZDk9P9KGoCXfIS0O1JXWwYayLoxtkt4bncaSZiSNr/LADj/VihJOMjUuFJS3aJPjJe xNqt0uZxfcw1HpiqztMfG4s7ilOZ6fGZdn19YiuPuHOVNltr1UlrV8k5R3YEYVURBuxaSwWnaHfP 8ZAOy77/O7q1oINuZpXTGUQIPD9pq7RjjqbQPncadwORODcY7a4FjdKCZ5+nmDR93jrByaJAKfNy /Bhzmg6sMeiuJiI9IvoH7j+TQ1G4AfeAnklo1TVNU3aKhjnlvEpLhPeYjAjElSL2IHq+Nim0Q1Ft bCP+FU/hICsNUNJ9FuT3Vq2Ar9QA/DO+Jd4DA1v1pyGlyhshQc8JwRL3gLLKzXVyGDIIGS1ljYmP x1BLuBWOiRApMdy181UXKXZJaf0rG+AsQ51avddfznp30OvlsEqe0Nc5ish18NfBGT8B3DD3x16K oKV3vYawvnihrrPh1i92NcePEZ9sm4lxY4NxNqEXQykZnwUQcTj6OSctPGt4IKmtJWE5zzGBEOhJ mbj3pODTDIHTVohyvg43wnT5PGwH/U6RQG2fqq1v4fFitFGxJOOjjWSpsBq4/oX+saRRqFqiuneo Nyp+2v+FnNYvtRdbB6kZ9l7MmB3UmdfWK28lv5u88HB+zLmDU68rdisnfvkfByIupUalB/mQHkD1 KVwQ4Me2qk/zcgu4mT5HUVHbeBhmAaE/JYL+uTglnWjaDNshLCB6/V5tQN/CfTMkA3YVEqkACXRE Lay3d8ioHIzeSGEB/CYyv1CZhFH6rwdfE2uY8c+Iz81ogjmNMmZEDFwEKTTM0UWekTLuFHRxx1IE 30riqoroibKZ73mNz6sj6XRA4w98OgId/A+ElG0dJ3GJ+jv+rkA2xBrBgHnxClx/jUcTHKkEc8K1 0j4cv++A0oyh3HMaGhn/NcAmsAXi1ThPYoM2xE7ElfcxU6Sd+0jvcdx2Bh7c5ma4ZmPU/y71t+X5 8FR9RroC9rg8wLxaGVBYUizQNvLeRd5SWQk5B84SSohExud0PNdYRSMxwrG35+jeRZh3Axi+CUwL 3OQWVvLy6BfTgfq9yM06NIJ6Dfr0CeuUt8Ilg5aEW/2hXThwNqTLL4TutHD+CzSIDWi2ExOUVsqO T3wNw/RVZGo2p/eGpYrWGYKiC0rOr6kaa2N97q+FdAPStIqix75outByh5pGQnr9SPgsq/GZmx7P Kn5O/ZYVPeqN9IvLTnPE2+ueii9lWxL8L18qWs14Se5G+SHSdJG9NDEp2pBUSMT/ZQBfCv0scmDZ 544QnBspc0xtYcdbCtpkc2+WxKZ1FCIC2g/ALGKTo0GJx2wHApjsGmsh/ELU/bXTwe+mgV+eyTMe Q4+xqFk/TkYrnpK4oWgYCgtLL/vSI5N2ghPY3Rp5q0n7Rjl/6TsZjgrUQ4JAX6dyt02fePi+dz68 jDdhUL7O19HaRgZVrYt8LS+L6FBib5J+WsMCo7FlzoRcepnFosKlPWuv2otseNCjAkbc/80W0NTf ZBrwHVqL7Zrht092t/OV2LMc2oioydRh0p/whv/TaewRP9BuTuK1Ju+/EFAfqlnVYgrhxtdve3r5 haLI2xx0nDD85P9wYIRmOri7Kj8EZOkX8HTe3NPfrqX1kE29zQ3F5/8CsyLhdW1r9eBW1VwCWr1P d1p6W7fNLXEgrjz/813utmPlEbdRdbh8yZ60x8FE4Q5FJzh+H8RWsz64LNp7dN8Q7ruFpUqHCj4V 8Fu/rhlBQJVDOd6hcF36ZhWfxdMI0e0Djp1VmWkIO670L4epV1LL4FK/xnd8mlyqA+Z5PYRgjzMx +ZzLIpEwHLT7trhwUXLEZki2oiph118Yf1n0E87qcRm8mtt19LBaVWUC/ebnuQvK3/8gRAJeSRis 1K+aZ4SpXWgKG4tUEh4TnTYAZ7HmXP9uCa1jYPosQB99oZ5PrSMtHJNsQPpP/flWNWnibyYbJxW/ bHfqkqgy3FXD6o/QDIqHHVm2wUQR01JfpBrsiCBq7XVPcnwbOcqsNZM6QcmODM+yBJPEBKEzDYzz 7x9C2fXO8Rs/8uZODbP5LAev3Du1hbl38ifwaXtDLdBZ51hRwGezhWR6Wa2LR5+TszgjtU2SgYOI avER9AOMptmYYsNRjsgfxA7PLRmn1OU/480Durskb8faAT4mk7nH9s/wxS+ARnyIpZyaMN5tOxwQ dSZw9T3VTYyQwjPeHO+pzWoqAIp7gDNefW0UzP26Hhv4ax7fk6nKPB5PMn62GQYPG+jJrdriU+PR GmXduDAjwLDgaVX6kqsROXp5RlDxsWYRpVMRrtkW28pLWonx3lBF4+QdWqAvw5sM6fGN+y4izcT2 22HnwtjzAMUBj1OYR7sbaL4Z2DSWx1GDbiqZVk376XtaBrIXPwIn++2BBPATkkSTinuwqqAVFzhT nnjqt8LrQmovsrp3DhIXVk/v/rpkl1vJsyuAMCFocY34oWsNgVEqtM17Rn9DYKS+hh2FVMT4i9Di 5lipIM6a1NoHyxrkxaB9L0QivvfttSPI2mJrnts+7pmopxiOF4IjPzNxMnBB2fe/WlaOUISFCQ8M Mlsu3hLnT5X7F7gdvbo3D87OeW+JvvMFmxIjhU7XbbiWlDQR7XsZ/xHxxddljUwPPyLoXDuwlh7m eLKcOXwLbx1FvqWCI1UYST3RVRLhu7yLM56UCjvyIefrpN2OJN0tMphb8vz/bB9bFvgGpXtUiV32 RQIlA7YqVzCwAWH9gYw8A6x0XaCs8G0bGv6cLfcxcqDCafiXhGLD0ZU18UF9JZoTsca2nV0HYt3D oS/HI8BgWc3DM9COIaeet30XX+c46nbtfvY1P41w/q/w+JBgXQBLnQwfvuyEHBkAqs83fnMM44DA S/Zq3qYdBqkr8qVwmPcezx9WF16E2xFapdj5fn+WkfL1OepA3NE22loXFRYXo4GvtyihVn4ivNln +H4oQOnFb7SevnS9gvYvIxlisJxeuvgXQ0Y9bicCsD2UeSTOFAKFG2Yxc+y6ttt2/c87N1L1T3aD y1RuukK7zv/HoABqDE7v0sKo47FGMxW/sopBJk/YK30KFVgx8aKjAm5NMkwGCx5YZnayqm0swCj4 f5CzMiYBVlqz64/mK9H1ESEKQ5DWgLEopzYI8lN21iurU5hWJxzP5ouwBZTeTJ4SUwfTeGSG2DPi YCfLjHTEDmAZU2TUW/tMnvNxytv0DbaX3Wmq49ngrwrWht/W40ZkPz1jKude96i4LM4ejloO0PHX K8jKu8LUdjTshNXGD5uFtctXeaHDn+P9thiVdDMh8YCqSPwhnnfxLz7SyCEocFLdGVdjZAxBcNcr 8ImgMYVczfHGuIIMNX0t6YXdYDrJzYQ+qBj1b0ANUFqoccQocm/dLIUl5Np3bQT7dWXyi9hP8NDz L21tV/5rIYrnZoc/xfHFoZtAq1Um/xcd7aD91d+/ZCn+x6usqhkKHO9JsTp0v983JPuI9yfrel7u DWbYft0T2G6nOXxOXskTwiTOp39H+4XUnBFOIgSAvukd4M304FnTIKHVeN8rYXHq8y1l6M/nxPXT BraunvKiJDfWs1Tsn0b+1DIUcmP6QXcaBvDCHzCz7uJ3hWXqZZCBN+ZB/ISrPirXutfqy+eAbfLe qmez60qq8zOtzPFjzvUoBYKsDseVkGm3kHDCLktARmpU1yw6v9Er/eakPQ6otbEs69BerZuAPTIm DG0TSr0UHb/RTM6E9Ny/zTPAS+JLbYayTpVu2O5hmSiqlNUb3KO9OrZP2AqfV6Uw5biIiPkIKl3s 7+EdWDl8qsz5v7cBsS3/6qkopGK1HJhah0eQzBPqZB4e/iN5CTKCglHxkizVz7O1DW9LGCW7fBCi BGJPkbatRrjh0xKIzms4W6eLisHTjMez7ibKISUNKU4ptXCtehoqHJKbCkIXgMM/EXA/cqGSzRSf XOCqOYH9ozzMZbNMG/osfCJhn/eJb+nAGBPdoiSE9RQJlR9rxntdhwq68RT0Cyi3reLCrbeRblG+ eku4LsX0wGsoBwsEoaKaVFnvgHQpwfFsB9C8rn5Ps+OOADIhweyMX8yaf1yJbV52ByiyX2g69RMr DQkKf0W6Dy3MUvS+MWHn3tqS596fOoJvGf2SI8xSvqpxDI2FZ96R+dFEOJ39aLtQhVSuoXfYESJl rX5KOlTaG8WjyHw21/Q7fVeeWT5yFRbZsW7T6muwaX7s50caSF6+H1XKvL3nhcRIs9bgaFsFbz59 7dUJWIAVhfJeqswPWNNbCqNkzBOpoxV4nmJ4aJ/lXRu7I6x4TIsccqVe5eI8yW1MCHqUbXitrRpS Srcccyj+NI/S50h3yT7aC4Mw/JcnhYaSmdkdHvgZu+acrohpqpA1Ofcm5YNhSv6Bz5aY0K4JPMQW XlT4oiuwYqem4I/fmChnhIr81NIsNnIQYQfk0GU43lLXBqMZkbIE0NHYpbYmbY0oR5W706Nh3jXk Ns7hcnyuwhKWLuNN9GTwf6tX0wQ9fUamFukNcSkq7U6mnIjfBUulCl5m6lJJv0SIzvoZPzuBnl8N cvp7rN/5w5zFxqVrLkDMvvvIOmgVXjrEh9oXJG+3soPsQJxY3EBwXqrFMpAcBGASNcOu8GvfTk+S 0ndJ/+gpcwQ+Glgv0hUmgT6/k1fjRn+PAHHI1Kvn+GwUrTVBv9z/KMVQ8yF0W1PEIXpYCXSjRUL1 els5w5rbEa2a5dJ60M/PV4l1NCeRfUBWFLsQbnjPK97AeUHxPewX7aRcCL+OwX/6jItnkw7cqXw1 7ameBXMsUNKXO/FLdEmmOTlilmaIuRUhL0G1MEYT2nJeW4aha8aYdDPEnPfvNCdpJB5tmF2zMlom UGiy3kOxAo9jsPUnfmVkANCkQlFU1PSJtkHc+2XXyXhHd2mWIrCAytlaB0Fho6WwH8d+a8OjyMYx sYXitUJ0pg4ZzpFub/Fhzxy3BzpGhCiv+H+SceYzkiHeVA+ViNgUULTb4nR1T9TbDuwU5NX1Nljs 4YgeEEZA+Q7+nh41hVJOVHknk+ZB8fHUMeIqggdjQ69N2IOCVGf7rq6cptITtLjeSNzgLaGXdy8v Ujvln2EzViXj7FxBCYvESEwJCnrUHL5F05fmJ9MhHdfNxWJoJewf+jwzNAXuZdsJDt+3/ywR1zkx vpypGjLnu72gVIfkld3R3YPEhA0q1ScPUtk6+Dh9tRRaXtNlRlOAFor06uZaebnna/PIJZsWDZvm 4/MYCX60eo+d7gPH6p7EKSSLehbEtEPGTMb4xf7efpsbnhCxWw1l5CTUp73iq/P4DAyAlYPW5Iat VveQHKYJufqVm1uiG76SWCi65pYVpJ9cmn4iLLflWEqh5umgW+il4AuI+2h/59aZZcamIhoTF7Ty DK2+KIGYljyQG4uPqy67kaHeccgYoztNUr/xzo4zpN9wZOx8SW99bQrPJz7HaNVWBZ+gs4uQu6BH 1HPlz9pYv9/Oa+uWfznI7+cWuMAC7rcQla9oIlkUThKs2Pb0lAmyzkLxXwzuJE8lMAq8ey0Yqfi8 QIvMvAKVLQR7g3oFeUJ19pkwardDplfyuhEltHSh4m5tZl8+JAAbLxBGBapWVMpLbe8NUgOCHWd7 9uSc+Y0QDxOq26AKigt8nPENxkDWzdcsKm62xzKTqERAFRmyin+28nPQ4AMhwCCXj5v+I6sNZstK qiH3s8X4ZbvHkz+KXD7kx9n6ZKUvOEFV4+SZftDC6Z7OH1E6+cTypPAqIWmVqE98GxaiiLxDpTqI mgofCJaXrIrg6Z2Td57iCW7mUdyzBolXoyWGlAFtojANisGRKJLt4GCypgEAGMXFZhsPr3HNVARH wp7ofSsWMWS6+88eF/mYn8sUTY+3MVER4Bd7ewjaQikLIeCz0ypB1F4y6VjCoLkbHzvySOnt+/3Y fHO1xucRHhKqciH3fYzgpSddTBHMhvMOQ8gdXCzgtviHNeyphE9ZhDNc4/uBZQ7Z7awCd+dhhaOl BIV2t8cbh1cgM1CqxPBv8Z4QPy3ziXtVyCu5FRyMFG5xo5LspRMHczrYE+knum8G82AlJpbrDeam Y1JyVE04gLl0FEU/pE1kWmWw+9zZ4xJeotJpg6YZ30ZkADGl1e30AMU0T8mG9Cv3Dbb0dYNmIIgV WdykMFPFJk9QVA/D+1e8gN7DhVnPRPg5LL/L3U+wHmbNsBrsbHdd83kdA7fNkdLVNjdoZgWhgrCM If1XL2sYhMKqw9ZGlsR8W5jPd+Ug2wtkshMIJoiL8be3Th2Tu2ahX+rtfQvhaofz4xHoMN5CrYhI 9DOH7EuJ6A86YOM34+MqgSibHfD4RL5lqj/545Y04FNIKNmsvRORahkH+Hz17vE2+2JL+GH6Hxze HllvLfK/+3w2UoEFHjhqyG5nDP98rSjrcmYjXtcEWSMG2JMvzBd4Af/a9leDuEyv+GiI1g1vWJsR 8wXm4Du0ykWn3kRp7qhM5i3yadKgima1CctcEJJHQQ2KhSxF4iYk6+EVyWY950Mko3tULGhs0Ws8 TglT+PShtvf9GbSRmTFiAcyD5kt/sE0tOLGYzxs9HF30F5XieogihJ9xz2GqpgoG8xJBIKO1TFqu vbvgiN+HEN3q5VrA1r5pwCdd0DM6PDMqp1/chMEr+y+w7m+GroWyUvXzgLcBsIVNdtSLKU7aPp1f 676aYchVDthC/ZU+p5GAjbIQvKBLBDV7u7LtSHbixH61553bwl1b99mZLBBVk4qjyaKoKqeQIKz+ b/14G3bv0YC6EFdDydPFeYjEjrHGivt7gtoMtFwOgdasfAHzDRRzt4UfggwPLy6j57vjKPumNECg 7XaIyXBoRxn4G1/NVM69Sd++vibf85V191UphJp6jP4iObqe7/a97l50wqcd+5YDWEl4LkShdPoe tl9daZyRyCLK/HfN//lFjsaQLJsF6ogZ6AUhltp9jfaICx4HptNYwSKJjcN5NNVSFCH7tnOxpn+q y2UaZbuQbFTNJpNR0Mjeat/eTzxCh00wUqdUZoUjRjlwHQ7tzIock3Qw8DyQLUyVyxix8neQfiB1 me4fNhvujzxlKyUaGI98/JYGyYNIxkTql3C4QX3Yd99At+d/Sq21JfZzjgRuOnqDkjlfevKnZStV G3SeK5qfCy7M8HMo0V6xLZ+ZZzvLN1637zMHUfs03iElHPKoWhjKNaoQzBefFdtIeKr5Lw3DCC2i NpcX761UaJokHj/nbLZDCxgCle5GWEnoI20V9WUts4uBFVD/WVjsKOwMI/tMJfLRHGWq4oaFicQO oDWST/3UjkuJvIVK36DNNyGzMINI/0iidU42H1T6pv5RRvocHEE1Tqhld2w88mnkJfvFooDmVedw 2lON65sURfb01m+g6y7lfOYp8SOzxZQ+pvpNkisOl2X+n/rnkPesE8s4wTGkCbntUKh0B9JRkd4K x/g1LLMMHsXQJwNIoNmyK/kJsbLD8NzD9gAz9/SCk3cFvoHBfq02bZ3Io1SXFph0tBAraaKEbu+L esw7JnCJqT0vAL5+935oqOXKGcq7q5nK7fOBF2Dt6zdfYePNGFmUWVyrIKkJxRjAlTtnBj/W8Bks Hg+VSvv7Olis+X9r2kcuyWJ0Q1g8czi2BgMhrp0mtwdHWU2tGebVql5TXBssbIwC0pblZq61ebo3 nrKfwUowEoprvjEuv6VLjwPI7eQv75EAzZLBu4SEWdEg2FzH6dAdv4v6+lIG9MCePW2vErn0lJfH WgdUoW4IDqUcnK+IhyU0Gak5Mu6TmEkf8cq8CpCaHshVYrLCR4a0DaogspVBGjXq6XvBQLIcjChX Zji0W3g2USgN2ukXTsOJAPQYhUfWfm3mNNgduFV+F/mz8tnQGt6WG1J6mnn340gOz5O8jqYQMhTz CJu9TUOdDyhoe/WRKj7lOOk4tPfR14c9WgRc81BXf/Njxqd7RyN75Le3wHJw9/P4PZwBB12820B1 HPRM9/Acssyb3RzedBDbmGlRm2kTIJ8+LKJg6UZrd0N/SBe1F8BLkZf/bOjgveupdn3aQMDu6Ls2 My/PIqTjbnQqW4LO8k8Un+JPltKM32LXO+fVvUKQhIMUnHMvplhPz998I9NslLuF6+pzpNACbR0l VVvUTkDa3H1vwsehqo4QztfHH+zSmY2MN4WSNySLiRvaFPy2ZvL+TZxD+qzdeWurevu/s3gnCjdZ IxQzUY7ACJ93/98kI2v5QsZFfjq1KyG6/mzyE5976Ky3GWdV6djhfdabDj0sN1KHfik4wC8regAV 95deSVIXyQFD3axFbNRwUgdNYIfy59q84kCaznyX9d8KFFKtD9/FB79H3aC5t14o60gT8+ZQ8QVz STNqIJ2+qfBXxYvigANGzt9vYh0QodefsTPaD+OATmKH+u9t7x6JKnDRzcCT4MxTDi4Y3sABbJe5 EuFKk2enY3AFG821qx2s/OTz9OkCsYhISd2pTSlQQri4ez1PqX0SJ5o/P38CJNdjNVT9/4MYgCwU 3ELlHnJH8k//yCX2Bpjt9ds3D3fzALLPHvAKgxWLAV2FakbmHevjZTDqG4t9Pjge7Mu05+e82aI9 tzfckhmBVDl0uHktyIxe1eReS+WmydP0BxEWONz+cgpAHRiTp+UnCAaYyfEeL2T1M8koLMC/ooBf ybaG4iKyCDVDdlc27zgSDnxxO2DUy7nlQ2bX97pNj5JMH0vmHyqRSr++p67R3rS6my4VVjMgB7gr 4cqKPtMr/sEOrEjQ7fc7b1Kgt03OY69i3rrxfe7yASJAY4WtQlvqCk3xHEeRk2Crugj0l9dq/jAt UgAWpEyHKtljg1Brfl94+0n07OATnJ1jDM+IUl6sl6L9jlwwX5qSqw6ylERdIi6pwoyXw34/ltJC u+79077dl7K+LjMtFbjyc/l7HicK2PeZPt3nFciELK8BK0vfpEzom9w6FhT0RNsjRprs1uH4AVw3 qrkYOTuBXpr30xvJiDV0euBqltGl2zXda2WBfbQEbZo8RfyeAZ3VCMxHPI8+gPVqLw4O7lBHFgtJ erzM/127yk6iMDgEkQb7hIWhg9pMSJoKJs1fZ7XhvpGCwSpCH0W0N9KoDi8vd16OoGmyw0ju+raC tA2EIkNUVm7mV6seEkpL5uzHCqEEStt9/2ZMFc01ou6nUrsYnZQXbVkz1Neh0UWeGq5KW6qv9Ovr hp/5ZbKam1bsuHt8ikNcYDjOzNedAoDl44rCxEL0mouA0lFrSso0xOEnETxmzPki9+xuieuAMpr9 E367iI/FcCF4IXyPeUbi3O9IOPfEa6Zs4X4gDSTeINBfNOwh4dwe35x8nR9pw/NDZib1udsp20oC 7V2pIzb6dQALJfqth3UTocxZvnY6iCN14EzwBVEqU9fDnXfiZtd1vI3nJj93liFrfIuXLwWeOx4n xZjQGSpGI6lxBWt2mYcMiXWkHI40vTq6fKIU2GYJorDSVvFIgPG3bro74TfTaGxjw+LXQhcE85IN u2ZgtPeG9Ry90GXdcvm1WH9QgVcNF+y4r2J+3HHENTHt3TLgGn7X6fLO/7lpsTelL99tH6rfDDiI RzaaiFdE+sIj4DRtzyWGMtYf6+p9/nEJaf2ALMkp6iTKxAFTCl/K8zxY9vYv5g7OmP/6ddk1r1v1 OrFwhPOvBbfPx9W0JWEUEx9IENkmI6xULHFVTieripkUV1CqBNHRbwp5szaUh9PsDW9lBJRsLpLx t29k2fNGeEyYMkq4PbvRFtSOXrxV94bfLFJlILa5dlsZ5EoqUI2D1gOwM4xRZRCn+LCVILXl6x7x mF05cefB8H9zaeYgzoyFYXdAlLoWG5wFdg1pOtcAdR0QZfGmTVju/MeKl8bPtOvwO9sg9EWTMyW7 SnMV/hcsgRai4y4BtNBf2Nocvm1k7SMam4MYXgKmyIlSAJZUzRZy85NmrbQ3k2A1bFllVSnA37uP srzGC80hXzQN5AydJCuEN+TRiRTaSuf7GfOoJKu0k1pCedLNlROE0cC9f4amoNXmrMe5HwPvFrIO fSlwjfcfc919oGDPVtsxKj1UMyivtWqYbyDqiWuqJB7sE8Z59CxHHnsh1EsNno2R43sL3i0TX75E bgNNxkR3OAVxGb8/Dc5lepHwXa+93BNF0tKQy38V9qWXuUnpfzrDLn4YAIoQIoGEzCU25mS6Zl4X c1Efv2ldOFBBbEp2abvYha7rwZJFXRJdIVcCka9MfA4YTqvLqOYAJUFYh80ZlCy8y78ClkcPaSx6 11QW7JDoUyWyjbMLdfIjZtyMPUyxaJTkM2WLXc+LcbeyZYhznToeukGw8MD9bOHh9ZueeU2hzvMR wN0U0bYc+COKNOJIFIDAsVEyeMgmcRl25ey81LEeT49pS5b+LmN/VqaKchtkbxBuZzE8ntByz7lo SMLSJudbdNB0TE/aDAOiEQZv3MV1zGCo5Ex06APfOZMog5oOwOkaQMlf3gT/W5iCuY/kST99Ginr jCTHmFw8NJYq34wokqurl2HX8Jf2wosheA25O/q4wsSksiRtJJFKOFR/EakjU+sWDI13/st5zM/4 JYdiKxE4jl2w0LJPstO2JU/dd+9ZqMv92ytwD5WDtKBpcneJj3gyrovwKVO/vXz+fQ4sXPvzw3Iv RQg3jGOxkM4uZPmH8Qxu6xVwrV7pXg/VH0qMXbz3AzaBVEJQJjiYIwXtbH46AnNCwiSEIr3j6Mfi UDA/muzJMjWtqj0pMVM3cNEBq1+ispCnv+dMRWrHEqHeSY4NkQWLnOcij1QFEuocE00YTsiLqzoL epvs2XP6tsHlu/Typj8XjljdoQSPenqWtH1WQt0DJDsJXhmt0KhaH63RAfkFLSFiiQ4i9codxfUS 6PFjAOGml4olK23UXprbXkNnHvgTMMsBSzApHLmdOygN/tVLX5Qgt3YIEzoOuZPro5ZjgnjCINnV VnF5DeaMICSru42kt+tkouDdtIQ1yjVmC2uJBcIu09//JzU6d3lkSDaDQzYxEPakvj/0TuP9kL50 t4JPXHJSm7j7a2NiMypykzV/aPZGQmLwOOWI5l/rhxoy4Mx1ngXutac6tUfllNNukThAsZeul9vn C1HuQ6mHo2IlGIhcEzWM/QY2dUkV2cqV26jx9NihVW1p7dKNjimVY1i141hd2UX1xdt2H49URtGg xb7dsRtpCNKl6Ja8IS90VGngjdOyTqnHTLE044Nw2FJ9PUuHWHjm6sjI2QgA3hSYgAisOVaBBni+ XFVav6EB7CMGHNn3V6GnTt52SSyliOjzz4FK9tiy85N4zjvqr8OtW1nZVcYGEUZWxJp3SQnC4xrR DqMD3eLWYjU0NZMXqD6qsTN0T052vd6WwOx000RqYgVX6TEd0uvKB+O8awiNJlXD6Gc8erbH3r4f wBZkCgEU45C0TUG4RP4baYCG/5kVwmChDq4JGRQ3pn7LfQiM/O5gC7YOSzMAZm8mg7HHU4JmusIZ vkPrQwGSiwaBJlIzkHGXUyZ/Hq0MES1JjqLjBUZ8mY3WhfzgMqsQwbVeOmoMWH3cwa+gf0AKkHvR fojee4PzkaB7HHxkGkAgsZpX3Obtx+rMvTQX5lSx712r0xtfOdMIMGYSQ3FIrgj0u7WMT+tXE3yb dIsiWSSexCJCZkKKsFHdKumjBLNnCiVoU9w1YJyiRhc1BBpE8WpmahJBB0Frt0CsJxJeqsS6PO9a 2YtDWWr0iykWQhbEywnArzI0tTv1v7V/te8DdleOowQY56j5leEuWHdqU0pauMpcWHibshqS1lAc y5KLkXxUqRK9Ec/wx1EWpyHJ0QDqGQLe1v/dF+jOh+d35UspBrxhsdql2KAhsXIngV6nwMcRPIpX /s/bJU/RvR+6XY3brnmdcnnd9LEEOzJcoGDv92L3e6roNWrg+UHM+1BUcN6zFBiNTLiZnfT32BHV twIxQ110ioB3Qp4kK9NxGKtpRIy1u17Z9ZvM3StOqNr6OC+NCYWVcYgPjUjYlvhgzZzJJxsdDVdP urZOEH3xAKh5+zTyyNiskGXroM3KcKd8KR/Bvegrvtv40v7sRolMFKptAdc1pig9dQnccHNQAlfd uZRo+3Ufn5l+5tVB3Cn5P00IXffuZNNZZWPhPR9ZUjA2l7joMLCxYmvyQolocqryeq1L3bfTPIRU 6L+LfKnnFzAhRmXhIHX3+TjMiQ94sbHvlqe5SdVF4rAzq5GxLfTo788/5IWhIryYY2qqAOajQrG5 98QGUeHu5m60hOdBsbn43Wqp4XAZWGszGyRBRWMU/vPIGeSwU2Ceq2bclbLBNbkUGyZsssgNZvut LY16cjvJJp3xl3ZQZheVxRLkorB5ZNY2WOZYdkpW45sHjv/vSVlAcE1rN33INu380SRNWCFBIyfi YC645TLFE8iBPcmr5FMiYvYliHiru921uX0aFHbnfFwunRbFsbzZ7q9SJiAe9/4FFkOVN80g5g3S IHqSE7KJR2cnX3PaGMAg2maJAtVQOiqy524mQeCYvELhZSMpRIezqLmt9JU8zZCSF2wvhQvzU0dQ DP4VC1I9XcbagKeEcGx95k93Le8TyD29OQYX0bSY98dEVTV1qrNd0s/Ao0WX2Rh6xX15v79PuZJI WJ1Pxblit4WaVotpiu6rTbdpDw2DgTWmPH+FmxNC3XrR5FTKV9CCq/O7MQe5shaxO6E2fwSkf4wG AXsIHRPdTB+14b3nTQfpCbo/jRtWcgK2jwMqGnV6Z/5PK/gB7B7cVd7CkSfHwUj+VM85Y4i3+jPp wqexaTv0xjO+fGl/xdqR/m83HMRMhNB1kUIla19nXOjRfd6t32o3iJsnQQgwBTkkGVOpDpHeY9Fl mEKeaGlTorGdcezxHL6nocw7eFkrfJn9aeS+Rq1i3CBlbjp7WBXCx3EoOCtxNgd4YAM4wq6iammt NMJzvbbKXIECkdr1mIpvrzwT+MBQKV1mZbxl7xHHV9Ltpk6OjD+TD/9Ad3Xc0j0qseNlJhfgSTYJ GH3J9fLogIP8ZlSvzGNpuMrdofZgFKyYT9NgSfBpMmMLUhiuOETfxAxATEPAOvN3KKOkKgRxYhaq 1ht9hdrsnPxhyHgqWsteKuT9oTes8e4liNVsWgTk9yBBpFIsemxkvzyWCR6CQnxA1Y60AF/FtAG7 nfiMv34Gh6d2rJYj1ynDLIdmWZR6652CztWF7vi85HbFOOZ4OKJyejsUaLOftJJsS7VL5GLfxiQX jGgW1Zh7G34y0Wr5Cf1h8aJVFe2o9CyuyeJjyBCgo2DloaXmGRMWMnux72yTPz66vNHDK75xZoiH IywcVcF2PRec0qqT+SYIX++zJAv5wboPKJZf5BY1hwrl/vvDejfOeYmGcRAG3Pl8Q3HZuCpV9DLA rK7XL/4qHVToIM7obShLvJIQscEjBUfPq62yoBIHLEyuHjcgJIj7txFfPOfLKZWMoqC8lDyeXK88 SiOglgaRueHt2X84t4FdQk9li4uSW+HanDZ68ij3r2XS1nMGMdvkeaObiYhGb4izZWpWaOuL5MQb wLGF5L7ZM41E9kmJnsV9C062BeK0CFx3zhqjU3ycAyTfcsKT3rJQke1RrVoe7PeDGEoS1hrjo5pn p0H6T8IHBvg5hHEx5wwrhjzknAbM8zBWVtU8MMGkEI4aLHJ7kwt2fEiagTWPMfwdAFgsBUjOrPlm VynLjPPZT8696IvioxIbtyCNbefzYtuix4NInWZs/ZpthSCpdmnjyy4npYOr9DnC8mZUYA26NmBZ a0pAZcPr4OPZ3yV/5fU8yxDwWqe1F8KPRGHzLLVGieRl1WJV5lGAztCMTFXqqrR+2r0pwDK6+qn4 ptxKbBss5thqBu8lDtOrBCBJdLMZGHOqyCtJkj4IDsX3RKLR+wdQ9cIjrgPzbjkM5coWVOhUz2hV iFhVQMHwo3AYuX8ltg/sF+V9KCv/zLEbN8/rS3b/g825xKoxQxi00VvQ3ng7Oztwu7oU1jLYJ+6+ qtsO6OAoAkl5DGC//S2Y8Jzxoip/XVDD9A8sbfcKsR4Ghxpqlz8x2z3iMsRR6S5DBOty6rHH9a0r yAQXU+htwIKIpBVOXLqq4o1mJRwoYNa6pLTWtpBszl8a7r9ccKKlg2UqVoXNfQgl2vEnTQW25YJV 14kPzuKCeELWaZ2ffjn9rC6/HzOQs0EAPnCwACi8I9IxLCgmDJWvuEmMioL5bXW0PqhS3IvurvvA ZQKzcRgq5sIAjFpAuhErnT0Ka2gEIkyTcMgDnV5j8Ecl8JDp31veuXXsPYnJr1TeXs5yQ5jUAzcf 1BvHEV83sQWqwYEheADeOLVJOYTgVSwvwn2bRQHLpxB1wuNtY+D7C7e/6Qj32/yMoQ4xPrpFLU53 y0sfXNDCIAnYS5RYcyLYXvgdFATCZHbqBCU13wnj/aJgJGxLd6Wa8aeJcwdf1wkfFZQPmNulMPDF dQaiEGTSQsNXrHb59INw62C5dDAII6kZ/13cEKuuWOKq0XtoRIpCDwtnUC5hsnszlOcPupsJIYhk CG/9KEwjb0wVwvMawrRtAgQshe/LXwiXm61EjPTfy7PYoy9v2+NcWbGz+D2898B6hZVrjR+iUZuA 07Nfa2BFz0i02rcsY8VhXipySOTbEqytaC1vYtj5R8mypM/WOT7EDJ/6rBpMHvjGjTkw8L911Ln7 iu3F9HjQ9rrm8lqq7WhcSqCKssVONmmQFfiJlVS/2RjtNUSJySmazUsaeHetSKGkIhyclvR8uKYa vNPNbwhKg65XnL/61o01jyNW4uYJj8aK/40FRYsxxxqN5pQ7wZ0lXmHmdMwrK7oaUCrtAmNtApr+ g+1OgGylGy41xw2cHs/LGE6BlVQOm0ZUYUAzEMAngEB2lYIFr9Nz8Fl/LQK/3dimF75TnabkFEOS 9XJRFqPsVr/zCMYv6Io6RSyi9iZRgLDGCb9cgPtsVgtD3iwFGaoPcGbOZoryNP2xH2xCkaUlbWxx PVVybRbG11sdXGwjGFE0Xb/BkxitqvqvoMDaAGdWLXdCvLtB7jnTH3b5Wk4VIP6Ao/Mgc9pHSTvj x2ibVeIGSX1F7X9w4keaN8tacbzmd6ZgOvhpDos7O8SzzWmQ0hWNzw50+85XYpxE6ZmdRe5mGKgL GaiIb5xsXxjy5IRU0dE75U4USvGU1cNdhNLSvH1qdxOkuY72ihce63+0HoN7pEKH2xjzc9sEGqNH 7NUe2njKAYT9tNKdozwiOQBU1XfcH9bVOzGAxPQuSAUFo2wBKBu5Q9sTxI0tRF7AAoi7Z5Jjz/73 GIu2YYPW21xJL/9chVJTLZOF3auWKeT4hcsoKmEV3+n3/SsKqpaucuE2EKLCybX0aUylrD3wtj9f 9ebVc9VUQlwCiu9MzMA7JCpcA5mjScWK7ZJvMPMvkggi36vBclR4oIgqnFy3d/oIIRPKXJjILNMS V8CbiI8zJ62Ikf79jjtDKDmheqpk4xD+3W0KSTSmGgUB5wVnwmTQs7ipGL1YiCG7zE/+qGHMIZ2f GB34g94Fa5HEv6cPNnFKw4Cagc9wCxKPcaoIUl8CJ5f5DNTR8WYCz5IROZHLBcbUsV4vOsNYml+b 8RKT7BaoD3pM7qA24OyK+c68nnfRo+mOkx2KGYE6Ni+JtDPIxOPpzqcXfW3fWsYLSdBFuEe91OeC ICWy/dnc8I6uYijf8etd9VLMMArnz/LD7/btGq7/58gfz7TPd3eeo4V9bzeKkEwUrWjca0ozqI2Q T4GC1iokMHR1mFI6TIWdu8PwyWLvLa/hlXrJZNDyl31eemtnWzYjoqDJ1ogm1VEJ7zUgOuPLt2GX qQa9tQkuCZ9CNpbckvs4ZheIPi32e0pqifZ+Hwk4b4lHjX4eBcoL0E0d7YkF/GhizyOLfHUsMu93 S60E7oqCYW+zE1epJrhHf57/LKAI/WitUDE5iXVvSbmTY59mc7Q4YZYE8UiEBCI4ojywcsa0jkJ5 hKPjbr28ZqVzooWC6s328MUWn+A9lO8KKygSYMUhpQPEV/NAsuknnODDeOoue9+RQRY8sZ+GQz81 49gGZLbrEabahOnF2mpv8qoPp1omxyjwgHeGb/c3X0HKYb8+4IIWyV8I0EhT8kbiqHVrUxCeIoBc fBhnp98IkSXXMQQ/MPcy2kS6ezCO2A1/cLAmgfD8eBlZab4g0sOgRxd/JnSfngQHa5i9V7vB7i8Z p+SY/wDQ9a0XKynkJmHtStJAr3uI3PZw9kJU6mWHRYbR4TJT0hTnU063CPLidcgUyl/WwXhCCfJN Y7GKjhzheKZL2CEpKMfbvuHSrcEenCY+LLlBFtoAcm8WFHSZ3SKb7Je4YTlmApcchyhEiZPNWWSn guiACG3AXolGyfLmvhRmx/HYBsXTm9lnoLIMRY4qoSHKJO65oaTfjZLGC3VpaByTxMpz41V7I9QY 8uqFL40ayE3syiv+JbcqQsvr1raqjf+N5bPzgATgMivSdagv/jripBrfdQSZKpsJBs/HPAA5d4y3 XNMCvqZzTPw8lKKKNqa7U0P1OMgSh4GWdqXx3g1t/uBHHo3ELf+IpvJlMU4/gXUoUeUkUnNFCOcd tMAeNFdLbbHa6a9A7GE4LBdjJX1k+/FcQmGuDmnpx5jIsioUknrDgaYiWN01r6hPUpYk+VRNfBNY Zd8qFs/L+9DtQPjoxXzwj8zAqArwpmhIW7uXuMlk8HmqGcJrWbL/Fz7dsiFp0a+QNR6IfTWAteVa qTNz3/JHQ0NQ0Xo9xIQE0aYPOUESZqNpaceZfztnWVC5olen3Pxs2Zw1f3e3V7gAiPUGJMkc9U23 8xuf+rTCl+FOWNi7UmNJD+K6n4Dp3KWS4DbYtOJSsEfcNTih5zjJFD2E2Cx3gM+0gSzQEpa1Aasl NWtfI7xO53CvlcM5YHIwP8H0ZtV5JoK5zmIeFbPcftzrgbfewbepxgY6zk6kWKMnMr4a/BAn03lL obFBm4pVBuLpoMxiAZqkhFU4AN42hPmr9C1xSGERDgjNtAXKGrVKW5VhQb5t6+hOIkTwpqKr7MUe ltx2NTPUTyl7vKyd2UQYGiThbHLi3gYLkCsf/br2ZXuFS+3Y1c3mKz4fsMEjfvSFiddHs97dEyRt R1SvmBHIWac5hocBOcH0TYmo1DM4UYJ7aWegNOft6h2RwZqQETPy5O0T2wdFBOo2kmQA6LvTpxIq HgZkZLME0L/PPiBjIi1c9ix29BC9wG6FztnaGBG32xLybRHd2fSHWO5plfwKbYFd8OyYoR7L7b+s TeimRq02GNTk3etAEmpNwH6wMH18cPMMA4jEJN8Dx+LqjpvwwF9h1mVGxkljEev0a4NYPW1wTOt/ OYn+SgCKQ8uf4VyxGYt2X5LX8M4eXmFjH7uwv3CaFdPA2jehfy2eK3EtUT2blnOXCzQJrjHeusEO 8IK5GYuWAfmnWdap9GIAOkY0yB00fpX6nkmp41kQf5VQDukaHgK8Bnq61oFayP0DPcxIf33/2MjJ kJ28rlLwIdsLgZOGhChqdFpU0Sk7erJsPRYoMsjIFtF5BmqnjCxEOLyLTMSJiA4CGvrQyG3xegWK SJU2m05afOstOxLvSZJjaI/AlsU2WE72NsSUkbjov+wGz18unmBeLWPLimWxhUsuxPKAmB47y2Xj w8RNC/t9eVedY4VCKSG9QTqf+HfA7MrQMVuarr3eqCbEe93rdhBdq0XgYgw2p8nsaGgs2YGaUSkt vcB9gN0/E1hpO9nxuiRKuCfcUlo5p1BKuxVE7+dyX6db1oS/JZG/sOBlALw9buTRORi97JeW+lMR cOtFBlqhl5ChWe/gR4obzh/Nlkg33Yq3GfbNO/iVL4uQiarBgBqzb0l2q8u9docaVqIh016Lx5ho KSwOBLlIb39RmvLRaCqq5gqbfk3MWtQJ5dPMa1Svc6A9o73TqwxQa2KoHFk4/AOH30ze5u0mk4c5 G1S53VekugOuM1gQ7hHDzhc7HlY6CAie7vS6xK5VBOMmhPJhXcJsP36BrGkJzqXbFLzY8tkEMOBz WWqHQDxmyGshdH/RcYlVrHBwUkmHTLqqLZrNVNcLm/T9B3ifiVGLwBoO9OpDvJjccyPNmMzYUXp4 htKJW5xM/KlOTyy8v34+Wt6wV9Y2uApPtswKnE51qm01r/etpk3Jh8QdIG/g1bUnSMqRZ8iD5ptg R/8ua/pZrHIuFTA0KuYC0jsJNyS15AiaqiUs2NBUsXPHlJuP+XLdDFJ2z6A04AFdNn9f1DLeGn7X reTHmGv7w/XjpZO9fy2QCCXCk/i+rzDRWa7ONqpkI2HLrNEA2vt0SkSLsmNxE7AFxLhclPYjvWyt wIeiRAZaoS/BZPluqTUqT35TTOMOUXwjvXp+xBKWZOs4arM5ty5cvXsmw09VEbC3mlCMjbHyNd2C cOvBePkElJ6UVLkvppl1rXVMJfr2ejoUxw9/qJkevxdsnmCCsbn7sqnHTCQ3o/t877chP+1rGp0m X2O8avJa9Cag3TVRkBgF+M7VLrjVdVflavu0aBjzwE73QhBPQ67NO9VKVBnICC25+un00uh9IS+q bNd1BV35WjiWh3mcaAJ+iPWaTYdfLZkLmMFTJuCyTh2vJFGalAvReNBffiUgOvKW5cx5LB3IGiHT bXLFLsi14XNf7B5Rk0ZLyOO+ADUY85Sna7k3dHfEVzk5Pz3SUbhxm3dd/mgh3RY7lGhAZvbzSQjW JrIDgR/jFKoGZf/k38YdYAVIpY7lwiwXoqe0UKkjPbGnpMHlv4+hsA3MT3MeYvjWUUYrXpd3yNxE cLPu15XVNeVnrEUoji+NBdWhEuiAA//m2Jdbrd5/KlBys7gR0nxlWjdhUzF5rxRuETQWnLgY3j3J Q4S+dB3SLM8UpyWYkOG774BYRJ8V08+jCDZk33md2tGxRmAji+243Sek9zsS9d1zBPNhUKqCpNEV f5BgN7agIBvu5XVOnvG6U40tPu5OAqsgHqFUD66h+mC8+dZUzoGmj4epPbBmgHbPIZVG1Ydn9zDg 6wiGZzPlJBzVTh5gsfv9un8s6Xm9RzNI+iRFUtQAQinSPyr0u0XaS/0E4Wx5ZB6/XK36Jj0Zky/i fb4Fqz/2LSSB59nQ3YAWM1t5EyFN3PSSrluRqetgH6aBNo/XykHxSdeuIkPFKm0lo0WkN6As4UcW fJkiAxwmgKnDO4h8c8BP88iPon7/zy/q3H3ciNyKi5RjRHVGPCNvvF0wt9jHHSa8lYTBJQodkzo/ NqbejVRngKj8TCd40cZrlK0zWEyRYrquuSXezxAecTNtALUZ5HRfgY+0sUcDnotqvzOf/mCxgTBc eZUHNbym38Al+UZHB7LoItQfInef8zPzDyYVqB8M8Tj/TFQ+Qdy/Uwcbkf516G//rg0kB1i0Pmct eFa+WZEQYOP1fIPhlmUSZ1MSpucrOE1oRntj0XMvbggjO+Opub+lzzramP14RqEOt+qV16QUqWIx cfEnj/bX8UV+WKWqx37xhNQ0lZDzEk/NKgwh2GQghF6m31/qewc+cBBuARREFmOpM0/X87ksX729 EouXb157Lg+G2siWRzMVcT8QIBVl2spFs2OhSUwOoZXl+FakWPukRk9IGTIO3FcKdt2L803EIAln 4FX8roZXGCabE5jmwGn6lhj6T2D1cZ9zj/2Q/cg4jSGnmMlhOcaBhxpIRNFm2iH6s8gxclQjDj8U 27smE7rPUxTFaE0mD69Qm12sNT7nqJoq51U839RQp7gVAsYD/eZy5NStARhhcFl0ZZVWtWBIBOOp ftBEsVBJrTGr+7tV1H4dtWhDL8r9kGczr+yAzGb8kpbKPZuAmu/T9KJ3Fla3SMJCmIvQoscNyva3 v//9EmLeLqVnY0yj8NOCB9dvMfMqsV2k12hhbRMH3NaRn1Ao511Mf9Jc7B4/tHntA7HX+xklCK2W 7GVZHIb17Ow1hLThKuEZDnQd1MU8bxJ/uhPEzr1eFCZeWGvA/+HQ1Wz7IKybBBg2hvS6IGN8bosK w0HwWBSuBrFBG/T441UbX2Hb52Vgzz2g7a1KBdwyIb58GmeI54wNBJqqPKWp2apfrsJa3SrXq77R 56OldRfcKmjTVlb2UfnpqMFngwQxLhIqdsG/74QhIdY8i2JEU2as6FnCk9I0p9pl/vy5JJVWGqkE 65h9baM78KM14IKNkJhBuP+tRoOgmbsh5OO2eFH1CQNeU5x8KlbvFTbjsNLSsXZfouMsJY2AQ2pw ABIjKkM6CzEZS66b+rrRMQbSX0tCSVi+V25GDR8Zz234MCvLbaEJEQbb5jzWWnKb0gz453CtGG1z Qc7KlexQ14+bT3Su5XbD2yN+j78JIR+aqNeKwW6ilU5/b60OVAqxgHa3YVw4QCOp+i+L6yh8GcxA mBdPFvkdJTVOexYN5qhnl6LY3HYenGZ3vvaoteSfAhS0ojMU0YOlHV3OppAJrkVnMto0kiOUy9uE LWNy8q1qQFy69ixmIjSz7uzL5R2R94wcX7eh9HFcqnxgRcEKBFXp4yoaaZ3m3rwSuOPwgBcr4TrA E4r+dBC+/aJpfCzVBDdLwFIfpZ7zfKpt9yVa0Pg2hr79xM5DlyRPZnX+JtYhnamYSZcSACybB0Jl gYRDuAby3UyGd459YT/5NcXx/3MtjwYibxjq78kqoxxf1CrRZ6i9Gh6144d152d7HTOHV/5BYQGL swWcvn3I20eVw4ihdSlmwfrUuXaOteDxCA8nDcOfq0Vbmh3F4kZXNow3UxEu37Tn5T8/XOUIpzrN /j96apRIrCkA8qEyC9VwJ4hd1SHLImXAtR8cQCS+i3CFPcpBgwdxgOjZSQCygEjpnUNgiYwNwV40 F8pxJLQcOxQKFkyRHH6KdZ9RcE79ALmvUqOSCiK77NIiGleiir2RSEh50917bNAJwqu0n64MCl5e vioe0HFDqoJh7WGE6d4hfLowUtVIt9ozTxb1HDt5od957yWaQkQ5DjSulYeHGIYBc8gi77jMucDH DZCbcrDX1jDJwP/BXqTaXM2ugW4G8kjq57oTlWInZrWGtzOkIOLxenuoN6rCdB+NLvknb1O8HelA qFLTfqWzgbzcFut+4kIlomXwmZsXH91v/VYy2biDTDSDFWg0zyYSWya7TJnIzwrlvWKJW1uizJsq gMVgtm7n1LiuerNqGRqbu8qztL0wfSdAyaI7wulkneOOva5/O0aDv7fmroWf0VSg9kb4Crd1rByr kD3kJKuRjd5HnybAoGKIOgGmrHixzDjS8Kpltkq1dxwWWwyEz8YZpNE/61wIJAG36fK5mT+pMiGD bf5+9AWg4AB6WuahGBLO1Fi9AOlDWOmzrEQyt/0mXmMRCQFHO1MRSDuPaJjmNNDr4egoC77yNoBP I2UPKS2mwvcLJuzh+KoFVU2SQBigTQ6Sq5W6oZ0hhNYrz1ZsV8J45UjYWmIiasUdMc/zlXhz7VBQ wmIc8SZN2NhqAH76JaBbfv6oJkJ9DzLElM8+NMILDgLHKLchwflUYKYUtZ8dUVM4CReXLcPg+H5C QQOVRX5fO+ipYUANfaGSAOIeHkelidsS3ePI0Ybbwc1XfN+H8JuW4tV7rWP0Fxi1W8qWXArnaGvi sDdFWhGVRiazU15JxGm+tyjlcsMyID7looOufz3ubhvo0PEFNgYIUxlDDTauxoe7GwMGxG9QcqAu DjJANyFoNaflsW0ys+OfOR6lS+vCb4+8nqri7n1hAaP2vNnGj/uxs41eofjDpGOsCrQDlu+h5t5o naBwUaU5GZ5zkB9WA7LLj+tFvirWBQLnCfT+rgvj4tH2Q2LUh/37feLBir5SBWgksWkVTyaJbLHv I90nfzJTWGFNEOXQwvcjKtd3lrd0z0Lmlk3B8uvYV2KRAmt26zVwuDt4fbl/qZZfD96TeBi9WFm1 GarqqdLLmQQxiWACkJbx2EUlYTxT0uOiJ7uzHRilk4YkYFIHiVumzL0oeBPuyR0fdhKv964nSidH scZlE1hD2u2UPaoBZY++oF6pjX5fjut94gRmcu+iushwqddfTKPFD3t9R6b/DIsbLZb9iNSL/OIm TIGXsrkmaqnwrlNzP1xW/cLXzkbCpYJBFzG9zaZan0VQHY486GazkL/ro5/qMZ3ZjgPSlsPwRcaf ZurRQImu4MaH+O3bzEs8MDKXMzF5aB9EoWhUwQgnL12fXDQf9M30avHbTHkZuaPxT/r0nlBhB6fz gQA1VlvlXQAfGZvL2SKhYa7FX5qADnAB2iGVKMJefkXEYATJG1oiEXMebAAd6Bt7JWo/seJC6wLr 2jLrvEnpiKjARj0/Uqkg2lWyqxeW7UpNxaVUjRo1lik2ONyLnaHYwPWy5sCNEpQMvYTYFOPRBne5 jzmgODLY8EajBVQQdiRThAnSq9+FYBCnv/OGaBBTkAk3gHFLZvSXNMYh3naojnU/amppRYNgo0Xj 9r+SfUKyvegoFSDkqwUniQKCCND/OHCyg+xUwS9fOGA7vt0VoF+mXXhee+iWATEFIhafjemJslSf E+fttyOFygzazZg/yPj76p711M5ZxrN3OSg3fA95nPRz4hPz8N1U77a21yfGhTzn/zpKgh/f6ikA bQRG5G/zytLM279WnSDQrfP2jSPGZ93ykNbLcPAiBsTKNara+GXECfro5/TLXb9ITxgr4l16IzjD W71tn9Y1NYmkdj/w8n+wwGtQ1VjnfUYgN1W+8L6KSP/9UDK6RaeX5jrfZukX+H60hJ9DtDYkDJSq 4ZZ0N0Y0sZwKwptekHCldx35IncoOK9DbdizXvuug+AtMqryWNNuz5hhHGU+wdN8J5m6QJncF4uy JyrZ9XJkEhNST48Zq5NIfIIP6yODCMrOKQ10AGBSs9mlXa8eqe1hsRPvEmf20rAg/wfg26lXmV9s K13lxgIZICyVaacL0DVTDvGYXkbVbb9ZsvXu3qUX9Zeq69VMV0FxXBmcPgHeTgwjxMVDtuyPuZBI lem7IZnKFioV57oejrrfhWClcCWZaakBMve5C+833uCW+EAESbZvkHqjTLEtg9LQ5wW4F9bTDvPl 0vFEOYVOe+kIx3F74quVM/S6IdTG0NwzdbfDeEimS5zzJM3kM/Hvj6RrU2gTt4ZXX+ZN9zIHnhCm BsHlRzM0OfOgjH9wHE1ycMoRT80b+dm1gfhH4ce6vjhsiD6xxFUIkjgs8hskBzcl98f0EuEFisZa J7Bs1CNeUsh8uWisedgA17YfCiOVAXHUf/NM65Iv/UIln09SGTk7X+ymt5gdJdkVDS5dePCXjtmW 4D+AXpBASvW7Z1svhx6TW2ok+jvagWGu1jyuvtnkVDmVgjDC9EEbGix12WBGb8E9IxqXFxdMHcsT 5l7OM0IN5UXVhoeo8Jpx2QQOc0tPtrYFV/KGIiFMmyq+myldB4Mi9t79PlcCurt9fP3QcE5LBt5F /Q/iHn0GKtBDexLXu4eHiB88KQZCVOjGO57VIW93gmCzNb3uHjYyvxxLijobTRW2GL++lUMs1fok P1Ui5GtIvzpBvxL4nsIYrob53rs8lDaulcBPLCpboxWnqyaBbsTYJUk7a3eDjGCK2lt38y8VMe3W iUdWkmu7mMcAyLo2pOVt8FE3fdSgVCmseySYgLBHEkb3lasxFkW31GY028Blow7HD3Vo8hjlDhtv DBl+5gPahZbGz0u17nw5ieB9z0SX8zb4tVFSyr2JY9jeOvOnF6d67WdE3yVByVQJr4aG7mVvqahG 6yG5KWvdSV4jQD34WdNzjiKdRJN/JoIHfZVP9ZqjsuNpetYouRxa2QpzWMMR4yLB86J9tnOB83CK HdlxjREQuHJ7YDciu7vwFciliC9fJXjWKSW4KEzCDLpg5PTq+8cLY2DeWFN2a94UzgLklKCX11AO bKVrhKwxDYNFiRgSZIopDTwczJ1C6V2fJjFWXwWJ7Fjv+hDk2alhhm/ZNaPbVde55uO00XyDY7Hn b6FB1khW6nOyO+meuxXpLA+DoY1VbW4K/wjo4hp3ncuuudJP71YkL2YdX05AIWZmd5mKK486ziya OMwb3+yeQwCvQKynUatQtyFBj3u6iMmPgw6l7+nYL3nNAjt1+XdgV43osm5qgat3HPEF8bdPFJll PlNydT4kEObpBHTBXi/SsZqWXiaF4bTHFKhtqbJmDARw99sZMsCVlKjmM8reXrIKH99K4UoI9fg4 H3BOC1swjqpqLqGmP6fBsULIS6JAoOrkPx+jmlYPcYl7vglyGXQkuZL1Ny+rpHo+WCLLx0ju/QCT cCbX4B1eaMyZn8NcDcL7dqxjIuHY+xTI+tPWV/HY6aBiYiE2M28udPQqS4CiJPr1jPBx8HZwo8rn alNBokzquYw2eS9biY6ndB2fOEV8XTos48TL6HeMYL7TcxsGe4ssWPA+07nw2ag24gfHjCzrJ4ib NC+qSML+IP0WjQFdG14xfqBrnlTCutkQmPos6O/Vg9Yb8McRi4F5v56BqZ9mo3tLDyaCKjMTeA6p //r0CcwNjsvqc6GP72gU4BXs8GIa2JAJclIgUAM4aM3zYJxu5j2RIoWevGuXRp28qcCPS7Xj+AV+ +layYqVEDw6JZwjjF7oBw52Rdl4ZqFAs0lxT/b+eRLk0792Zqm43+5ig/1hnqUCqDB4hgma7duWR eMd4UwGkVDxHUGO5TW9pAxs0Cshifz5HZxeQpGfvyE9qsn5QqwCkCytL521Z4K2Jy/k+MYgfnd78 8MrBiox52q2rdgd+aBzWNiw4v4wD3iAP3hUPi1+onHlWhh/bHft75i0eN7cYbPwgSKACUDXp5etB pnwMpcalETElCe5zBZ4VPYSX0rpWk2GzhT7QAtS478gWXqoxqMEY0XvrS3UbELOZzXPmDdMeNKsx 2Kv42RKBPNz2s5AsLPNmNU+nKoNtXc01TR9JmyJVs4BQjFWqitzxyOYVTMXr9Inv9s27TnlJ94J8 DpubtZjSICv84MB50DWygendozeRhU08i4IL+knFNjsnGQtFZDEIl6klHWpXPJ6VKx2WFVUP11rC jgNnxBv8QFySlL0F6vm8Qkf1wkVfEblCgx/mkBNRg08nQVl9zQyJ4Yk3AN4zVyXX7Hq3HmIaXnV5 DEjbp844clqCWbs1zwnf2CaOIMDcMKwsfUp2ZxFQBCT/1tyE9kbtlhpmhdVwOPrzXqLtYvzNweQn 7pU5zXWOC+6z1HW/QPx8Vhv5njgh2zpSe+FXtwsD7QVPu4Tnk9gtJHsQnjwWq5y4muRiSyPuapcG MG8bFJ8t/r+l+b+eDyDOYf3niXYBYoiW+sqWyVNbAXOkQi8jsQqJZWynm9ZHIoNGq8BJYq7C6FrF m6lIC4C//YFOx4n/ZeDBgyYvjwy9PZrXkgkG4vluEyEPCfVnq+jtPkQyxJ+lrUSGUs2x+r1Qspk/ ybmgTsq6rODIhxhIiTd1un2K95CUxBmqe2vUfsJkw3CBrT0yeju0M3a40zxzETKkgx+naR23oO6Z CDSekzVKDB9Ym+UZQQMeq0kn+a9kaQQsuH97csVuma2REV1jKP8VXjIb/ULWynqWTH57GEse9tij noL0nVegJYzKdszyVaH5SSPatiM7PY04/nU11+NZF5j4GrGJKRIfYrdOj8oQHYxFFEHoU/bKZLcz xzawLvJKRqMOdRdr1SjySV3xeaJUBfpUgLzfARngXmaKYt2hxJ9p3nRv3cfVCUge5fnH9ZwNfqSB tt6R/rKjeymmNeynCBRz+Q2PEdGTPOSJD3wyyOuesr1hgA0AEKOKKP9/d9s6jt0lvrOlMyVh6QTa BhrqWCFXWGQZqHS3yuUro81VBMyphAiGfo7KWRdh94WzjjH7ea/ldxM1ujid2WG+E/Qe1BQzgI8U SykeNr6q88lbIr/mpy3WG5RXWUxbCOAz18W5Zzqc+kl+8nxvJhsCWnw/9EpEEvp68L8Z5j5txZ7r ZeLr1y/MlD+yACMR7Nb9fX9jdV1jwBwXMSNAJLbqdovap4AB1nsiUmLXNkAWCPUr76gex4jC5b14 g/kVmscAbHvME/Fpa10zuo08hQx3Bc2n9IleYqskkl1jQdhstbivm1NTTHNqOdV8OqJg8xZR2dCb z2iKzrDt0s4rt5yaio6tJzb5ifxG48y5v2n5UT6Fx7bYe/Jifq+GZuF25u0Obkc8/1IEpoDJsBqi IgCM3APj+meaq/HdvYtz7kh/fz/L2oPImpx4B+jqtTFupkWZIIJ2rEU1R6yK1Pu7sJVHWq+WtCXH FcgzAUvVS3E3Q1dGdwTim/9xNgmqT+mLVSfttUMb0kFYiUx3+GHCCpo8JT9PlsBwjc85SKbujkLI 68ChlHWaWEwSvfJ74QbNGv3tppTblPe6ZrSM6RtGyfHmXf6GKLX9D73S04H2YcHX8+2MR3XYwCuV xLftXgbpxTQov7AhgsuOCRjN+cBKy3VDDfHR8robG6ocRWk5c8/B/7SkUWHjdof1koGmSq4PzsIR +vaoe82NqUPIrCwmGYULK7d19Ehm79XtasX3ycG9s1TCNlIA4EutLt8dKFsfvKPF6+77QUWxSMjk /a03mQ4MRZLqdeQFUaVoHpm2Hgu4JiNC41Ry+0mN3waIZ4QOpM8QFyZ3dW6IjK2fH5DoFvchS0rQ ov4KtvKlVvx+50VBTqAzU4TaOeixLFU6Jy+YCN0uGcLhR9CAcrnQ3b1/ywWuiQEHyPnH/npH5quz qBMKY4bfQFoh+y+XTWBoFNtpaUndZwdRPQILNnsGw4/vFMLjceL6HDclZjkqLomCnzsraiUmT9a4 5+q4M34Ljskc55AHaO4EA+rUtXKdEWtj8qG+6knRelhEOGsS4jgb4fG5MaZtbaYLuCGmhit7dH4K 0LybkXrU1dPoF7O/r422KqmiTuoU+BJpXEZHcFJ9EgQQS1z34PS72TWLFDd46GvRMBqffzYNIXa4 4sczWr7kPj4z377qzw6DmeR5sJMm5Cp6x6bG8H22BgU2yfESUH/wCaYdAo34Hbc+hG6DoS2reJ6D YPaOJQs+0dN/YKNd+VU/903Ou1W9KTcGpDayGk2bVzbj60i41Bvhj62cOu0nAl9kLuGUJP5karQL JEEzoer3DViY25qV3Q+MsrAyi5GRTVxIbLivMFNtAdxLsWrhtvcO0VNOUi9UZxBX3yI7R7RSm+B0 /KXE1geuyUYy8jEYV+0KgfJhOG6h7TY0T9fqTKvOxAWabTb3tL3o3RTbaBLm1Se8iByBaUpsIVpP zm/JKnZXSionES9q1vDklJXA+/OYognCbGk8Zb0IY0v4tc2Ccm7XGiKTOzOOGkdtwQfDLnHrpwVm 245G+7wn3qUiuzwcaee8Pc6njsUsdE9TxbkUoEAphVv5mt08Im1aDeBlAJEQhzj7iCaEAV8A6wNi 1t+onm5xUkdpEC1MdAct9lohKX8mk4tUnL0TJuqfSWsZ0nVjt1daZGv6iOt8Khzwh+x2z8n6Qnuu Tp/F/ZwFX2sWHKj03CxqAVJJHwPXwGWCkdjyVaXRmlGlIWCuxVUkCLd0JJbD7usve1B6hi/Hq9ih PNpUY+pqx7JKl7YT1fnhb9IPz5fBt8JwHAGeqKuEk3BxMVXrn6DLRYd5CuRRitG5Y88yegtsp/48 esegl+Nfl3hd18RTQIvxZNenDnIZOPXFJRcSSCkB1zbERkEz/tBhGip9h05UQX+06mJlLBXwUHDK X4J12VX+zF7qCxEfO2BxtWCxGLkkDmWWFfp69Ln39cO82gEbHXKRZ1t9cw/gcGX81U2ciXA5nU+p E7kEkXuC8/JYhnjuX/yj4pwMlNlZPAxXwmx6psRNlFpP7s2Fzx8kAP/PzYK6WQc7fyG1i7uuORY4 opOxjDWk6lWcoAQlVKwdaK0RYdr/efBKwk/pZJJrPWlm7kkEBaOyi/xFMyQfkZJslqTjqvx4Atsh TaleDg71R65OfCQBCra85kducS9jI/mcfPj+4kBm6+AkSTTL6M5lJaTp8J742N9gQWxHSL0Hzi+B fU9EaESbNXQTEaCil92UJoAyl1mXRGxVT1I8palGpRYuYYOusMogBu2gPqa+wMkJdRDwHI5mLgHf Cw+RZuUjxiMmSOJfCKqh7YX6oyiXx9HLK63czccRwplFfVM91UjEE5EwzzHCyGureXSNznwlXsWS AosU0nwpeVeS6pNczkuUqfNAh6b5pwWVALzhkVH1hZm5diOy471amGV57NWJqpNfXzuI6nOqjBde QDhc3CNzjvD14tEAUsKGXbzGC5Ps/quNsY1ngWty4jz1DfNwbO4b5ltEXlIdGtxQBQY9GRoRy/0S CcT4aKeVfhqcjoR3ciR4oANRCsano25bJWi55Pwq0thnSXkoH/cxREwF5Mp4nhXy4ZcSNASOeeUa Tp822chS1j5+dNN4b/Zrb7y5DAdo/vJ/mtivnIKIytntCoqhf0M1SzBO9KnRtcqDTxhT2IJcfi4x jgM3PHIvKGtSlaQH8aSGBnL9AYdzCHQnpOvDhZgi817lbTLlxkfiyVQvje02+fMyz8ZSt+4mWsgL NpAQ6AWmIySM47qL4EMW+lW8gImDaFJtQ37I3apHegpvKLTJfN/cBKz8PA+tO9i/Nx1rvjFgnJWs 1HcRl5RFGf7uIeZj6IwwfkvQwiAWxnOaP9Ltq11ZRLPg1NyBu7u8fIEYI0Icb+ucUbcb7SLr1igP jwdfFvc0B96ciP+ORs/W4Y96kfc357hHfcSQRJHIvSz7ZdcUFf0+JFQ/vSiZlLupbOfSwS7cAWnG 1EwxY5PQ0hkZqUX00jsXRefZ0nHiTnVHmYgVH8A3upN34owd8iCNUUQE27i4p+fIygrLTibclOEF l4nS2KMh0iDBidR3qxutnBN5T05uIAHKYkugtvlre8RDt/CkkCn+SXyfKQg1LZBj6K6ub8hZs9jM eObJS8/IJyvVRSP3Xosgfa/jCiqk6PfkTjpQjI2hBvchLmk023lWGQ7Nqw8y57OyRcEHsSgJ6RyL KkRx+7GprSYWOHDCwcYn8PwtYULseiv+kJT8a80V9soUitpc903mQtKCCfQK8xj5n5qm18HMU1hi 2hewmYrcOK/wgO8wpft3Z2cuhtC558dzwdJmp4oUR4fW5B1bOQVffBNg4csWyztcRAJypQFBDd8y 2plmDk9ePaLgYIY+Q4bdGt8WrdXiNklzbmT4L1SrHn/KlMMOITUAKO5zFKP5W/x6WFNiVDnpRibo X9u1RVr0v1pCmHxHRKyMk7GvDrcsRc3EoM52Dj6qy6RUW7gs5Iyauoc2bYTcgC95j3mc2mFY7MpF Mbsfv3fgS+ccTFPTt/pso6uejsqBtLNxDtyfdat+9o1jerGcMW9/aLjzydxdc8+0mQDW9n1lMuzq 7gcy9N7T3fFcu+U0r9OpsVcEVl0dDjz9Rm3BrdXggucpSJZRDjnWhBxzGDGdtxZ+nvZFYXMwfuOI H8DRmM6FnYGy5SDD3U8gRSY2z/rZ67xh2Jh7Jtc6i7qF9kyXTcRls+mQdwI76lnAO5gkcJ0bSL72 UMfrck/ALX6HrZrV01RtV29NBQAYPqGiAkk1LmhqOngRw/fIFL4R1gAFgf3GCfDGQh5VSX+UvxLp 4pC/RA1hlbheskOQWn8P9stfBahYHwPANkvbVla994vXtqhmgGoNT19kLK83DDG4Q01p9bdr9Puy BI3CyYo0kBzWolnr8ancxt0prdNEmpMu+hIRVHjP3byA74POIHgn6qb1aLu/3D61IaGqL/0veKwR bjuGraGJ1mxznCkrJSBi98crGTXEbHQJ0b/TorFQREYsUaINvwMxrIXFUR2qvOBV8gTeN8MFhHiG H+CHis43wxB+39xuhQdm9+XA8YH2PVD7qhk1ZzQsFi2DD9pXZC6QNmCDZV51lbMZe0V1rk0+B6Mv 7kCkgkWVBM9mpb0CcHjKxczFJKXORepYrc6FN2lcpjAZyKE6fkhD8gE8qd1hLRLdc5xhcvwQdWUq kyDCbDrIqNflLKXyAf7V4pLWT46j/HKcjecNq4erXD0aU/6jizt3xrYYVpkvOT+heVLzcxwxkNpV iq6VX0J+FoMQ9fsDKMwEpIhq1hxwOY0++c0eYU+sA1fkUc4yE2xvSpg44jF24DKRMCmKWhHOT7sd JPSmNtoxkwppk8T3ZqlUuZPt3IapHnR3CN1dXTK8mvMB61SUu1EksPEKIpSJXwspDsYIM5z31add j07rFe61/13vP6ARNiOaZz1lVBcXKcil9OiOGcZqLQQWBgHpbPI0aIK+76E0TLgXXRqXoInJ6vSR 5Co6vmto5qdGSbM8UFzBixSpFHds8JE4LkCQ+P4QkOaRPik8GmgOafrGBpdxLYGH4Q4DcFHASiuQ KzRLZPfwHC3MOpU0cZ06flmKzeIbxu8OuPw9BZwi2CM54XdkzFx/KJZfi+Zu8/ifkwqJXh9X4vpa Xqf4wDoCfSS6JPdJMF+KezyN2s3XRdzcZFiyV2OKJPKa/7heVBqKebE9+oWfkWkOHE0RYtA10FtE TJSL0zps08VL5FiBwXNwCLWFbskgxSqN6B1edbFWnQXfD4RiR8LL7RWUWDJ0jppAZ6MpHOAMf2po xxEP3r7shIQE/XkfWlonY0dYimQLTWmEtE34kA419WFwEaJbKZNPl3NgewqXYQsc2GCv+cxeFtVj VYHKOYmf3OCDXAGCst2zjDr8FswEX3JvncotIJRtUjycRsd0KX1BBTFSuC3vEgMECRC1inxErtWs gmJclcECdYWW2qc5spsWMfojzDwf7iLlvjmtx6dwJb0CKglWqPFerCbwtQ01W6JGE2u5CprHbagg Bg+mJDSpX80JoV1E9vnTMdjg7W+jgSKwDSmZf7QbtN5hIV3C2uyVKQoT5cg0h6T2ne5zq8Ju9ZQe eKvIZqQh1i8zDs0+ZywPoszVJ6CeB3gnqTkvWXK0YkO8JIIrVT7m5iwIyqfiYgFj+PUkwZqtBZD6 G70VHDTYNvLSpARwKr6UDI6+ZQ5dhggreak0brW5gPzFkQ+Q+AqkQb9DrOc1IK58r4T2Od6LOv1h eD3TGsKQJNUBUo3f3CliFAkmW2+HceknvS5ykdN5wjrQGR0RrNm2dyIpU3sj0L71NkN7U6tqvhJz QuWqK07QjG7dUohSvAk54TRLaE1Ull0lt/Spe1nHwVg5oCtx2SOb+p3Q4RL4Ofgfz9U4MQuwGdUa nNUvjqimmV513GnRVRsefTtIdR/sqRPtyudUcm2FD+kbGpezzKFh7J0/pShefcVteqaRU9ZEp4dC SRkNG/posREW5R/RqW03eyY/51L4JzB5v+bwEec1cROu4D21FNYyDgBJ79uF9fAHtGxgJISs5U5R GRGKn9DryTHNcUGq4JEECHSefJiAQqLdOn6D5ECgkeyaQYnfASkqhzTS8wVJLl2MfQisGft9lgxR ckP6GjG92Mbw954UoOppIWm37gUvSSfVwv4fuoMBdy/jOn4W+CD11rh+p6gefLg6+q4QUdf/334m uYLn8CMHpL6nLYEGiGoJ/Y3DUBdpGbR5HCl61yACFIDJneBd/PhVOUdyVspI315munhMOp3W6gr8 BP2zf0RWDL48XV1pXLXqLuWuvY7ZlmpK6Jsuqd3xukPhsoeqLSxumRNWPB/OhqPrVPU+va5Bmxyn LUNgtg9apgpXtEU4C0rAvTQAIAfKsFGnzxkClS2Kod9KhNvupNJlB/Dl6euILAe/zX4LvcI1W4kP joFIpF1KDI+xUkYykeuxyBL0ddf6p3nq+FiV62p0S+WVLXsEOawH8l7nGIGizwEsX0bwPT7jgs4g EjBlc75RaV6lfQGGaq1dWxfTx7rOJDYl1rEtduhKjRhruR8K+6d7L7YvdbqUJcBnC3I3oGNGxGUC XFoAut0q2etTbhIZ5bhYxA1Io0TlTTBiwN8/sPnzQCdLm+AqjagMmRtUsDGcTU03osKT0wlAM2CR sKjm51ea7CvEFucB1CG1AAQFbEwZ5AEFAaKJkU3t+OYPCZYFuI8HRU7MXsXysV28H5Yyf21UjILW tQgMDM1rR91bof+BnRx8qFXhpKQAJNysysRvK+cwqWZTwn8fU/NybFWxvitivJpZ7t41aUS53q/1 a3D2J8lMINfCw9TJl3RZYAQ9LBxJlMFmW1hjtwoYORjNc4AMAn6jib4qrvyeqOhqzY4srx2HHG8e 5Kc4E4Yic4fawHNSskJ292/fxQxDofHOf9fOaenRrGqB1KRDpjKj+yAhm5YmeE0i6S8V4qHCYTeR K2nOjrCX1qmtCCYuPoSKaKTD/e+6HqonkAXtHEqrDUubSIwS/dX42eExojyvW9tZE/rGObJztnTi wd5bFIForVirult+06jhG2LyxtgcKfUI34hj55Mvto6g9oBbZMFivTrBUJzUcq89ikoug2IwdnmT M6ENt7MrLNstqjrAYRFg2KBZGgmZIDknTdZVHm1fyP4XoDxasEH/k+7L2F4qiAX3dJUK0SlKisiQ eE1eHL42oUShMQfu5GcnpWdFCW381aVNN56LBrCYTtz+koimkAl/X/tUxBaXEK9bZRDatxjXn9bG G1nIuLViSPpTvmvURi/+QEuXDhkNzjbFOqC9EN73fikjE3SEHOfhG1oA9Z8AFQkllab0iIC96LhB l6980Q3k7YnIdlLMkCG9/A93KKjiL/ZiXKilyUWtrpBFlA0E6uNYptOwdCQIwEGwzptF03w6gr2S djOmVDWDc/JYyX9/VCNx90u+214v4l1en9OxgpE0Nxf4ZGhBTeNP+P25ioTP1k8FqYqSCLm+eDJP Ual2gvgJu3Uyxy4DJ+ijCz2LMQvZoglauKJceZUCopbFNO2M1WzlphfSV2tpHbqy0fCwzVTVAoR2 nwHvJ6ZFqhiyi5fxCYO994BnZ2yancC/xE6ovjx5ZJ5ULthjw20wScvUZZxTMoVqvkWuIZEJRHiD cjSIccO6r0KauMUvqIrKYuSGRNUiIG0/RE5c9ZTz4LSQzwxsn0fAKytb7DKrTJhu3z2jbzQKAsSx G8G4DcLlMl3mFRmybRdNLW8pEiqEkXS5sHAKB6PHXO1s65Hs+IjiWFfStONwz7z/M60t8s2xiw2B LXdqr+2znEqi4EB4gO/Nsqj7twJiQyu5hLm5lngIwzRtwgtYNFpLEACwFaMD13YDpyG43NzX1A2B eIMtL6YMTXo+hAe+AtsP9vGPYiTyK+1Oj7sKL4cmtrxce2yCAaBadXFRX4Zpj1d1gmQA81Yt+yd2 PlN7yE6bv4ZkTQ7CdALSXdHNo0BOP+4am7N88ANxsH+aPklMSAgs2OJshiuh1aGbKb9pkh8n/lWW /ZgFvcQn741N5HZQcAijcJCulZ+rrpUZq3hRaT18vHqY0c0P5WBMaWbMbWm8CcmE3bu/QBT/jdbC VuFcid9lDxAimbBJpntAHJhXiueGruWWfxMkJ61TRdr3l4VmcwbKe9bdQjCOJDbC7A8+PbQstsph IPOEDHK5aFlzRO85F6E1CylbFfs01aC2bHKNPjd1XCHVC7p3zEupMcoB6QNfajHf3mqCHj76KbqF N1PJkZNk7DmuoVBbp4IpgIIJwNFDDQ0PRfhIwchjGvzKw/0qD0kY/AVTBl2jEVBbu2Pa7JZMMVns /s0OrFZ3wtBfP1i1BsHaKWj95Shivx2CJDG7C1aojIqnzZR/B2e78BQqvieIEwl05RiGhH0yDfmz mfHRovIOJL0JL/aa4vghIbhqoo1bJIbQfsz6Hlu0rfY/vgZ98vwgMcqxSZGpi7OJPKRB6ATGQkxS eRs0VU5V1C+2YzpCrWLf454D0P/02kPl86n8qlRzL0S9/JHADJT3LwlFIwLVHNavhGwYjqFv55H9 YkJtXDHA1gjEtYkYse8GNsSf7dZFhUWNjsbAFbyWBN69AARuRv2d1wFIo+3Rd8zSrt9nzUd8XaCC wE7hDwm5G2Um9W1YUfiYjqRIObMe0RnGScEDQE5sSCiPHk3UUZ05Q/b2ERXqql1N9SyhvjxBgZ/i 0CCuQqGA8rGjx4T35lURGFg1cf7oR9aXTL1wgDYkCKBebbB7E4zB6U1szFKDcMQcrk0OkTVrbJAa dsvxwE66u0GG0h1kjLO5ixd7B8o890qc537avG1xnJiNy6kTHLZMKlogZ0Lp/eVSKU/ihdXNws73 /PB+Z51exDwDPGsRRpNWYM4ihe6iY2PBhrWTepUXHCJCLfIqnC5x3Ni5Q4pVdpnI1tyqJ+jLFCxg TumzBAZYVe0TtXNMLcsXhaCEgBto47H/BZsCMFC+ACYzaIC182ZeS33nmATJmSbo9+skHP9E3TL8 5wgeFW9l+S7m+cVFYU7jfOYK72U5tsFNHAYTKXc1kVkSWE7GcTyoRnWzb4q5N9RHmaPs1V8w+89l 6AuIgTTZc91Dy6UVzro+7b14VbUXmpl45kvPCtfj6I/f3O3aeM1e+FyJAPHk09CY6EE0s7ulOsHx o6Lzc7c/TPoKlkBbIxHY4eiZJE6lprwjc8Z2ivZYdysZRuopol9LAP9sk3KXu7bIc9eVSkkYvfjv FEXKRgoGGHK7+yuVkgkwuqCflyrAuQDkAKs6AYt1IiQlw0/McBh0wP6qGxoICHhe/Xzp34vnd8mw e7cIfb5c6GzGl0t+k7MfQIz8QGVsWtoB578cePeFX+tm+5L7b9XxSQzIcsjT0Mctox2/AL8XRcW9 9zcIqA6OtSKDNI13qGmXCfgrLEqtGFPUw6KDAtBeh5O6utJnzr0/bTJVyZrvMamO1ZBifLm3JN3+ GVMLc7nGmJlyITCTNbTfQNF79JqBnTG4e49CZIGr+CLj3gEF7nPHaHlOPJFCfSYJZvCuBUYlI1zY AXbUPtcuyBGCSCdA7jziFh2+xF7BZpU/uRJIrVeI+yw78l74o/45H0M6sSiCMdziyT7wLiCuqo+1 H3v783aluFD2YreWT2YannDBGm4ACgUTpJkR+a17j+DpX+lJEsDzImp074f7lv1Fpx9n2BQ5/+6v UuoYazfD2vbp+MLH6CXM6c9zyrCJig/Xf+Bvy5IkppZzo2Xu6yYcbVNE3vlBPY0qMEvzY2lszElP J/Lxv0nB5CJ9mKpgc1vBFByYWb1HDZuTo+gNtFT/Ke3Wy2jRmWAdpV69o1VHXoy0wN25JFT/FnAR QyDeYltQ15lFUwK1qR7Cn5czQMpzA1DxxHB+nnRs03Q9sB6LoQ6NA+83V0UTk8evAjlKGcWRH9OU Yp8U4KcL1mm81uWBJ/K/6947OminHjyPfdSLTt9upRIbGQ7okP+EsU3rKky8CK0RmLXRofLVHQd6 gAkXoDm/gJHjGIPBjxsTLFqmAcZ1INfinqqmYpXvVbsrQryLNIk9HZD1Tf00+sXLbHnFHsSbG/EA etCMnf5JFc2mxIZA+jXWxfEuPu0sxJCaSiskD+Qh+j5p1cTj3LQKIMcHSB/XoBstX3uiYVO7Zo5U mTVkgQQMZomZsq1h3mNAfVQoxDf2PpSPn1Qo+ZmeSGvgys1g1x/Q07iggwJayXkk0iAoj5/VYMwX WPzjx+XFdTqexhovvHo7Ek/1ghR0bF/Jf5s9pN+1fP7yXP44nw2TpnmsvA8x5FmXfkxkUH6VljwI ndvge1axJkofRwUwFY7kz0yqY2dTeJ56zrm7kl+R0UY8rbvarw5TSh4P5JmxpXU0nm7yqkwPkNg2 20PUk1oAzTT+qD6FdC8YcF2tjwOZ8qtwy0GZFxFDPa1m96mBrc1IVkLIcqNzmBHLqTaEZioY1BY0 ngFl6Uz/bKxA0o5a9Rh1lzS6rSWAXe6iG7gaM1Elbdd1mLCCyc40ERm4E8f864BXDsr+kSCQjWEj KFUGq+AgDarUC10xKEAsijqEaTmBw2blnBGbfQupBfsFU1wYS5kHlDtW+YQA4/xcjU0MUcPwg6pG qGf+OpTg40vofp0HAal5WxBwcqoWdZyFQVB80seuhAAw+gtZo4ArWYU6Gg33DGQjXVByO4SHlPKv JnQDeEu+UpgV6TrBOdFz9teXZ0aVdLzGZSwuSjU0lhPNFZBZ0p1mvVEJpxmAwbh/qVyGLW1gdBpI cHiJqH4EZU1EcScXZPUmmw4V+nhmaaq0bakNuUnHpTHjuH8PcAZy/KZZDucWxVocKLwgUn6Ng/5J 5HpLoee41tu7waune9IopPtKciR/y8DZMagXzhc0BLJLQwHrkd2aR7xvqAwgWYRZLhQukstjzS5K Zocz2NjuByD+Vk/xQk2kjfY6xYdbAFgYtnWECqdfJGn3XmlJbaj+8up+wa1/DUsOaNb62s4mvQ4b rRp7s8E+hta3p5574ELY37YgFE9yL5m1QrT5MuLWgzY3JJRA1l8rBcMIgKOpELvYcXbB2xfRB9vx W/jrKlt7GPhtSoiq7lD6vhqW/QFl5aJYOG9llOsMVACwBfhCiWG4FjcmUE++oGqLIrsbDTJ0UIjF CXlDTPmAeeM7AZ2KgDok51RtJ1eOHFFZT3iTkaDCrmoxY7MQn/XvGaa7nAOj+F7u3k4HRN4xYVsn 2GNoFYDW3/u8nOhChYAY2Nt3NI1hsnMs9HCUqOUuNDi8yI+ktKzd1rYvbpOd4wCf1Dg3mhO2ijrS 0RwrcYbHD+PLaHfdgxF6UIjBk1VBbO21nw2PS+0WimYuyuagIvvQlx7NU1seTM8tQUTJCAj7ssjH xIJXJRLrrrPqM0ZA6vKzzNHLKMLBsPE5SovEa/EU4b/h7TashzkrK53lCesWmxTAra9UGQAaCAuu KENdXIdlgNtcLZ4p/NAD/6HhS4rAhoO+AGoWA2of8Rehe5+IC+WE6QG8eaooeZ4gCSbxYH5A5Ska AsUCaw/TdTZv/DiDqRMOeM3QCpe57NF4DlqZemyfvyf99R3aFrBblGw+SxempZAltw9gSzj8izaO YGXXPwBSuYk0auRQ0HnXiDRfbQUhzk/n7eqeew6GI9ZGvjh6agmNzyA5kqgIC1KHU2zHIzQPrn1K JPrOUVFiOzDZUUIhPhNWlYsSeKmdH0zB9svoBQ8wwmszx3cYTVdClcy60qxNVoqe7DY8VkScKwMY +VvwlstLXgSoYDMvZVBvyFIJksJBozmLijbrXmqAO2CoInsgYPB4jwuWlTYHcObF9DQahOIrwhPK OQZFOHRakHkZIxYCbClFN/V8OfNOAtKm7e/uY36dorfyD5h5SY/foLcHGEcbeJrw0oY6lH6UOK/4 GF4Kid+dqvxg0lphKmM/dO4s3s+mNZREa8t9Osf+O780YKkZNqZ3Se/HOSriU63EGCAkAuKyhBIy 02pmNMG+TTpCGb4rxvuD9/lhCVZ1VgLXY6BvtvRfTXCOY6wD9UVRCHEgWqrV9hKfp/i2UnLeFEWI CuR6OaaWZT/M04v1o/uRGPnmCnlH5hfhrPfLJOdE+WuHa4irlH+UFNJMluzcu0fIDvUY2ji/K5Fl 0bQ0n59skJ3l94NKNbIyEwH7x7OWJg93K3Y796n1MYI1zCT/+8VwBs2LQuE0QHyTiTob2tvXvhAU 9y34SXaFlI3vusqcozIAZd28Jc61mDqb2yK54Dya6IkPl61f4G4Rsi3PTTioH7XsPZ9l3OzewCB4 TC6oLRTrs0ijEx+v53itfSAMOjy4vebsumJ9owTHzKSanAghBiOvP/FOQp391lJyBa/gxYn4WPpn NFY3iC+Vd5P5ZfKNSBlRxGjp2ecwyefLh9FIOPA0rSZLNV+lKoNeyJaX/F/7CYxI9lhIdNC0MdVQ u6uHmO2YKwAi28n9CFw5q5nt++1gK61BKGfrrke4BjYi+yStGTgIBRU+cjR8iGEEPSDBXqDVPrOO yNo4wXJu1AM+NLZwyNd2hly34dVWOSFPitfWDXg6/1UdDWZ1eF7SuCMde+6HoEyBBEb7khW1FfTh 1Crj1d4IophDAPSmb30fwPLmfwaDHbgLkjEVNHLdU2qhaL4f59G+db1lcNz3cV8/YW7SDKUn7eQJ l578QRM53/I/W1mldP69SZlBHZlSDAcruCYU0LwNTkKzjVdIqxgb+scvreDHkRG0t6yb319xb2xE nbQIfzQ1QB79cK7XyQ/CNpECx4su9cD4yGZgYsIB/SCo6fmQqOSNxzKSeIL8gWZU9RqUQktx4ooT 3B0Mk1dnYxg9xXGa2FvVSEoOSrMWNDWIlQ4Y/8xDIhQsz8QWpTdf9dXcddEvndks11753smZlP92 M8M2rdrHtxR0Kk7806RV12JlvY8usePF3riczqpx/cAo8k55PpP3q1pYAJ5+MBQYgEqm0d66PuYz iKPIbSGU3VGbEOvrUd9wqOhDIa0Pkdnr54RJPiSY/2C8dY/IrpgajO144xGMgD9rHcm4EIJ5j6/Q 0QkFc/xkZ332Sd3tp18KmGZ6f7x++C3TQHMT3Y9e3xbnbbYZ3VGDRDV+mOFYCOUmtSeNhGspyHUn CroZlWTVC/rlwt9kR5TsFIofpsn1AZ7Zjn0ZsJpXqzpRtrfG9z4iBbLDKmkK4KvwI0nycd9O0Y9V F836rkVifCUgEgcIdBae3UvPkc4FBEZQCTcAKUR7KOMwunELX9NCIlPv9JqFkMLYt95nTljXlSeD ERd+mvK7Kx9AORzS76ZB2tXWZynGVlNzIeHOzbueXqUJ24K+rvbQgkR2xCXOM2pJA2ojg409AkZs aO5InssuKtJcB30XxwnxW0VhBvnUMSfhaF1WPvYiroXofWts/igdv1+HVsRJR5oevjipu7R2V9K0 F8WSL/ocniWNOKsRX/QVn6PbXKmjPPXBIlFfKlSi/m2hcmeRR+iLGAPOWAK0h5HYb5QrvYmmkisL j4vuhNMmi2s1tj0AbaA1li1dP+INnj7DlopEhbk7u9QPd92L9+rjuJxrEG1FkHjZEWBe5WzZ1JwS scuYR5DbTcaVukwXBkppA71hcJ3dXJdiYSAmoFWNJdYqTPwAZnRJH0a7Xjdb+fvWnXdcdFunDhY+ SkO18QNP98pTfsUTp//UTQJL97Unbt/WtW9yxdE/dpNWxzqBF/1c61FScTymz4SeeBiyCw5OihSG UXwX1KfwCUGTPIFpKX3rtBfobrVsB0OiU/uuqmdLy9iZZkODlf9H7QoCbDHvgL9kcgpRbXOovT1J F2+xssYVprXafJlA8UZt3HR+KKUSAq4nuZx/olr4cRHIbIkDpUWqkbK2mtoRAOBCqHhNlCMbg4up q0Gs5zQ4jebBPeplSJR4ff9gYyG696VQh/06IJEQ477ODkDVDDNi8f2C7hgKRKx6LCrakhqoEbYi cp9EH/SKiM+56qyTxMxtbn75SuqVcB9oIx7C5HuP/607EtYvCpdKZHLbJtmISN2LXUyXj5mwmat9 BtRKUfIyVCRVKfRl0LKRWOcUMZiG5GNzhc7xvlYLy290FxULipmqWtN9ib82EJRg4fjAviV4vSIH IQF3dLXNtzYoPBHIY/lGYFZ8QNy42R5ry7TA9NzkGBQ6/CWKdTCIOCSiieOtWjXVWnBi3WyO+D9J h1h5jxO5GD2dA03ZejVPJEvxBB8AQNqKxtFsFbmDleJ+d4YXirfqbsW4upmTsBohVplF+7aqrAc8 k676LwYkLoVYFGMcWtEocuAbKjZjmZ+LRohj/hj7R/q2ItE1BCmd5v25kFyMkWkZAfWwqTJznECS ttnIcfoOiskjgobZGwjOiPS7esXqBUCb4/ABw1uDflm45ofbO/yScnqaBvkhz+Pq9g915I/LuXVq TDLs+5PkM9MajGWwpNkwamOFjjBTOz3OPn/ER3wAQgu0WgVkqESDwFzsxrNkjl3nRrTUlvQ7E1lM YCTAehz/ZM8nGpVu8EVPEpjWhZTaw6efM+pfytTp/jMmhX27LRWSHfEdyKNalA04w9fgk4EpoRFJ 0NNlZknEcY/pBN6Ny5jUWfbbKlDk/f82B9pFTpfLFiQollcpZtotcxQnBNHt1MWiEAP/T7SIsWky NvxoIaWkHPYxOki5cbey4tF4DhgKAOpmVYT5WgTxY4Hd3RSAB98e2kWXU836TvIqpn+cl86ZApxp gceBX9LC8+wx+SUN4wADiCyjmjTGi64qM6eQqUVazKy0F+IBdEww1FEMYzRF2756+z5B2CwU8lqJ zk4J4WssA1hFggfhg3Kn+itIkzK2hjomh/dULgvLQgQWIRMSRadxr5pJwLPL7+NLe+Y5ukjVtLTf 6Sanwkn6L3d/kLWPj7LlDMLi71zY0/sub5QHi91zfS908Ro1E6SsKa6NpQkOHWB2K/6wEyiSa/6e 5VVAIETkI44BRbyo8FSOPSAucoGseN6JeGk6N6R9Df80B37pNuzSotZoXPuXva4NoLWEmlVpAN9a ex+D2YORmoESQFkrxmhtyNGfkBsHj5s35zyiYUTo59+WrJNj0zn42MXoTetvfpCBLPAzhGGzsENx WpHqd8PuLWBlooEMT8t954MXCzJ8Ix75y43Nzghwjeg3dpZW2rl9pu8v+zK9zqqJrW/rLCvpOXbq EympdKVfkftPFD0aMZlNZZnu5lmreE7t2Ql0XfME0HKcUgbUfgFRzA40lWjgS2W0bBtgEg/1K88h aTlJ8eWtZZtUj/53i0mFff6IhhzFJ/FDZUUFrsIsKJR4/YeEiLTNUr4Rwjs/qnPzPBG+HYHn6lGw 0fVedYP/VkJnSVGel3ySEpn9HK0HjBVXkiqRehh3+nVQ/68nfhxSHIThEMHbMnFyBgGE2Z+svGvB HJ7A5hdxP9Z8rWyLNSijDlI64VZSFsmXNcR13LVqwoGtCsOXHjJVQ69BRW9vQisDsWhmvrvL68cv OOfhVGdW1goe0C1T2NVfE2Zsw4EbnGcJD/k7ficO+NaztXlKXeShv3AkLA/FzU5lp7W5U4U3BbG4 mZ9QM1Tnq7G0y5C2AneftijS8HkHDlyRyDFAsZZHisPaM4hkMQg3ZQW3uHT3XvYhhkTEmPntzFVw Kvmv6saG2OORkUS1B0LpXKEboZOc/6/20mNkC9VUa2VTF1bjULGOJWm/55kJ/x6Ob6klARqxwufK OS57SGTLpeTbkMVtNk2dsBEmWdoMyoqexs0NQSyej+bh4qkl9OrTIAJDBis5RZ304CZ0BV3z42M+ DCrMWGurB8DWZUj4kjPW9GnCN/ghvURq1rhAEhX1DFnyyC6mL0duYq2fiL45btvXDSfgzzmk+xg2 8UphoD2mjGuVelgf/aAt4S6EODBFL02BVW4t5tYqGVwpVeX1wC0D0U62aH9hSFqx1xp+pso+ojuS N8x0XZ1CIaXtj6R8ZBCjn3kLifjllcEQ+n1QjqiChn2u9qu46uno7hX8H4ICWZjhMEIghfMe7RAw OhItphqZ3q0lEcnwivkBf/79H+k908LTvZDDkujsdbLdwbmTiySNF+PPpQgUgsA+74FgJd93ADGw ECpRMYx3bR3vUVzwDBy85eZB42L7BLGBBE0WuHtDR3Qj/ngSolO9YiZM5GID0seczVmfm7qTqeAy PH5tfaOwTxZG3G3XIGbs2xgUMSJjGYBk9At4K6PaAIxuU1TU0R1YVM5N9peC46STRzgLcYcPo4b0 oqOVbCA3EdrKUoNDwIjVJlnPF/svgzyLM14JU4skE/pnIphjynaN0IZXRDkMyuooF8G2WkanN741 HtstfJct1WULAawcLSSMhlYRmBLtOPXYYwnRkWMY+Fqmg6kRXvOV2IDhDIwiFu7feb7QRymaAk7T tflhahX/AsINbs7qBZIjSgghkjtmLGtUY6qVJrYkS10q1tqttIec6p1E3jl6ZHSfO+RCSjwOwGR9 Dp2vUkYcBgJ7OY9iyRqtAH8udekVf6F812Rn2er05oT46h5ibTFuaRYygC8WX1TXqC1kH2GBCRf7 mdK2KdIHml9Vo7Zb0/S6WJ0KTak66fvRMUbImYuQZcoz2f74HyFhpjdvnv2RUd+HKc8wVot/AFFs uyLRJN8xencodXhZRCU6J/aZsdqxTYpK4mucX6H337kRlJL3uzxcHZEJNh23jqKObBFbjtIPU4Du r46PUl/jcuKUWoddnP9JsHUazbdq2LNYv0t1Xv/dq47w7qnp/ZPJJnlBkEdgj/jV72HK4lRLb63l +UEdHweFgqR0tKVSyLvDS0oYOKgxtRNDB8vd7mCZiQTAX9rrsthDqGlzcWmwiIjwYFHWYTQBCxJl e0aPmUdyvzul/my41r8zCu7Qx+TvDuw97G7fFfgx5a3M4/LbOjNd8XSGOysrG09hySpcVjkgwj10 dGpXYMyblv5KMSNSKD1AkQBaOjnzITcTnlWILuD4ATDZnrgPvgxPZYEBgakki/a9JCD2HU0fqRVA 4Kw9vDPLp4PqpA51u/joaDXgLv5cr88LTrZCzf+FW4xdprRWKHqfs80xOyScLMcQmbW2OJkkZDQc 44mh+5LTueFCXP112v55S4AagKu8W4/8P5CJrFBAvuHRByBQ/H+U/YNduulF3gX6k9eB5+hO2sJD 1vQOg2X4QMUK0Ry033PwgBbercnTzfg2w3ZA+kxPlFFH0ozGrMETLEQi+XqvfvdyWcjX0Ofh/mYz uFiUa+4K3/4Pj1mP1wzEs38f4yIiiUyPJ2Ial2TPWvSVKmi/Pm89z0geJdBpoVyFM3myShDlzKHh CRm3tc0ogiD9dR1hDzJx6MSuR4YdGxE7BIEy8DsfBHUDHgj4fah0/b2YHiVWVDbQfpiPvk7fa7wY 6a+Iw7XAGv9TVP8p/9ocBX01U/5+iHkesQVXrf8stURBDPnPWGnN1UEvNNl7/Mjm13FmKlmrh0Fm DqdAmTEFfA01qf14oLkqAXCPgoBq4C4rarJONza9XaG4qSBofzsN8IRoLZONLAhWcHCQmB8cI+nq BEMqA+2UHfJkTIXpUTBn7DclNaoYo7B3SiQ8Uj6lQrT9RG5+NTb4Q32aeTQzWbgPqM6Su7YyTZMT PTOTDoePJRRMyGFpGHNNWMn1oaLCrFLMERANNsa7Va5zcsPwBBxvDHf0tNqnnW4dRL7C8XK4aMwH 10SqWZEgN0AxKiaUVnncRaTXqPQ5cN10LYOfLrPYojFiOLutoBOlOEvf4QTC+PPG3GWX+c5EZwQP HnpefxL19DJCOFVtpDieJE3B6bHG3feNz8OVW9kZac3bVMVpxIFdZOQlsOrjxH3BywvF903ir3gq XwSohE0Qq5oV3xGcq+5zVCHKhkG6fRCxNgCe6s7MlXyHChZUkRnflU8tUrWxeQ0AhqFV0eo5AfM5 V3q/NlsQJqwEpEPfITsLax4FtyuZUFdeReZsExo+J4i7GNdZO4X7S+h1LZ+6hSCwhxwYX6gHviSV DXM28eU38qEqwutMQleUQaOq+eSpilfzBJF93qHy/Rf+3u/OVXeegid/1STUK1mDwwCasEuyDepY 2p0k2n/foBox13lhG4jlFTNxskcJaW1+l/dLkzt37FRhg9oneq4pRfs4dmhKXKPGP/kcdZLCWKJz CTuelsM9tSj8hrXbnnJ6aH4pG4U80rsy1PiCZEXwBcZXySMD3KSfQ8uxZrTdurymygdFXIiP64ZD Ga/xTSpUJumr/KS/EaSNAgrPs7WsXgZHmIJlMVeLIIaQhUmfKcfNK0ENp0+3quJApriEcSziNX2q Ch2Qw76+MaqYIyLoM9w+z4ccc+7i+VMixVb4TnUxNN1HtpfK0/28S1JukxEQvkzQuch+BVuoFs2n Ye834OkPCWTX9jO24PBYcdZtjYOCs1ib3XoZwVNdn4fHKrJqnqg+woW+K9+JJB9iVafkxrA0UH+B PqxbVnPXLL/QqV+YE5g8qXmPqze0Ho7gI0/YtK3OETtBrrbFoZl2wwhGn+e0ZXy8TJAw/Camaypu kfoDmwH0SpZw+JZTMBCmEehZpAhZBilKLx1HYRs3P76yJCGkLMq8GT+gcfKyjBWg8ZUqA7mRiN1F 7YJ6uMyFYoKwAmwI/Jt6zg327pTL/W4oz/twTBFwpPsVcAGhruIy+rAwAdKGqxsAz/nyAWCBGdgg rRKBONLjY572w3blWljOwY8pI2DGfKO/++whTK7qtD0zUB6h/x0AueUs2UbO6WuZ3d9KjnfiOhA/ x+nLYLAVa5ZHS10Ef8NjT5PQdqjJaiF8tlAaKfe5quonCtE05J8qbxtwb/Sn3DeTZKwCq2FZqaLI 2u+si1xtqE6CaEy73N8uWEYkw/E6E0mY0VBMJSEahUvYJBm1T0zwQ9z2We+nu/VvbagQoPwxxE9+ gFY1R30BVcHSAfqawA3WbnBHb3p4sxkB4P9QOKLWtLG35YnRlp5qVN3hQNQj/pZm+pRpnDS57q4Z gW95r9O08n5FxgqH7yR136Sm2zvod+t++B1RRt4JO/U9CLh1iu7a0D7M71dR52CT5sKcxR4MZYg1 1vl358eIHOnHUauWx5QaLojURhmm42vrealUDs4ULjJSw4E80TZJV7ftqlOq2e+7RgLYBAoHqFPU Z4SqSJeVaUqpjopKGZIN1wU8aHBKJFkVgkmEVPsWkMJX+cJaZnrM5e+j/tq1InB95cQTyn55LM/y uPtOPwDelwUBJsT+RkzcFJssN09BGxAC9ZWgnU5j7qbOm/yoP7geCFYCm+vpQ/+LMDGyttAvhQAo eCIO0xVO6VNQuLbuZwV2eRzD7/GqGdzXNq+kdV//mNJ0sxCXRx1AAMo2ZiewMrygAbHFqywyo49N yzhKgI/UbhUPKS9sqW+fBLD4d6r4rGMWPxSRaMFVXldIKtDk4xzi2T2uK/PPWLk4QVUULmDDxyMK WsC5gGy7YLIyRqt3bTh2ZmER11HL+CPCCak6iuPaIa9vHZLuHEm4cz+QDhIRFn7vOnww0cGoqZO8 nfD3t8VrOSapCkPdL+gEv1fx9pVMki8S6XDxYWXIXx/ouRsrTAoA6YstBjHmxGE62q1GnuJ1B7N8 f5e/RHOAjZSWxiq5QUkyg7gBPXUUys4JH8Xx5KTaAfI7UOYmVb7kGWuu3Dc1pT5yc+vouxhaK3Wc JhpKOewIfh/q8e6sCCgk6zKBZxeR6rAYJzMVgN1YEKpcKHq3fqF3+7PiQrqOTaWvPtbmZ+VxKacO vf69nhXEioAqDpcUzXsIz6IZd+kGdshucLvvPK2bqxKPsXyjDrX/95+O+BDxxPsE3of4//oXe1L8 /1TmO2m50qsGPtgDEUdJca5MW82vOJaN/xjGWZ9SKpjqr4RNd/WdA/VydWfGH7tG45UuQf0wHW+O 7q3RJ/bgviqn1kwOLMh2EsUAVw1+3m83o3RV1rs/vowMPzl1S0ReIU/vuA5efNTRbclc3cq0/YTy BMh/zoXjXG5Os+GLraWBqb7/NqLRqKC4Qvgep4CFMDmXfVRpeSSXKH0Br+7DvJIzkXhejF3yboXA P2zrP4fePIyo/TzmYTGNI+ESctMUSElnAXTU7d1ZYv8L7TvOxSErHSCNFHgw8yEQYkxuJcpvgmG8 cYUcb9+XPIC8Nm1rgNVTD9UlDclj8an+uq4gfdcUG6vBzTc3D1/kxNWe42S9dXeS5nLoX+/M8uLw PXh22u1fTQPffKO7xUtkI0uTde3ZmCBVd+pmt+a6kwl9T2esTL78ET7hJkR1kiDhzQ6BBmMx/Xaz sIBQpH+1uo4PlzstC5aVacx4ivyF1JKZPEypaJNCXIwp9DwZlmwSdAMOnUNQhYKlgOFkGex3uIbC B0tQEnYb8p/ssjy6NCipp5QxW5nu5OsR6bJsJf/FQkNmyCsUec4Qd9BR2ZK2maKGF4IF0dbVFLAe jJ6KnM86jhI/7uz2OWKjdkEkxfy9UdEbL9SQ6k92BYsB+lJJ2xKdLyrNmDolGDrPioHzXgfAe8k7 P18+PkXEToPcZ3IT2aUQAFuws57bsBrOLrGns9ldcW5E7FjVnGlZbiigf0Ms08Lh5ceKQAI+7wsQ s7UIF2Pe+/YmWRSxkB02sx2vTwGWTMI+ZTvxX+LZdrQgCpG0xFquqekt8xPNEb7ZOPblw3ByjuQN AItzQ1Vpqcn2U7Qro0rE6KFSEirRFF+5Le3w5FqdGXDXrIRKPSfiWfmYr+neZfP4Rl8ZlFrQImwK +fR8K4YXAMtGyr+v6WquV0MbT3TQ63c3WvB9a4AAkzQoMvMO6/sIip4etwpQRlsedMUC0K3I+HQl J2JuKpDZlP2YP0djQscLBh5T3TJjcsB3diMcZL4cmdF18I7TTk0+ue7wbTqbBZTedEg68WGjoDpO rsS6G2X/KkDyxhVpqEM0NMrdaUiuFSju0tnWOHn7RFU5Jrv7I975fl28syAby3pJt15jM65IBb1U 9Wf7XwTPr7fCG0c+cihSTqkNgT3PyLlPf3JEMCXd7A/HRHzuPwYEQv9+fBrw4X8lfsRgyWP6ErTk ExtT8QQfMRrdzD/C0tKudP97X6VpUkp36v1+1froNmuK3ccIq4JcSw5IfFxIru8gjTg7unNAFcTn bW4zay+lqZyne55la81fQr4uiYnSm/JsKRY09NKjEuXH0LfKfxZPRUZYJF96d9uvSBjzNPW6gww3 wXxt3JGG2ZNLVs6Lx0KYYznGJO2ga2+XQlNTdYsNkVIZyEk50MS+Azv7ZJunZuQSt64pIf3vZ0em wf8sr4cZowEifZKAOYcbBg4BNI7fdFxMGHkIP58YOIU9PxQN1BwK2zCTmc750uRASFySTWO2A7hh f5EJdIoBQkd275xSfS+TljAhGDUtnBPtQfvPILNsMSzAvQgyp5n/EExIgpmszyWUjvZbMVrFEHmH xkZZJxbkAtFCf1WfjRRnkWmeK+k3oBbuToSfBCPbdItncHmjK82SsxggwNc3veZNXuL3zj7tQfHV tKQBah3Hj4KQouDspwHomhzdOruCaSxlKSO/D7AW73BPd8863oTTk5Oru9hC4LB6WdwQA5w4qjY0 Ctea8DZYAUk3NQKD2WKreq30Uj5nWSbZaHcWmFR9adcNvolM01++nBAxVnZI05w0FYJiV77ZzDyY Nqi2gJBWv/g6BPbZe1BPix5Rqxu9W//9jUNYYBuJoDIyZWW13FSOXdyJqRGxacwAFFidQ/0WLRd4 aysPglbnAiyNBUdT4wdTn+atrN7in4fJdb718h4ZedvaI419aPbV/DU7FBwQErtpyvwyMlh9cfNY YqS4tJH+CGFaPbbddhUi+vps0kSkYSBbNo4egF8YPcP/D7Rb9l+qGdOlZBieRNRe8b1yZF56yZsk 72Qrg8cwjmgmGNGClUh41Y7LT4E0M1KgKUAb+yIkFStHwiYuJqGyqSqJ0xZhlK2VTc2B8wMY/McE rUHUEVKpJuM/nhc1EUSgZl0VJDnHqEPnVT4eYuiCK/8fImS6C99vlX2AqtFXKij9kE3ZM2DR4up2 CvPnU/swfog1lha9oa+APtU7cX+dV5Qn3QirZLY1i0W1TVLbOMZwFbOFjF9RLjVeBPUiE9fZoOp5 ewJS7nhqhswnRoCVytCyn1Se+JQHn8yBCyYn/M1OYKX/BYTy4Jb7dImKBJWU9+L7M++wII5FcLIk 7TA2EzRhE5g0LOegjokC/cXvuc01ZTh+S7hHjIg6HMhEx3Rs21bJ25/Pti2SNFcfR6rxU1CwBKJV uz8/IEFko5t+Z3iuKFU3Zb6bk8gMs28ol7u9HODJIzFVGvMc/QDmtbLNf1YHcOaPDaUsvi0LTUua 63xBiUYbcgDbRGH9mIP4bAhvylTdoPcaCrFB+iJb8il9MV75h3jTMLqT1kfOamBQe5aQRq/fev5N WdyeTqlx0mhn07qOrthvxGYipKFtnXDBjhncPLbmnm+m7UN5P3xaLWTAsZvp14r30ro/JZ8E/sE+ O3N27QnlWnrknVZas75IeT23Gvc6pT0yxVCPRLxJO+7cDTyblfj7wfaEnpnHr2YtQ6aSwZzUZM1+ aQe0zczhDG11gFHRYD0VGs2PiI44HTgQw4gwgNzOPfUgMK3jDWuRbpbGMEQolq2H+UFC5wp+LpKs y1cfzCg4+nHn8nb5jDBEZhuw1U0cOSPyiHLO2l1NDCHlEIE8hPQ1N/6AQosIqeTwMnIXkI4TbNhG easkxDJpiW6UlU+/tXCiUENMt9Q2UpZl+qeBHgMDH//gdz6Pv8/YEwkhr/8+0EgwexF2trFJZZZr /h08Ebdkh6FEwj9i3omC7+NFPMu8cAdEm5MrOWGMWvWMgjX5rg0NrGzN5aeTjHBbYU2vA2S0Gaz2 J2VP0ZmdgrO5EX6loYyoHIfz4FHSusZRBVKtIhD3rGA9Uf6sW8RH9OZyvCx5emc59PJOfChJAN+H 1Wec6YbP4BIPWuhCsll+oi+SCRYBk26T/BJ/JdNMBiXHRPli48JU/h9hdxGs8opA9GOusNf5+kaG 0zETVjCW79gFTare2KE2QHbPwCPQLJ1pUxlWMGvGZtlrTWbOckysaPQtWyUO5ggcLQmaGM1XdUnP kLIkDOMHr6i8X3rBw+zkpdxTWBgbB6EPN0gMgKbH1N9GoRuftEkDeBFpjoyweyh3dNAYT+Kl3bmH tsmeKlcRu5J5n8GdsNY4W3X4eJfCjYtiGV+CAvTgMpW/9J+bnw22cJOeq6GGj4iIQaho1lSYY0Nv uo1Vv2A4WEdvOPHaczxZxpSWWSOUTS6rmHcL8qX4ZcfXs4CZw4ids2w0pBQDzcdwOotaKR0qKcEJ RQpwOCbxwdNOjIWHBgRbgsBel3oXJM/2TPxS7IITHUbVxjViJ6E++Z7T2hQuMFJo4q9h3nwrDbtJ AfCT8okBGdX0DB/h2H5H5wrw6oiqRTh8UnYnQi0pxqOU9MvSmitzO9VaOrgcPiZ4KkTentYGM9gV xH78aiPLu4btLrZfeyYvfRMkRmvzvUMCqP7eETm5y0R9m/prPnhGgd8Jyr5Ipd7G2AZUB/AAyg/m dxSOtYXZ4N1f/aZJ2Doy5hJiRUYlzCVQCaEytzos3pwHhgf0MxsxzgT2liySepjN+cVuV1EQHOG2 ePitTHKmvjiA8ZhnzCAkpk1EU1zPCK5aqF74P/8HyCa4yE+qCQyLIOsn92q0DtJcs7hLUKtvuPWk G8+lXmPTaJfTv/fNEE3p6CPHiO+VzYe+P+1JYU7B+H1GMANcv4Nozi08z0yNv2bIh439Mp5zzmBI A5lygCksg1Bi9sOk4+NyBPu5c1Yx+N0ExhvfCDtfOytwWlH0qfIi1StUwWC+NtivALdagLY56Rd4 HjDglk8/z7398VRP/p8W42rmtyVYZhA+c5YPhCmtNbQ700kZMTfy8Ka1dnktIBpsEi1Dlb0bVu2U XQT/PbKRImaJVBGEgixvvD6h4K8vaP5ZAKCTPcfI095e0GHAo0OdELOeLDuMTXX1DYC7on2bfVoa vI7NNE42MQS6i9U5gnmVMS6TtbcuYl3Zi2Ljby0mLSNj8Fxy3nVMHbHuc3M03sqVqa38ZCf+3w55 Aenc07NQBZhpYQ1fQy1/HC7DdTe0Tp+TTteFleFQem0NJ7zDOdtRuOsme0otWNdC3CNVrc+EOqG4 KunGY/tyqGsaULa+L9Q0BQTudbx1HRt9L2SX4LO8pLXoitL/HmEbXSoBhjNaSprHmuLMIJz3N1AI Uf3o60ovtW5Qmydnj+VlzZns+y7l8OQ9L1VOLJ9BEHWbBHsl4rg0nTG+0LCBXlQjFeh0Zw0i8G50 uPquIuBlyeaFaJpQctzZRbzBUYHiKiqYoAm4mdmSWsusjtnby2//FCRFBpyeB7y31HikzncUWrhx uVHcRBLM6MwXTGexgLmdVkp+DeDJowRcb9WIxqeeICCH/1i1iTIi0Z/4nELXc9rrsV30IdRrqfKI TAsfQedYZVMq5biO4OSB80+5vK2HQyfvBYzfAQkRl7Bgk96Jb+3RvRnXBt1DYYjCrXmaGQyiLGfp DTBwjPLjvZf8RW33dexFZ8BDFynlYt8bMnBIMY6XolkBTcRnCrtscGfMN5ZdF5TgB7MtLebXvvV+ r+qE8iXi7fhiNh2lHZLVAUpmzkVszTUK9C4XVXrQZGGFIoyD5pHgGQifmVY7xYG2Mwv7UEFPAUmW SvvoLlwDBlX+qkmzs76H5WFerafcwzLrMYrQHDo2AU2NHzfCofRcX3j/xfZIlTCvFu3OS+BOoLyn tVPRzuw+TC19PdIm/4m7tDVCww8BJBMdTgcjVz4+LaQoo2OL5+voku0ouAI0VMFFEuk0SDTp/eUZ eNU0JFtabe06yq42SqQMcPOMHFHaovvFwUMfXeGgrJ5zhByxPkOB7uGh5JnYXF62x92Ppyn6H0kB yF+sWm+9VhC9bdn4qTB9RVihMXXghdsAQRoFJd0/AyPBuTHloIhD8ZZVcxFk6MceU4soI1f5dbwB lTu1dgOafh0PIsz/lk7NGFAJqEe5UvI4wyAX+wDREku+ugVjgDf7EhbJgKO9cBJfsF1jjz3GIMtI 9OHxwPgwWnxHg4PgkYFe2CXFAw7jRx3Dr/ZPyn0CUeSFX1702Mx4R2bivIZjyi6R7PSu5sYa8AU6 Yuf6sWhcyJ6wWoNCj2dyQ32vVj12TdqgUA1YVdZXtMFMKfCFgXZYbszur+m0efs3ICFcaFjdoJvy kdOsfU+Wanu2nk6VhN+lCXkNWC9hCsOqTeO3Xrzkc13ns1vZkoyaXsoHOGfpO74DD0eqCXUpaxSn 8MAqOofxSSW8/Wd2GIGTtC6ALGq7cYkLAVxAl7lLLK+QbliISggCB4xBBZ6gO/f/FU4aoMsFIPQP LnB6v7XQG9UdHDZ+G4D7kdW826Fk0qXtWEUnmptLZGuHxFfjakgR2JJgxg8yuH1OQ2ZSpmTnL9DA aFliv+dyjcDMcJXCILIpSH4Y2dd9LBdeviRBGautjovpXj5UsfqWUq9Ul2+3kzwaY2YOsjBRrUA/ svHCEwq+aHzM0IPsFMyvbDcQc74DjdBX6iso9alM0RDe2qg9khK9Ph18VtZb1I5f8PxfFKu3OGcI 3K6KQj4yPh1tVa+fqLZLU20aVKpIXrHvf1GCvAwH6Ne/StP0hv520Dx1K238t5PZWgducf5ZVOIN V3WAsnZSRPiuW8d4ovlR92I+aVWT2x38fYq0oFrbOHDG0nMyvPMevsWaGmD9c34oM5eXXzf57N7z mABczFb8UaD0KGGNULK7uHc4S0+DA0I992SbdMIasgNv3fNNSTYSJZd44/RU3xXXKUx0WUkkk+uj A/ixDhmcn2jSWTi9uD3p5u1/W5EsQU0KVuw2/peHbuHxbF0kFchjU4tBlGQOHx4HQk8GQd5K+3Aw kW/AzMvaXL0uwUgXpGtkB8djcQztftjxuuf0xXwrWIKdZvE64LKcFcs6392f24wYXCvhvCt0fipz s7JdH35dknuS0nFWwoDZVQUbfj3Wutwjui25d7oOpYgst7x9fJunLgHm005omdMl3e2sRQc13MUc OSUJB0tyUdX6Zt5NbTeM482Hwjjp2bN/sK/B6YIQxmyrzeA7MnGPwa583uqutJiQE4bv/oX05JWP BuejY/EVkcTUVbB5g/dLVKRQ56MUFnvioSWRF46tIIXmiXa9Vw6IAJ5AitZT33oqtk8eNy1Ry9aA ksREdhKnxsgIeEm7wbs1wKJOMYiOoVNJpy12G+tzu28+MBFJpat56PyP2FfOAQT/UFPGtOUZ3qTP KGbJuJ9LvUr6fFo/egU+/Fy7gHW9A5qzPhxI+14jPkXiHdTwxnGCb6qrcxgTMFvU7ZihmKse0geV YtSJEeE20tqYxcEhuexipyEn+9lftJHvuLCFaYlowKk72X42Nr1hK7O27pEtSsfWUBgxRp7CNR52 O5HZDDtnhATzKqkM0BzUbeHJuqi8cSZFjMyFFb+meolOxuj1+1HTrRLQArEdgoddjhTXdR918AsG GHC2+m9Lh7nuOZfH29Yl2aM9yGVQZDELU8fNjUUb2GA5or63niiiH3zTpuFcA8TC5P2C3110PGAM 1LCxGIzAlLn1/8PuN30WkOnSMbrpScvySSlXQjYouBhpD6bgGA1STnFPSKDkjK1F7Qvh0B3lYbX7 Ubn5yGZjIuqN0nLERpuHkg3ZZ+CEBanT+PZxCU+yhnCxfbBe3Hr42aF2+f931GctUy0cJCBscGbg zQOTRaJ9AkNaQy8Dq/1pqc6pTH8aAxxtJ5MVIqAwbo6L9WbEro8YbOOkZrnGxLF6whN5YDDvvhGd Onr443IAmEzeVxLOMMl992ekJHYRTTFGjqRgsdpLRzl+R80Ykat0PnOc0IddzO6bob39kQ9bVqX5 NE8Nn3tMlRiLdQZUGyuDvpwBzBFG1o7sMB8UyQs7vs+TylovIShSl3Goh8Fqu1yrxd6UdI8oa+XL OssdN8nz3b8U0faLobbk0sCZm7x3yaQJumFUObjL2gxfxAuxB4hO/GHUVVhGPJzf3pXbYCiacwuW uftSelIsj9SgYS9CqAtgwLDSjSDkhePwnDn4NBNx/8aiz/MThDitHEJo9HSFeXtO+952s2bH3vqK GxrlDSd6pfesHfPji/aIAy6VfEt86MovgZOMGB+19mWCSGNVLPWA5mt5jmRz2/2SHEHNwCfCh0R8 qk/LJav5tUW4G5R3wLc1lNaCmUOsC7uQ4OhFO4jiIcqPdHLB2R/LTVnRU7by4M6u9FBsl71NKcJJ xv4T/SjfyxEfyIKnqwZ1pwPtkvkKOY9yND9P3iqoJW9Xa2i6h9cWvWnXCDjRIJIknl7SWWpCmPwb WHuUibs720qIBsw+KFiHbDCoBc7CTMlufJqW3rEdPG06KvsRMs13CJOyjMYUHVc3Jwu2UYbKQfHD q0TQ2etrNLrYalxWz5jrXIU1xv1KS/c5OEhR6HnHYR4abpbsydREQadReau+qhjKHtjcezleCOQ8 ldbFyzf0WyAP8TQ/tlosmM/MNBpeUpTrKCNrdd44D/pJwuWEmEXpx05+eWwZtXoqVtGFz5sn26Gn /adLl1R6aYkHwWsqZCD3dJ2pZQeuIa77w21Oc4EK8mGsDEz9+6MBWwjcZAqZnPOmAR98pUdJfagF 0ikGfBw7/1TTJDYXzrNYiH3YcoPKWI5AxUXUtWTylMns74F6IEhIx/mgfIaDRsUsEySpq4KJPL+t fuLoWENh8apBNgoV9KltVqf473pyMVxadxvkNVM0Z5Qg/W2G13QNOflHIWqTSxx3IY/ecpy0yCQv sw6X8A3h49rPNsfNuXBB5cRZ7huzYeWE+lmshMeJHDGOVGs5afQpj1S4ABLY3VexK82164IGUSHJ 0v2KYh6oDeqQvpb3xkSEWBVL4/A43idEPFsNRVCe8Bqu8LMJj+i2fJEPfL6V+eH5xzYjfP1ZsaWX hHf32tYHccV1ANfxTTYioGg5CqoEdtYD6VJvahocf3RSteLKZdUxh/YI0gLXm9MtfEbe8Uiq0eK2 /2PfdhI+mqQG2o+Jw+eahGftz7xCrNwn7HmVQZv8Sf5EYmE4n3hk6vwyXGqkII55ma0TvMqTjwyC 5hQ/oyvN+58SNtjMQS08kGKzl3CBwN8Ll7qY1wdrerbieEPc4je/Mwa9zv2LweWdqgu2HS2XHldz rJSbtZC82k/2mNpqVwRzoVoy+Wz8GKZ5+0GA2MGQwyDzu9SyJKF454uEs6Pq1unS5WBrZN6jxPP3 VMhZqn57HgeVcxjulMF/vysL/80p/h8CsC+itGk1lK9A2LNDNmkiYOilVEEE9e/hJe1a81iG+njA uIBdQyGpAx5pk+StDpU66tUQ2fUsuVHQN/la04yeOh8+9KJXnH/tE4FBVa55Bz6mLQV7f96Ohw8l wU+qF6VALIqDN6atXo5HTPx3fofBBRUVE8AGMK0SDa2EYzq5GK7Ifl5ehRNKSTnvxPytbT6DeYRj KT79Exh22lZSVrFGbvVGQvNJ22AfrQK92xB45mOU5Smk2Zi1s/jHw7ZIIv+mW/Gsf55S3ZKZ/t6n 3TDwsaTcaa/RJV23rznIdJIQIHshFBJ8i4U2lDBEPIzWWvYMraD7Nl0b5TD6Lx3dxTbMEF2ig+ls wzUNDBBsV2FazofjfmawvqUdrOe+l+u8q1vJVlPrAjj69Rhw3xhwoF+Lsp/C9tQuJuXbNXQ3wwjx T6bBQWnYJP3id2tnDlUPcPmK3oIQcbdC91Y2pze2cCZBetvC7BdflmKAlVJUPSTq53Noe2oKgO0f 3inhnGaGkafHVNEc1FCvztTJGfASS/JzGDp4vmxOyLTs10VgLUoQ9FEBILP2ogv6Ivt61ynG6fpv 1zh8e/mPROYwtgfhhofiXpnI7b/O63e4NxprFTmd8OMmTvY5yanNOFEMt/6DMOa7mfHMu24nxVZQ hvLTKc69mwlmSFM+f29ptSSVn/Vs8OnJbC0pfLVI2ihJf7uDl89ugiSE2GVdWN0hP4aMkXl0pO8J GpROJ83Tn/KuhLcpIswotcZmkyp3D9B6rx4Qa7h2GUK8rCZwCAHjckn/pZIhVSRuFEQZKWh1FIaP uR8av4wM757jA+6NL/kV5gYU4H2CcHtdWw4aqdEDB9AYEstO2IItF4qaqonIFzdoh6jqOyvj3Ono aoFtHIWzkMNntWVNtihmzMuCGvuqhYtEBSK/CTurhlGy7Qsk5znxD8OO/ECpUnrF1wWBUXgeDaik Ixj4IykdE6+AD0S2pny4xPWst+tBOiKboqsFtDDaIOCTG5tZZdVGvDNQtslCOWdBptGNhyLMFZIp 9MNTshJUe3Fmz/PMIj3rRlfYyDPt5fjBf8MQwfU7vkZZYmpqdJzfrpyCtl4V21SGRkmd2Fq4vs8N QqwTir3i2lyS6DbuTgR0I3585Lo7MzvTbzZ0GpVenbwuFs7caLfCVX/oOvxyx1NCJeP0XnKpWQKr /s/u84uUgfQmXBDPr1MtBzvc+86C4mG8zRDr2h2S1mhxZyqTIhCnLaLP+ArzDOiHh/2xRBfFc0S8 yJkYv2PSJiQ918sar/PJ/D2/SSeAmeUxmw0RzLnBvedhgfsVQQIIQU0nnltvNRj5ee3UG4mBMZHO GLbfW6/VUsNkzHabnzJz85Q03gPGPePbAtTkZm77uz2SosNFFmhQDpJ/NECeCb7A4Xwt/iKpAezc OsUA/YeyeXttWTeA+s3Mhjz6u1Hikebvzqy7NP6Y7caYfTWtVXA8OZHLnekAnEcaH0sss0huVx4H 7Bf/zudCfVyl6M/p5stLdqBrItqvD3P39DXrSx60i2fXPvhlBd91SBjEFjFrrApEdN5EE/1nmeTB WMzWcGPHAHizIHRg2SzW6qQIGn4UmvqA6IFydtVzw/+ZZOrfzST9IGaB19uE5ywY+MRkl+AbdiE6 TCnfwRRijesPSzFv/WcSY++bAsl7S5agfi0P4A2COaPOYdLMTqOmgG2GrfHmRIrEwHRT68LcRzA6 0aWtUfoQrBo1dZkVCBCSr3au5jnUhT2dm9vIQVUK9CHs0E05fQFJGNloyVZE4pMG+cP67k5Q9Gq/ YasDhScDcuObIatMVsfNpkPNBmdhQxQ6g4qdyx5f+7r5eiPms9sCQkeYiZ8c8K943xoahYj+VRyE 6Om3pSxZVexFR42G9a1e3RouPZEOX++z7wF79v1wY0xqmS1hi1w4hzgfWGj0QtVh/+j8/R/4Ajnc R6OYt5onmTiiqICUdbUy3EOe8zrNNdAsg2VBjk0wpERmMPTWU+Ky4FiEGIUQn+D1cJB9HG+FWYHJ W5cpQ9XT7+IB1VtRg2XPhJlyHHpiBc60SKcuYuM9IsDe117Q4hHmmlos1WKyuBFEzbODt9arjw48 XOJ/7ixB5N0PqIHloLNcTCY16RN/D2+yJ4rw0nsNk2Ht0fFAWgeva/2TFA4rYrzhhFmzmFOXsfX8 8NpVBfhn/ylF/1ohf2r8MW6qWs/e0rxVZDpRP6pPeKcMzBBlj2JeAwpdM7dwERhnc06gwGNRWjwa sgy5nRjUyGjw63CdPF/ZwY5vbmI41h3fTh/ucsmZc3nWTO/+wwHQLvFMeOitLWxRWwGbl4VJWSZ6 YNR1UVv3iAUtVrSXj9AS/ajV/JsUldYhpNdf+pMh4oh3KJkwWKuDVaXFNdicSAbbzaC3bqI+mZOp UzsPgDKiJtn+WvR5iqEjM3pnIYL0Lnyx8OHfWPYTgkjkV1wuxYvP4s4/cF8YiGf40Gjp4KKKYc+p OcwkzaVxd8wqV2bZCUh9VPt5afTg0nh8M0+NcxSnD7o4JCKcLys2FxF016ZVFbWW8AMu/poHsZ0+ ijgvSN3W7qAyLl+7tMAzNqovGfahCubd1KFjX0+d6l2KQUiNhh6frMUxUeJ+GwSO7pRInnfiPKmT v45qcnoLj/RBAmAhMs5D9xpfgQfZiaTxoqKcG1fkfk5NiyF3CQWvKGYGtXDIG3kcZyRUatXZB60q +EI64Cu76gXdiXNwnz8sfm8DFLB7zQ9Njvwbr+ucdY+MSy4+ZzOZIlJfAZUtCi+IKc0mtFXcBOyn N4e8tw2xA3+9VjlL9LY2j4Y1aI5RuED8e7bUtkTdFj1BriXt1gVUMSJEKObrrpd5aO7sHCpkd1Xy 0vk7vpBE8I3pvhw68Q03f8ES8tOcLJa4XT+BhmKfGapy6172spY/2YgACT8++TmIOyBHo1ryntks tPFYgeEewsDo9VbP3FiJeuU38Qe8JlP02a3S16T4XvRT/f1lsrxlJgAz9Pgyf6mSoW7P3SnpbPbG 6OFA1vj4EDif8WF9Fgy344d3EF6ujki+gYVo+pgirlJu6WgJLU62mfaSo0ep3y4mh+pTRpHcoKCI /4PMH1OicwHFwcOIgs3etrBwhH3lenOIBPhlkkzysSBJFQao1qVonnekPHlUpKe3SOGVXgETvJ3s NnLn2qKO+TwY6PX88Q35UMDkaBZWCNrq4Aa/HJHM1FsJc8z1FpkXCnAnAX57fKlGEfuQwm1IqoGB q+HUNMShiMySTkTCEjMYY4wfvVUtJsD00SaF/2fwb9wRjMi6OOL9KYDzHoJTE4C0YjFsw0A2T9/6 cAw9hZS8wK628XUAfVZJ2cnSvDH85/gYSZwRCuEW0GZ6wJUjqdhM+SEyk12iCkG+dExRRiwN/tds oDdivQi+zm4pIaO6LBSCJkW0617pYBsLO6zk2KD/czqyAVHJGPh9H7rG2bO5o2mDPyRDXllvk6td PIZlAvcvgRyGNnYRSrQa5Auh/WJ/hnHj3H2nxQmdxkDmZJfX3RFRupPEtStAavQc28KxmqGkNLM7 vP9dFGZa6aEvtRQmwnl2CpqbNKfZmEUi4MfIJOOpPk+tUOpH9joI6gGMYG0xfkaetthA3ZfwRIHU zIfRNhXzneAfcFKLw+hqlvyRyvmE6t46hiSz35EZvs9VWttMc8uzUU2zpAbvK6hAJfOwKrv1+QjR m1/ek9ZOuajRTcka0gb1fhYg6sRIyXV6ZU8KO3oNsd73lFo7TR6kgd6UXmgOV0dEDdBgDocKes2o dr9k+DjWB7et/qbR0fxMtRZLT7pR6R8vv+p3by9qXG20qdW+BmxDypnVda0OkNeHK07Qunf4OHTM 3f1mNXm+XqK8XvPsd+NklgI/U6hXmI2JnRr7YTLIqrj3MtH1LnanameAuTnMx+mxWcQhe3/1c6RF ZZv3oVHBBo85nQ9A/tGazQnDaYiqfHKev+N10Obft7/lfOnMssiH5Qhp5RUmAeEnWq8liMaeLs+9 Z8AAU5bAUGFMMQLTUDRA/hB2MFOXF53zZZ8v3QXOwe9m/95Ru8lZY57cjZSsRN12DbYV//zI/3nO GBZzWl+8dLhp7DW5HVFA9vGZqG6Kc8MnU1uYtH4f/hSxQrlKkrw2o0b9IwgExhO+xISQj5x6s58N y3v5z8scZ95zUYJxF/LX7xDnPjl+wU+Yifq/QPRY5YTqprOQCIH3fzKHIO/F/jd6JBUaAvD/gr43 OzrVigeACIW1wOSbaGCDYLCk6Eam1GTkih73Sm9KUTgrf9x/qkx/Qa5QtTgW9F81axpW1ifnEapm ebijlxROMpmz+1MI4q0bBFjfSt2o3D28VJmiGltEuDMBoNLq3hexTNJty2vm/W5oRfu+4XU0d5cQ 0S44Bn0dpijJ2Brz77ysFd8rULQHaTfRadOaVIoLEI2CPAeqXx+8SG9nzUHU5Kd9ppoF8kwn6vYN ga41iSInJ4BjaU0WYDE0HXmltYIFIGtp0D0a6LcQcJdlPuHJeqVfJNqW00y6QmfsPlwWifg223sX PA4WeTYuUOyWMor3daZspsQu23exyjOQuuiTDjs/7LWL1/V9Tsy2bQ5UU3DC568oyINxSkBVkyGh 1HrrlfPE9oF6rc6JIao0BhuRcUQm01B9cGpqirq1yRmOKxXNLkt51a07WLRSaMjuVZ8FS0ILJNjc TrCwE4tDiFYgLXXa0skJe3xE7udHvU8bsxL6cxdk1AT7ILCfxMbbGsFzywvb8bY01UlDtphK0MNW 4z4qQXX21IiqCwmzIsOjYBiiL+uPD4qfsRk4SR+asTDYLY40eGJOQeUPe3sK7GwUYeRz8TMedM6U 9MJl/IOS7INHLKQivGi7R5T1BST6YpS67+tznuf44+7mIJPP85n0pMqzoNZJXGdCLQZY6JtvdPmh wn2hmDbNB6BJGQVt5RfIt9TkuYQ6XBDk/VktfguwA8CnXjnp2y3of4oxbaY7reKSS0d1MFHjfOQq DhAsLcQ2fm82XChluwLPixHCX5u1ANhyvWFRITDiP33upo6LIU1OiAuPFvueHoy8pOEuI8iNi+rE htbzRGKH0ilGvGkyPTwcaa7YkikdboaQnEHyr8msXt+SBJ46z7AJXLNqy8jqeGUU1JZj2k7A+JgU cm1+nQSbg6vbqpfJN8FpjDHq9/Sp+TJ6rw3WE8WJGFhH5Voa81B6J5sMyfrU/P5Mr869VIer00sV 63fGFVqcDcy0uKWaDsi47XBuorLDD+RkwISnxfQkq9VJmcglsEjC1l0CRbAxAgV7kOrxBnu1A6TL cJ++JIXQyh0uQuXrrkgz3X3yQ/4bpa0wDE8bx/IReAq+2MNlKVJbkF3+cKcpCuWNRkLB4Yg0UFvJ Av4dMdhYZySIw+GkLHjNmyZVP6E6mP/ryf+smA5fUugJJfqxyykU67dAwBZGKlkmL8LV0azxB+pJ /dSNRuiS3jC8vVYG7FxZToLpwF6DWLGUXX+eLzDsmhAPiqWj1ryJ4kkjkrSlwvGMB1VI763jqPW+ xUC0B5xJg+aMBytNeWK5iai4QGhPUo47uqXPvAnTPpde/GSbvWGk5DhB2n1poW9JBQDKXe9wH775 1zsABNgPNRRf7zXeV5/jMc64hIZzyCGXOwoJah/KWCI8Kj85hV5BxKz4cAJR8JjHotMGFiArGVC4 bMVKBprWsbj0vnWCB0Rd/nZJEE6H8DDFiuoPGDqqxxIJCsg+aKwpAQlr1NYr6X0ElraemHpIrxH2 mM8OwLhoGjHp5v9Jld8nDN7H8ijRJnCILvviLV52ObzcWBkZpLNtAm2Cx8q+zbjES/1DX7A7oIoV jKw2AXFcx2dbrvd9nrNoA/ekS9HuT+D4xSwH81nSuhrjpdNQy6B0BmpJ5gGZRvMTum/73UN5UZSM CJ+EFUT3uNSIZ7imhgu0QVs3R72Rzb+titmee2XL9cKjHDuOb5NUrWcXwvSr+EPA2ejOa2reG1G3 QdiHOEGiGUIflC+vLxDqSH1m8HNVS5T0GT7VvnuTsmSSnEICA/wpVzQwVL6PoUXtSk5wltlnOucy H1n+fgFORSpihLs3vco9xkinRtPKjgt2QsIGrEQui6Nj2bK9kTnGCved6EdHRHh0jQeSCgD4GQ2P VZCUl/yu0vxV+Yz7n/4xF8Mt93dfJajLZiUetjvmCuw+GddkaXSxFmxZYyoqpYTV8Z+J9kulrJ10 EF8UuOxRoV0cXxvXy5tch+RHVgkE5ltZe3FB5cRE6vBGdEIf0xNMZugNlfqUM8XPTFrOUl1YE8tJ /Y10IG+XTcQVfLZO6BuByE8+Z0RJGavcahPc7Zqd5oaVrYhqo8Hsd0yK8NVVzyiGKpOVNT+Rr99z ImwYiqQDuu3HLCofaiZKA9DCqWEu2J+EZZ86gwkZUsk1lEt/zoP3OyN1UnHgMIbQ+lseTze1lAWo 2QY+zn/83OQZG4ro8bPo3IBflZYyJ+My9pXB3eygd3CxzvYZynU4eSbf+/JRaeICKPFxwn8L0cxV u0mRiXqKrqTvfJnnC90MHmRAvToRTzQilZA86FrjZaBgN/ul8sc+ZC402TsLqkeUg3ieTpm5O+zQ 9tmjWH9Te+TWyjvn/UnmyeHlAyx3PmLNzjiFhzettVjIP8kPppvk5NFZsMnC4BQvaQ2NS8Kbr522 oRhPoHbk7TfEicmvk3FLcXuXirk7MfPhjm4yWB0f3l86Sh2GVCTC/RqdNB+hEKeq5p0EsVBxMA47 OW3ulgq+KJFu9k35MRTOeHvoxCpGC5d6YoDLmc2KpI3vRmLk9fEaWoufl74KYwnmhPEQTNXZUTE6 vEyMkIuJsAtSD4CHFbwx0nrWgxlQZPXmAypcJrfOYpwvzoGgGsez/3afzbmdki/WrnjenfPg7WOX fhILo9AVa6dOGwGiWxdzseg0bR2xyWl8yMCWQoqTpO24Xqbf9kPhvIoWLEtq3yHrYtckQvRVsK2i p0idLaZgJRarmX2uRuF8NPk+2qb6QS4DQMo76EQjRJoVbn47sHJjefSaEOkFAEdMr4o1P7jwztT4 XgTLuO4TDhC54SMR/mb0+5RFNGBE0rlVvW2XV40+Zugg7iYjT47ScGvMHAg5z1yK2ztuRSf1FQgl 5uJ4s6CRHD5fdmY4iYYE236HgA9Nujk3BA2BTagYWoqylqXNxIfXMRXzpuJ4c28fCL7HIGOzQS79 QMx5Izcs1oTN0Jgjc/VbXTKf8j9vGQAGJW33z59j7EPz69s6agvjCWRH5629NVDQMdCfxvuZAdQQ NJG7ZbGD6PLkXHtl3XBQ2AdNpwnHXC3PqflOLYzILacYMPAcxJNixUpmFn/phETw/OT8AeCL3jhN U2xPBzgG4jB2AKu6kGeTcLjhUJLjVoW3NqhBHj0nUR79tfC49U1AA6HEHQDVEfIK5RK5LKjqE2FH TDr8c165D5eggX6qRP7lI9xUU2YrIq8V2JLjhEwKfBgqb2NLSQVxksE1fGUGeSkNN5I6CDIHMA8v NY5KX81YJHLttfcT/4oJ9+kYdsyGUnmJIqILRtpMPZnlUPUFHfn6qDUtMJoRFmwgUi2vvg74YNGy eZwN6+zIlyorWTPZbTFk2473KKK/sgVq5GLMwS6rXfQ36/+bBvwxjkkjBSxw/XF1qetcXBL2lrJv l5LWIAvy2yADO1SJw/iKeHeHwFocBl3TA5vipV72hOh7VNtuwjprpcnBieO4WPf49+LmF2eFCaDA io0HL1lUJ3YvHLJhVSo9nYMfOpWSmqD6CUAh0Hp4AB7sfwLDO/092OHLnbAmtSB23IJvAhqGgGzU qtkA/pUWTGUZ0iv/bEVhqu/CuFMrnzUniNQ8YVbzDOkeC5BqekrbxfdO6pvx5moeEBxic82aS3M9 5GCswdq6LWz7VCQXFhRo4nn1ot+gC9sGSxEZGrZoXolHzgIWxSjyDWoCvivm9rY8vEKcrxd2nE+H b8W9FjGG97KsukqfE96zDGu9Y4RwH/xmY3Z8iT0ZVZdZvH1FSM3dkRNHxpSNay7t4EL6j/B9fDIy L/x1233hXjE2X0x8c6mn2MHYXjdITho8/KIvUz1rj+lFEKeBjP29illrb0up32zRhWc55TOuzLDl LLUxoUZtWnqufYLk07HAdyhY5y3QQ//rL8yi6iXL4Rwd9tKS77HQMeqezs5loPTbyJ3ow6LxhtTZ CVpePIPR+vZnTn9ek2elmYT1lWTxUevf5lVnKWe5gWgueO4FcQmeNc4QwLq/pS1dhWr7qXaMnL1d GaDV1CGsyXWHwBwZXgTffEQ8cqGP8EByL9u8qIMWplbAYU7Nmill9VFRYtAMLrxOPOSaYFRI51QX 3sJAQn0AiomNCzMZaYUP86f2/rX+46i0tzqg46HyE3loOb+jqz3yTHHrkR0NiUVP4pNiSCC4Q80f Pa/NC1B3TSmc+FohyOVtBjtt50V2Npxj7/hNd36Hs3l8if/x9sQjLdFl39IzFX/YHbYnvm6LU4Fi 0CKgnRNd8H18qDWDylMLCMT1D6wcWNyK7Xq2TYb73gk+BD5WAQIiIomepQGrxuCOuR6JaaVyWMQN 4IPjs5i58a8VVVoBdSnnQzwbdzkcLBd9MaIc5lIMkSskArzriIOC3b2sGF8+L33oESosHb/tE1Dt PAgr5as3lMlRzzUx+UWncOT7dAtrg2mAlSu5d1QfGZGsN9l2Vlg8zOFHwaL6+x38WkODh7XNVkUD USmfxbKLDy0/XCt8DmY7B3iEln+UgwCpr9XEqX1xZeRO5Tkrr3L7yYY8dyVUJxPA3zJzIWXMdC/8 KGbWoXqQlg5oyfxPCDnsVlmMihjRUrlebeYvmF6JtQ7FXW+Q3DS6Xs66w9GUDYBXmajGtGvcNRtQ a3swdWOG3xBNuQpSdPFS6Hg8NPr+reJImAGVlAB8Z4cIKNxXsICgkOnEdXvebUltvFSqgE/jkD7O gDmyQjirw8DQM+fPuTZdbFBIub5xI9eeh7Hwtka2uonf6GnPcz97qknJny8/FW0YLiA4Ym8hn9Jm fzk1V6sRT5k0Jg1FJe96p9vxSlm0KVqP8xhA2A2YXN7x23imfp9iMLg6K5RvRHB2zPHn5J+FBgh6 jTEtkC5WBTubJcXqJpw/6uXXd31slYR9UTkLhc5vRUGJLKP0ZFOpwT3MfXA3VuVyNeg5+gMgtz/S odk4iTAwWE3tH1nlRqmtEUB+EDDe4nCxB1kMHp2gIGLCNdZGEkzLnRBprDNGin8zk0vwYQ91ACN9 6gKVbKs8AWH2qWASMWxOKrEUhRrH/EPk586oq2o/UfZgFsr6eJtNmjERNN+gW/Du5L5fiRH/wrBP UQog8e++4tgWrQ0dWozUU4J5pQMzbqDrM+W43X62TuKIRSaiWiQI0ZrKBgjkGLNuWsXUu4GRyNGM 8nzyXfTivw5KdTtLnTyw/mCSyQ8dt0adviBtTwGJE8nDQ8Sq0hQU466H3oIYUysqgDiFUTGaswzO JLTGWFBcztSVJNONk/RF8W0QJ9BXP7yjSQmTL3mx5fQbNJ0rUoBad3/x6xMDEiDdQ3P85nkO33sd Lr74P+Y/kAq0RIsA+psefAxpKUJyND6ukqSH9RkdeH9k5w3g9700KUaki9/2WiNXPYeg7+ymQJVQ tKydG24Fg2yLxDYc+jTd89tuVnptZcuIjUmgFyTozJHiyExy6cXLzUumDpB0ENYwt0AGGVgseWSN yaBZz9pGZhyompg3AYVjvKCxRKJcYOIJQ7sifaoUWy42XnYdtGNsU7z6Ck9awCkjLrnDiOOWqGqz UFjHjKk3lK8Pd8wqBEXM8KtsZGnWNuX23i0xj1qLRxuxQTVxawcvN72GbloqD/saDtNoBQxi3wg2 6CvLZ+lVRMOjmFRF+tKFKRIhDQhFQ2GYVrysoAp0Qzph00owYcsmXIEqVOHVeHcqrHBsegqOUASg GZBPADk2DYqzqfDM7AachOTmW88zLUJg7CtXaoNpRSus6A0ACBD+9mRmwrn127S0HJTy/Chr0BIQ EVMNiaBFtK2/UF0Ytfq6xcnC6UiCPZWuIefEg2YbAYnaW/7Gqwd2OXbgXQRmx7NsOwOYDEQyYHpn 4gHPoyTGUaL8g5ejG4BD6x4hl5OtPj+P5MsPaec6zn8kL3YLg+b48lxvlLSjSwtyaubSOHG2koNu /BuUiPTcGbz0FN3+fpBMTI0ZA0kvx5JkcVZ1UUG4XloenfQtjaQFeHb+lCj1Ag7JxZcUqFBEl31c HrezByaCPtmvnYGjqKkd21MgKpsf7SjsDLjUZrIa9xuLRcShbgqvg2srO4Br7tcJ0A7aW7y5jff2 e67f4n1UW9937gnos6OCbEERkm6UXJWBt6aiC5OfteTN2biJ+6CYAbtdL+tCDIErxWqKRoywDC2v A8jsSqed7X7DtYKQd7PKu/WB9+5CtuCPuddrhVRzaO0Je8sASL5MM15IGqEqvgtSyN369g9+p9cg ORHufUvrDxOlApwCi6ItdDADAwU4303M+su0ae1baouiABrcHdrf7uvVkVzjkrcE5mDJsAADBOH2 TwQku+CZ7ujwOC+DPVItvtFfu5xrzrITn5OsliwoQMvPX7F3zm9SiD/D8EY79YehxHd6RNr5wxed e8N5nsl9DcL/TwWZ6VBhSREvOzkkgIO4kNK/qIKGg0QPgxWiy+Q1ArTG9Odp46b7H4TqY5MBVR08 XvuZW0/ylnpiyIC5kK+jbtbfP6qq1WQAg1+GmYogu/HkUdzd7XYP99SUZ6Pw4u5scDzw1JdArEUj V7dzgdRJ4iTAU2bI0IEwEVDjjQVLiw9yFU+hcQ6y2lc/JYSsv1GqZj23vUei7r1hlosahWdvugXK tAqZzyAVgLHzxX4uPdeitETbd5AROmZf8AjMMiqkzCt0ZvKi1Oc+A/dXsFQsheBmXsFeFSpR/qMI wYoNaEbFvGAyufZlJrk8PWOzFk5a5I/k3CTIwIDj9fkCYxRNk/n6RL/pqoE3L2n67OdQxbT7cguv 2PxxhaqE44E+dXM9qurE35BCSLp4MA5ngdZ4P9akdaG4a3tbPkV0KQHR7+puIdu8XM03S6REYQsD fWhqEOlv/P6qZJYj4+H2/WLHrHJS+zw97wxlc8OXbozi6qfkc8iq1GxC23Gl+UEFGR5ChdDb85kw aWh/V4540qXGLp72uOJimW90A/hVjWRWeFBI5zezRYGNR+nHV4QLDyrx9DgVJt/Y+AUztprDS/Gc 1ZFQt5Plbn4GE/MYKkhYWYPsg07qJ7aGMGqiYNowP5lzDYVmhFPP6K0or4LeMTLlZwuXrIW6e7mV VzK4RWzjC1EwoYaGFAXjGzTvnT4sjSEV/UrEUq1wg/ph+UK3k9slZLY+AdHd2fQG/mzYUeSq8jV7 WHjsfupa/LQUkauHZ/T1SPUIxPmK+0HgKxjhQimmJKsxxO3OpXNY566+YnItzoAVTknTQJfjRHIU WO+hQuXlIxmCd5BV4SqgressgbB69o5v42tPM0ienCQ82KNSSFxoDc/Qybeu7e6B9gKMhxEJT9iB A44c3PbCJxla1XjTf2EVwoYvKcMKgwmXH6tpopnvpc4zNXjdhpftkyZV92RRmoFITJcYLvMKP7CL 0JESRHRlJGOgwR/orVNt9Q+I/iAOlORB8XyV1wtPNMEwJnGZsneFaPsNUPOctL+jnzFOcbgmw4nc oUXpormwb+nUG0OEWjgXCwoBDOgfWuJwb9ebRYdbtvbdjPR3LsE9nqHKkLYBNRBsIqLC5oyilN2H HZlRSelygd5n018CpEzqAUubUmrBg4JChWPUrjK+Nmy1YpUtfv/ekVZnfF0FX4Z6zEtPA/a2Xh33 HiQhCAeHFArwlfg6Y0eyClhP09xmb4KFl0GWW1kS02kCSpKe695TQxWP4RBICtZyuIF6IMg2UNgv Iqyv4L3vVyjN6deGFSKpfpbadzIEXDW/+Gww5uY/7E8s3nb20AjDa2l716syMawtjiy7wgKjEjWM yvzPXAdzScuNSc2TJl5TqwpjXykLu/oSU8EzaO7wdZXF5XmXJHTkA9kFVfDBWJc11bzeTTu9Q+Md bXA9BYvvA7JVcEQM9JHPhQZtQlF2ENNwsBb+WHAwidB3TA6VQr9Xwj9QEHqbkKE2nHZjt8V8z0ya T3SgsS/QSHClZPpZdXW2oSjNBxFZ2Tvd60/BkFnL87kS1+MO8MJuM4QK32OPhsSwkJ4v8AWXxF0v sClEZwcqXD1wbix7Io5wjbLRpJopJgTZFNi7Hxhm2wtT2GOfyBBK7p78WlCj/JO61Ty8VnIs2kYp gni3pMxFeisuNiTH+2t11EZ2WUZ+g5Smu1NVyNpLaP0PUICWCuPZZYs/9qYA+Iy4WCH/vl8rGB0i bJpXRx+rTr3rNrAZvvbxz5Gsh6yVZ6OEwLBkRg8eNwdq5CV5EoAGhKRQ9JFpBHJS2g1YIQTuw/Zl n0ifQ6Rep3u6PTDf4j2qlDuLEOATaDRrtgIAsibrc0HoRAUBWvcoXKKfKxdJSBHC4pgstRTcf01+ q3sM3fiKKtfEhCD8ogPyWlc7OYxOuJOBQMbE1ABHw0vWPPpMYhxzkde0hTL+G/4aBXuuf7Q+I/8b i8QVPtZDqV2Ubd4Z5guPbTXlKNB/wzBueg5R2p25eTvv8BYPuqtbWmfltwFLwt2w8MUtflkiEOhg Dj59I9KAtrcQ9d71y9fcgcfMtpvLzHvP6nGPuG6Umvx406PScENbGpgZ3dn+vfyCLo5Ioikz5O8h TYS/ycnE3BBsoQpD7p4/v7botSPydFCnECr6VeFzIWluE1icyStEUdOyRnMVgZNtYJGeIUqLyly8 2aNL+XgjK1HX6//TnNCAr6mPy3GXjc16l+L7JtKgkQKxC8MeoWCTIEXYttCo+VIlOQmzvw9/M964 jaqQwqMHnhWu1SEEk/tsR7++B6ASj5hNoUipXNiMwaABYQRdiiXQacfkeptV5mI0qIqygLg7Rfsz uk2kpMLpvY9KXrE57YM1qvWwKnSvmghVb0WWneePdfMmmUxLSiOc5FMpBmeC7kX5zpktPUi6MED8 Te7Mc5LJUS6YVR4QJ8PpW9Olm0v3LogG4vMcmMUlnqIV5wZp+5Mpsbch6bWvfsZcrVJYtxvTTw9k 3vm8MaT1K8NgnoMfskrjWdxoQpgUrHmFVSi7RWoBQAwKMDMalfXMFaRwB7DII5aWwaF5OUg0dZVx 9d96mK7d2wAWyuMKeSZoPXF8HEkgMs6nq2ywdzmslo0xHrsVzKZF9DWQXJiS7q4K2gX+MhIa+liO 8xl3zkI9hP4QVcpERuYfRicnYqzTxjCl5HSy3eyhIhpzxLuuPKnXUS8nLD1otIcAHBw3Q54/y5u6 QNkPUo1dPC71LCQsckyZ5+24xkwWztqOE6IWQV3uG0JoGhd6gx7MoBucYXpl427lNuBFvwYYJE5T sLgzi1Ylw2ZMYzqTvH4lfX4mdT5JGR3/7412QImzhlAxG2fNEkAcfVZWleBVlg3y9ActP1EPrfRv Bm/ABKyLtM1kv4icK+Eved3047UUOSVXvmZwhS2puAvz7beFsrB8h0+C0FoOV2CpoYAZG7ze7RfU Q/b/HHkQaa9X+px7yF/l+TxsPs6RxC8iVMBZk+nGLdVytOG/f0qVXskeqgbWmW0p7q47X/uQaIoS JorMaC/0NPGutyV8clumRh7uDbk/egObg2oRECaorF4hXRH3onyxep51Sg2Mp9faY2ncnN2a+WN9 JiFWbqODA+8+/tytKm3W9LCgPtT5OVQACVxa1jrKZ+DM6VZu6JbfvUTndzmvsKbM1DgOQj0mYTpZ MHAsX2WP4Esn0mHXHFfi1YeHtg4oqOyZ32CVCB9f9RKTTk/Zvc64p8EuHmFMFsnFnKGtFNfUzBzD 3v2QI2zu4ZTtXQozgwbgA5DzUdAqfMiC+NQuJqSz7E66+Z1oezX6bNUUQqpC7fBbebOaVe9hIXSU RabQQrW+2MJUfgpR2NNdiysKRmMUgf3rCczbT6jGhB1UpV6iTUOStsb0826qOqvJU+beSKl0vv58 cODtC6rqesBnNaa3FgG1Iq9lQ3+j9kMrTNskpKve9qQ3TSNyMbmNuGi2XkryO7jLSNi2TFi3+rmq yjDrsJ0QXDGQwmGPlLprZ9z2+22UTK0RtQwUIqf1BmjZ6WbgTGMS+WOmWjGdD2LTnSeNlJi3lb5b jfwmImv3mOQZjAxW+eIFMt47VDVTbv4nnX1SA5zyGFb4lpz8uFWIogbghgVij5pc3HmPwwlpZIcj Op0IULzn6CwOqIkEMvKixjNk2b4NJ2gs6q/TQkzBmeqR+bjdQ4kEeuo0pzftjuYZbOtx8GFgHuVm ibLgrhdeSx+NYmuv2/Oq48zNjf8PEUWBxRYzXCNROw+w1DTO1NXqQIh9YCl6RQJDpWjCQOSxv2tF BN1ddqZAtsD1dHYV7HUwgh+MrrBIih0XWkmUkdqcZ+JHr8szGsaxw3x2M8i1n2A2U2gPpM+eXz8T SvRBx3RIQ6ZMASeTIMoet37bZagwrRBZmX3ioRzGxK4FNjJe+LzAkAM+u0iT1bn4pwprvNVfKiyw iKB0B0nWAfbBv/KiJWdMimBrUwhZSVxQIE31Aynm5KB3LHzMcG5vS8F0I4aG+HtwOgR3QBZ4C7yC U5xCT6M+Ih2urcGNdwVPSOBc5dMRdb+rqKUXQOQ0q+FLyesxOza5IhYXw4RqpwBk0PinaLui0PNR 5UimojDNSvzC+zegzr5wSjW0MHv1LnK28TQADceyaZ3WGIixYmWJQvTP2wAkjnauJECxQMzqM6aT 6Hp3j//nehDvvxueQG0+WEVFDe+pH+h5oYVgXh1Pm5b0c1VAjm8UeAJ2Ye6m5K1LjIvV4cMqt1Fq 8XLWeOTFGfOL/pFYya35P7G4IavdPVuXZPPv12AmB95Hod+Dx7Gt5zkkj/g6mMLtFGo4i0+dyioF 5pMV8ZUJKjz6lJORr+WTlMKgDbgt92ijUc38nMviCqROuwan7HR7QhJVWlVcXGBDIgserMn+6y4C EjKIJsqVt2IqEAw0CFEXBulsA5tTV4fS6PlXPBjLI50a0OFnpayB46yYDYdR4v0ntc9mGgym6dM9 6HZKtSiTc6698zAQsCrSNC0E/PKgtnCcLOE4P6TQcRzSRvTVPyP12jMG+staHn4qq0NuTC9O7172 vRNqXW45+c2HMsOMeZbqZqmCndxBz0M3zLBje7l9VCQBCa7pAg2R4CPaLt9HQwEAB3O5f5b2Eidz /qQLppzMi2aOO0NQlHHxdO/CDpabm16YzA9fMfFJypq6G/hRKeQh+Wisx8wQv2ycbTge9CCDg1qk RFWG7PlxQw9Njs84pylSus5Er0ipyRf+mNmv12yraM+Ah1PgFifvY533LdJN0JCWJY3G8uxMdP/Z jEvzc0l1VcZbfrp6YdcpKMn3olTAkx/8HhEtW78vFs6mdDqMHHefsR6ClVB/GbkW+e7LyMmllA8O XY2lKWlR0XE30M3rYYoQ5FZv5lH83Uh13L1eWxKCLvUs1zCq0x4yXuBZqaSiuWouSAyo3pEK7DmT dAZo8vCa3EhDIS4QsxGlUJh8vdnHWMqjBEyBLCQUrFVCUAc/3KYyeZwOo873pqRh9Jdk+gmDk+v6 CY8aFz0W67GEq5+HvIFIALgiscn1qSmFBKvQBEtkIDgQrsTryDSIfEo/U6TZZD4/Mfd/mXU6YuRm yR1rdmKol7CSutkSqzrt37uHdryryMEy2C31QINBHa8xQ7m6q9hDDV2LlxXlcUGht2Z8xkL/vOW+ swnqRAWG0z2HIaDJ5wFJ+KQN452fVQfBlOshBzgJGuqCZLFVzRYHKlS6nLmFM+l1I4cfvCgT+6Up K54o1WZ98iHVIGMAY0GqxfY9ezq5aoRpDSHaTlSJSvaDC58Ajr+Ta4GiatAYES24L+Zk9MI4EugQ N0FtAOU2k6easJWnGmsj1Aa5upfFkpIcSrEc8D/PuyWWOvV0gR1JQUxQsLRxm9P7a73MQqbm15PC D/QvCSECe++kvpTg0KT7zo7S2e1KLrtkvtvktPnjSfUqORz1VHn86p9v+mGFihc7QTPMGAKjk2yu 3x6zzZX8YWQNS8XFEMm4sF1oQ6duDpylYz8OJz3PasAzSbbZ3mKSTC0qDAcyHyaSxFwrrzS5k3Hh R0zmvqdL/ISDvq+xhGji1gGdtZGiFKPTjwtMzJANoFN1UPuC4/ykGHuMCmaObe2hTc4eRP5Tt/iL JnphvYSziU55tuRv8DYnvA4yM9AtVO7iiUw+fg0jsOhvpDzgpJ87/SWMkfVwsn3z804a2wH9eaUO qgizTj2n6rOcUqxU9rZkgnMNBC7sAPg7l+zjt8WbnJ3n9FOBMqGRMeToOmAj9jXEJpWnvdaWqrKL cTcQ6B9MmCft5S631sCxVP5WtTXCY4p5VhtDThXV6qJVu6xxzkftLhGtRdnwzo6wgA2kYLdmomGi 3d4HhSTE5YQuE7nWeUHwGu0kt1Z7Ir0cf6knGRZAaHqC+xeLdd8fdQr3VKJDzSUeqEs6fa8NO6Ld FCaztD7qkmWadmY8YeY3fhHzRm7kB2GJx+uK974mvmh034Wi+k+etfVuQ1u3srZdrtMntjiyvYUb GC1Tid90fZlLzjNN/ro1/6506Mj60jZpUX+l0zKU9cI/KlURGgNQir/bAa8MNugAVO0APPL9W795 IbaCk1Sm1s0UG7ZUWZ+J7U/h+vFJFTbNNhvvoXZnEPuOrqhZigqqtbFAPuUNXOm8k1Cx/X8c5c0Q d1ltCGDm/B7xtnrmt4brY+S+5+bQhu35Nt899UJFK7jh9zBbUkV0Q5gsiwsYgzJYvj7+xkspnTE1 Af2d1PVyoyoiro0NZRXhDpP620vNictR36R8mQPrGJXqw72vL5LCAoFoH++ogcasC5uM95fVRdGu QcH/5lyILZJ8MOmJUpmOUSN6UaTYT4B14qp5/mYxv60soSsowm2oHNzsj/CC2Tdnqg2pElggTJ8x zUKtNtn6JBrNjfY2xqY48HvM3tbH0GIiUIZ+uSjE4pz4SLWpuJfDohEVkPkm7nFKrkSZZmoJHyFG q12BmPH6I2bqqwoV25OeYFNjzejrXsdi1BXUCyFZ94X2WSMr1IeCg33oNwXP0+TNrp6CuWJ8fvOt Ehb6DviRZxSy9t+n2n/iOQZLpIbG0rDmKSz9Oh0U3robksH7DpcFHZBRRM+DsEVi73coH2jl6AO3 CHcFRx0vAoERaKYqwbkjh/vKsqoGNlL6G+z2dJoH1vSyTJGHsgb9jsCIQstlAbhpIVhkzg7Kp3xo RQnrD0SAJZ/rEmcxG68A3mxnLMPeGGBCVdMRwu9RXwB6RHgYwyQk6C+/WUPiXGIaWeOtkO99zlnS 3sLJTIjKA640A3YV6Oke/1dA5Uaq7dSb+qUdwrN2vhWo5mcaususnen5TLxNk9K6u/mp9kF+0QtS NlQaa5uBgVVgfoeluxUwTWQ6A2SYEpUJA9gF499fIqsmaacUHBg2MsFJagAQ/+mWBBY8t0wdnaLY ljG5x/r3Qh27UTWn5ShmtqQwU+H7p9fLqhIS0BHjtwhQvLYccXBankTrFMJ/MEfq8ForCcrU1mti xqmvp8UqDZGKSll9WbUbzBaJWciO8qTwwhbHF68qaaAeQP8O//EaTe6RGbET83yTvCdXpnOaMzZa o+jzBAalGPXRIEnuqmuv/gF0+J8sg5p8eE9QytL3SO8FpMOL4cn+C0hQeM1KxHbnE67wH3O5cvkN Lc7ac+bYJcYDLNpBZxBF6XYp+CYpkptifHViG7Y8FFmHJTq1QMxCDg4RKFVF2hKk3ftapvbgKmKn KVCFMsZshBcFu9cb5RAT+7Ers69h0FwI3oIOdGPscBUjmFj6BxuyIhdtsswgLsLodhkfxSEALdOJ BH5T22e5c8Trmgqp1D4ZyVq6bsou4cDgk0Py8T1OHcczgz2UAzuZKpLTbGen5yrP5mW48Tq/dKZH bC/vQ98tIpZYc35UZyMgzPRchzkp1lGIzpxowW8YtAzXh270uBgmOLc0lpTHTT8ySzae5pFvEnIm rwgrdJw/zrHKseU7sNS4nXJC6zN4qckfIX3YU11gzVluIOieP+42gL4W4lwKqmdzQByeuno19qla +CPR0McTIBBF6n4AWoqs+O5xfztm7dLbWUmIzbfpJh/C+FSFslz2IhN0Vcfc5aLa20bDyYjuR3WS DzeiiPgeUebdfawFxrQSXJ/JitYp4sGTf3e2X7EAuJLT5kCFRan5yRc4XlnD5QAyuGh0bNYeBHJ/ /FWl3j5bLXztZZo2lgH8CPbkXo+LovShXSpo82VDRsl3jJHmtyEUm4HLPt/JM/H0SrkXdA+NoHX8 qX508s+6qaHXtF8Di8jTjH5Ph8IH4ptkRA/TGdgkZNYJo0FRsEjN0Oa9IelyPKNU4XQUDKyeroR8 cEhaoaPAjY4FltWmRSqWKONUwUQV1T1fg+vy7Qi801xDX+Xi5tD4zd0AK7qR0zupx6hMVfezTiIU uncwS4KHIditlzz7t3ZN/ma5dtWSsRN/4OBesoLlsR8VnUsHFJTWhEDbHvM1N7pohYu0nRPd9pfA ziU+/kg2HDaqgB+Y4YhEexsmgAneJf4Y3YPfUVK1Y+JLGBfMbNetaRdk/wuLr2gGTv2a7x4SOaHJ 4x1CMYltvnDZK3HNJetOCGCdSCK4MrX1QlBqu+QXzz39exVsc4jqCywpEGEmFSOUPN5/ZUXKddyI LrJGlGZMD4XYkXyCR7q+gq3ZZpvNJoI3TEmqmFjdwIMlwgYwY2BB73R2/9WWbfTwiXE2og9BMY5Q aV6RvWWWVlfZbbglS6Z9KYF+cSMcA5BrO150OmNOxcp74G2XktUlisvzTYcCAobdjkjxQf6sQ/dS HBrX2QuPXibnzySP4FEV4DK7rR1HG2UUkh2BVEd3kGVlI2C/fxYTG519BV4Vw4T7r2xb1kY0wNOh ODgKF+SjPgi/QtK/QT9eTKabO3Waj1BluVdNEwjjXGNNNQM0oH0s9zJJZIytFWok6TQP4bl5tjOK 7a7poRXfGDJvDqvy0ZEpH4RJEdw0zp9NG8nBLDIL1id6xCuXBCx1xQyCLfTNbDw/92WMqkAVULNm CZ81+5NhXEzY0b42qlCav04WgdFuZnBm2hZYqSZkQWwj9Wy3Dt+HXfrqSh0wD8smSBwA8UyxRqiB 7x8wrZwliXvsqGhNze8fjNzCcuSXzoXokPbwy9zmBbiZXMRC39aj1kB5Ck6IX0TKqUG8Ax35XTn5 /U6m9Bmvco5Wr6L2+TZpKnav1Ie92aJmZE/DJkfFW+T+EH19t/3I/Ke1x96/cCPDU7JtoFidALaL 6gdDh+9S4SCLMx7QsaB/uMofKSIeLA0Zjeary9erAJdFvi78ChgJrj39A0FzCWYrXxy5+KUpDJQl pbt3+GSh0qxNt3YuTs8BRuPtk+cxKiMODD18MTuWxKfnDffHyF/XuWn+ZNLTCz3BdLwN1w96spD5 d0ozDLr9B+tXlf00SytJuwG9GrKqSzb7Oqq6cOg/Vec1y9teZGX4laRl0Xw1R4QNjPSy3KZyUZDD 0LnxWyHXKzdmwqJ0GgHdH+9utfT3FOWvqJzAjqUyddAs4r99oONseMh+ubQrKAK5uFg5cX6iZBnj xVpSVjsy3pAGZH0jTKvyRtJUqwlBNc/6o0ZMB4dORmN8fr5i3QHIIEVAmmM9uyPSmfeCKz7/4Opq maEZBfKScNb7Et25Kpdgob14AKxAAIzV2gDg2PY1Vi5JB9LbAMs26PBAwaOuwa6qNk0+uZF5d8aF D+Tj98U4qSypi3lAek2yCsrsX4cY/yVb2fHIszqGCwpdU7EPK7JP1WFLgxEOUKvJ3ZRYoXaAut3B BwXElb/3/cdU+NsOhVETZYSzMlwL4YNtO4tUrHbEcVzCsq079nQWhi563WqRcHEUKdWE079q/2xb 0AFHGD7V3IxBSbwnNs7ykb4JYdaEzVUnQwo0KoqBV5KIzrSIvWRbaLyF04ZenRpMPDgx6+QlOOop Oa/4quwUHNyQeQEMB7zG/59bPhtW85RnSrkyPlceZUch3qjWIfRQREHng3lGqrEE3gOiDCVnfPe5 PLTpCs/tEtWICSMae0KDhmKYmmfQVLEDiU4FlSA4U3qooPZwebCr+AF/K1XHluQhBb3rfoYn56Lo tgr9DTUfFzm9kY4QgAaJ0eyR6hEqq8FoiLNiMmgA0t2ozfhRzpx+F3J1uUuJ/duZcitfikQLzYJ3 sTgbuwcTPsp/OgWx8abLLu4MrvdatUMchfDONVs9QePK4YH6Z8irdvpHAlGR0AE6ECNtBocdemvR HmA0sPet1V0khyyzX+Ragf4X+BcrJwuwngsPscQPo6nmN6MUdagWKq+95mJkY0A+j+2qS93FLNPZ XCT36GPpves05cA0HPM2ZuRIUvHsnQjR3VxNjnWVX/q6XbsGxYWGfFk8ldVgLxhEOTwcdtI5DRvA 1U5NJVEQ1gKnQpmrV2eGAk7FA2jsJ3fare3SW0fPnOvXihIX1bHCFvxGs3EEiN+PBd4mSV+gRjxH zrWTuWjcQ1ZZEJAozqormMd5bPgMo/0nOdOvbI2f/mwHwB81qP5vWZ/FELFu8pgsPS68q+DkcDQc 14Rllvm2sUa/yvfWfObt5sKDpQqh4Z4Gc4F4KRjbqOkv1w/eS48+CVAzwJeWaUNq4Q/VkLUvVi4c 7O1iND0eJ37FhJMZ8XNm5i4sHQN/5vQK7hIdfN9tZhjtHgjr2czD1/kBYH0MqcHH+aCWVacnvKv4 6Rqu3dfLy/IA8y9xb/3JW6lCTHRbT8qOo0VlO6HwP6tPoReajc+cNCq+RAEToxINfyGf8JEpNahB OvizEXIxJJwXCniIaE19ZRnO74hGmMqanqi/NGp01WU56k3GwMvzYYJBLAFHti+uDgm6Flh989C4 qYolzZ+03L5o2kb+UizsKZGPhSsCGDVFCQplvVJD+EwcLKNVBprgfT36vH6HZhp5Kv5dDAp7PhSq sSd6MhePbdRGY54vSCWv14VsMc+ozn3aiDpJNQwhTTmI/D0wKcB2bg1YwC5zJbMuQtGfv2zm7+Um E/ZzcnHpstoUy5Zpl0KMZEFFjTMk77QzaH6Glecrd717Bq3YYBL2FhKEaeWbcLv2TvDDXsh36NMU oxf9ZkDfT/GJxNFUOwWGUt0/tA7DCfRBdFXZG+7j9CaMHqp5Z6Eh58A4nAdKl+AzDCfnyZxscKLd o2dmcVsM+6asajwLwbpIX9vA4BteWzDGrOxcNLGlMiYSZHaSp5Wyabi86qIj7b8mhmWvUZbEwt3p 6kjdEta1+KtpZWqczUUrNHksVpLXLEW+EVX2TiktA9uboad8KIYq3DCA5lQFlD2qhLJmO57WDvrB v6sR3XAbh9EAgRakyfFp5LS6hoSdm/uE+Odo9ZYEZ3oyRW/i7JJO3+IXrujkJT7iuIb7XqhWqg+M Qw8MuzGveB1ynE9bMHm4fsfHI61EzcHY6hgbuFJIQ7DPPBZeZPRo3wRTlZIz+eXIA0vyZTNzytWn fc9iSBdHwh5CYoLjzqpERkxN5SoJ3M7BK1ZFWqfM2M4MoDdcyQc+TXyVUPL5 `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.hls/ANN_complete/ANN_complete/solution1/impl/vhdl/project.srcs/sources_1/ip/ANN_ap_dexp_16_full_dsp_64/axi_utils_v2_0_1/hdl/axi_utils_v2_0_vh_rfs.vhd
24
292074
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kPPWi0fMFUDHx4hSJZXOHx9nvzoK1loLAOMw35vd/HjRjmjDT7gyj1xY+mcTHSLqjBIBfjLlv26d JZ3IU+wu6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V/1TuAflQptypMp0+ukYLRB9lHps3Xc/g3Ljc0UTbNJD2zfWqP0m5rcCo11OdSytZsR/LM/hlA+f qpfiQvWX2Z+c8WgfPpsz+M/IaWoEBtRgapHt1MwYKInHrzQM0hrn5gxRHXZtkyHLj2T+Hb9pLyrw a2kv6MRZxll7qiPSaqw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kl/tMWLyXxSk89lagK7+po8vdYsYgAEPfq+ocdrJUI7Au7sNvcjovO7tFIbnjRGMwoh7Wzz8dSId N5inCGFAlFI4KTBb1WNzojq8AMO89J6JAfO5ODcxlHN2T8ros6evWjjgRCvWHLNxBypzeAtxp943 rqSbBjANDdZNBoq9eIqE0x2VojUYyXKC80kdCiYhUMNu8WA9cHlJjbBFEX2PTW3y33Tc0ug416lY k24RRNWYYTQV/Fr7QI1Xm9xpkTeLFcOH2UQDZo6OgP6x0cu1ijxa5YArePRiFX5UkfDuraWX47XS R2bW9vlQ5KrQpiXLBWPHhlTAn6Xfp/NDkvyBEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kevx/7K6us3dccFFQnXHgVz05QfzmOCAuEUoiq4XE52L98NQrfNSAp9SsPmuFVWkSc9v/6JlqV4t 2SIw3lI0g6w+BoIixpCHIgzq+jjQFFAkhVYumIY0+8Rrz2ruRBV2eYZb/OWWNdVS6hcR8HQnCN/U UZ6YHxR1cS2OmvThZ4A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zltaPVBlXfbjfbMl/HV4yzMtJfQeNKqxW3EGACjk9wB1fgYtKhp0WJ4mPob+Geycuyx9KpPBRbCm iEH0vSuX4Uoogpu6pOb4VkwG/AP1p3RaxG1ABbQb5k1BQOn2RgliXiECEyvSt5l6phjL7XJXG3l1 zHP5FjfaKK1/z/ulsMu+mb3ePv+4K78yIpp4suFxfDLGuaKBEbBnblRPAYcrWPvnqmOi6Z3yObRe mmcH169/1db2WuFMXO48rfc+h+H0NVevUSbmruo0T0fSd7KBrnaynVMHly6yrMnaiw7mmTAL/0Ni vPUgcJFMdJLCEuycZsgqHJwSaLRlBRyhDhFuPw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XLAfdHUL8txBP0pX+y9ZjLeZ/GFC2NayMSr+HXr3Bc+UFzNhilq6APzx4Wrfu4AKQvhuXh4Lcc7a ZtjuSFz1YOQuYkCjXKeBAjJKWzV4KxRmjb+e4gnqjKChLnulyWee9JMzW7EI7JxPRPIdjG7XcYB+ +r2B10gnPzr4GQGBYC1jJ1+xSla0XTFwSp5FTXvTnyQ2FIsluC/452NiYjDz7pup965E2MW/6aM8 NvBsCtMyatWrr4Jfz++RaNswWEx0xZT2z4l4H0io7F5FwqGtI9N2zeF2x2Xd9v6hMhxZr6L/OAbT HLX946gJlyaSJ1EdSzifXaoNVaAfpHjp/5GZZw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block uW9LKnbZpIqv3I0BGszDbxaic1+kKwZ9ZwnvAK21lVA4HwFNP8Pp1eOmMW4ysynrsEtMAjswQLOx 9m232eYOp6v1kZtF7EkbPfYV8/pbttKghfZ2pejF6ISDAvvM/4Lnt4AC0EYXKX79Twh8ML4+TSdV 09fs9ei1NDXbTqh3T/vSkvCCcDBZle1Yw/59b9qmxPSxV5RufZ/U3oyaklTa0So77MbtLxw2rtCG q031Lz6Ck93OMMZHkd502eaiKmiohTODqr6IapoXtAkzvvhHxXFma+Odd8OJAc33CAlwRh8qz3bJ zXX3SJZg5po8QnDo15c1mUXyh1euIcMvDN8kjnZZY4IMY+mhZvI9OiQDcg0rQ118FOUPhtGqJafa BJwbf6tOfe8XGc7SDU5aAS7uTL17qh4Km8BkkUyYmxnfNfj5748VprvPdZD8WSa//ffe3PsB8Zy7 Qb1dFP+e2YJzETwXSNgCA7FnmakysgxV+4P6g7qMJRNEp5ejBmkkavZRBNWbMXMYn+vky5j5ghRc j2jo+Jk00KupB7q4dgatI2RimsGfWG3CazSIANphl0U+qgCxeBHhh1d0PZho+jQS6vkzjJxq433L AYOPnP2CRNj3K0DKzrjkSaUDEBO0j4RQzbk19IBaOibPMfY529eG7LY/UtC3gBbx7q9Vbkf/lxmw Peoc1B8P4JA/KtjDmKkz/4a7ry93ilB4zLlxKqH1W2NdbPozKP1D1uxEULu7Sa2GIXbTUb20eVD8 6myTCp2733XU1TTJ9yM+3xn/iTxD8rg95hKpx2ZTC+hKPXzIihXY33FP/M+Q800ioMDjQA10rZDF VOZJKN4qbKofifuW8aKsgh9g1SN8lzJuwEls9W4BIHD+TPqaC72yFtHZSTLjfRdPBcU4rrBIaPqA cIeuk4BVTHbMbJFSGxyKqsnMsK7oTuGXiMH4yJg2XWJ9manS+4HT4/yMnMNa6zWAA6R3WzTWA9hL PFuHggSyIgpbnVpqfPJWySOxDYTblc7K+UIhhR4qpkNiBar0Y9ZHrQDTnNbiUgS3w8J2BfA/KQxK MNfi5fTXeyzrEbyjc8vhcrgt+wiLpD7wDmtRug0IDe+uyepSZRo34i0f14a6/1qKii/x2q6VZy+C x/I+O0fTEQydrPqW36J4QY1n1rHRRrJuFWAMsq22Ac9exzqSf487NXcfkoIeVGPONFEucENqTLW3 yNoVDzD+c5GJ75EREIZc4OwcpPgxCbVTvfPDpIuACX3n9fo+S+8kudoN6GTbNblx4vy/9gZYzA9m 1ISys6uxEj11zxThHiFDrFTe97QCsX5kqnfxvGyp/3BWCmyenrffo8I/8UN8oQIDa13YAd9U2aIg oF9h1tYh92PUf1W1AfHXvYnkPSCmlfwhs55Y1wq2HnGOv2bN/4D9B8Sangxs7nTZLOpcNNXuskGo Jz5NEoE5wPWal1q1LYzfSzTe7lQAWNJPyxPiFIQXrUFKEdNKZc9OWigp4iPLoZLO6khz33J368ao zXNBN1/iiJRLPhjnv0KqJto/ABttNQ+UeqDcpPI4zI4C+ChwCVNRSmJPBpFyrI7784h5W91ZzN3l tc6ZnBFfmGckL9MsJljAl/ebr/xyGUmWhippb3N8+uPojrtrHCCTiQwDGGeIKSS2DO5R2WvlIadx TQ/S0ct36LnlJe55mXaNHNX2/n0iULyK690Fd/YE3J81p8CC0fuY6x+uWEuV66bmo7k9KeLvsRlH GwUGLjmya7SpP8NAzzsL3yK0yJNJS87oNgUz8r9w6VEmoPH/YsAvVIXnQQIPJlFNNCW3ccaOmIRt TrxrzjSd2nzw0dCccdEoanHECkrdyYf9YFJUhUQDKpJqdq98lzSewy/9d4gPl5J2HwXF/38MDigr YDQMEfQK5QQKTuxPHhNz68M+QgQ6j/EvcCU0fPXhtVmvsylhdh4PwM1axTR1ZoPEywDqizf4KZUs RAi6zhvZrk3skdOEfrNPZRnqyeiXn2G10iGRbpzEA5VdsolEpu+h9JrtFbAjNmUh18rOXVYr5QdJ k9v58aC/zpVt+uOdvQWMlmSsZhPHpf5oFytuiwTEYOPoH5Y5DxIXlauadHF49A+og+pmJm0OTTN+ Xl6Ycjbfm5F5fBUsLz/g95ACmOopPLyoo8c1Mm5Ageizgk/3i2R12FlIfmVX+a/a+Exl/LCIJT06 WOEMAzyYP9KoKqfGJpQJvuWPxILVZo+ysJr5QzfilsKsohL2aEiD8cJdoXJyHKmCR3XgEvG4pRof VYKr245SkjSZKn6KxQj01Ag4BNa2W81PTxzp3Vk3NsfPHxX2lpiNl86oQE4nwO6tyGtmAUx9l1S6 JEXgaeGieMz+v0MjhVR7mwhDEs3DQtk7hMZHXXscSz1AX+MCCxcfZXQecdEtXfMpu+uqXoFzj8zi QhDV6WomyoYLe4g0EJjwkVU8zpE5hyGr4kjSsnNeZvubYg4QrFaaOIWyq/Fg178VyNR1I7Hezin+ omgTgsxWGHj+sAvsVMTKjHmBY4nVne5DAFN/wHTb1ZOPWDr8OXOOmXvJTDdmft504pLuTn8zeea7 EiaOQqv2W8qtY9pKB+cTfEHO7bojgK6BnjsC1rKKYBz28EKkluuNd1M0CoOrtD5EUmtrh2/oyDn8 W3pjm0v9SN3Idr/egtL/7PYTaNVnNaA89WIxlymslhIUo16Ar7+sXFkCVy0vCy5LxknsRCxEYzlf YuxkZAAHm8mP8m6koOccECXj3GEHfxAOHZF9uiINSXL9O2zy2G5CnF8nwKStlbLaVQgljQfhjfno IQ7JuVwoRe6MWJWMJTbvoebvPJc2hBTgoLZkXxRuOgmROdfM73tGfUKB5ou8t8HvJ9PxyoW5nRfR 8PqhLkI5JmWjp/YBD3NGz94sCqtl9n6qw+BKPGRQO1qeqI8lgShOo2o5LDNIfG29VKkpv0VThmlr JI7Edphi9P248MjMzTvYoTh6AsGbJRVMOoUw2O2xNqLC92VKqYvylsLJQQyRYnme53SdTHZNxNkl 1xquTEsqCJTRpzOJ4/nFeZi1wF+jRRJLr0i+uBLXhrcy0NBZ35H0PfzfACpQ/elcz/vngr5WxTcx qvV9m0Hw55VttBq1yjawzdpUO6e2+siLvlOpiwbSnoX6Fjr99YJCX69GqBbHodcrAxwJVdlt2UjW gBKsR4yZPL0sRN5/2HUf71tZiA3LwC3QlOfHkzvfi5B1+RekwzMp80CKK71mdTPAqA9WfYN3Dhvg DnHdtzSLo7rT/9RWwmGkjBsrfyDiRpBjAikbwo/eVt3W7yvq+CP0NiWjwALZbb2wLu+JmHqzCnkC j7tsH4x3JwlVcVgpev406Ka/QGZ4dS4ludkpdZat6sCPdkpPXA7ZgKsYPhVAt34soqeajVqI3Ptc 7WXRr3cAC55akQmWaNJsfRthNEkh5HJpdmQFpE998vFskXcf4MkaF4vDHnPaNXpz2Lad6199wzwb ZqsIgXUpNYLuT0p69H8SV7jiAEOw6yfJt/PD2+stk+Fyfl65Zl0Jb0vzPk7Cmmbt4AIjE3rxktF7 QPiRFpZGFnC24mpyLThv7Rv+d0weJgwOIv4luVljWXzk6saTr6p+EprqFnr3T8mB8wt8936lTiNx w899oVPnHYvO6V6jjIFSSPPnUf4X4GaDNpCQRnhMR9LYpP9DB0mQtX70v+zY5wtdNXfrkEEc+ohf uG3/ZiTkHNx+ECFDGa5q/kqOLDFp5t/WhGwUodgkNZDFq7UXfv0OodAh1wFnkKN7EwjssUYlYV1U loeoy5Bedz6R4T8QDUP6vYNQVqUZUAV6EbUKl0u6JcXlVZKCGb0DxDnTmI9TmeNpfMy/EerumebO a0p91PF2T5XDRgjYLvpcToaGkeKOo2tDzzSVX2nVTqqAT4L1UfQrFCsqB+miTP+zXmJXA+LrDb7V MRiildWoKQgo40YQVkqdRxsPgstoTHuWLalt36zlR9lEOZS0iHgvQndFeDvB92iE6UWKJsl1g/vF vay1QQ7vC0T5+3QKk5Ln+AeHD7abeuHMkqAxf3Dq3cCupQYAcZF6fFGTMwldp9i4f5vDY6rYF/UC KtsnrrkJg3Ltvzt3z051+VrOJETnZ/plXVooABJeEl4xu/zd4nVfsNidqQHhEGawBD0BHBFTOk0Y QXrrpLdMkfrqMspYPl9pHlQVf0JzkP2L7AU9ziFyhWeTlVFnt+FF3g0E9lKQ7hMngXI4LCaxQmkl zeLjidXp6zzLm39lrsjwlqBr6KIW0kb/jejf+800xTHbcGbG5kkXYmIE6SL/FKJ2Q7Fx1RQYjLNA 12HEIti57iYvTwB+gnKKpXhFbTrTi1fffFj+eXXBGpfP+xZaIr6EW/QqWBXhCV9RmA3+GbRpfDH6 zPGFz1LRFM5ERIi3NiURqs2h4lkQOF+c6f7BXCH06UUw8wO3iZ0FbDE3RFl+Hz0YA1/u1Ckgnnd9 wi0xCe28UMm9NGy2aLPnuAgCQ6KrZslFPA08iHoZatfA6I1+uW8QBc/iyVbMrQniD2SHk+MGyDml 7r+m1DAej4oEDSYiZqW68VfbKeGg8NOFQIqm/lbmU/md/mXuFttx1PcKEFA944Ws4TMyBPso3HMd YqWb3EpBUv13x91xANoJB6S4dRKm6B9ePrdEbZX7EEzueFYjpWF1/jCKO5Ti/kq8t2VpLTfj0U9+ SpP05WiJvOdDCSs7w+tGEyXcEJ1WJzITOqFdwirdi/aZpMt3xpRGejBYaYbtKe2Rm+jTMVIwttoj o/OA96imm4M30hDhFHtKiV+zhBEZZrj9ByCw4FQY4N2ewelQFjYjmF/Z60/aX7zzG+H40BDayH4v YFbFDzpxZZm/zTxxHjvGM/BuZHJBTORj6/k6wpiOMXU0r0dKaeciqPmpEdFX6vP1PGlM+ET6jHM/ 1DGKBsXMfcjwnl4/Iu4g1cY4qv/bC3CJeEHUq+VC4hrD7DF4UIbIuQimgYu2JFv0gHEMw74iAOn+ IR000RotzEepOLOEoWwwrw+yptxs2v+OVQhS/JDBfaqKM0uzPDodbPTYDIwvOTidXtngZVAfO/Rx K+BYSzDjkYQxiGxrVagUvtslD51poeKou6GZRIJJXZyNFMy06KzPzyxmFjG1lH09rbJ0TD4HqrTN etjbMacMOWUXvj0x6tl3UPl1PAvHldAFXnrOSPq6icpncVUAW1DY5w143Bek8hBzJdcUjzaeMQjI 0Him1kkgk+IczKF0UnwrNlVfW1ClYkxiv2f/cqPvI1VUFH0orI/cyqrFEyfAMTd6cUEFbvCcDpqm tCJ+imG/grmJX8DWnkAPUgSsQyg+G1CxuXRhf2VPfF1oexcn0W9kf9aB+XBMQhs7NBq7bPg4bGn/ BPQ38T+9fgLyMjVrnbK/6nVu5NRHOM4mCra+YSi5fFgGJYDRyUx9uHCHt8ng4DwvfpLWW7xaRzqV NFQAL4pr57bG67mULf6mEu0EKNhbYENzWju6A0sS2fqcoLEHs7Yqpn3tHTSTvqbaUExEUIoxHU1Z wLOvKr7DcVr8ZvA4J8hz5mkBfEEjQmTrS17lJu5HRNcE89jpyF12RObmecGNW5Rggd38rI20TTpW tYsCcm2+VHUv30ypz0ZbF2NYQBOeVa72+pZIZNU1/MP0EwywCBDOAcZmoduSiXCa+9k9r8kqLNjJ KCxFjZbYGw4cj65248BhQIJLX5+DkKQ+VmWsE2L4g21H7y8w1XsNvQd21CVsyMYa+p6uh7w+usg0 M8844+e/FTLntjRfErTku4Fy/AFy+lzDCn9w6JECzqjL7xeBEGLxyXSPZJs58ueZQqjs6zRbs0Ro HY9WeMvUnzC6V4qWOyEJ/i5W6w3xbwPdE1T1eeMjtzMFz32cVG7djpU+KywsoLvRqfHa8W2q1wjp l1JqCGdpXAcJoTmsVGF/N5umiURRfepFFCww/HMVoHfzCD2Aqd7yU470L+67lh84scgH6uiq91Rn cDch+JL9TsPuCJM7bIEGvUVTNctK/bqYkNcR4xohhyWke8d1uo75jOwCNxjB6QOZ1R6+kyR6qk9k LoJiy3pV0AOHRBNbHeA0AQHt50WT0wnJQpjpnycJYtNr6w2dWx0RW3Rvk1Xg3rGPrnz9HjoGQny3 qfrYEbO3bLOYjtS/8fCKJLkoKbEmKKWkB2YJhKh252ASdNpcLsFj6vJOg8owSBqHUdpHyvtxNq4P BAycWzQWK6DJDlmNuqv0koT7oMWVEs3R7G4/vSQHWnpGSAVTMl9R8FMfZtUPC8UEMyljZpZcdUWp meHxYus6nhr7GyA6Oo1gCedo8iBwYV8vwRzlfzMoieyhDft46CQudv7dNP0Y6qXYs/SBWBqkhJx/ 1iusmqN4ehl9HtkWLEnRYtBjGiSJ5abkHW7Nvwilz5bU5FUtCHgt+qI9m0eLsyokrw6heY8JHwDi ai9+yxfGlrQ0KkHxaHriNUTiJIliYNy2p/KYZ+mO9jASX4pA5AV3q1XVG8o6834tTLh2qFyRY39b ysrC0EcqPECbd2stsVZ3LSTNB3ow4i5GBkpWU/lVxLp6uOkk5gySSzSQOsVUwAM4wT1jQv/jR091 qqFhIbXYvJUUGPan3EDMYQaGMo6jF0NS3s+laXwqkNX3gV4GecqS0LYL5AA6o7BigF3e6x8W/Zm5 NBBihumdbNu1LrEWtg2KBIBu3+YnaOLNZGomBWmTsajR9JRM19vJIZT4xeN+qlOWNtqwr3Qgk81G 8LGkiHm5y+SvXJRu7E6OrtnPNqAMBhsTLLdEMqA1GFAKQCcVIavamcJOIQN1rk+IbpjQcrmB8X1D SD+qtsrVZyXQD0mvzi7hUzNgMMa5G+MMfeKE4D+xkg50aoHu9Z2XPU9ikYg2Dd5a05Y/ukVFszAo hblFXi8LCAR+hB4LjAfYFuIp30tY89BMu/rIjnpXAEPGgcyA2SSetnIhi5L0oo71Wxu7NsXarYQi uFPFKhfBCcwMnp8M350pUkVK0fsHAt8DI/Jhe08gonv/uM4hXlJIp9+kV+sVYdOi3oapopMKwdPf XNcHNV3QrOW20dFYjuK1IQEb8706Wj7lRurhZuGHVNHVR/wBPTeKeDa6Zx7zad8x9eVLi6Eijyp6 X6UbCrYkYpCM0t8YaycBgSrjq0+OBB/D/ItJtdl6uTOwL8Mzg0Wt+cMviGFXQ63wcRhLe8uHf8Mo vU3zyWg4bnHKvyEoA1AMklmGs+fPcFp+3cxNpD6DpafCWaeEqIymetKnsno17V5ymo0ACWjr0V5r opF7sAqep/o3YV9qfhu7qENXmNFQ4qfEc3Hmds1xVNw1fojeG2SfRz4L59FUnPJlCIWG9QJEgUj3 wZBRfGoZ9d2aHfSPGV8gDfduWT2E0W7nGmwYt2Yi0ibYRTrwbfCdJh3sRb1CMdDR3dChO+3kYGyE 3j3JDuOavMP3fVZSSY/KlGTcsuoxnxW9zpRqxNiG5LIvi8Od8llvYqGI+EgMAFmTp9NSXjQXHyAj zeEEen1xxCuTjNGm+aruNIW2R/cRBgfqcCV6csnI3T5V9TlQNiPatMHZu+LdHL88Gll5ADNq1IpL kpOijH3puTVVjqSnXVnue93vHCImq1j72mwSlb/Fgbly9cazID8KaJdu1tfENKIrFuJ0QyoVnNns F7/tcKv/6dgPHznPSAQTNdrmjGq0UmsyPFcQnsg4snjljP8yK6aq3ahqY4+SwTMILYq/YqoqfLOo DXHiRninid6f2+Kx1olf7sz5WK92QaxCcR+dUEy4Ai9BXthfhSjEwTKXCIjyLrMvUyrKqgE+kSpN FaBW11AkaTFsRgbzqHz+CEWPEi2foNDu0t8ojuyfVkOfbqcUKmdUF2fhu/xomN4K1NloAdXbU6NL D1oe0Gs8EoxVRX4iR1XvFUn7oNVagk1ZwpnuTn2Pb12il2VX2GnqOme16q9pXsAGjvy/dWtvZmYu ZDoSVqyqdhxZWmhs7yHRGq7kiP9jDra6+KQuIQop4FmdeEqpdQOqrE5Wx6It+DZFP6JT8VgXaiDZ fTDTzagQEzQ40R1Ud5/4u45dy8Ozch7Iqc0ROdNicRn1MjPS2gLljQ/KiwnZZ1HAo35UyYXNQoAB gPSQcbk4WW1p+V5QiPZ+PFvwW6DmBAPX9r2JiCqYkNhwXUkj0rTRDJSPXC2Iwux4MFJ4Wy4Rg7lv pn3xMIEhPnvttp2tMzFj3HUYGswae1k4ElILjYLuZCcxOqCcffyhpO6vm1hsBNNkHbQJZu1eH3JW vXAwZF84da13ElDzwuuFl/fMjKlNSUSy5CNoRI5+Y62RbCbrVpYFs5p/3LJxFHa2hgb5A13iuhoS IV69vg8Fax6hDEtYdh4fxuHjVvtOLXrD1xUB1ll6Q3zchfO7rMzOLHRSfHhH4dq8fEzu5Sdj/lv6 V7qi9KemlOWSzfp+FZGG+FF67weXne8VXYAs5z82spapNzBmX3sbVPGY2qAPWVfsQyVIK7NgnsPZ sgy/X2Tpl8KJLkRl8arAqx80j/W/WmA87h8szBQGpoDVNqpAJiem3iUW3sk+Z/w3dxUUgvHq/uyz uZsQvTMoTYPyWGjvnHC+dRkIhc4HUaHN5mJtagvwCmdadp0FMLNHqWb9phNqTT1nAL1bfZufGCH+ a0M+wr//0W363B0wuEdUd13SBXGrttaMPeqm2baJWuJnnJFdR5nTgd2WEpEiWtZ6K8oWvl8+LGYT 5II13Ul7HpCyUYx+IBsa6zZP7ADssvy3QkOFYWJq91ji2Zca3RYou6UE0T7aZfAyCXyY/yo5TKY1 EdI5QmuC1EqsaRbvQodTotlvdH6f7XVWImLOpQJDw/7Z/R2M3atuzg7dMzH3XVuUs6uLNpHeip+0 IBxN2hN7koSI2r2Sfh3uLdorQdPT2lRMLg2Vybj53+QU1CfjojBpz39YM5VC6H+ZFBe3M85AewTi kYFwyZgcJzuoKjCnqVFUYJvzvN/iE510k8yl364XCnFuM/jYrDtjFqQaXq4SviV6xY7d6V/YPymR KSLQZldsQgToKNu2eM9IlXQTy5PDMg1XwnEhSnp3ZeBuwaZPp8JIWpSh4byw6+pXPjMBoAq8DHIp EfKf/9kpONCQbQ+gdxNAPo0+iH273+TTtUHFySP3fvW4ox2q8CAKufwE1OAJAu5eD2zjoJmAsBvz JiCm6Ue52s7C5wrCLzBqoqO9h4tFQr1jZTYk+YHB8WkvnBLEf6nxGXc+RLQQn+eD0EuY++gBrcIT bTpni52IStbESmXzzDc3dKvz4cPxUSAKJ6wppR4NJrt9xzfu+uSbTclrCM2J+vKcAl9qak7dqbiF So09Ea1+9rAPtOaPwqg1Roi04Lsh4ysOIeua/tM9LsPZnB4baid3gNctNuw90Yhuwe9ENXJG3wa9 qBXclpMBRVasSGBtxI6qamu8/Hla04s9wAqevBtcHDCD+v8n/sAqj0y4QKYTz+M6kly3cgHUDorU sWxbvq3wOqBNpmkxQP3Kw5/gMqghOpYWM5v+U5NNBBUq6RfiXeHWzVNaurb+aPyj6AqC6s6+UCsM kGiqMwI+vgND+73o8xthmSOE4O/5FTx4fimqrPuGzo43PoJ+FIEmMxzg5lwqalEZTOgBmK1+vB0u 7EzBa3c8Ynuq2L/J+3Tnezty+cVCy2cAib3dE1DoDF+Q5YjwaxcuBsQq0Gvkio07p2e+MV8qZHDi Re5ElekZN5t/ffLcSRf6TWtuU9HXpsJJ+6eVfUETnD7QWmr08BfKTO6UjZt4++Fd59/7TohmGaZp L6/hCB/OH7Equ5tmCxi0EgnCbGO6SOcHm060aqw1e8cFty/wxhZ4CitDwEwIMMahhInGxW8SKRmt 6X2oBfxNK+lkYGIypPlcZdI/Qektjiselq3L40cLY6mm9UNErCnmdLFxrQMiu+RyjUw+6F0pgmTq KfpXwrkejQyh/QI9pgTgBdS/iavjU5Ro9uT7Q9CVqflvLxze4FMBgWKG5Kh0A4IHUcUAKyq6xxHy ZOWjIF27KjERIR9/iQtHtNlIHPHcdkYXsluGtUGPOWR8Ctu4LSaQ5Xeh/JNF3juqQX8Y2bKTnwKC 7eVm2NnWAQcRCbhbX5wjIN6RHh8r5QzzmAi4JgSvqumb/ts4MKZRXjLKr13CHqXTvv/fDEdLxo3L 3Lrf1r4Rnn0U88vUsmPKS2ETFlp15uQfaFppO0CuzBQR4nxpY2BTcPu+nEQVh6NkMqPNHAU1sAV7 2oj6yzW5tdfG2MeMaj2eOliDMcfBOpyD2bTfM2X99h5mQMxXX4OvUuT84pyRwRzwnu/Zoee9fS1L 9pJdJepI6gI8Rg+3iAhYw2lgz7Y/LYCwg1bKsSCyGe06nTqFIoXZ6RiXd2EfrgoPIVJEaB8lJvDd TheVl2cOoqhN80jXxGyY2m7n9IUeGtsYQALlVxD+l+wa/ddi13z/vqRW4ollKSotF1aQN1NktNYQ aXdRNZrbYWuSWlW08Zead2WpkvMN4zkFxvpgmTcyGZOh8uK7fqe8PKLeD2wqysZHWPxnLW0BYNde oKXLGf148bPooYnVXo6XNwt0Dvuo7nA4V3juE7zVD89L5UPjN7XRIXI8QowEBavGX5Pacx96xPGl M0brxHFHQ4y57DRFDWsM9+z1RwC/B70gQvO0cjZuwNNVAE0Z7KkDmFm90PwBtz10l1h/hd+8rMQZ LkSQMIpWc+Ftf8xvCs4z/bDaFtCMeY2/YwRt86pAY+8a5gkTlLL5U4jCXhbYnZg3dkJCq1D4VLBy +kzdbmXHjbr1gF01CxkW9vRwTm2WtC5SCaysasblWFxbGm0pyU067GEuYghQtUn64ah+9640UogA we11HzC89ARlgGLOJJ0fQPdNwffeitU3F7D5Ph/bD+ZdBVhS1DSVQg/SCHi95LQIXKoIC//Q4F1N Ye1xxWY2JZroj83riNr5hDGo0MOafYvgcZfILNQXwGBLy1InkkIkNOGzJHnfI9yZ0LO1VfigN65d QS8T9VmOR0K2Klhw3RbBDPbDrDCPaxn4nSJxfRyw9+f8TsLOzXTL9AX3ReH91FYarSa5fVHg8gRo 39ke7wDfGNus88pQEK3cqVwjFdI0GuVLHxm9TDl66qu3ZsvltuLj0UtLvpcu9QstC2ncRNnnfO60 lh5qFJM9DP23z3dyRyLdlsEmvg7xYTRvFVb4epewwSQPhxzpGBq8G5GeoQnLoWkT/FmTGMucZBoV ZR+bx9NE3JGvi1TmVZBNGHpK5i9QrTJOwJWjpLR+OSIAFNFmFC8TSlnB/ufsfC4ZtgA0i2xursEg lS75XpEhwxBTIgNKzDEYzSF2Nrb+1E0Am716lAcX04NF48iATvlPIW4iptspVlDJwrS/dByI3mBL 108ZhshgUzzZpKy75QvATu6g84omhBB930wDi9YDAS4IIF3nzAWaMT7uvXyMnDr/zXzCnHFzsjzw tP/YmCnOZfB1QkCsPSOQ7tInPrH702ZLTi10x5QR0zF7mDeMgF93d5qu32IAxvFqn22vbnZBXbma +KqVCJXWcnkM2RgQKzE5tYUMdZaHS7J27Rkzznjo12UhkkRPSfm3MOA5DOiVYHQ8hs0e+rS0QeaE Mf3i6f8g8Hj4hKMq/jWQLWLSc1AR7dk2CguT+j+UVIp7EfctAuplbbcJ5bbtTIaHdlQB6b901s2o 9KAww7X2G+SQ6+73iF7G3nVBunr1jFDeIy+ekp/EQ9KXCRId64BgU8HBxosVNhnLtgleohIZPRCo 7vNC9hT04N7iS9j/7HvB1HfQ9xRC1Z9ctoI3NGAvv0Fpt1pV8V/RRKklMosdw0muKDBAoW+dVw9g RvXtaZzxpTwqQ8mAMSjcyrqlUVgN8Es0mjJrxVPDF1zolINhIDYPUNRRuL1+ofTBu3ZUMGBagcRt Li/e4yFEeV6IHwBskSLLipB8fRPReVFjJkbo56DYqgbkANwh7ULWFwmVVfjd3B3qOulxi12p4ULr O72k48jwz9xTFkxfU2JHFlgAyQ/ny+9fZiZmYBNgz3Dd2dPkVJ/2sySwjYJZUuiQ8sOjgMmtM8sD cBZmEGKVUSnIiuHy4fXGGQlxKQwneUk+SYmUZprC/5dX0Q51BAjfJWL5I3t+vLr49F+Cp8zDF2mU 2xLwXr1ZUZKk4uCw88Bw6HzcPFveWS3wUpQbIOittfd/FH1nL4pZBrJRmcE4uajhiQacUnBTRmFe hKC2WjxUR4TIrqJIQXeCbnInq35nyb9Dovt1mlX6eVB27OyYRwEyxqWP3dFJq0e/WWLCuehZHGzc f/VgHMmoxvxpSJPiyo6KhKFtIvGa5oiB9ba+gbKFZd2w/SruRaD3lQxKFk3WWsWxLAyPBfP8gnvp Qr8BpRRa1OFwOHQ7SkUW6EtbMuXCLcw8X0OjgHu4vT0jYXClTZyZfIe2GZQXT+Ek0Knn7R01EveP pidae/bP0Hr/iWeq5uSxoaei7/brEupsYcdeUC6cM8ZOXbBqnTunRaBCy9/3JHVUJjIM/YuVOeVz 4iqpDtDJfccggk+gnvgFifwUmdbN8wfHCP0A3K9PKdUVz8X0j+onPAxG5537VYfoAjOkfLWQsre2 GaZS94evNhIBQ4bcuKGawKjPSSq8CiNVn23nwH7TX+1hfhGA7Wu/H9Bw7SrDfXFQ7X7sPbHOO3Ra WpngPCtbNjuIBNCRo+b/2mcsp7umxcF6PRwI9GOiyHm8WsBJkHOOw1Pk/yhQSUOHm4Yy5hTPKjfk pzd4NYQbOmb70U/ln0cYHdp5XhcJ9NMoGFEFTavuGIhXOXKLJyjpKR0ub+F9gRWfQitdSgzj8QPG IfCAbL4SCiWrYFSz+OLHMTXO7gb/WqWKFp6DeBNPmkyHnHN4EGDmvxR3kly2VBiVLMdB8iSTpaik tD2iiLHxTvvvJhajBBu7BQKrJiemAjovyb4qzbIEzl2ZI9EfIZHWFVpod/J1orS47SejoypVQF3i qnxuC4OwFt3LjXbxHO8zyWm9KCHuA8sJHINtpTGvgrxIRmKjZPCoVhUNlFhhb8vcLntkuKd53lV+ n/J+jGbgt28scYRYmMu2khB+tV2R6XTzLYwMw5q0lUQKbzCCPpoZkWt9wHB/iXAaLp8nOV5/AWfs 4l6ySkdxQC8YZF9eO28KusJFozU8f3sDoAdJrj1jxgzl0VizcGKIiTbfW3H76O+/d10fXT4zBL8F HbXCC8Ugrtm25Gjv5YbBki5TLD1fw7d6Cpxj5c1HTUqtvCsMZVeVKXTM6b6xO7JzXyP/XXAYcgXq ZG2KrRFtSFjZH3hUV8NEgnHegO5heSNQAKV0NNk1K7Zjnlf+P6oJp2cQwwppqxuLcRiDG+7bN4C9 ZK4gG64FwrJ6mIqnIvx1vZdiKs5Gjc5EFxFwoHdBL2XcH/wtfEJmkSJwBrDw9S6AvAkIns0P1MfL iQkJ2JkQvjDX8d0zKlPms8NEWOs8r/71zORkcXdJHBh1qjrGPj1xQHICqaSN/836oWRBuk6rSMZG 0GXAc15dCmMJS6wFuXf+4SVNv1knK3VE3LFHLe4Sp54EtlRaH6IFMPmcvdJNrOFj18mdsatULgu1 4o5WPIX6A6oC9EM5zjGKc3dqrI7DdX/BCOpJiOjglH8Z8bGeieA0uRsrrN5zOJPF0k1TVL3VR0kd Dhrvrd5+TBPaibnqBX+zF5eKe/Rj2KJywnK58HNzmdGFNGQhcx8XS9F1DNtnpgSobRbUQOM3TXro DkpY+peeaxEiBbcA+h5yPLNq+3lwaBpYI1eqnekABY48F6I0nGoTwuwUb/zRirYdfcCXEZGRblWM qj6ncdFHbuQoptnAhqto0HUITSfhb4C9riFF4hs/ELH1lp2NYwThn0q+nBxS0ycTbtplH+WaKwmF TqAaAKlLg1xPqOAFoJ2DwRaaU3OJP4UdYHHlr4l1SSGQo7VleRFyvs1uBG42J2x0pOqn1fau2nhP yxROMLAYxHIvLJ8tB34nlvSRNrN24YG8OyKLAVlzVuvqQWMD6NudIgkLpIqsavjaB/w0KKaS5v/l 1hlyfXhRpIeFXXJQwqIi8xNkG7GcAFPbgp8nhoGNsoAbhlq1SqiXVDsGju5Jvd6lXpEIpuXCroPE TIf3qNLtRxssViDHZUkcUrislrzlMmE/US8YodHDx3Mghlj0Ux3fXjj2x4xDj5wFuxqA8TWA1pa7 dRQhHVj/wCKnFGBOYO0DMvAAm6Yjm6DLdfujk5cOqmv9otxef/cbnm8aSI93sp1QcbPHD08Eva1Y SoW7eXE1tcPsUZFO8i6gvxmeEktPvIrOL/wgCijnZ0dOpHAVMcHhFEudp1hdtJyEN3fcytYYixgV zI21fWrQ7ntDKqQbyMBeg7mfyw/Ufa0Vv3MdYBq617Ps5rbtjQ8e1axvajpw+lT3I5M2BQHVjGNz YMLQuYhnbWIc8QC1nwu1lgAXuILzXNc6N5iPKXxM9/eGd/dvXrolryhbH5MC9WpNX8iUGqy5+AWi deMagdL3inGXT4CDZjVmvJjyk7Tn1pq2UAf4r6xIm3qyNqxfZB7DBVl27ItBc5gAGH1S4b5WcWRn WxJNESe7yu7Lx5nRNqlB3EqoVZCxEb0FxhO/xbWUXLyWXlV/25Fm8phBowdxbxfbA8QeSbdZsFGo MDIs6KJVDOva+yctWo7iZ0/uNW1xIWBP2h5PYgdY6PH5XNzdDSXb877zgpHd+J5S6OQ15S3bjNn3 6bsZftYynhVPdAs0SR3x4FO6QZQy/z9e4d03F5jtyzokiz3mDtc5ye43VuUSAkjvZACVY4Qnh5ZT SrHBfSTHlgynp9BCTpGgn56f1JpUkXxELKzAcMWpIsqIEF/huauDWA7hUvqM9EdhzPUfmlzvbMxg oJmdXMIGzzErOGuubkSF4auDwrTlaxHncIMvBp0rxLjG6A9wVKhulFr75N7G10OYdtP6sz9VzTMB VwCS+3/fQrnMrJ/2ASnJzdFWE7wRdjMtpXG0u556ENntCSEkvtoxKqRi4CfDPkScK0neztW2p0TO W3Yq7OKJZWdNITnyKYVFRupnx5WqBo7YUc3/8ImJZV0RYCxI0c25DOfk2+hj7kKlp+dpjxAX+13N 39M24LCxFV5qzvJX0YZqMP7rw/tvVu/HYkkhx4edidTYwm1G+xDCDggkfoudWs+GUCjFKPpJi4Ca 70vnWWcpNxHq0XKAUHeeMBtizBOMv9xX/9DfDAsFp2eCqY+Q3aK+muwOKnlWPTz8GbnifTNHoByf hKis4T0ZU52IMhK8k9DTtzXzCPIgM0e5GJfVzHjA6FpV/X6Pro0gAv3hZrYG4cmIfZ2fXRA7mqP6 Ig3R90uhmrmjNXbV6DVS4tmfg0ajDM+2fg203e1kb5JOs/41aM3AMvIWLo0ryIe87z1IqGJQOaJN 0JZ5nxzwUGvYdLeBcidY9orc4BA+Apq563hdCMyEmcInPYEN6wz5zqTKhfE7XcUXwyOh/bZuSCRZ EdgtB9KuTrLJPH98xM7pueoFCVM+/VT+UqmyxAwt4kyxJEmk4wnFk9A8/eSWxT/9NlLzTUFy/ze3 rmB8FhHdGBdSvzWgMztmuQb/2QDfmCkVtuQruVEpTeQXNOVuVW08MWz55bryTJWNPVMKJbjrZBAW B0qqpUFc7oOOap9yHC9qaS1vbQVsmse1OoILWMzFyY2qMWZfpUHTBD6kabZ6uhoh5fft8C9vJ0Rs /jGSqF8FHy1mo5CwPbwSGtpuC2PV+EEAorZuzOBGiWC9Mk62kW619cYt3lTQe8fxnSIKKlY21pH0 AqWNmSw3end/U0TI04ufHV8mgp51Om/yYmMjhthAfukxJIgH4XqAX0jmH12hZnLEsa9uBYYaj9hi 4TuBYBDl0Y8i/7gHI0THpo+XoPO1/K+e9b1M0g7NF6vCNPABYXp15IRZBxgdTHavMQSx7y85xdf2 aSw9SfgXYGXmqpMI+24JHskFH36WBMfrh6iwIYrQNTj7oi9arEquu2LA6Vgn2eK9Kmes98nhw3sb +krORJn3If/MprbQb5TeSbzY2TttNPEdAayacBfuRpTMemNsdWhTtLYu8ibvgmOA0jsANk3L7EQp 5ESVAKZjOhqq2vTG3u4gEGBSqDwnsBW7Y9dbhSf5+Q18FzHuy6TZJPHYoenap8DQvTnfXvgATUJm 6MuMzsiK6f5o6WZe0XK5v7qOQxgxfigg4z1jy38FzfxUSP4z2sD9oyVlxYgPCNoZGbNrtRDv4raL JeZLqGplloXqk57lEWLJ0Mcb15ozgVeCStMnXcIvxfccOz0wL6458hJbltni9q5MKuhrObhpah23 x8dsyqgbyZjLV/Khu8pcLnwBSFa7j7psgnQ0TB+l2ZapC9/uTxj/IHr2dEmc2oklLiX6zmNskoY/ 5qMfj1VXY4yD/6nE674ePEJgH/sJ50YDDqCR8OtUMzpqAsmAwqdn9YVFyHADBpojqqvPFpQeBZeU 2cJyXgAAVWKV8kUmgFCmgCtpQMetuKgADxd7HLdaJOtEdVvg71NKF92Ok4UjJPtcOJrAz5Ym8MCh aFwg7z3iD4Wu0mPugKh9lj4g3OQIDofo5O7QhNITuC0n50pKlnl1AudQ+SBJe4tmMojNPyw7NKPf zyOmFWCp85SWc66LO5hICDD373xkEoajhsOjk/wBbFWZDMBDQ7saWxeI7eY6SrlDtPwaqI/GdI5Z cOr0xC0kXWJf9lku3pHUiC4bcgdWVO+khyQq3cgrmtA0+1RxeCihXgrT0g4IafwQaE7RsDHfn/qu TJi7ukpPKhNc1i2rZHbovbKp8phFKeYheOY7cfv1uX80VTDr3eEsaA26d/nZEQfa9FPDL2T5SbaB nDwJjpcHYvPal9yJ/pPvttUFVr5RhXh5VRAaCrkzwqt6JS5X82GMCyfnVUd5gSjvgWMrhAVSnuyS le8UPGMJbXNAYKabUSqCvihDNxPIP4epYIOq9S+R8b7je1OrnF3bz7+hnGyUErrblzjM+GbPWPiu yM5h968nE1A1fDJ7g/VNSN2Mr7a4QdLsfOgbk07lLkWkw37XY/p9BF8hVKfeQa2DXBGpKH5rDy53 aesRznyjQhI78cjzKI1us7q89uzKtum6NvgyBNyiJmRDiEYn/H3zxZbvqqVs9Kgr1OcIdtpznXrg lhXaVGEC4Q/ZGHmmqn2ftCZzzPtj1HIJWJmZaj26GJp4Jxd/OHlyb+Y992goI15jtWJDnPud+f8s 65VjSY7cFF+AJPX2I7VXM2b+goY6gl8p65Zp4/JIsqE+0JgWKK4XRz+XVBvnl2pGt1Gdd4ue1YQc UkbLGkz9pkpc78U574NbQFcMn1VrcrThioim9Q9IhHhdbw0CGp2UL3jlz/DPtXrE0KijlpTXW7PK kwGE60XE8RGIu9hrfiLplQ8tgllQwrh2kO/aMMfcqNYBGzAeH6Ow7c98+pIXwTiSONdlw7M+Pnqi m9V96DN6zscWcRw0spGlBcgKt2oV/yEHsOanK3ziitkibNtBJel4+vEYVQonnRm/s3WUyLhw7Shd C6K78zraStpyn/AQmBE3Rg8EZHH1NUsfrthsaRX9WRInWHooQeLSNIn5555pXPYPF9l6mZO5kH5j F+YaVdD0N16b0DwEB/hXjQ5RIa+MqoSPzANtNifLMvprkg0+V7+SdfsVdaFqDx9vJN1TLlyWFn03 mzqIhuer8lM3HPdrNkSWHucLwI0FWpX9pojGokwc4GfebOC3kUcSbuQXH8AkFdyFw9JrkLHWEbkY vrU54r5APVX+Ofw8cD8mZkpKAcdYoPLqwmG9MmAXhhASSXJ4KaOw73YtnUbuF0ihR6MLYRIVfm/g Q55oh8V/LraeeG8w63km/6xtc8bxGYJs2TFBN1S/hO71OV038KylCRTYYM35nxejGo6HbR4kjCSA VzQyfL2l/ZD5fv1/wl/YlbOV7EjbnNkYO+8c4I7fm1VFXuTFtxHnqSet26pGUNHtkoxvHXlPn8LX 29jNzPYZTB7jYl2tmPSxlldI1jwwIAaCND+/np5MmHcvXYBsOSMF7PInw0PVRzX0emhAsT+YmoBQ PKj0smqPyt8Bm7nWgoFQsM/WFagTF3MIaWKCdIfM+JRiCi8S4s3P1W/q7Ur71wE4HNRnK5QLeaCC rsyhKgm6oZ2LSeKiS7FYf+PMWDNmJzmcdJlDIRGhGNUXqlr4TKWrneD+q4VDPTMm9UgbO/6SV8V4 Txl/UXPNexcuJtaOnd1KQfHhYT77S67JqMmJU2MYTcOhGrf7I9KbNZiNsL0FcF6bC9KDF6Rvun4r Y2aeg4AX2IOUsg8thq5IUIf1efgcpReUhuV23t79sPqsIodTpH51BZsF6oRgjHpSWKqyFg4tPSZU Ing04C0g9sorENDQon3uGDVVsBed+tQF7DShUxHrq/2jtdYcuzIw5aWPB07Wrsq+7SRiT9ehRS+k S6gD9iemcbZUFeWmx8eG3xt3CKnB3TIXwz7VHRl3ryr/A/K4blNhY60WV/zgCMrpp+gIEYKVvIh6 m9vDy+KZxSPh8yziXIfh4mOWJVbOmIhrVPmSzVzAwy7OgjO1RwLekTx+qMT33onWfuG/kKUcJOpe nAPQfpTV3XgIWhl71HV9aOgaS70nkXOiOk7IGr946ZTCMkwyiYIGslaDQ3DXxmka4KySZ7Z+cQym xAIR5wECeDCeacT3bKSxI733VzdgoasUFzXS/PYpQe8s2WgwFIte4MgP6e8Ki1cz2R45dGk8jwKq UYQq9+EYT5pjUXzeE4pzBFJ+YiqjyplspGzDuujiS6zvOC4knwZdd75kW0GOuI7cLwuLFjYn//T5 kal1HjekJawp4Yd5ni17FemE7Y6TIUc96eHUWI0dkGDwIYdXU19RhxnaOv74xyuzPv31kTSA1AJP DGYyEOsofLpqBD10QC76Nnz8501DxT6WXW+pLulKZbS53l4mvu2esH4e4Mq47NmGfo3E8WvMfvOd mLWLKBbl+QKVYAzacpUVjyr/LAY3wQKaYwAUsB3FR4BK+kXZFLtkqI3MF/yNqvLhTmz5EyBuAnAM ngpdIsrkWO6/PJu7KVfSajXampj/qExRps7Ceo2lChHZad6Tf9M/G2zzGAip0t2BDxp4cCodvhce rhzuC2c0CGqOzEkqWrY4xKx5O0OQs/iQTvmUGttRmZM6VLzv+tkPvNczByBdwqQdMI5xnOn6EGHc 0vVMbK/+KBxlDsOGzYXKTSUnhleYST0hErlJEAFnvATGRCleQMqh0icjAOBLazpD8Ezt4AyGaX3o mmCLdyA6NFBJLHSpQ6RGiknJJ14yMfg0oEmx3G3v2QWmnL9evEOoqlEH6J5wzggv/pqApClAOM+V mQCKHrCIbbpEt23XnAnUXEn0YQSCIOd9mNB3P1C4TMn1xk19LL4gxSqa6Gfd3F5mvY8ON9iSI0oj 2AVfsTav7GuAZUD1pYvPMHtEuWc3j7DBHcmokXCS5Drig8ENhMW7XWl/INrh+VP2Z7cccAv4w9UE Vmi1Tnihbp6WNxHwaOdPP9q50edyZGXO9QZn9hDVao7Oq3UV0EN5rjZM1nGa12Z2D9x9vNdNjZJZ 1DBuJ7A16/GUmlTFibTj2JXsc9xaNKPemQBfqdz85WNj6L0uq/3Up+7WIx4JKL1ca14Or/7Q9yfJ bb2aszT3LtNwF8MVpF42Q80nFpE3pJmNSDDQjxWw4VmE6xVecFqhYtYABrimRdUk6kRgdMPQZX8W thldsXE4sLCINeFUjDuKVrVVySHDgcw+L4ygKHzBCYhTzEKfEzWnWdjwtbU6v1ApkpvwhjVAScip 9xbEX+tPK85uczzYxLdT6WEvB9tD5n+xhF4zwXB+jHbOU6wNuwFJgz0Lrjw4VQh6wA8r/e4BRWcY /CokYLmPcSdypR/2bUHOauxrJx+tQ5Acl/ztRQ0E2iPnt1v4yv0wTGmYCQ1ydk3AuuzcCvnypIkf l+i1izqg0azM4XKB/UKMqtYJIziQIszCPxrHB96yOpnfzE0dHclbNmqdI9x/zyd8S5UXn+iY4Hbg PS2+rITp9P6Ncn/1D8zmYO6ydTRKtrkfVLlNbZztLGWqbLXcIhRT3jGTQFLXW66Q5vzAlqn1RRrT VRQNIp2IjcEeJMIvbKLQ9n7Fpx0Ux6X9J/5yBVO/7ZMQ/jFdJvxAQstVVEHGYUWrc+/Dj2i4cYfj 9yDLzrKMmjp1XJLXu/fpsnslaPjOIsLgzM/7MW+Se6b0CeyC/QDMbk4IrAj1vhpNn98UCNtenrvw UBnTYy6x5HQRCMNjvEYgkVvp4MfFjK19fJA3OdaWH41dXjXpr4dvsL1jLRXJD9GSnEJkdKL3HI66 D22O7JGzHNvwcspbLKKLo+pA1KcVP8Z1rrLO+u0r8/SIgubw+ByOUgbyMb4exc1Ey2tnaCXUrE1I v0ZfRSnrzL3kmHignExCVF9Z9Pcv+bG+fTcwIRH/fEwIgj5ec2wIjVr2tobcsplVswj+h0SpaARx C59UFtVLgL7d5NW0R4v6JjoR8KKOxQ4VPxuel8U5vpvgM/PQCzi7/+P7Ci0MiLv4/ZEx5sJgNzII E5smSHvQJShG9B9kpXLo5K0f+taSj+Q8MFbrQ7+U1m2sCQ27WIYXSsg29JIO4urBGEyfTO2TkEyg ADNutlojup9HVjqk8Jv6wpPiRpUJoih5lc0hc9TFGIk+63M8q7IjfuLArFVq+8C2L5JfFnB4GyFq zx1pvY6YclsXCJuX3Yd7KHplR44hIfBeuFl3tFyYn+ugjG0zhp47eR15DCFJD2l85NriBbYXqorj LzPotSJ244ngE9zyE7Z54O6Q5q+y6yHrWnVrd6m0zohu6Hw3DuaLrq/yLKv/r/biduxLxFmbz/bz lWBAbu67THfxj8Wg6UUS1zij9Krin/lrA05s3qz/+PcT+nIpxGlCCyhT5E4X4Au83pMtO0LRD8mk JzGe2HXOjzNAOcBwiLeqtj8uns85AxrMQ2WFr79vKnOQlKwBS04YGhECJvCsmHl5sZOx1hNewzfv c+AbX5xxZvlCV8xm6A/A6cZKIupYtb0OYjpKuO/vYA7hPbfEvjm1cLQmvqPEQAgzGt1uqZk4taZN YsJTw4vc+jYmpLze1xdswdlcf+JVBmcTlqmzr5Qd+QJvkkLnQzgbWHakJdOyh5Dq4ZuatGQSyFX9 doEZ4K3b1zWV2W7ucHJP6ZQ9SsmsD6E0UsJ3Exzf225rWs9KmsoxIuQ/2o8L2gSxYTN1WgmSBIdr RkBvzKr2BerSiw6om5jNhwn2mBKV5+ZMksEI9AxIVzc3Fz6Pt6KEhvgaFdofGQsN/CQTdwtsxVM4 a5jtPwOwbgXFh+I6+4WdJppTeunqtJulYq6R9A6xwQjtiRb6jaqbOm4ERfoRQUai1s3o/f0eRRC0 6Q8fY2eNbxlip+CIcFVEaop105LL42MkDI3cFuzSzxPQvK/NqLW9sjLtVPNd/OtAA+aazoVZ5ry0 3Tea4xtxPcGMt5PKpUfTp/Sy9f2BhOi2t6Gz/8bCPYfB+R/WwEKy+D3cSD7uorMHx7QoYsRKAN/a 4401HuUJH/gkDRKyW2lbVwcIwtavJcByYM6530/hZKkZ0JvJlnwl+ebWuSVmsXDK8OE8mtXjO6dE +TulIHE0GgUP+X1ow4plw/uMs4WPELKzFdhyYxx6iYv1GSOnNkY2iHQt+bh2UC5XqUNuRLIvC42N 8/6bGvFhLGTEjJtUwN1rVore2h43hFvvZWbP4sQ0B0+6G49JOXAfsOHIPBghw4GGLA6Lopsi3eq+ Lz697Yq+8bhcowfYf/Jdbo2t2D6u7wp4UXhympUsc7n6wBcOjjFI+KkRZBhfsqTmZMMiuOjmRgRW f1rDb6SLwgM2Zv5b5reZRk7PO2WACDk4Zy75SS8PlN7TTdwv96ay5Oioq9VWmhVNZUbhy8163ASk E873a5TMLlrcU8qAVl6pkDl4idzJuz6VXf8RmCXeIMv/eZd4JaT16fYOYQEInfvCt4IHQUDBsujq LRO/O+13Xp2t/G24L5rjez/CexXrubaPusNnGOViOwMxYvX8nAZts8DGhTCASWIQivZhxCpYiCQb Y9DbAZZHU6u4a35YsGJdjKtMNxgnn33TSGhtBw2CLGYCLd3Jqb6xv1b+7A4vKv5RygSVl/w1ZEs9 bxIaBjJMRTBqlI7MYoRhLWU6gd/SOsSkMAMuMdLKzTpg7l25ntDykn8pUCrgRk/ddPFWysgXZAIy 6mfThdnTkT5nujszjKRg0CSzqooI60LPIFAA6xBOHNdz+D2Xz3Xh7kmGa4st4w+jZEx74ae1sGEu fLY34wNbrS7rn7/h/oNrLTpJpDbp+SLBIGED6cqhSe1hx/7vGixwOLzowo9Aefx0MUQjbdZDy6el WuqQB/EMG480UDzGKr9PVCIut529sssPWfIBPJIRU+jeyjDoyJ++uUSDmRL7UjwYaKlgjBEoyH0p pJLgNx/h59S8+V5Ny3KDPa+KbITzgyjgufU8mpnzpaZAIYKV4T0fq/5A2BWLtRKm+0ej2V8Ry2Pm NtXn1Y4K4oY9F9sHUIKYOQ63MulXvD2e8siQZEFkal3MfrBBxW17hn5wBVe0FO/BPkWuwuR6zkfx iEC5OUo8EaCDkGTH8nQ6lshNLC9hajRWC9dzqfKdjoYMABr3WpV7AsM542kPbHalYXLLCWIGPzzv 3NN7PD9mCpEtib4nBA38tQdnK8A6Q/tWj3LkuhSa5kkUJQySTJ/mIg8qomw4oAoc1c6u+LFXGiGK PINqEwFb3NGzNOrbLZmP17hYcn1SilQVlJaxdK+DV8BW45c2iUdE3I5+LEtgrVlMTO495Mflhj1D 8bUFmBe+lreQuC21mRJs0sCLoA28XPXEZdlnFK54lwxreXjTadj5Yw1/nW2/AO1pUvMWQ16KVNwB mlMz3mld8aPvuaB3qQ47/mnoZT+2yA4ld9MFTGM6zgX99XSZwSf4jdn8h0MAgxLMmG8V6VvzaAPw BxdZvDamdlaknSHOv10o5BvzF9/R7hkLbRhrSL4Iyy+gfR1IL78bm5O15Ug6pQ5Oz+e8EdxsSss5 baNMPjd734+zgCdzMw0inFSfmBAl0aEsgKnO3kome/7J9eQoVktPuYLAGPaf/c2anWSZJm8MblFq zRHKnPZ3o8H4BN5xvbPEliAj0v0v32VSiD6jMIfeTHzLLLRxl+y3thu8xRTDxPX4v371OFfNIA9w tpqTkGgAYrzvkup8p2NHN/Ho4nmWu8zaytbQeGnuT9K83mzsC6gO6I1SypP3Trgt7r96CWC1LdfG 5zoLm/kx7lSu6J7dGav7hVNxVQ3u5K5Or76IQP6+gyCWN60g2Nh3RKnsV3SExYk+QFKbXSDRzJm0 J0vlTpO6jdXuHZ8mGb8eBFFo/Ci2GHaZSsHc8/3XAonx3SJlK3c1dqWotGgFistkkzdMpcZ6k6hS bcfqkcdMkRKhMwp2gqzipg5SRGwb7NbfGLefyk/y71qJxIiv4xjKB1VZ9UkaURm2g6kCf7JLT3tg G2LfO9WY4cG8hZRign5v0PbHC/EeT5gAZeTTVeif6j/8TGOYV4V46LlNZhUcfYBsYcNL7xJuqQEW 10bZKv8BTPJUpdqePtasG+6xauLPgaOfjxwwBOIhhyW6GfhUgb90cSniBx9tAIS12Lb+6Oegu37u 6BDHaZiMX5MgauNcxE3oFIbo8I6j3q9A57vDvQCRZEyZejStxRpPKTnaSCZjI7JJqSZbZt7RRKsA QJup6fT4pV2xomTU915NMt9Xpp54ZIB8i0HmyKEvBhfKCUB9bdA/ybUqjrqjzFQhiyHG7GRC7w2u kNzNxB8a6JIa0/IFI6oBt0YOrpKCS0P167ecsEonrE1j4sMwFcN0+7tvADSm47dv4a3/5vgUI4EX 3/m5QUelh5EjItMBpwcWFWOSvkaWyGgI/75cw1wLKtlv05DzrXoWu0XpbQvJgtVgjj93gLU/yNr2 yrKJmnwD9bkmt6c+XG9nNUp0eV+o54Rkxir423NY2rwZPew3Pb4a+Gs/3v5ncIz9ubkInkoVFCZm 18Ib+ByIEZ6HIg+sqT4DfK8ffqMDe2YRohLxyJXTdDexwv9efFxTz48L++lBeIGgNNN27RZOBCmo hCrpvQvTfrtFNxtElFtHqtHNqVWYvcaappdrLDSA8lLVn28GFJi5Dy8+JHOqpZLzrxbN17LuzVpT OB18MstFZDDDsW0CjIvbh1zPZd8P+VkV6asUiS6jqXn6ENCqSQ+HmbxM/IsDosh0tZ+QrZLDZFQF Fs2UQQkSgkifbG9wurcMFF7J3Z+9BEBM8gH2+nDCjeC3mMZ0rdf8iapRWijysC/TjBrsR1UpH5iZ Cdd0Zl/wCbyH83JyXRLCTPuu8cSdeM0D9X9Uv54LFUL/48JC+4HXqxfNqQuTwaAFI9l5lYVz9JFL k32ihP6yIC8tkeTEFPymMgrXBNlrGALiuxBcwiRrfPwyw0Jnuk/N8KAbPVo0j4IKmJvmb1idphGs d3VdnwwByYWvN5s990ckElbKabDkC+BRpTjuPZAC2p/gSugNl34eR1eXdUVEAdf+YKbPdIDxAAnd jeHZALXb5obTQ3tc0RqtolCd5MAYKPCjeRCHpLh6dq6V8dbZu9uvJ9NYAyWAjwDBILiPz5yNih+4 lQPSqKF+8Xl/v74hCgrOHsFpHNp4CtPuy9EkKQXhdtqdQ9bQ/JpeJTRKvKKqpYUuRLO73++G050J HYHGJzlGvuwQopz1TkLLr3ePJfcy1Qj9BWPpi6SQzGhI7ZohOWH4/AcvXGLSGCEIrrYeHx0j/uTt HpsrqJ3TasCRVhadQBpScIc0MHbQgkw6/kCMsW3ZLOkgABlumM7TvOD4ZPITlbMaNS+46eSeOQcs 311LiQ9REWw7FUK6ofjn+z9LiVNEeDgRsxTdLyRVuKCzPU8PjjxrC7tdc3y4UNBsva96ouaGbsmh vsBT/uIVqMzWGpNhL6EO+OI+2YwdmjqPdl0/UZa0e1MznpO1H2TKeidP+Azs9BisbjvCN9TprSVk UvH53A8+wwlZDIA0TnvjwuPyF9uTKsOtwqjHpuPpahw3NCzhcMlb1o5nADSlCIK4A/7TWFfwAr3F awcyU1ZdGNLBXbFvbyw0yXv06NUsFWr0tYnG0PGPj9RJcsmO8Fd2KhEVhUNhar5ZRvyngbwKbnU8 lpprxtrb7m+wc/UFKC20QqtJOEs5XPZHQEXV77+7pQ+eGQL5UINSD3N7Sk9KxP3chRv+h1S3HSD5 e6BD1uhJ1GZMKCfeIuxbxdK2eWOA5qsPjkCSjrtpryuHKqotvQJtiC9SY2bP8ebpiuv+R4+4p42t o/1LzSefRcoZ3y9GcSJ4uDjU64pC5intN/LJkfAXGell9/vX1mNjJYvXoKUAF7READwPuFA4LJsn gibIYQ5n9j0kwdkgvW8eCA8DNXCiJLtSwOrzpNoNsYukxi68YyGYQUMouXkNes3rVuPDQ7cwtuP7 hZoaBS4NIAYNgCN2chVY1Sk11Xrxb7Uy3hztGHkiAJ3cA7IWHJc1OXFDtzar/zW9fUIvBOAgk2Ej LgLdSYL7PDbTJWUtFwV9OrzILsvsptNtu/Qo2cW9puOEfrD8yeBAkaU/1vHyrxop3DPt+tfoJ0K7 7wAzwKWh2AgPGXkGs2kuDanDxYU7phpRf6QdMLYOICxP+EFUVFpRiT1TOoCPhiWUgJn30MQXHeMu 8Sfh9yzMvQGw6DjDK/FyPHvNKGhIwwCFft+uhseMAwoxK3eILyZd53EFB2i698GNXAMfISyEydlB NBFFOhSLsWqX7nzaj5fvI7hVIlspubowOldBfd3IcfeK7z8zdela+vEsKKGwZAxm6qWEb4b8RE3g Axn6wingXu30F8ZHYlcO/c96pjDR+A+dL8hBBUmHViq+MVUJNV+ypZgQn4jqKfcRflycVmFTyXix 9cu++wJCBFQs4EcOoXIic21s02D3Fb2MWBtN04OsBTkwlu+4XVPY5OL7xpTFZ9R4FzZCsc8r6RTF 81Lgvk16QOmuy6VHuDJXrvtyr+wLDLJbTCP5LBLZkuvXxs+A/LwlffTO54Jk5Tax0ca/qN+elW60 jOaXdVifKMitym1Wdrixz3uMjzlAZzuRZK/sk+RWqtqKqIN1ll1Nz80GXeBLDZD4eORj0yCZuTYX Wq3AdyMlsPeuGwhh6IDxDtV/Q5lrzmqwiGESZhmPyz+/ODKJohWueQWdwGdK56n4DP49zaK/eGUP G8xnKgMNpEQZCw+LXdAROYgvR4JPJs6KxxacqOzXUUZJ/uUlof5OaRXisswnjCjXIFsZv2XzMLde U3tb7jO6zbk92/ZiSo8MjXOYQTqA6IHIpcx05Q3uGPjEfU98/OED5sYVNwSXw//763sgWeAC3vno S0+ytuzXLuItI9Hqisk7AO4mTM9wHycYB9oy5jwOg2fRWiFBy4+k53mV9oWspHbgDhhduYYJwFFH PhafzPw3ZPXreeZjV+5YMhN8Rd1TxNOkZ1r+kE8t1RSvr6/xwtwm1rM/2RPQKw2Km/FlC4WfdNIr dG6GBmvtd/eTe1HgyApSo7nsCNtORvviJEoGmIFIdUeARhC2nJJYn9Yi0lVZAyy8XN+6HLjkY8hW 0Wsx5sUCzkOAhIEGO+Qg6vWGMBZLkSnzEpBIWMlESwW6qXC9TjyJdHXWW7Z2N4jeUtUXBiAsNf0c VUBsxVNePdkMa2RIYlauySz5CKbbJ0C950CJeEzfbxXt6fDfoQx6mLXafBCNogUh8rcPsaRjaRQC JM11RNVyAaoWRd4xZ74SHJZa2QeP8MeJqBEaphC+3oSfmB+YJNkgminnu0FoLyik2qRpy2yVUBTc Re9qr03gg3OTgpy01d2rIm3ata3X34ULqEraVfGvOCUyXXFXCtP6rDBLaAY61Ia27fbEtK3h0jFJ zk5x1SXLuoXX13fuGCRYzGUo5yR+HghetFBm+IgFM8Q5pxsqVq7aSBE7lwgqmfNlod0/JicFXhwj V7VKRUGZF6YhhMz9MUWlV+xiOv1RPJyHpxE7KfSQl3bvATwq7mTR4ezcfAYETR9Uk9Xwp7kxT17v KxQ05yGf+LrdonejdnD1X1NuVfYz3WksXdwF/VFrengazXeTyFeueApR8viG4wPD4OkmZjgoT68u wZ7Jn28rEszqk3A9xXb+SqSZt1tjoZOdnA7Y+s+jiWh0r8eD2V9ZfprC7Sunae3gd2MWjb+JKheD GBkkCNIIfTfqP1tNfzoqDdSWqjPAc8a1TpODCBD9uXB9EcuyOKhNJyRZvu8vTnLkhFYB4Qm3lNJ5 y/KPbrCkBLw2ZVw79VCXofINBkq0mm2h32wTMWOt0qExwJgT2/uU1nr711mwHK+4SgeMbZvaNHBD 6Oejz4zp+tqqTw1dbMvXu2B7R8xvg2tFjXW+COd1SB0JiMBOyfw84zF8nQQk5ZHiTESl0//A9j+z B7ceNqrlE7grRFDXLs51hYWmb6Wn5sx5+qhTCL2Y47frw6ISSsRxbpBCfkd5lRPKQcEY8b5BNM36 w1O2Mz47NFStRY3harLUwIGSqtC4dwbn0qT1E2JJkGtjD/dBplySMU0hj/GniQt+Br2qDddRmjOA WH1tamELjr5MRS5GseFjrNrYQepLo8Qu1AeVeKb6kEQUnlykuonkrowws9Vy6rWpeDUFI4ie9BJm INyfBw3Cic2Sesee4mYdZdfntGTrl5cE/8wIjsHunv6aYp0jx6lXo7NKsZocSrUZXFGFjAK8gxli iQxwLDbvxhe5Bo/ZZsQXAEsYAN0kFOQAyNHhVz2uCw4IaGZI65cB+VtRFjK7m3p2GUv9vi6pq3hC VQ2vvJgy/lPLKLCaBMtF88h7gHFNeqqbuJAf6/cIoD6Qa/wthdaaofwVYpiSdY/CD6dGiVGTEPNS qK1Iufaj6SELvCKWUnFV9MwYouxeN2IhrwUqZPePmSan3az2Ir70NpV6lqddwl1gvIIIxAqyl4Gd qpuzWEzjmw57wPPo8/VPQvxoyszPcQOKxdGWXBN7V63trD5dfCF2NcRJ0HIzwSNBFFgTSSCmEqlg uycPvu6kP3KPfGycLOXS5XGjUjwNQ7VQThlocgTJxFVn0BlL6fOyLRYxb0MNFowGse2BMqre12h9 9bmHacwCPSYMk76BkxjgnX1rCd/vLxI+rIZ9nYd4dPv7mb8Z6wNCnk60vR+N0HBwsMrtPDFxVVUz khia0KVYJ9aMT1sVl5YD2wsiFoPKPLBZsBcZ1gv6/EQrTzPFA2sOqjbiACtVAoii0QeX7qqHwu3m kh/bFW10Sb/MaXRNq8puLkjeD6tA/IL7UW3pd2GuzRJe8xK+oTY0fbIeUQsnMQUYZYAGWnkOn6rV Q3KzFlH3Ks0aobvP3khdUMtiVkbCHWRt7KIvbRq5678Fz0A1TjFOc0fTwtxaYKUWR2O1gdaxWoVf DxYIAa1xVfKWSIT9z9+dOyq6z6Ad0q5DXxiVdpdn+j0UxkRrrXp33A4xijQ2mxn4QvfheXKp59x1 BfQgz4hpfwmCuwrhJTD5si+MnnNG6Ypw1CXtTtixW5hobAGQjgPahZZrdIYEZ1tM9UN/toj9D6/n 25oTx3YxJen850uyw1S+6req2tl+V/AMXhGUsi5k2XeUb0GFue2svx2e1Y0y+DNujICdVIXCK2iP E3caFMtXXlWjAb6Cn2/jaVNg5jzQEzd/BKIAi5360EgIz5EYs2Ux5Eaj0/wIompNm+I4rDbhD4zB nnHogiew6PNnmb4na4zaPsyLQ1qyTZFBqLG7p6LhT69G8++9iNDqL68PG7dO1Y+6D+YyoMlCZNXy L0pRx1LP7T6ecJephJr2rTKGs+7Co1IazGgT3nKdt5XMO7bMU8+JQjKb5pw7kJ3Y6tO/HVWazZ93 KGIJhortQMgKc9DbyW3zEkqtI/urr7n1GBnjA/n6LIVUkM59TeG//u6XJ9bkrJNpfHVAS+PXCxbD 7oUukJ5iX1Y25NIQGWxRKZVJb362+hkUY4UbMoOuaApOF8W114L5G96k28QwIJdyvqjqnl4XhH3R pH1deJRi8FfWidzIRFJAxjuG2xVpVY8z241sG8bjSg5lnR1Zys+Rbn6IAp9gs8N0ZiyxaJPA3nsM jDohk0VhSq6z4BjqdXb64965n8j3Uk3FWgifoQbs+c4LO25m190JZ++vdI/tcthw9skwLvLRhxh0 wE60dgKtu5OJtVxT0ghn9s9y8daL7s7Sdss5I5Zplza3Nbq2SyA79bn9kZvbQ1//rF147vZ6xHfr FDev4qFmxDRPh0SxSfWtXc93fgMzKVWrqscndxlJnyBWrv9uk9jnLOP2NmEUCi+L0uFkQ/vop8OT j1P34zkyqwOK3fVNaj7XNdqhDd4sO7Kf93hxaVnS3DLDJJZG5Svcmo92WQiNZOdp6BIwJ2+ShBG8 4c6ZUU9kt9BU4Tac3Rmh3N58SCJwphOUL98sy+2WHrDDA4W8C8IV8wYyVtb6JL8eOgnkCCLapAcb vlA8zH/uzU9qJZf2tqvJvl/6Ew2YTEBIz8tz+Q5YR6GWpAfHj711E0NHmBh5iWXdZE+cJxeqj/sH aOom/7C4cof7ZS3gcz4dl9whci9gagcGdCBOp0tkomK7Rt6VIq6AwHrcC+tJwTzQZzzdZoduxUyl QWYbHMmx/O9u1FKxcEF+RJnseT8E+PhhSLkr5cSNdi94L0b0+AeoUWbmZnC57qSunWjwO42ASzW/ V0dhSsmBkY7f+ERhnvMVms/ajA/+ZmNRnK/apdcWIdf/A6p5iShRZx2rftwKD6r2/mByRtCgpQAW 5w8yKrwFIltzfnd8FEKsq0KEzjWMhKvXZkbn/FPs+I9OUDhLvHqVAvip9SdTqrhuxPcH8YId9Ezj TpvM/0fH6hRz/rlJmrj4vfF6zvkNiUo1YRFOIjogFRc+vz2hZMxuv3Q4Qs1TAwd1WTcsSFZzitHS t7q+8UW2MSv8avQxOlUqQHkxKfmmJgyit+G0XGf3eK5lKhMyuxHeCTFFX1F1xU37sT31Ah5uM6Oi wqanUBWNOnXL5Q3w1jN6hWe3UljVATnSgT3TvDYu0kVpSSnnVU6ql854pb1HmciwrM0A9knx4i4t Izkgyj9O8Xhnx+4p/KtZr2zqSw1AIdB2/CCWSMPJAgJ709fhM1inrj+yXkt4qOQKlr/J5q137qTe wTConVZdE22aDwh8Ze+IsGzcMqola3C64itfcFC8PJtnei618MPhB9/u8X3REsdHfj2hLrWIKAw7 1kVzNvohcFToiRIRSHmnbpGChLteKrY7NkgFqG3uLj87ycx5luEW5AsbOlLlm2dXvYZV6N79Mi0R kEUBs7bnjpGEDqn1tml4wFM2VJWcai/jvjpS0a+FoYvrGkNO42XBa3Pqw9Lv5CVAsD8ry/5G+OQG g2DFgSdKaN70+qrO0ebwq13WGent6sRNnGuFV1hFX4SLtmDZG1uR33d6jcnNfoDpDB++9v6BPVKG 793iCNzGg6mfOCRYjKoS6NQKMuCgpKZe9mdpCh7DhpU4rX5ElO5N1sIr7z7qRZBwVeEo+rv1XiGu 3mA6tOwWUetH+M41Mcj8bur3NtEcTAGdMqpIGUK6p39E6uJIdilNat0E2y7u+abm8Pr+doTukqE4 PJ1XOgUZlWbxPtVYp2aWy0mUIwoPdSQxpZuNnOvwMsRqLiV8CYKgYHp8S0ArPWKkMojZyaRyymmO ljMUdzuJvtsag5fPIn8hxjLUNjmE1p2szFpThoq1XAIcNQYOU1bOrMygvQI47sohnJzZZDbmwkv3 lBjbbAmq5NpwjiEHwbbRPwR2UGubMct7b6vmHB9/3W52WryoOfzGUQ+bU87vYo2JgOJq6HnADKz7 v+JF57r3gwkbPexy4iO2YrfZVPh0/vVH7Zhn1pHjRVlE26Z6rBAlrVG3sefH1HTilWNV99334VlC fDSkXTwvMDaOcinhLLxlwtygawi8xtYjJPKUuoThk+CGgX3pxEhx/dmsFvs2Y5deLy84Xp9SiJVN wvDuNJzNab0mR6eC41emJF7WDD0qwHf5XjlIDXgyCoW+DYE17MpZEdHjp13rnBtbPCH52lKLMpeg j/gclq+26uCKM1510vBY/maCWwZftThwPnZ05Ah7e4M2pkyU620Gl9Tg1QSDP9ueFvqakFh0Ve6b ymQtLNX011r/JZxPKx9N9vfdOLIJlmweRDH1qN0TC2LciS23QPs8PYhLJZKuafXiwk24HTBZaDkp 1iD+nXzZtyZEPtcTAqRmHttAJoRG8BuOMD7hZVjI2bAhmeAROZYdjME1KZdTSx3xvl8ab0PsewuA XouDpaaRjuiSmbPtEocIlz3ZCmIU0IIXIK0K7GsSY0Zf/+DftTK3KegiPK/KdynTnewFNbLslMmT mZWdZqwaDLTqDfhfjElT9fQgx1Cn+/GMLHO6eSkhdrzhBRj5Q4kkihO/1NgLe4aZx0inf2/wvRNE xIh64id/X2Eez+luBl0IFNMQmFj878HTo0nO8bKUgsUX717aCKKkayvvF+kNOb0GL85oGyWFV9pf ZaXDGgrwcohOgJpuDFB1bv5+hAXZHgYTTb6hmQ7vJrfcx7uhObaLX4khG+QY7sj7h58+3M1+ZuFZ p5/zMbNovaI8yvPD4HA81mFv8//qvkeSn1CXjxLeUCyl8Qc6XwfH2Pvwv1EFU0AyaOGnyNMKn3cX T1GarbnLe3thuSHvIkdQCTi1QXsRL4+i6IH2yGiV/lTMtCtZaM56u2vKXoBkPz7p2jmBezK9+LJ1 JBNZzPC4qhpPeIV9eA4AwnFfDAmVf4cUnO1P9qhd6OHJhB8hFWxuDViJM1QdL4lXZmsNGYD+RrFu 0axQcN0pEK55RoAz16PCBPtEz76kF3FIVKm39oo1dBJDI87S387R7BxooCqbkZ157n8yXvBrRN77 8CEuqkPaGokIUhcq47VdKC2SarRuF+gUWR1AspDFXutzaFd9tB7hI8o1MwbJjlPzuiDYYKci7/Rg QW504YRKRJmBN483LRejbGqDt3Ff7WzYmCfH7vKIwoVIFPZMKe9at7rQ66rjcbuZkUX72X91syF3 DfPWDAA5gNMK0TSexdD9SCEThIIzdw6/xC+ScTICVQi82gJI2zz0meaknE5ciTuEqqlKwdP0eRNu 0nYgNDmNwTjyTQg1EubhviHBl5ObVcvgd9sM/gxXzsRij0ZM2sWaEJ060eBNZzfc60+A45DYVm17 vsjjHNRmeIKIdu7t3W8qI3AxTaSOnDa3RDfI3dsqFb71pR+5kb0mYPNX4L6+5qlBw3RKu1CDnIK5 TnYTkOUh5dqOVrChipnvQ6lfdDq+MAUnIRof82xkb35PXlGNyb9h8uodZXoaZ7sPPRBApJDM/FE1 YPb0O1eAHkyQKyozpkY8TgQ+fOLKUs1kvYeFfRT/E4yec06Dko5cfDNPAq27vzBZBhvz1y9hgOx2 CHmYsJZEx21HZ59oGb9qZcGvUh8tN9d6qSeADvJTkbpOYZUNc/Qa74gj4jEfJWCLYxoNlt1T2Fis bjL/85sueLZnxOW/XEFWtXX3m4RZU5XLR1JtxOCw7pO1l5LElAdRgZrJz5qLDNie5VidaPL1321M /tf5rquVJOXPfnzlna07tjMW2/0Fl7wuHKyjBN9iE0RGKpaFCuUdavupRzIpJGKem7Mxum8iBGrU iKH3yPqjVBuAvPswOFZrw8bNe+MPGNwT7r56LerOAZjoqURhEYyKm8jMyBzmtc/gHeOoDOEhwidF QZIIdD7BYDnEcKxzTo5cQcFKNMuNWnkGM9WCHzTkiNWdcZuXKfUlR4qWOMPFAgMk0Pg5OnGAoKm/ GfUarqxWOBBmq+7a3k6mBcjCy6NATIyFHNf9EufWdEG/MrLJ29CNsQuG2QYVh0LOSoArAUincyB2 MkzruJ57YS7ad6msKEfUmKV7Fm+4XsVlT3RAD37fFB80JMya5CzbaA3nI2+9tj7jzzbPns5bmiB7 anHfx4gxyRG1jQHYrdKPMk0hcxoXqRRWvzCqeIbCQhNDhLR6YEON17VDfRFg+fOEfOcVZgNPn3vs oUPwSVA4dEeQOYXl7B9CPFBUTd5944N4kJycA/37soNFC2dutmTWX1eu4ajLhvN/X29cxbq/kaix CObZnfahMGuLee9orgJ5lExlTSh1upfp4yG58cjEWHKDDkFG1Fl9pauavzrBlfKNcZp/hR0lCZZD Q8i0zjkxtUMMrAg4OI6GgTjOp1PoLtEke/AyJKu+7VVik9bXt4rrz1PTv3CujnIDiGQIoZPRpjvl F9Ts7cRjnU5XQAnPhVy9webaYAiPQY0JB8tWJU39q6z7PICQmiIuHMCWZgpxfWMTVKLOZMQh36AV sfNgSzspI1PIEDu9gdIuuy5lnCyQBmmfjWIBgCGUfGMHBVnsB/gH+QLnhBYW+a+DdyJoO6cYEUY3 LbN3JzKl7qkl617NP/WOBwUS3VaNaTNyCMZFy1IaK8u9w8LxCbRRvB66deCZUQbYZNmQjsjbR43G hDYDvTM5PWKBuduDEEUU065aC31TrM41ZWT3QMEZ8QScZKMR5oG2C13AQfFfqfOS3QG0NmJpRtpc bRdR9I98pp9Q1lEvecHIdzrmGxeAAaFL22cA/yRchxbDs7eGRfqgeKup2WBKBrDmW9CzU9GZ9Aik xMHr+TBkHOkmk8DINni1NH1S5MHfcIC2e5grF1TQ1oMbVEvMylsLXhj/d0PBNA5PCpbYB3uFNT31 E6n0WmLCquuWmQgLJMIkqL47pf+Rv5oPDaqdbr8J8zsFwnViEnLHMF5e5SdkmNE963wSbLEchaAl nj/F9SENhczFC4WsX0tHIq81OfEsUtFk9Z8zR+0qwCgTCqVRab3/p7Zb4blElpYKDefnMf022W+1 jERfPLkVw8eoiC8CKjvUjI6Bgn3lr5fVnMkLDmwsYhmiSDFz58KXUMF/iTxrRW8OLGfiDLLo5WXT FR2M9dAA2UkNC4A3oyyz1IjVoLYhWJClf3bL50QhjbQgh5U7BabhO1jCZeAB6r8yX3b6mStxAIo4 Lh1q8eZqxdgZH2nSUmXtfjzMp64JJXo4ZWLaYWk+ACK+fLZNDpGaJ7yftoJvB3PF2ihi3cVCIQ/l /VTPCvsqwaT1QOm9bUORpR+JJ25zD2rwZk3ubri75Bwe14TYDdvaMQuGHCDikVEXwu5ViqECcXot EkMYECuDCDq6qpdjHw8K9kmNrutmnO3XXwI1T7Qtg3g+CO9P96BdQU2bWtFeZxA+QjR/QRkw9xcm NZzlV6PHComocboA3DMMfl6umiCEyk76eQcaJPv8ETE7Qo9G0swt6OM4Sv34xWS+1lvPNaQ13Mh8 yi0X14oUNNi/SWbPUzw6jexTbmkqXSuEzxArkBezLevcdDyQPoXvO6DEQR5UxXsWp7OUkSEzJwcC 6USkSbPuMye9kq9G/d/68PIZzsBSny1UDpGjwAhNOvXmHX/+fCSTnxgmzw271IHhmaOkmLiyav7w Vr9kHOGcc212S32PhaZusxloEl1IOXNqzq1YpQ1Kc39z5NIUFW2xPv08qv/368HDhr+CuB1HhAA+ VA46vhatMlWLE8UN2NblLHBJynxjn7FWCfQ853RD70RG1JdSAQVhB/d5zz9iihfA2ig22ZrvEi37 AhCVkGmQu1pQPe/DmabGy6CZeb8vCtrnfV4xs+GkXSsQkbdDBMZdoPtdfoeGH6kKnnCb/4u4nFO3 qyi4EXPYD8V0ZmF9T9TZN+tqrzug8vDPLKQm8r0JABXDF0ZK8I3/GDyfyO7O2DGaQxEog14FNO7q qTAiw8w9xtC5sP3eT++EAkQbrGoDx6WhhzsRHlHAIjo49JaffATRbcg5Qz1ekQPYrTbmZWAQ4xBA B2jGM9rku4qtG6TQ8QTEKUA5rw6lRwhJgEOd84BZk3ClrFck/6NakxwQO5LWml6r9gyFojqnQeWR wlIeF3eOQ0Zu2hAGVYcNVGwD6u7aZ50neavuHm8au0G942z46bz2Qkm4dd8LWGIxIC60QVgoG2FL tXOw3zSse3a0PIqCGwCwhg+76mE+gXU64eVqitL9ylXCrobmKHGwh+WmRvT52BesYD+TJ+0CsmDw fBkXtkw7FQ6f5/cOlfI1TAXtngBvDMqdw3YO/OjtC62PEkpFycCNGxWEMn45454zL/abbW1KD06G CUduoY34pwIZVP9mn5TT7Sh0suoQLw8Ya9jPXwdwSHgTZEqK+1wc0Mw9jCwemHbnmOL2YqGzrEaG QQmR7QF4YZwYk/BRVhehqTDyrE64xAdmxOECz1y4bcueuYKFhUzDN3Vu7QMQM3PobC00FO5hOUAh d7+HXS64a+7B8Fe0YTjkyHpxoVIgT6tN4zsZ/doF2vugKnTBs7Qsoe4vrB89MV8uwEYt4a01rOzi wrbomHUoaXzGwmQwI6r4aJLMVo6717GK6V+FyYewMvEmn/8tp637nJoBuFFKqR4RP4K4Rk5GHzVC 15Ei1YZTEC77L7JAh+5DvQdG8gsvOAE6wWBotX/zV3bomR4t4OmWmCcFiIa20QeovUcrTiZrOfzV 3iqWsyoYbC6R4oLDeOn01F4/CAYCw91xfVb7nPuNEVaZXFZdPXIZE+ERN79+Q30/Bz80rDcFvTfT HGSUXoxl/ISMjGOHNmAtgez4SQy7poqhKEg9LYgrqaXfYe9mriquSnWxc6+0VnEcaiVKKUdxPEeo gYR6dvqZzAoXrBKL3FohEn3VIcYErXpcHvfzRkzwvQFsgObiC/H4dJYbCscjhG1jfOWG8A9e1qnY 7fi2dddxrtckYBrzdmq9imzaiXM++s9fa4FxABMHSChwCwJnqoi0WF5UMg4fd6aOJnSuToWrk71g R9RoWrKTOxRMR/9VMAvat/3q8aw2FUYdBBu+kzE4ApBumn6urzhA4+dm2++2JVs69AleQJAIkJj/ IYcon1HsRlr0nj++J38cR3eMKdTJIirizIBLUK3UZVx04PXTb7/QtG23NCIK1h6UXIGuXvpz1zCJ o+P8mIq7B+3C7Hg9ZjWGQxC6NQP80E7MAsDrFlABoMLIm8PkXLjLqKRSEjHz+B7J0LKgppZ3qGy4 uwcgmYjHFusrYfu3SYztwU+VZAyDYK9eBghyiZIUwz2J1rwbbDrsNReGAVm84egdHj28fD2uUipW wmnngjSCTfdb2E8ihAC1cxPw624PQEXEhoAYaixrnbXo9YOFDDIQDwhH0f43StMNXOQjfU2P+6ON XMXER2FVOnDyb7PtVdt1kksLJtQBV2G0y3BaLpOj05rojS78Wi1Bno7eBWg1tzYgettfW99tvfR7 J1gfMiXNJwEYhFMu5JBgwQjv7pu1pUheLr1X/OEMO1jhYd3/jFv7EfuXDOZyu4DWt6bPthA04ms7 4KbsZBY2H5mp6GR86Ya0wB91c+LZ6CBa8ZW5v7kwIrGVLU1w1acoHGHdVONgktCQkpgKduiiSEba xdBWjX2cPrXOAq5AhTLSFVIppZnxk8/do6/gYrmFETXimOIWUQALPz4pThUFxiG4+0d23/Z3Bi06 soWS/CDSvvR2oLE1hTpGfHGHWM8rifEqWJ53p4r7RdzWnsFStNZNI6XXi8O8hTF9l9M73eMNaF7k zjlIKME8E1UsiHgtu1jlmM7+2jqvGa2WUxTIFRS9S7pgmKBi3kWS/4UJ1TttAk2yoCLVwl3leMav lWDVfN9FP6xHRkCVlVmNy1278QknDKNbyAk4ohflurh+qf1jaUlhvmSCIDb2bcxb3UNM7HX5R5Im QudFVmVUk9wNVaOIEQYo1Mi2MxRic5nLTdSgUxJWRZ6WlgvinRhdFNOQC393i4lgLvMJpz1GqO3I vtBazwCkcXfGGnuhvUkhIYbAtUg1gOt+o3l41KWWOeBr1d7gCqjGtVBNj8oGG/vABFB4/Rk3lYW2 RxymNpBM5lnokBs9mO/zGYCEKLOoMmcaRzr8YiTQl4sKR674GuLr/P0PXmFBLVBAz+04xf90GPGa yDWDq9jQZH+12YfOIE3k+3bWj7F/D6vWHkj/FRAH5DIiUcK9hbhy8n4J6dAFO3/42p6053uEp3S1 a4qPV+lj35Uh7WrmB699FcHPiTCeD6xDQYqPtA3BHzpAd5BINVPV3KkGhrHgSiysplh9ZQqVwJ71 wk0Rsu9GBqg/wcvJbFNlqdSyLdpuTNht2yrR/AU9GRJu2gp/z2yxVZQqH5jjM1tF0P5aErqpON+i BDTpKZqdaoXFOwEfeEc8wHyL39dKYOe/sR9LzuTQbeIbyJXCjOWueFhMRVV/P63WHoCisSmcpFmo ZsVLaLZFubpSSyxtsmO+xbyRsQRDCl9OJgRWzRYvAJsGzNcm9qsWQWdoSZzI/cNAGI3OErEQgtkt hJFG1y+9hpFGAqZovWKSWMLRetTm/qx/A1YOyPw0zQWH4cTiMnww8fgJpu4Lu9uS77vsMghlSCue 3vQRH5X73QEs1hvuHlLuI90CUhtK9wNxuRXYj2ETdaRJfq9rbJoK/WCmSntsMeswG/zAPvc36kXN ytoF8EHvcYIDc2invgj/RKTcWj3D0U3gcNDo6fb19PrtmQYs2LOulZ5t69kGeIU+NMP69Itw4nZk GLsPF9o/LVYXLNk6M1fQyYhGwaCjo4soDTYIb5iQ6mtwH9ZyKi50hhbsJlvLQcnWjpBqNIswJUwv xRqv/d+MpC27Pm7biV/3UALpFK6ZoHwedzTIBOtCr/xqcnYNLwysPIIuhELUK9CdxVyETFubCGNK W0hqAkVATCzqk5YlSo3oxtNSZ1U2zy/0PHEfch7bEXsoWQMzMH0yiXL057aFbAhY84OPQb/WVRBe rY3I8ZzWN4Bt6xicaP2nfHkoEd7D5kJVa1eVANNiL4SP8b3SwtWBC8vqwfnW1FF5lfwX8TNw2kKY 4+DzplSbRezgC8ljfAv3S5HYHB39rTa8J2t/kCNFBr7++1UH3wT61IZgeLip9JtJtApw4vXmqpMI ViCAl4nVjj80sAZ9pBxocCY/fZbKlEuXUrGIhAl89/pozTvKe6TaZ6tpxvjtLQ2xICCrJVMQzUBV UVaKYXcy6tjnua1rF5E9ecmcRLrHnrLS6ujcIyE81GG7Uwh7/ODaGpttzb+y0rRmz0Ajhg2WzWiW 5F2Y55w9nQCIfNFyk9/7TPxQHS8y+fEZvejWNMY4k0anM8mQ5kMFwOXeWgBlVBn6H87GoRnoZgom TNGSX9ARVm91qDb7/88q5j0l7XUxRZn3TQ1ccUzzYWXwD5jNVNcordjiiGVz0JHwZyjEcAaJE4gS dAjN0Ph7AHY2Y3tpJj6tA9112L7a2gAMzhdIOsnrJZhvtirn7n+35ICok+gkQS/faxBHZSr6+oJ0 z4Kpq6qSCsPFkZIWH16AA08d+AxA6KqEe7PoIQ4GAN0qBWS5EyhOFyCG9rgywhJzns0urlh+CsfU 1Ng3OxBcd1CJQVa+dFjdSAxLGzqZ/adXorjkhjnBfnk2xT7GnvGmYCYWHGbym/S228vkrdh0xWC3 /xKP+dmcbJys+sY6akgjtvmUzdsdEGXsPpllIeLfs3dw0DsJoyaEIfSUAdWIdxS5ZF2a44tlu0Ap zCq+j1JEDC8aPdLmeNxPwgU6YcUAKGZRCWi26WX2JFaLHnoblq2FThuGmAwffvEgyrskkpG3WnlF mqOBEJ3cet1zxu/4HUSUaHAdLIXiMlsrLTSDfrLuwD/bjLT9h9zIw84NI3l6BBW6vZ19XtKyW3ea 02mZ1HIL4/dOo8PfKdXZ/KPaVkqWWn13gvZVS/hZUl0PXQN4RETSbS1f0pAmurtdUsxUii+03/n4 wc10GOk2RdZatLgHIPg0n7ZvQ6j2sCSwu2mE3Rusv8urDfmvyLp6Q3LFHoBvwXp3hjmqhagufNHl hPPynBvyWAjLfRzs3ReIilG/+9KdgB/OnfHWoQlU2/fvWJq0noWL0KauCt85HGjRWEBBeWqEb05N c8D6twXcPZ79otjMKwaV/kZ6hz8aO3YDBKJn8NAsG1ZBKc7r94N5OA+xVCzyS2E5mMoO2xBC49zQ V1UyjWJXOjxE5k3CBFopJXmKGQHuPdDCtHk0zgm+a11l0IkjpeSbTbI9V8kAjcxw3P+JAiAR1rvN CT5PRFK0iHrou1eKfzXrWLMblrP2IhQ6l6iO1CIhHkJKnroOd1PxsnGZ8rcwZWGMm9Wy2qzsbhR5 8ZFNB5jfbkwaRPHU0xTa4Qht3qOLTmYo9iiv4rWL5yRt6ZUsmhnpL+c5bQGXe29NWkDRS//qZpu7 XCtv8TTMewh37D4MNp9vdMMq7mBTjVbXOI2ifSe2FmIRGPaLl7o5lIn8Kx8qI812vUgFUm5CXftN V9ROZVeud+Qw7z/OsrklLTdW11BZks7V/PKLEpUQsvohniw5O3vhnVyrcWYdra1i0p5KQWgBSAzA 4Pb3lZfVusgxzEhEdtXEoBiGHiCsjIDOp+NHGwHP7IUMmqhC5X/u+Av504n67I4KwZJlKns2ylZH FORSljMpQqACTwczg1opGg7Jd6fGGuUM/9VSW2T/48sFXcx6Tt94fDPtSVR7XMfq9BkRbJiGczyM pUVHcnWYhFfLO2CYOQ+oWqw7XElwamHkTv4bkZ/biG2RzLId3eQi8unFfLj9KSzvv2vRHbR7MG/s OygK3YCRxKI+BOUviJo7Z3w1Kc80iXU/47RlAPuYTJojQNyhwIxwqZ3vX3RK5IsaL6DMVFrRKQ0z gqnIwnp7Sqrk3aP6wtUuwxqU0bBaZYWx9xC2vLVQirXbtxG2vZ+a0mbDatMW5MvdIVAtjpd34WIf G33hIQzrzdRj+s9jD48eEPPdeJAWdXqILAKVoGH5Wpyzl/xz7lp0DtneFA/RXfG/iMXNpoTZsnBY nXz0G/krcZGfneol8GUq5W97aygKO/FLuZNFeql/MJ1eJmyWw3xQd+kGYeBuU1q9mDu+nXSq6gZN vAPDnJ6BAY/CjL0qRQReBco/GNfMbhxBCqCoRQkU6gXdYGKxrrZe5F6oRLvXYt8JYo5GlrgtM74p xh9vT1IMYOR1sbxe04734cWEUS+Hoj9yXiWki3qkmRttjnHPpGHp72MPvZSeRim6l8awyfXb5V1N 0QFU7rpoqKPE9TK+R+Lfk8DysKAWD+JEXP6f11GJnZpQjI9YQQWWFF1LBIDV2vl8zvdWF/27K4Bs LQVXFAw7zdAadGToJ7+KSp5yPk2r+HoTF4OuOpOJIdIWU0996IVBttQJJ21uLircgBwRxof1Fd99 4fDspTIe6cVaFGAaIsc0RTMCFBNGamL0Sz2ZwsienbRRwjWm1/0RRgVbC9pPuFvxEUqNZl7DK0Y7 AqNFuLmF8c/om9gbzGDc9SX/1uYPuQL3tPcNxiV1TTOSvr8d9W65bODFk8E0CZovDGWV8vohWmTG ho/bWWiVOVKAJMPPkhyXw/68fIapX5uwET513W3cDD15l/4tVeNLuZlzK0jaOBgPceXykcWbyL2f Xu0xyESl6N/X/kLSsobyjxhaQq6cuhluHI7R71NwRtopqSyHzJlO1/GOI2Emhk1RiD+HP5nGY2n6 f4oJLg5f9CBb6pS0EAklqPmDwrEHUXShURLHo6LXVn03aTgBCufMKGDvs1oxbyXvVS+uFABoGohW SALJn+f44X8z6gQGAIoLtSFVf8HxdwIf+Fmblvnd9FpcA2CtebIQQy51ZLpIlzbCIjeIS5pDd2O0 JPMa3zhuRewHcuCKRoCh6dn7/gZ86G/jrE/w3tpaXVGZJQPc0W+NTg/5vqoksAOQCUQEcEyeVu1r xwzjUPwuRzWgfchLxkVmcZikOJZHDRparoyjfvrfTGKQfOtNNn+BexA9FA1zN1rNRoBhp9Le4orG efub9tl10n7UcZ1txsc9jSphQ9hftjXp2X0uP5hLo9zIbYq42sdm01m2BOevI5tTqhvaobtaKnuo gCb9wfVp2NGhb7NJjTsMIzyxofgQnVNqeG92H0+I9ofGdTcPDg6EKIgkbLiAfH5fQbZYq+3MdzZK XoSrW1BWQXInVmZdOfhLKZEgOJKspYGj4M8FIjhBkQaj7zdyx9bCObanlMp7G0JzMCzXwgLMN2Lt uRkXOU6VDzd2WeyO9zdWhgWHTrj0j+S6HAabP5Wbwg7X1ONrnkcAi/okvUHjvq4xgJQ5atg6EXRE TbBIDTirXzGuxqY+PaH7clD6eXXZnZEYxVOYIq6qGnP8aTl+6279SVPoADGJBujSkAQI+1wXLvMl Be3MriT6cwkjlz1ByDTIDEZhGiiJRPGIwBQmV59Q5ZZr1VzQIDtmSPLPCbpzyt+a1v3OOVGOyUXp 97dk5QH/pHXxVYqULE2YdoRLntuTqz3BA24vKe+i2tT6UQSbV9pNuct7ho8qD+whON0cfYKYNSPj 2zHJZaFolAIuhKA3ZjVQCDQw4N6Ehe7Vcpf3z52MRu9Ln8EDIApmId3fIw9O7XCiKkEP3z8PJRpD yG1JwOD4Mlp1tRQ+bhQxLcap5ih3vUPYd0suQ8HThPhzOCzDAsa7fTyiO/9A2EF5E1YYjKZ0BlqZ T21FYjE+9ZqjHrm5pQwbD87UC+fb19dhiWpAtKDVBvzVR3drtzWOXDrkDHbv8MPq7W1enkhC9kKi zY5d1g4y+jubvGxVgcvyShVzng65qT6sP77scEekTtFw8bTS2ue61jZrbtD5JXgAepsXjyxeFgme 97slRPRdCYOjmmFGhmZ8AVMvGF6pX/Xrrxsmu6riuP7jwMt40xyPbg+VRVTJQkrSLdtxN54pRSaC NFavjXPm7tIHVXxaSLJX/43nnJ5nkDngZFttAR2Plprn23AJH3YCqp55PmJ/zLjJ8kX4D3f1hJ9s NXzTBSd2DeHpWX7p+vywuRDqlnr8SkjOwz+PlzH5gfLezh7XTng8ZJt0z7D7inwLybwFfAZox6Tg P7tFBObMJ4WrhPi+MeVUjaVeQsL+ONBwADuLWSe6jvtNYj0rN8B8vpEvAOUF+tYzV57j+WGVWI2c NVZYzQKa+eiWq+IhBC5qge/CaePg6Xw+VKK4tQWTkwwb9RFqVvd1fMyTlHe6rNCmccN8HOgxf1AB R9ukcCf1chJBqzePostW5OgcI5j/zUzxTpuikj6JbF9MnTg06TQCEqttD8L/tLwvbsOK5/0XO9ea Vn9dMI/DJY54jpM7AP+fPhLc5XD8YOttugxmQ9gPppeEYG6IuMvNKNJ7QiKzpHGmNMpyIdRe/4UJ f5cbcP3nzbqQI7G+Xga2yOidnoYCWkzqNfjtWSGUJRTzraDpFuNUO0v3eJ/3dwR2Rd7CNlKoswJq 31KMHyQhRk2YuWchvI20NwlGaPdGtCuZDZgND8fNODVtTl7rXnqiydJgNeI20ULa8x5yx9ym1D27 OVYC2A+FEwduHcL0jtjD6d1Haf5RoRE2SNoXbwx3dyKAGr8xZdxO6GF5UcTMGXlhR2JPdOEO00NK VFqj35xOg8idIGLXAyzVyqx771sJya69zFLoGzBiV0U25kpDo//WETwFTG5KR18jQH2OT34p1RY0 CmatubFkvZpGhABlfX05vMlpVAZNwmMKGuXNxtyGeeQr/KRo2zlAfW5It3KNY6hB2A55VhktR0VZ TtPlz/wTnIEjY28cR4fw4PUJ02lWJcsJGuToXlI2fst73fBrDQg8bLOy0yqgZg4vCdPEu89UEByl AfZGl8GaWOEJT1TDrGwBqU/na8TVZ0xvTbZhukd/OwDDesDFC9XKoqZeo6eE7TLlf77cB3iHwKxv RM9qWAU6e2FRCruPNNKO4GST27Z+ngv/SShNkyzbFU5xDv8+IXAYO/f5jXWnNxeoZEPvSxVyd6Ol aR12M+XJUCv+b0eZlbZwN7FVfTDGzGnVMf8oCchmFWQO84tlk5mm0En+6/FSI6FjB1O5aG0j3fIb V37jc9mjDpATy5DU0RCxKWfsUjcZOUpW/uh77IJUa/GuLK4BPTe6narMGCWC1bXqRe2ooPWit4Do oPJPvY+XwpXOsbHiPCkrF0vKKESVA2cQJ4mJOiD5ASadFnQFEYiv7t3g/5oft77s0rHOWbiLxADI qWjKWHTiajfCwTyzeEHIKk24pxUIcSTiwFshmzSG7dKd6OMlOQH8wVqVdf1C79wnf91f8X+xxNDC SH6UMZr8F5zrtKTe+221Npzm/QyZximaKzSATSVdO3E8t2X8ioIk89z3q8JGWjAYNaOlvcgoRx0u 9g5mj4LEGvXH3PLIRvClM/ZIq7DT3+qxIrrA2du2bwG4Kz1MkjtkcXggwnhEfE2UgxxmSsfa++rs BM18agIj0N9U0OL6NQuq6VgVgyOsX6iecZMq6XGlAH6A9KxuCAuGiund6lajhx00osYoQ4PMKsPi S4cNEdtPYqeySGxaffIYfe41y25DBxtZyTFEVRNM7VyiuqWDain2rIuJr8uue4yccnUtepwTtqto pSRGLp0t7ayBul4iypJcDdq/tZsAH4nMKFHUbTeQXWdI5sr0GE6RMWg5QP6JDuHomtuXffJlieXV RHCrsb0QERig20FXXT4jYmBx8v4ihA8SE2kFscybLeKE05qdlj4Q0ZIE0kelFaBPoA96WZgSTfgB oM22maosHKnhUcMh5Abxc7+3pIjlCgbAzsXFpurZWC7AL6x8Uf4JFovvCmI/dt2uE39BqhCsIhZ7 s5mLjwby9WTtp3OV1VqD3sGDgoZR6Mxbr7Ey1yEdxQ5XBvfqC/qLkuiRTlzQY9L+bggIyfDJjujA /Sr+dkxa2qTj8Oy+4CPCSvDgO9/4D37YJtAVNCqdB/U1NXyDfbdAQQQVekmSIuYei4/eOn59hwA5 oZynrH3zC9+NHYHxucau2vq/TBwpYCXjd9Fc1lDIpRyhERPeRdsY7vM9TVcxKylT6fb0qN+fW8Z7 2k/o7cE/+clsbdxcbfOtrIkqx9Z340AjNVwC34TQOwiT2mcP+0bYF5Ll5S9umfhU8QWhqvyTilkw FbXCK9/ih83OuYd/saPkz4SuxEemZZCl+mSjEzbqzqHEouXh4Jz99n5hNeIfeTA5qcrbVAwICMyl WPBcccG/5OHw21Xo/1Wr5S/B7UrevNBP+/ZtRlMHf6laC+j3h8r7uWGJlaXDRjG5/dY5TNIMHPy7 ojJjHnMb3eHYg67A1jbk1iikmWQuKnhbnTYDDNLx6XX0NJOmnZfGxq+PJQ/Cw1d85WfOsnFuAw96 hsJmHBhPmpjYFk9wKfd6QQEeoB8mAOJDvlwLD6pzhKXVKBLtTdZb7bXc4Z/U2XnpiidMhVVx9/p0 yRi4WZ56FXZ1O9znTM25JyKW6KtKs4Mdv9pnU6wj/BcdVSf3bPmsnwbbaF7mXIVMdadjztgXVpqM /fnHQlSl0wGQZ15lfxi1sso2JEE89NktSEhhxGs8/nrkwo3lKgbvirDn8Y3Uv04p5Phrbfi/0pXX Z24F0xZlgUaSkcd/awHURqYG+yK9nDYfiWbhplRF0FUku93xQEVsYz3hVrOsIg/MeVSlFLHus2md SuQ3GYli0sKlcJcJ9AJFPbXVQNhkb+DIVmBraQr+3kGLQek5EOtKr4w1ZvriaovF/JJ+ubxSOIZ9 /o5DC5JmcoiqmzLk8KREagjdvS7XnrIkv3mGtESWMcn9YC5ln+H0qU+V5ynTnfCCDkSt4PsI4pp2 jb8Xbpv4I7XjwxvM5B/pHVWIjKrMEF57SCHYI0VeA5U/5iEF0hp4aFOBWOtapLmhaOVu7bagyC0V fVhJYUqzsTmTP7CG4LEjnRSKWOTY8/tg1J1y9dNiklyH0glkegEk3MuA1hcwMJl8L7za3saVipPF zBJgnuoCYLX7kBDh9LZ3BDr+3ZGpuibqtxgKpcw+3JtjfZ/1WauKIAtcfURJ0Rkq0jCyy4qpuPsS 1TVL3JcU+Ik7ri2ZP1y3LQnBd32FgShlXjX947ySFYUG6+MECCcJ5cpKLTb3/dmWdMAF9GBKoK1s EXRWo54/ExYHD+8yXAyOGc9WPcaAVNKZCC4PwS2uLqcj6Y9I5rUgJcn5dVKo+oA1V0yjSEZeqda1 J01XZ79ejWkkb0afPWxnbWqC0WIUeAtKzWU5BTFVH8S5G85IobVkTrnTO8EFy9dT/PfEs3ZU/amg OWXNx1wqoEekWYlwqyVt7qxZGMjffi4OezY8ylbcXrw4Y3ZQS4Xc36eDKemiREM8f92aFCLQNUGQ H/LHEYugfzkyy6nrMWCb5+plF9oB6PTTmPVDLGlDcEXp5qDOnYJheVJhsjEMbZ5YooxkcbzcmqY0 mqvrKQL7A/V0b4mzpKiveWs/fv1AYedxHTbXaytWuVdNq8BusTZHmT/ZPlGsneos6XUBr0HV3GiW rO3jSG0FPX7lOolxMBL/FclcaEyVDv+ntaOnp9t8gLxz13e3b08k1lHJHK+sGXbVwEl8cs1M9U+r thyX9/GPtWFV1xzVw776aCYN93QpI7GF525lyp6zqWJ+FYNtPVIbq1EVwdV+qguh5qylxu3DUkp6 M+Rp9x1ZJ+73sqXqm1L+VlRYxS1wwIx4Za9IQkqmllS2N/H+Vfh167/RNAzQEl63mj4z5UkHWslL jDJljbO0CVRVYUaKUARlTrQiERymJrHYJ6VJ9ikr8+D26aVMflEVS7HC9DK2I+RDzqK3ccP4mGdT CoLClHlj1EQuElYsmB1cApe/VkHyw+zyRGwG67q+TFFMkpxB98ATmSmDtSeVbNbrrMyvpBrPaSma /qFiWSPLHrQX8NHco8PCSLtTwOmtz+rr19u5kSGEpT4LaQQkIRilZLgk1mV5hVUF6AJYTbg8ILcr ptSo9WpZ2fHQnU+ygdkOkjmIv1HGhYmORcZjW+iT8GiEaF1ELIEv0XSwadeTJvTw9LeRkKx6026X F3K9Cph8CDPr/v/xjicsFHcZ48bxNzEi3cdu+w3Ow/7tpwlSs1w0kNbx8xivJSaICyyNoY2/obwj PVv6qgO4cw1bWkyey0DzuxVoK8p7DDgsswrXbJ8bX1mkklsEOOd/PFu0LetcgRS/eQLzc6eZygyf hJ1QZkIHhj4LouhRSnOTWDwdFCu3Qh0/8+1h2QGOb5JjcFfEVQhrrXeKPERCiF8SdhvD6HJMtgCl jDjEEzE0rqinl5FSYRX4f03xffU3CwNB3OIopYYMNJffXU2QeitPGA1H1qqDdavWnj/MPVyPBQJK iawtCKvIq8ckVlTR3088uWQHMMj8NWXJHyscbdEueXeFFBfwXU0tmSD3C1kGLOljsR95SK5TKQU6 dwXB73fiDUDE0i3Vg89KVHdRax8KIvcbFcVzwe4U7dCixctEITzjOFmeKLyay5R2plJUsuNAzbCD rHKxNDMYYdUFdO6vfh2OUUGi27jhXQgMgQGxezo2oovZOt5yTl57lBNeO7hkjpCDmNMANkIlqXWB 9+Flb9NjxgFK1K62tXm4z1Brsd2MQJMc3iACvSejBg701ddkRDA84rMSlmnl7wKb2jCW0ZtE9xh6 w0T6GxZbJFHN+v/ttTz5nbDP3vthsYk3+xTACdIU+tSNeyVoH8IRSf+WBGkhReLzWWdvi6RKAm0N h3ff88tLy/VVfKUVV1j1/eNudWglxNTLO3YHa3XiwlNdp5afmK+5dSUACtaqyqIbZttLaO3wiuy3 Q+qUxEugTZzy4L4+divw95ybWzKxV3Z/ulNsE6cebQRJz/+2MT5E8idHyAt9/iqZX6wDP81ZKWx/ fW5m+xQZLMQf0tcjOGG05J8s46p3356SMqwbXSg6cfrwtlNxRptEQ5Dr67u1PiuH6rcNBZxwU4Z+ yTfYv7isJ/TsZO5I6iHBUIcEQKKXgq0cHSjlxP/BtKIwy2w5VtslBSpJD2BFybkFytWudgYSa5ri e/MjRe2f0CgIDkHVQP7Yr8Ugi6GNEojRZwgBOo9eqK3ntcI/RlTsKfKfO/LqX+g1Hp4dIrJjwqxv ed5mFWTbL6CQV0FF54xCMB6eYhPlAmHxYnNRMyFBATgPY9kca+eiKYtFpk1U9HrSpVXh57ZFKPeX WckEF3/pPUBSNPTcwgX1bzalyXc4GyYTMpsvi6/qwbzyCcUidWTtOZv+/ybExx8yr1hsrKkLyqv1 7iJUhrk3WVGGYc7hxCSqOl9WovczdlllW7SAcAXoeskO42TCA0hw9nqjFSA4dK26ZXrbuuGp23Pr wIbJF+ew14LbwLjYTL2j8dTueV4SxmhqlzMDuYDNGDqnx2M1i3Of+LCvSaYxX0a3D3m4+riYCyS+ FBShaOIfz+kw3JHnBsrcrv2MIxsl0rV5pS3CM8L72WNCrBRzhbmEI5w1VmQYEsyWti/93VoXlDo9 FBlZcUZhxrCWs1inx2jVUPviY+itFiocCTjSKjFBzGdMELlEIlbMC6h5zaMcD/pL6R+U4PIkIuNZ Rd4Xfh8dsWVYNwPvdDGq2nQCrfOEG13em1D8/nO12RVr5EbYW7bpGKokMn463LIHh78/+0iL+dX2 AbYH6ZZ3RK9HP2BwdEFAlsskM1qgSUx0PX1iOAxRe0fzO+s4C1vUtTeE56b5+Y0LdX0z+yR0IwPE PkQwstFprbdpX04yoVIDeljYKtjV5D/T3IbYs4NgvsD3shwAq8mm4M/hRr4RkSmTfTWDvjg3avz7 bJ5Vj6vtbn92ojC4nHF1MnDvVmCMLuy8i6gM7jWIv8PQQ56PrbzNMcPn1TroNMOlxj10ihOFL+ge kSfSkJ9JqG2rwTWLXDD83h27aakS9HXjE4zs1r/T+gDca74rP0yFMGtM/+F7vox6D2An/N76zh81 EW6iixmcwqaVMu/TeaQURACD76wanSQyqDlphz3+JdqD3moe0RDhVZycpv7cgdV4VnFKiZBRwekX dQP8qGQI/+qJndN+0amBGrfTGDah0gbufiUoDHdDpXgT4KuG0MSD/Mx1WWJgQ8rje83GIzn0jx+G nbjFstUXObd99r2MqWPH9F3CVCAMWeQzCQtbfPdqyXWSoeg6KKm3bIZ0kSc4TVILRFjnke7fJ5Oq JtXowrOjNW2yuXrD2KKoI8njW2KJJth5nBO9CAjqHhHrEDX5nWFaVplCYge8JNGx7c69DcDnrMHx xSzlfNWa5vaH44TvIkuU+4nYa0nhBd0h5+LpLatdgBOqHMGzgixHu0DCDfYaIXdYBKEFGdo7urGY G96B5In1hoZ9CE7vY+31Cj0YJ3k1gDLAkRLB01TK5x00Hv9jMQf7abXOlaWwp3bvUEN14zW3CYNk gjxhLaj9YC4oDi+NbvRcXb5RpToB1FqKxGOYo8ZfncJE+Kmn8cNurC3i6II9JgenIp+3zoHXgXHY vKLTiUeh/IsVb8HDnhHFKyuYQ8g3u8CS6LshXctSdG7hNSjNsd2xRpkzGEx0AtFgqXbCzPl1QI8E 4QtQtzuBHf1YGPCKFlZjqRP/OlPNo1Q6jWWOrAtL17srCkL6amiRkvrNE1+DZ8PtrAShEUr8muA5 rhsRp8VL5ARI4/gDZwX0koELc1U8Lx7dzrHOwb9z1XDGi9k7j+H2H5SI+r4ce4xEE9o+vZKy5vjs M0bNRDbCmUdZMNQJLXYVpEKt2OmQwdT1mDsBo7oohwwGxU674ucm97BDvD5OeX03nz3YI1c1E/lE IcwUmLgmfCPmMdkg72XWKRT5HnvZqiWRaTlnjWVTmCcxLHqZgcrl8I354F9ld9i/2KsYRMqnX2Ov JxsITWmmPlj/uP0tU40WLAU9hY8BMFiqiqoire3jHpGzam3Ncx4kXZ4F3IeK+lymhaj50hJt1nlf aMKXrhXHG3G2SV/2Pqr/gs6JdM8REW65WclEazkKB+DAZA2Bi750z6WsjKh47uQXFycicxFPPBAU nD24O5jkZHZQsLXcG61qgJ8xdQGM33YSqPhHbAHXdLIdMZFAu8gHi6oZPIQ+5FIu40FG4cvP6cpS 2Paohf6LSTD66E44fy8JB7HIcGSN+jTtknkwC0QPuD40HYMHMWj9pLRe62uDWhOAAsDvrZI5YWBp +Ajg5QkxdJdCF4qZWqT3sEjl/bAf1dCC2F6wLwxlbU/mGTpIp1XB5G9Gkkz4pCH2LAKi7/Qd8HGb raQ5WqedXrmXZzAyHCWfaE565RQ1QvuyBn8emyHnZdYgr6MM38NdOB1iKLwa44nkK4GUQ4iFVukH eiTvGehl9H/uSfHgVUG567UjM0uAZdIusqbykhIUNXu0GMpyaDjK0V8Z7p/W3kHT1wislmnYSQh5 SIG1pgYXRIfsdLnl/Qi0jDwMHzBXhaU9PdckWEC4XFJEsMOZspaLbj4k0EqP+mwK8Z+iGzSorj6I J+EwqbqHUcWitAGgrIjQ8W5/a+vvlDxvkafsiIOiNslCI6+GqUt5Lr1FDl0feXsCQS3w0XmqYNNB ky3QK7gfnRmEole6eAu8+Fgmvt8+4R8x7CtVw/iEmyJNxiQZm28TLOrLe6/RPfruxp6J2CDJejLf YBDwAWqxIv9rrH99NqOJgbjRACyJ8hC+VVFbNL86Ixaqegqd9lyUlxh23HnvoWv29qhQLqcSz6N4 PCMZ4OOsXF9FwM6Uetyrp1JVo6MEnBXPUnvRkrcl4cb9ZV5BnW1TqwNwK2ubqslVLhJoEIXxzoIi lRY6O8BuMP+8+9g/HOIRlTZvxuVW9cLsuLyxvnyv87Eg9O6yCQRcJQtRoBxGbXsYBFu7tKmrtxMP VpJWqV8p/50OctxLR+Ks01Ecf9MlFFja8EvnXwqnOdzJ4mjA/bNuVZ9+2HmiSvMtUkkFs0QXDtlB j+PJZgajbpNwh20ePVFhgdKgUYR03EZwaqjaWXo8enKEC0HOOkg/68x10jE/2IuCPgGIThyjbMM0 20IEvl8h+dePs2z6oI0h52jGLangLN3XaeUk8NOcV0sktOGA7MSnAfqxK6ZTIP5XU97IUhRO3Ne3 D5ueMfX7TFMmCpAjja9GlaxNE1vzpE7kyGONP+O1hL4KO389AMfnHnimnFirqw6vJSSg8xx4L9hW If0LMqTSxRmONidwoVfEJ26aAQEexJVtfvkfzWNPe/2DSeGuK47PVH/sc5s5wOHaEnlSihCLm1C1 pND/WrVrvQclHoe8FL2KqwjYuOsIfmHB04MJd8uw/4Gaen7gN8DjWjYksyLGs48vuH5A+BWqZkqO DQCaHK0mxRX8UPK2eIHrG5o7PfvT9zS/XuyXmkZnGz5bjrwTNj8XkZyn6GvsggNzIpyWzpjE+rdO aPvt7ZkgVocjjI5x5bpwDsSd892Sc9wZVnbhiuHYc1KblCUKqZEGanCe8KSuLu69N8dl4uZGYk+k T3weDCW/3U4HdYKv3WnODCQUcAnUkJCLNhMw0B6iGtgbZZOesCGWEd6Vf3YH8GYq64UEyIs4F+hF Yg+nluwprpHqMHZ8bnxXW68gNk4KPCBj3WCVA7eGCqcSEUSGabp23KV6fjGYNFmZUQqdif4VSL7n 1rP/BVkz/Tu13ru6XKeqOsRePn8/a08rrMKOIHvXFujKyGtokqL1qffIhxB2fNzPsDr3xd2Z37Ls bl0XWPmF5EWKKPZfLJUwIrfcqfiZTkH29EE8Ops5l/zXA+Uh0CvvCEKB/3GYpBflwKQAzD+kZ3Nz 0VSgYVU8GLzOs2xM04j1vPhibVuMVsqjyp/skUl3ttcOEKcxakVrWdikM8yo3dWrXh28JzxWA5K5 qTl312tNBAIlrIyRw/Ulwr0dj4uFFYHokp0Qvg3tsYDA+vFAmN1AJUyndC4P0QIiX88reyzaGuTv 2JDPUw15Fi59Z6Ybnd1JmVoWOxR4qfx4qpzyIYRgpx6fxr3HkU5H8T+l11NdacbRKJ8yCyWmy1VA i8eQIObAEaEntHm+944puXC+G370UVFkNZwroquVGTVCaPUnBj71QF7vB3MKiRqr4P6QeIn+8q6Q 8cFeMjwahwfZSB4WSuueq2+sn4zhOH7ZD4F0tF54/mSQf4+JKKJgk7a2lxvx78q3Wq7frgkY6ejD Gyt6fZhlPkslw5oMi3Sa3BVlKK5w0gfvR+WJdjPFkJX9oaXR8QQPc4IU/PU4zLL27aJg4HsU+27w KDPZ0CQTdlizsKGxtPzxns/lCrJ2r7iXdScy81+2qcGlAYvTm5fTOBt/unVMvqXazx8bY+c8O1uU OicoAkG6BMffqzyBHNOdTxOeVp0VujaDtUidoxwpDVfi/3Z3ZMuECg+060UO0iy0NG3P2tT+zBCu BrryOpW04k7p2KRe/tlN8HMD9YhVQFYFQLaM1YcZ4olirdxJbYVekCd3XymqTBv+vzto+QsI/e7t vIvsK/b59tp6p0iTyoalek1+q+kQCXTXbQayXpwaNbRVZ5BuXUeddtPDaqFoMvSZjqowX9+RCrOY K+H2djaI5tK+KjJin92XJtO7sXJhCFV8D9HALZoBD8m3W+m/NjMNW6SiuCsGrFiXqCZBt2O8rjjD DyjAeQQyRZJ3Uk+0Vzb38yCnzjw9y1at6QEKYHXt33VcxsENoAD3dpC1A5YhFZKpoGSCXgf8dnIC on2Sm0aUNftNB/DXk/t9asQrJXOqhkbaxilr/S9fbDvfO2Jr3OXyjUpVqVBBQTDPgCIXqyz16Reg 0UvrQNm3Pxr/2LlyzXWZDTZRUi0TxvhhSbSkCtQ3NcH2472iVNzm54F8jZNq7pcVU59Kc5R2yP+z mj3bsd3Xx0jMWGYeZ/06MiLnN0uykTNdREjVyZO0/doyMBuLrYrtHdhhhy1g5bZspTpqhrY7SdLg 0DQWpa37FTsQfAOEFHuitYQ7YSDduosRS7OhDriwRL7fFje6eKR3LPDSSDkkg4jGxh0FL7slTl15 Qr9MSY4eaNeRZZn5N5PRIoKj7OkPV67pnYJXpZTUOkooJjiSu6toMbTzX/EGV50oxuxtefQ64PNG HP0TQ2e4LdyVonIiV5tJlkzjAxqGvcMkU2xvCAVhC+I4dUT0fpHI2Ud7GL6nwJy2vIgQSlC5Z/Mp zNXBdsUSVVuhk7VhNN5dksqOcdAzi9ax//V6noU5q9Q0Ap/1Sn0P2GQuHWNDpsNko0DHXMXBWQ2X wGvygnQIbczFnVDXXYaRVcNw4pFBZnhQg6yc2fOxJFYI8NdfXC/264eEHXrNlv3x5AFdnjd+D1Yx jX2rkCc9wmes+IttFU0WfG3xxcSBp8mHSZlJVpnvnPkp0cv44ryqHbAFPJ/9EUXEgukfjhLL/DgU mC35e5YHEQ1q2PH6XJ9dmmnb+T/G1xMmswDzjURD/oaUHgWuaBv2EtlmdWMhix4RUe/RxSsHCN32 YWvvIjLP99aINpJa8Z1LWPEIac0Z4FAdq95FSINnZZb/+OJFfw55iKUnkysnfwGYfvlyDeAv+7WP sR0RTZYh3LwGcgY5L8+YIwsdSEOVFh/8Akd3uiygWzW5TiZYTRMgBcbX/DUc0SgltqNdN0VobOir EardeaZmNYoVy32Jlkj3RQ8ZhJJZxsvY+0GnRjIUwGZmtO0cTpAGfDknDJMmZVLwdN071vj+QpOP LQyzgPYYLx4Rf+bt3gNQHZ0hqd6bWQY65mjHmKFplDGZ9s579d9xdmAtLo58wWQxid4jllY6T5yG qZyUPbX+OnGFVf4DYq262V3ISrLxEaq3/4yB+v1c9bxE4j9rfewhOWyJHkRHXDlcPx74K9zn+91F CFfL4n6ekH29kN9Nncfs4ryahD0l1qT2ughx1Y0JDN18rBYMHuNeZtFW38lM0JcuTVoqHIFHxgh2 bJMx3Qv1k5z2KwLG7xitsXbHrTYmrP5lOWqQbd3ggLujPEd9kW9EqssxcX6YnLW4quH19kgOanh9 jSV8m6+2l0jPRPw1sgP0uxi/cItQzegn34n3QuZOdpdv04PR6HA/+g4W0+wD922dfn01Rt/pHHQR j9a2JeNNUCwTv8C3xFf9sBTOA9QHq5MlVfo0s788OHhGnT7+5ROCALO+mCIdYIQjo1Wwg2a243XS r3/SUQq0baOE7h2xipRL1RrvXpy3MdSPnDoolrbSKsdPo/5ZccQf0gUBH4J/rXYnPB5G6JMcaBxc Br3HHYrjFAaJv5nbSk7adsUAbtU/Y6RWgzg4Ma5XK1Q6BoKUYTHHmXmaPVV6qOg0HZYN6mYlGO5d BK4CzVHnXoXvAwfoxnKfmfwVTivmjbfvAlAtCb9pfBB/uL4ST27gzXwi9HDH1+TQ5XKlL1/PlnVJ LN8/2uuccXbDvSLP3iJ27t4WzfObkwiQ6gBHyT00zwEYhM4ULOe+Smi60rz5nMPXFoWNfNjeS2Pb p5jz5X9UO3/v6gNRFCpTY12UGvomoSmaPrZ4VBfOmvPo6Hnb0Cl0+CQZvPohn0SpNIj53wF/F3gc VGjnXqrJCfmp5SKjp42/3kBimBqUeEHnSOBCByntMa3NxGtj7HUwByBsVECz/HGSU6V6KWcPhAfo qmLx5s/Qh+1wTwnLYaFIryXzjQ0I6DsbbP6wCHR7zwZEaaBVpTFrlT/3Xapp8ZgrYak3srV1uXeU Hwn4uyqSQPDud9QYeelrWMZZSFQ9N/iltYhNCJwB/xDERIYsuMcftWeH+E6PtBjf1KNyjwBq7KBb Mj8AwH+6ZAAdMO+UO/SSFVBfRsmyiBBYhrQve1eRD7AU6Q3furYJWjd0p8sRmXcK5cpqxDSqby9m KQ0olP9Tai/jRBr/HsIw7Ulr0fxvhJH+ScNmTIJBaFlQ4IUp6OshCxoyrtlFUN8EcuQnPqXB9QuM JwxVtgjdbRfOs8ZaO7pYQ+4mz+hQJE/8J/45hXLpxwQYoxMaaBb25IlkoW3Uip7GNd7q0IVuAllq FdfZ0rwbHCgeI3vrrWUr/HCFQtVBimEgyY0zKHRMZu6ddAved08cddzsyBrnUReSfIoWSXqqTx24 GnKq4wPtUWZfD5/BzQK8423zAYbXIFl72x95m7vs04oIbW5Y6c+//Wz2DzaXnTF7aN+O1Xawdloj /CSiNjNnGAYu/OKHCcllfQtVW10bSEjvDOk+7tPIdsZaevEkz7Wp1G4tbgIj12tuwGc8nMUQs1K3 fBbfvNqor34J5KgqxcMyKMa7hWSViE8Cc2kHkenJM68Ou0sHSFeNl9Y69T/ubxdRmvbPiW4wxnFi VxmT8lOyF0/OyECg0cGANOF7HlSMuPNpm9yqRktoA5/ZuWGkBEWzuYzhxfecvYXW8ad7XD4zXWtU ZOY52DkuSUZeO80tOZdYcRE6Sx3dygF+SGahKPVA0snRIne8OmRAk5KccPPxiPGg9BYjY+1L8Gva za6DulhzV4xFV/HzCPbuQdslyrXZFuRZ+0Ipvr7VnYiJGp3AQkQ4OsjKWIDckof2wvG9xDG027Vj fU3Irj649VgeRCfFar4XDh/xSOoXNq1icFnW7u0TW7dYPEURLawb1yMklQB8QjHhS3dswf1QH5gN EJkcIz5B+XmXfcgFkJoJnsMvPgBrVKP40YYisbn72JY6GB3JYI83Id0TJHu+dHe/xrZ0wNHPPCCt uW/INZFLCIDZVrAzt8hB6gaRLRV46a59SvyEXHVobHpNNcPlrwtCXW6w0nzzHDP37LQwBzzYhjiB 39wMO1y6SL9wlYurkHNXa/c5+kivf+IXHRZoHGukrSta8iACVOJdwJsWForn37plnZfMHvBr41nv QBkVTec9G+knC0LMZU3nbLqhsXuZiuKeU9C4F/FfTHVNLq+iSVR+3kJ/7A1m8A7GQ92iDUi6Uolo wuRMerkX/jHvw2mOhzvXyAjYlyG840z+sfIJ1I+MXUECxbkjktdpURUElUEZOiWvSGV8q2O5l1TZ 94YpUfKee927COpqv5ZCPthIFfJxOGz/9muwFjJv0gW2Uiy98cZ/rzwVZXqKFtnovyaYn1Cc5eqE 1k4zWIXmIcmqIUr8TYozPbI2amw4U1fA7cy6lAG8iCncuGg5bEYmUYJyRidtHDESBmCftZVBZAQ/ eZdceUbMdUTXoVnC17xY0GMGjx9Q7WGR+WbEcYNRBqhq51Md6Bs7eHJxNCtbavCJH5gVXLr/1u4I 3TCttwi2kZcjWN80eTLWHBeiDf9vtqe6utaIwMBssEV4QyjREku10LY9A+6dEu92HUH2bsEfogTQ MqWCnRdvpkHpN7Mt6xRPed9pldZjF4mUB4BYj4wddeDAzDamlgY6ckNQq4WxLZHebbQqO7sUFo3/ crVXX4jQjqO8DDrVG4zAKZmt4ksYcR8PC/j4Ar5i1prVCeaNP3HwK67l8pO9vEg9EIeszeUdP+uz h3bh5+J5dLatm7HsAbE2YSFV1HP6UHT+f2mHzmJMgCRTAzmtKMN7ewR32hNWm/fQoXXFGuKOpigF atq19Lpp3oOOgJoJFnVmFpBQitnp8Lm/e+3SrUKsqCKak+OGbEGbdCXnwx2l79kp/FR1zCUJn+q8 eHObE4nxAPScXJtWuKqQLSM0w2G53AVj+pBsWExajUI9w6s/9FzyBXpc8qElU1CTCx2sET+oSF9N smSi+9FgZCsNgQnOrN9ahTh18Y8vkxmCqJHqJa+4dGQxY9HNZEgBYvQsrt63o/U0TeVo7QPnixd2 ItmlD17GRZtEI6nAwGa/Z79nEtYdsgpDBKXO4Y9s34BDsuLGqfb3k34QeU4DqoFnAWos2I/e3ljP VzFe2yFO8oeIBdkT6dIPIm7oVVtlGhsnMW8yfzLD2RMkoTRNf/uVJnpcxSaWUQhgFfSok8ukKyXN 0VX22KL2ziIb4fFMaU9yPZas9MHCbeVDOfmUPXXVrJE0aTxRsF0AiVwmd5gPLfKo2aC+I7AYv5Ll jnfExT6ZeOdT/mmdJweIfzV77px9L1lqF27yvjZC+E+5mpbi2L0pVhmrBqlpYjioSaj+VwbiaXJ9 SZZ3XvnyTJmOLfQQqDWA7TAH4Z77MH45F3aeBC9E2eK7w3+OE2OMQVn+1+iJ6zcH6uEebLw6kCCo paFUQsRwL4EqrFEvonHa7PADOCpe2Io7cghUV2hTmLwCMlEFhw6tV0PT8bIeyZ5raSLOv8neKj+9 WrWVBv+asAO7OH5+g3qW2uzQdBN6q2Tf+pLK1UBNQd6GfyTKVzX8Qauvogn/p4BBEBqa+Z3esqT+ DuCLYhyilS26vFK34tV5hsHvCZ/8rEqFMzS4999fgPVBIZe4sR6N9d+B9fJ+fSmcI8mZWW3Y7qHK kvsYwmSg6h/FmPaW7cnhQSL1mqMQj8nNzl67dtulZ0vD9pZ3N6uzYoW24tBddssgwKzdKDfbq562 ELT4RTr7jup5I7DRb5XIVS66SCWKbhyqS694igi/L45PcPP9p2/nbD9Rdxc12+g2Wtd6fe4y2HP2 mJMB6h84btFEdaN/fEH+aHw+azT19egYyTbvezQa8uhcMgRLypdfE+lxqA1/J6XrhxQig8+ePDh6 /fNwPTfNCgLrhWzkPAUn7TUiNiQ21bTSBo9bJPlfAsAx1o/QCuBJvw9yFulv1maPCmXJOBG6tqfj XjoQhvcRysIcW2ItLAT75qQscoEBjMsHPuJIP5ZO3H8oSn95KQhLNc70JBVyQJf8oQ9wcARNkn+q QRaOOLWDJBez+vi1BG31W8FUvh6Ja6qkqvCca/o+e1HI2U0CQLjwXeoDJACWT3ohVLIlbIf6l6lr 2n5LGitXJJM9+8NsWob+CkxbDyUJGWr07yDfQhUrqZkfZqzq9x3aVSF51Vvz5m6PewSIUejp3BO6 HwaX9V7pp46jiLdn8OtO3rixhVklhDZMo9rXNyhYTzRhW8QbpekcaJoTc+jfQBtZsIcsvpofS4gU zOKdSWz6QrJ8la3JY3ZCJCxjm2GdCVbJONNRrjGirh+AKtx6oE5Xpj+26aNf1p7toWHkUO1Ik9cb qrxBgPnube9OT0/cQEcCcz/2X8du7uTL1NHt7ViV/YvAphfHz9I71msnKkmsb2eX97LRokv6LUj6 T//tMV6rsG9GamlIYOEq5BuEPnOzizahTPc2ZABav/6Q04zUtwRdax43sKzEMizYvG0lM4vW4QvG wFnNcWM4MBB0uraTsGqSCXl5kOU/D7zrKxKfbXJZK+YRQ/y6EmSFukJs8ESByYPvRZUAq+GMt8WJ O+WsrG9Tw0MH2uP4+YgLzcCObGtrDT0wug8HYahEKBxzu7XzDqRdwzntTBfT44yPP+ndjSKoe9g2 w6CJQyW/gkrUjG8TtvKuEsyj+tQoUffWPdSjHBpynJskHslXcm8PDUuWDAqPNJ713IRlBPldRZ0I IqGInVF29rzhbxRubAdTJ5H+OxILUzadpWac891BQnFASeLy5JVuweNTuD2s8NeOX9C7+GlnJX+I CMzyYAFbJnhY9+kOuV0/FdshUHC24gjMIocA5Cg1UQ/BuKQFcGJhpYkDvj8Sk0xIU7lWvYa1FYvs jyt/M9Zyu0HKWfJw5owGyMc7WA/FQDXKf478o0llI9dcwMbToCH+csQAR09iA0KpcX56m57WlQcn c3qXrv1oy6pKgF4RuG/Iecdo/haeBhYm8AnXrISAC1q4OF6DpUK5hYZhBkbOXey0U0OAb+MEwJXe fzg+3RssmhEoCli/0kSwTs5Q0ZMI+D0TTsMAwo1ORPuKEO0264uRLd6fFUWgcGZGwFblIT0mn82y ac5058FbRki6pNjf3GrdtBQpgClT9lm1IptIr6jUZNhE+xFA62zZ/XVUwuW5ZDLq02fUOm6QuX5s sx/WmZomLexuZjpIEmuJkTCzuRZ/igEL1tmfC5PKZQ771ILY8BoZyIuA8YT+QmX4P7AjdzxwjhOz CrwNKtzXaZ95A3JrPjeD9BG2hfTigKDxYH5JEvqRpCWYtzI/6LQ0oC+aHBsCrxn4c/28kOfC5mC3 4XcwEWA5x/ZczKXJOB2z3Jfr3qkL1+315bYyEgBt7/SjcF3e0tXqYH42R+oeIj+jkoG1uwkOTdzv w8DSkD0C5c+Z7ucCkKc5p5YkZMo7m9JKnI0dsN26dlhSJkbrdmBxfDOUGdATmURgcM8ookK4Qb2C 4fXDiMqHlz35zl/vTelNnRcuPv9oTYXa101YUosmO62zHJJM0vCurvx8236nBht3630G8XwAnS0t P6v8uU/dGJUg1j4jKb0BtL2FT3HrwPCz6RoAgHIOXkLTtI0vL16XmEVJHOdzDH7jXcv6DgzLyqSY /l/xPlfBKh5ecs2eBdLaR0b+ObF3Ie5T9kryJCEVn/jwGRtlQLMqhzzVb0xtK/Nn8nQ4Gr43XrFg WMRfPfxt76J9jkkWqOxEUEsH1jaeSeedqwvWsByu6ONGQXI/FvGatDtI19TOjY8oeOVzN3fnfqwg 9SfanqVY24M1H2M9NuGjcBS1jKu50NqgTxeDrJKgnpJ0F4u8yzsqfU1xe2eQSX2bayNXCy9B8Gi4 sPYRWR4dSq0EBEiUd3BD26XpgxoctskXrKtMIf6O1zny9OamWSP+9cBH27hicCvlIv9iTic2X/qE 6caH7qKzggC0YBtT+kfxeVpbrNSmuXOfsweEEcxyfqdltgW0tFLUIX8mITa8pasxdN/oAnC4nhhE 614JLNvGZNfSTwAw1weOJHfAR+FgSM+FLDge9Iy8MPWdKACtRK/19EhKbrsCda68VGrqUegidbVf Zwl8iprBm5/0OU6RhzG9myl0LsRafmLSA8BEJ3mEDThSsuYfbkHAoen97BkFbxCUjuhUL+7nQwYf 6hDcfz0/euU7w7+UFsTmb3zLKIU/+VAulI+96yehBnBhFytUZ/Kbelw3r9P7T8G/zOnHX4o+Fpgy RV9w0ACEPYEehBEyM6gmWdUuYJKdGi9/1P7rnhm9Ier278ml4JgAnClJEs/HxMhHmOmQRLzTZ65E 8PAIlF80NbYjjfTYXN/zDQgI+NXeAmVL8tt4+JA3wcYerHSrzBAnDi66UyoWVF+8Pc5GyVvcjAo2 ijJKaddX5mKKcz+aHDi4pZS8Fogmb8MJL6flFt/eLr9Zwr1AW3i6qsg7B2PgWkk03Kh2lzbxRYZS UPsGHLhxPu6TFmRJoJMLPLxBg7cjrQbPqumEHe5v8c2FuQpH8QvkLIYVvd+BW0L5EFn4ie0yion0 VnSEWz/yyc08vjH3kSiiDZFl9N8Kx+ewSXbpKf0BMTv2GZFmvBC4Npm4ruwvr1URODOwdQWBuMno XQS8bWaTTdO1+Wa2cU4kIt9jmg8M+2COUf9ripVTa1T0eMiK4gmBgMyUFQdoy1EYBsNHMJiQ2rDo 6z5iezbOfXHVNKCWNB27AJXFXPtlwotn5PB2LvNMo2ALBKNPfMO12M20PwOzNK/5RpmpGfEK3xGU m6iPeGn5F8Z3Y1eG0TzOv3OiJPddtwREiJVHqqnHt7z3rs9gfutirapTzKQt2o/IQpDD2Vy1kLT9 wXZvhAoMEYFqI8I8LUVMI0+DsQT6Js2defgFGBoS08BS7l/3Sy/t22tNH3U09Oam4Nsr/XKMdGfJ ecnun4ucf/AOfJPoEsFwPvPIikqF5DzFWsw6h/R8dFQK3Hw5mH/ox/V7bB5tYrFQKjynZUfYWQD6 3kzpkX2dmeBLRDrAZxWuf6xGAxUNFVRCGyRUS7eCRdD3dy5/IJ3EA2Vhz+/SyQ6Ygh/bxUVXxaW7 cf5KR6gWcaHZZAWbeiSaYJRs0stFJNEdxtCyaWCyRjEaXIr8eCIJDta7X2DF55Bcs4Hxt9J0mBqt t9WRi1qIxVOrubFkc0DtMUCqkGSWH+mrn8LrBnRvSwaN9C8HV/z9pHxwPYDVsb7vjn7G8/2dwwHr 5v4cHfD8HqvpDi1UOVPIzT+xFU7UMY2m2IY8g4x4f9ThzCaSb6EwPhrJGmmCzWCjXE3edDOF4nqZ oJUQZDByBWqLmyHwGKKaIYF7WSJS+OPONpctOCfugT+v4kO2GGIBrjEHwGh9r3qMdDE8rozhHNOB J7iwwL+qr8TF1W74CnFMY2g/GIS+QnTfsOkMwOgqW5ulRJvd873sTzoO2nsZ7tg+8mHJCHbljwby dh9gc880qH8tsjKPgIKP22UdOXO4JIiPwfofFLFhPU5lC4Xjv3dPWfcrQbXEdZ2TrfKClFK5Odde gwRVmB47zoZyx4FyC2yX64puoejzhXF61D+PytPH3kN32KV2UqQJiRkwfydT5pnWRdRIMwQkQTD7 jNpTu24zsY1JRjgJ6pJ0MyqkB0Bg2gfu9E1WurZaR/5dm2K4yoD+Po9fMJpfR/W3vlTHswoI3MJ3 +LD8HpjLVDbFcKFHXUejzMvMWJ1qIp73QG5DxNDDk+DqcRxwFxyPx/pVwTayFXUErB46EO4uV1MZ Bd42eFW26VQITvFDjyKqTRZYo+kV0kRxc9QCz1XFvaBGWFh9FdFd91OHFEw+F+7CN0vLBQHyGQAv YoC0EdpBIy1T5rm5Lh6pK+qlS/TV9BsTTy0V6wBMShgI2CXLRs9ePaj24R5ZivO54hb298tk13s0 3jfy3FgvD7nO+U55tO3cjM2Izjb4psdBxE6JmQTF5CL6fbPSSVZFpUwlIi2u4ASzlo8DjgEQCe1E eAh3EIeL9bBaAehQ4cDt150V2kETzAXfBNYPAjupvIc/pKCec8EZphiKLXRJ3vZwvP6O9d4sogIg LPpkf6clYeHLPX9vm6VQLm15te3JgA8V8gcvzKTlDcVrl7BgXenKzLlcF8QmbRU0BXXEMa+SqAQI Dp8Uyl7VcAK+gPeT5VcmirRmibS+D1e4bX9/rx//xr8Y/iE5SwnBIe3BtDD4XvSHEZdvYq7quNKb 5X5a8Ae06bmTOzyNDxcSLeOEFA5//dr8TFWx9Lxs59mShZ/Wm0s71j9YxnUsGTzj/YSgrNbi7H3f bjCqzyeruxEzHRB6KFzctjava96VD9OJrfiyTxcOdviGrlQxik6tQxZvefUPWwyNn1MZyya2BRaE F4ApyDBxG1yQ8LLRwTBhDaU4bvY3GXb5eKi/ZVdiiTZmrH22cJdWaZqw/C+akgAZNN4D/ltPyDiI UMtPAF9HiM0CMdlxWYONzy75CaHocGkME9kKnLaFohdXUkjjMeGyi153APAdzcAh5foxhfrjr9vy x68L0QTL+7FRC35MAJhTLuIVTg3qT1Btw3RHhHc+I2/xSzbq//spxnVuZnraNHc3b+iy1+mn2xun RytsBYdsWOG6sYD9CFhKMA8z+rQa1H2AW2CTn8R2Jq2MzDXP85fthZgf8m0fw7NveVS8jPD+R0vd 4xqDJYWQJ+LSbiyiIq/TMQoC8r8R9Hj/+TIYChbtgRG1J8xfW34AzS9o3gsTvCzAHB9aGRKMvYYU S5f5EicWBU04ZsO1kAMYm2WXN6Q+fzVWGkMFFXxB3q9igk8Rd9Ksep+CYSNAYcH6RXsiO1xvgYJP FWwsm+gFdSjTdDvH5Cb8awSWPYVi6ypw6qvOYRQZ91bD4Ir3QGt6w7EtCzU7y/+UBpx2tpxqMR/P fnKGIzD9LRq/gWmQ9nvo5v7mquZcERAOt2dkVuaG4mtiJLmJWwtUxfpGY7R5PCsoIPBeJDURBpp1 1OXf6KDw6wAfrYW8kUnL4kEBnzO8mn0d6ul3fYtZRkaW9XCGxRMcAf1XF4sBrTgkCumygFGX8pz6 eHd4991iwBI4FsHnydA8KCQp80o3yra6VdwcJ9YdAIN72TH+bXkAkpKIbRpDHN31haowZEoRLuyu NI64XPWPDM0XHbQFApXlQIQK6w4eUowMtUyrtfNOkBOZahXu6CQCcWD3aaAQVvAZReWYJsgUd6CC Ym0qolbYgY2jrEMvT1bBq0k9lAeclsgOppiZAGB7pf/rCsFwc6UE8zpXFaFklgpHqGnuETVfhPL6 wwRFRY8ELwwlo0pxTTYAlC/suZbSihTPSw1ZHP2kMUDwLJgi32vMtwkjzYOorCMKZ50FHTfkWpvs 1VwaIOWgCXFcjGmAoIV29j29Qn8VWVvkpS4xJGQjHbj6qwK3XIT7Im4fQb4niz+EKZ4OWjOf/ESp WTdsTr5A5uLjlREnmVgwxBWCMXnAO+A7ftrjeI4PQS4BFXMLuicZDysqfvvvVGPYnDZLdHlYYrfT Xfy1ITQZKjiUHkrZzKB1UqLQ9D+lnYcdHYQrYV8YC34yfh3GCttUm9fQA+5eIimZKX6Q1rhWb4gV /KHDc37KDp/mF3doeZB4y7wheqnFRHBiz7xAyvHajDNKuHFqHJ8Wk+2u8Wc1/bbRW10wDEpvutdL rdzpaps9u/wARWKFwJfCoBiU53N1EOcN+4CzWcLRrSWh8f9PuUBSPMwM9WTLqHIo61VRz9TrRf+c 9WeyufOaIqnDie/SABFbcrFJrEkAwroFSdxP4F6vHxBFkKtScigRW3rLvCu+ca1zTEf+Y+MfCtk/ YxfZCySag2M1cMyup5OUX+ODYgIVgNvf6gCc/hZRl0aPu+RKEngKuqTnWMlbYHeDXMwpFuXHJ4qV EiCl7NrBbGYQEZpZt808XkQNLC64Sep4MVoQK0R4ahsEYDKuP/YWte+ndf/SZ58peh5oQ9BPf8q6 3IR3SbukbcBzgfMaZ+K5Jd59rdtufApOmhPcAerQT0hP3QYr4DWFyF9Ktop54BAlvrbCpx9mI42a I8gZkPkIu0Iw/hekHUr8XVvi6PVUUZK/yNDdNKDPl8yyj7hdZnBDCkNjMmNFZp+3qZ3W3qILraRH OUbTkFwYJ25zQdIdZomi1Gz7LsFNAZVQiChZsjXGpjhZr6fpL986QpSs05vSo6a2xAUKKEtmJA6y fe+E50PB5N7o0PQJzxiBCvVfb0LoQAFRoFNZe+60mZ/qPhodveVyaCwqR9mRe/yY052bpsF1MbIL 19iDIHrGra2Zg0BOzTxPGQzr7vRnQpY5ZtKmJD+CyN2ixlH/Vp+O91naQAhKcX32h6XEv4Mnp8UY uRq5MCGOM2bRTIiivgQUSqDl0tEqrrxLuMUiSRd7PDuGstS3/49DbTw8M2kl7alWmyoWg9ITqHJ4 ssxqCWMCXvCH1Do17Bu+vGqWCtniTmjoSX83n3TvI7qPzJghenzB0uqzH0+ZcwwxmXGtMoVLkMmu BhxWMG88Zp70EHJLcpc+lNo/rZ+oWBEWUSY5oa4DmIvhbT51WxW8ZdzT5xL0ImEkaPJN4itQRWKY VabLe8WU7tlKgJ+mq+1CtiueLBfX3raAd3aj2IwTAf7r9rcwJBXxFnWN3qyycbW5ulHHs1OMOvxI yDOh+3wiQu8EjfJ87CwG3ZEGkd4FR32cj4pGLfaGP/GV0opnGrITtHJRCqYQ+wW6k5/nJUSOj0Zt JqFnyepQEJQLYtyOYPDNNZ6N4W3l1cniaGNsiEIFvXIsK/C7Ty5IoVm0o+8NdY6tVNaPAwl3KwjZ Fyj0fZYCZaRJCke8Y/s60HzixEmrICGBMjVzhbKTyi1zBcbXGqpurYKHIoRial0V6GJz59mojvs3 vGu7JCrsvS0m5exrGTWhn+UTTj6ViKeVS05PtQOv34OG8WKd8DyEetSDvOr9JBEsIYLtM5T2WoUn +mM/JBPXIaE7lLwddhI3ipnbi6ds2qkLqXGT8YnnsDoR+0PEfBX5XCxk4ABRtJIfQQp8e5txpdtb RS6TExrkrlvFM02Icw7GLPotsciqt/7jyI0eYAl5Uvk5xJjQs9zAwi8JZ/M8wS+gvnSp9ARSCQDc FKdo1h+eGYKczLTQgwzc3G7Cr3GoXy8vZo1HNJKAYGZCLRhcLGnRGS2D+LpLDpXxDhhdKhMTnJmn JEqdCvCviYhK7Rq18jZHdKeBM3GUQiJUyKMr/Gj9fMHEBrXpXY9G/gnC1Mj5jvLzo215mVfbVNzJ I8l37EHzLoG5jD/3Yjhd2a9W1ASTMIzNAnsqmuePIuqZZZP/o77Uh8nBr0n8cD2TuBZW0fW4AShd 1tZy4Zf50Icv8dwurqpnY4rZMSoi6WcZAu0SmYeLVv6CrHxJrsQlrSBPLc7vbaL5wUDIZX0Bgh/s GZ5LmkQW5vJcJa0QF5P+2M4haWQNfqVzmQ1UZVLrFoCwuJzRjPiXdOJbOaBDaX/2pwGG74xQITkP Gk11i0kbTkec6/y9PpHk1mJ+7ml0BO3mbVUbgNgPa3UNC6hko5ADLKJQJxFIkNffY4f1LGrVb5hX QeS9dv02M/0val7ZVXBwrx+b94i1DTymEXczomPGI4Y76+Bkk3SEKBAoNDlpEsfGWo5GxrRSx+jH L5O7KyBxR3JkrcG7kMZ8ooZI6fZscZOQCZJRkduxUIw0LdFGvwn5NhRVN+WWOchrLklqCifuTVeR mSDL0GNNtStZOAkXDKh/ndzVPgRsMBJvr6JBP2qvQ3m7ZZjhF3xlhNBh37+AITwuVoPfQaQgZQHX VWy1BzceOkG90h1c1dfC0p3/8prMUTMsO42Iq2bTNPmEShxCmpGLW1ow6xAMHgM2xqlI1OmBDfsN gVPdWgvTwf8UZSKVpcNyb/112kThRRA4vKxJY+K8AbIqH/RMdrZ25l5l+HQA9JvHWnu95OljjeFh 4D2XXh3TyZlp5mJwaL/+M6cnrlQXKnJBbzFAjS8CukadR0NJwcbLBk61kmX4rEMqNTH0jrvMrSRq 8huZChCv6M5QhsF5O6Fm1yMNvusYxQC0afOGOmxgLrWNSgNVAzUjfwPQKMeRtZINntPmJK9yBEIw RCBbND7v0bW+8AMCBFSUZCpm0WllGzYQo1VMV1YsVQXAVMFxjBHMNjMRmpRqa/VgUxmuRpsP9C0p 2p1nzr2hkYG6AeBHomrfkGzT6+3qQvcgD4tfmhxwsq8i5z6kuzQLHIp352drMTHEypXR562TnFL8 RLcfL4pwZJK5zTdTAmODGzrDXzl8bbWui9qFcTWSe67sdL2QhFMfC5KPU7kHCwT0Wx3aKvLAyxk2 Zu/r1AxNV428DxgWa/KCReKoMasMic7Oe6vuKfhlf7VUheIFcvVUQi4D3eheCYR5cEcEUzbQANKP 5bXx5D6sVol/zXmG9kZMP640LKjNycDVs6TXiK9LR3zGWAQXrrNVclmjGanqRat+YZVjfFYbA0v7 gbx15S+Y0++z/FoGcfkUuvLsgHeQFRCkCNAKsl60mij0j0H71sT2VKymxM0OQ5Nq0zU6SMPF2x2P uUtxc0dfXODaWLHHESrh7gZYu9c1zyOwFmeUQmE1FmYkLvvenYgFKCB9ra1wSRYnhTXTeDAZI7xe zwUx/vw6Xg1GN1kUytk37y22rmu6xhUrEKjF+0Jp+pLzNyYnxR4QpXGOPcGd7EP9sUUnMaVyIW+q 8BpnqGsiRI+GU2nvMvQzpF8VVQ/bL1m8l2l9cLTutHIsNc6lrVsaCenL01Yb1DPS+TJ8vkdrUETW NM4qB+NaqMXZ9XIkc3nCInoKAfyT03SPS7FiBh8vSCsxOmi6VGtQJV++SEinisqzz81HgsamE4O8 3PGLN6QPA9P+QvplTMOv5jqKfO5BivDymmlNH654Yuz/CF8Y8mDPibXFf7yuTVh6BYSI2JM/xUAh ORz/RMYmnA4PRbE/77hcw4Jgk8SPTKzXrmofBOIuKg3EnjOyb61akgb7UkcQeA/wWp+6cuBTlDC6 pFRB3BLqQvEcjSg1YZGJ8vJNcAIrP6xelyVl9zFZl/imC0lyCZvP3dR53Qx4Um5JznYUSVYr12dM zd6j4FGg4q3HZ4UJ3LGv5S8rNYHtF6k3KkP7kKJmteV/rryrcPWvPWuk4hTgHHBIiYJ0m7x7uS8N 586A2Kj2Zl1MwlQma9K7w/A/2SOEKkt+4vFAlr/N8vFVqa2T3dkl3Pfc8UfuhTrCiazSFpqg5SgG ZyZJACg9nLBqJ+ZQgTdAlp2HZQZd4FbvMhpAG+/hKYn0Y+zD1IJJ3Utf7Wo+6gFkPvLzWJdrUd8c W3JupD+J2ACZxOCpKhSPUGRA2G0/yRx+UVgBuuT/3yK9L4VQET/UzaH2laCdTuNf2i+ZQNKsqzAE MmUJ9it7QmEwFIDOBmseIICcj5wCsoLQoCSnQ1nx4qEh1Sx2OlvJGyuKa/2ceNRnHbAPlg2SqAnX w0Wf/wDwy0dUnKyzpHX3qv0dUHC8hsE/RjGUZbKpsAWPfiSPeIAiuIsDH9rl0xZMpqEi9vCapsCf 8b05HVIVM6ZOZJHdTkn5XmLOgiABpa+Xrq93w/XNxczU+K1a8+yKTkONPzLI5S3Sx8tSAeRobeim V+TRwIOS3+0nUu7J3L4GO0M9Qu5/hbUVp/e5tRA0GYlpgq/4B1L3I/JCoKzdyKp9oiymRXf6jUtX VBzWrF+XaoBb0eqItL0h5inBOzyOyyAfaX+CLcX5xE9/q/QehoOdJO49JB6e8BVLBp1YzZ+AYURq uzlQjWedlGtxBQFaRr+SWt1eIHgv8MSmf5BYCuddxhZwf6/vZdpRld+mejLgvzRrbpif6TTBgNQk 89WBrCZRDwOWhs8uTEpiqDTKx2kjnd6xSUznrWRg5IEtFDXZFFnUreeTACzm7KMk9yMlQTRdrxzj DYBCaydF8FlnlzGuiVsE13GoxbfB7nmSFBQ2p7h5WDq8d3EeyJaFaRtu/yvex+vzhyEwjB/fVjKp uMKz7L7n1CW1bMckoj7kaFKg4nytFGj5qXIE2ZFmsfDig15EB8oR9hlW5u+qA+V0Tr909BiVStSG CZOolbB7ckw89gRa50v6x7HNXNVp3jCYVgoxgqYZiWkr8DxpGDjWW8FaSZcXqjtDjeoUfDCq445g 6MzRngUJ97FQDCd3cDbRdcNkKgKwvWksE4pK6Wme6r4sh/lDbcy/EAZOUYIiIb9K2DQhfG7Lko2c 6EM5TxpVdDuWbfPtdO8xjFLsTFq2CsIufeTJunSRw1U2+UQ9yd288UMAjealeD0t6TdhnprdffBj 4RaQcz1IE3n9Aif1pYgsjdABRNHC8HYm7CazcENGJVL/XhGBcr4KV48lvUy8pIWDTJkNHCiP6K/B ioXX88QwHs08UACvUqXQUrJ1NMVQ9fWOjZhmnCHKSfXJvmXETjHE8+VjL74iAdv0Pwe8M6L49MKJ +FV7vkFfr+kO3+/ShySwGv7e4KLhxS3y9m8jznQTnG0oRryn3y6BMRQtcWW/q3wCpckyljfa6idh Xld9gqCMQUGFzt7sE4DWYQFuG69ukD71QqHuxP0Q7dQ97wYATA3KHcNHx6rKvBTPOcpWUltQ0rbr x6g7BsJ1H/F+CeUC4H+CoMyAKrLng8qUx+l+/ruKocnXFDUCUBxMuBvUWcb6SMjfYdNzrDIa/h6B /D8INQ4nbbtDHPhG17CLoSwf5h22Gx+G+C2mjNfTcj/hBWcvS9tQyOJZpl6vCbiUB6ENiY1cmqwL iKLtajBVM1zg9jEhT2JrUByqdk/Dc3roLE0BqiLFIRKZqgfr6FiAxtOhCjWGjOjVXJsAwNgEMnjL 8KcdWaXM/t8P1wvQrDogf8+1Zk0ap4sOzVXdvC4XVc3gC5kBzyBBN/xhweypdZh6/2oibBIfk1lr BoqCcBFTP+qWim/qg6qVjL9rMpPyZ0NgyQwTLSzFd4EMLaxGglN3zsa6S6oPFFQEDak7UfcAc0b0 ABT80jgO4SaboRO8780r910Mn23s6hq5Y9sSI1DyDJALpOHD5FyNCjLMMNzeR7ZYQwkvkzpqoTD6 mM3zOZE+mSrMiXxYz9T2sGZoy2QO1xhur92FCOOt1wATeZbJ2jkLnkjWTVer22+/GUTvljT3orPr Sm1Mb5b1kOWFavkeG6DHElNycRgIPrzJNTp1ZfGAbL+BAFlxYdX+Va3hoL0tDwVWvmSlVJlU5gGV zpSwusus0i5hgri/7Whup3w5ax41Lu/o37QuWT6TLirp48rORmC/J0j7LmzVsQWrEBpKvGcDv4ns GcdVWl+swZG/TLYqtDz90Hwgi0hyPA+oxheql+tEhvQUKkBhVJOp5KY4HhjlpX69ANM3S1CSZqsZ uJ2GwZHSQVt314azbG8l0egrWXQxNbsiBzZ9jlL5C+w4xPunlwWkI4nWPvTEsZXuUPoqgt5s9oAl luQw6tD8nbSIPYpzbm6N2Dyq5IEOzAFKPvs+ly/A7verZbN7xEORqL6rrWBDZZlDCtj8VURmioJZ MMXTCcvsRlV/rqCND14hNNI1oBvvC1GrOjUi5VXsBrhaqHrBb5dzo+YJpM+mu6tVF34h/B+40Ulk OEGNIgKi0J5NfzXwwe5CqThYst7lGe/53KtehT9rUjVp1gVGmQBoH2GL5eMJdpWSgFaWP7WRWZEU SkhCp5gBFhkgtiP1ZsSYwMP+A7IhfP7d+GwnLC6BD4iMsnOJicn4U/tBpfBZB9hAdHwKebzL1b7P /4mHPiDYBt7C91w21LQN8cEEme2bc2V/C17JLya5D+KUTriEBd8pHVBTHPECsS7iWcUqqoiXIzK+ J610DyRXuqFzZvpqy5jeYjLUKB8hnGc1+Ec/YFE2B8dd57IXp90988XfNMjcyi9gX6EhL21j6Jm5 kACINvVGam7mdIXvyX+cO5nmWP+Fff3m3bligIBOaWGZuxrjtmjznroBHBxGu4z1Oa9zI05DHd9r Vzl06Z2KtYf3mw7g6whBSSx09M8JqYUSIk/7TpoYbBiUzswBZG94H65vNin7MJNXIm+ItO+SQw/I 6gA+IurIPBlXoF37nyLs+jIdJVMVy/AMX8j43LbKlyOYR0RClqPS8NDbRPorHvfxYxGu4TmxgCO3 aunQexxlp8VXlgcdUd2sKK/S1pmXTyxod+8pp8RnLMgSS+Kyz66WlpXOyQQgmVH5lSclo0d5Jqk9 TF915I7nTxz6JWLHfTPBRu5BZRmD194Li9pVLa0AqwujuuIuDaRkn/Wct2pH8pYcPiUNMHWvb/5h +B7d7w1guNSgmaIMIn2bIZKsrYAW6nY60R4YGbjP2bCKpmjEx0uJqe2SvA6iBpuWFMZGhgJ+fnKD 75KfPSN65k0f1z7soa4WCBMkTTysu4eSQxvTJi6P2239loV0sWWh6FXWwG3o+3SlkrLLVdavjW/1 iUhPJ71qFLz6a0SfdonnUlgzlVqX/aoERXxfAYCi/oZxErc+6mQNObw7ChT5oHsEZq5BNzSsJpe0 6zJj3veO+kHngyWFEycBtXbqUoFWrnh7XgaMaVexflP9/kG0fwqwEzBahzZBiYlaFdVNt7sQKJ+0 6C+uzdKzi0Wz5bnxsoYogwthKm6irJ2hngPqIBLXpQQz2ZHdWabI7FW8jd4wa6mBxREk217dNapq +qNmmi4NbnWnXV3HA31nEWq8NnKDrHH94YAlW7CT3B06ESlRaPwwnfvnqpz0evi1IQNkNGVwm2IO glPA34JoQjxXhIWqOThqMF6UQkdZlpDjkskJMHXHW17fmsKr+MENwF5ruQPOoeYgDHoRsgKHjZa6 fqigSDc9N3XVJ/v0eEdq+UxXE34REh+HBuTBYf7vzkQHl2ycD+m9jzK1I6wfWw00BmjDoGsBX8An 25EDUHYZa9SVaYrws5wjg4uibF+1wFcLwRbhJtc2K11Q/4WqT37KjK5k2g9jpQOZ2928IEhjCRA2 eqV8O9pRu6AO6Sum7QwLRu6vFnQQdJULNjqlQgeRFJGpIf6i+gaJCxagDvZDuUgCDFU6Fz99hlda Dg6J5M+8JnUxfN4fee1jKj+SFYu6mI6LGn51GKM3QByJTkrYPS2tnZ+PijlYmA/VbtIZpYGcfUe2 wj4tclQ/mAzTyXTFs1/oqCIgQtRef1ntEC7GDMrXitwOl3T6GwoVNrIJJde45XZ9J2YIBYvYokzF 8cmnBXAcgbDoTiRG3uqEVn9aWI7bNzQhN4hVGUksXweHobEbQfSt+Z4BnIxOsx/4NQVrpIs2syBr BH0LugMaxhz41TqW0INi9VG+3YKu0Y5tAfBlrTGJUx7aGgJrg2LJPu+RvDigoHFObdl/Sgg7Almr AAHF/e0IAIBvxO6Z++1KJiES5bnNXoXy3H+P6wf3qK9UonuoXTPMK57bLyDzUXUAJmUol7G12aBH tQ+0IWA2EKp9pOeNv+mtqxzSjzhC454+nDncIYEyj5qu7mfPMUTpIEmq+/4RXqbh6UdmuLXxIEfo fD/pd0fsRGe8GWOHT69n7dh9zqc1gTdm4fn4mjBMwWMagwh/9UMIUUw/Oe+HArr41Xr12+ib4aBn Lk/Bc4SQpLJ5eHV3NgA2A6dzNFhLCFA6GTlRGDpsrgsykZrrZ8b2sCG6yyFOSl5iDl8Mxhfx8PFA 0JyH3mOCohth/4YpE7dfq9M3tctHiHqb9nkMnfmyf4b7jEduigiJX2rhYls3LiDKgmRUIS67Qf4x dvNK+v7MgVvBp2Kv32EbY83Ey7+Jho57rnI+xwQAWRsBj5/CgPdB4C+ncmQZS/jQvSdBqJ6DoiTy SKeYvnxkbk/Jvb6xzVIG5UcCO5c/RlvKT7buSFcVTokpjE+kghzrF6FZljCmZo7JHskdfZj2P9rK 6iqbqbjwLsZL/lfcFrJt2DXgVKvQdQucRZOXeM/JegZ2uJCeoesN+yqrCIvsTGyURaBXDlc/UhEE DBxj1YMqBQIA+IK+VwuuSg/AYC7A/J9V1RZ9WIJh/6U9LFR54qaqB+32FPTKDhC4fUwncWfl/os+ /HBFY9jlm1V3Gi4zH8gbw40eoWp8YHMOBEt1wvGbjTtHkQC8Nm6d5EKi0fBe5wUFKwOVDkD1MiK+ j8makjyo4dWmwV8vXaS4eX0mU8sXD6zIX+3sMSbTZmpcjMvyolvcR6PUmFqvz4zPeNXmy4gqXerc 1Jlw5hj+Asov/POQwdt/YvJ6H9knILU1S7TDJ7JQhan0zenQkVUag2lglrK3Y46IgfA8Gur1DrUu RDM9TXwYqR4FggpdC8Hy3OTYQaYv408QOayVK0OHHNvG1mqTNFNHvOXOdgkwK/lIu9O338wVzS4v 74qwTmYbb1SYAoRFVyJ6qyqEptZvRp1RKWBm4O0G7yX0mU+kiP6fRUmApOSf4sodUihTbcdSC+xj ToIaYTIN5mbKII13CfWrZI6QAf/uK3/hXbqY+Rim4vEHTQo8MQKN6TihlxpBlpG+tC+qegjA+1tX qUuWvGQ/3z7hNGF+3hLksW64IO7ln89AYciuix4dsfzF7cny4iLNsE4c19tDILdC5It98E3eRtVY sBXsP7WH95HOnWKXiKTRiuXtJoI5AnZcBCcZ4K5BC+0QUy+/0ohUyZl2edQIaXS0q8zaz+3NgOeY dOoHBJYgLeNApFyhxpTpAuUcIi9/Gj/sNYqYWtpib59bd6CImmPVSTr5I2l9jEOQYMAzkuQwC2Th rn1WNhp5U3PmkUgd9fbLIFij4YzL/BGfyZksPWYxx2lyl/9pA42a2KXHE5Tz2iSRgDcATiFDqyhr zbKm3VLSeKMsNK7h36YpY4wqpmQAmNAXCkDr44h71nSw5MpnP5Jr5k7c7KwvNun8L/ngPEFFG4Gy kpEb1607Gs8R/Z86f4/JtYIO0255I9D1McmSNpbwzCugJcIW1ZFKHSxP235hPUt7DPrvdo5uu+po QicFiFWg5O/+ZVs+8nYHHls8Tzva2O+gkf0nFnEozcyjHmNg9JHBrsCGY0AbV3nHJxjqGKAf5Nus db/yirriVmLlovD/orJ4s1aqdjnDu86MtsaDrvQXvPb37Tacv0Eeomkhlj/njsnnDmXihnLx6QVu P+/prqxqeTlkxUf8jcyHfAahMQG3/9o6NZcdB1FdJ1y7Ghpu8hyyQn+mFOLt/ZW1dI4ByqPuf7uQ 21QmhhUlk91riUGBkMSJgHjER/8k5LBre2gA7K/L+zvH5pqtGIgbSDNB2z4aStmaDbWkVPij1KU1 +y6nf780eD92Ps8wYcMcvexWYD4nDcsepWUszw/tgkYvppSu7OW6b/MHhsjkAg7CDmiNvTUy27F6 LH59O9U7Fs/+W3hW45fQEUWRqszzL6LB8syKflXPg0vQbxUpL3TqHwbWJfyORkq2e5Zeh4wMfVhb zuQSY5Tw9L1EkJDnKkz81K4kTXeBfKGAr9l7xPCWA3AliJy0ZhjMCm3WSGjg9mqHiTArpEifdevg YmmWg0pFXAd/uBQ8vMC7ju0NgBVbYrUlHcal8XnxoqBmO/qiR7orbI3KdfUd0/hsu3clh2UDYq/M pXtuQrvpOhGy+cniinY7gKmXCWW2HfFS0e4fn7dpiKw+NfuETVdGE+Nwp6UM7G7Do1T4RUErJt7z ribHwDgqGQEbdGLI2dY+GvPjOYeIIvThmF37SEFQEJraFbaYj0IKL1k0KtTIVXecERTyasMzJquZ Wk4g0RvNIZdZAF3q66B4Qf+Unjx8omBrCVyZTzM+7jqbIQVRo+N3v678OL112donAc2W/2JLngDq KBtu40g2s3SRpDQb591Dxld69wfMdxuX9JLE0D6cLrV+3w9sgeME22a1LlcGo4qA8eVkgQi+XicR iO1LZ1Vt9msU4lJUB+N63PU3qd7tAjwXO/pXV5zHASB68GEQ6AoDIvOMhRWzHxlsf+bXkrUXeq94 KnjTPwYPWwkBl9Dy/CB1WdS1JYQUg5BlqvbBzEK6aZc10/rx6ZhV27hCkjlZrZkpcW6g4sZnTsyL Wp5QBOq0/to/KSV5wfWaXuIPscfEezRbwvcR4jlCjAWL648laBAYLhpEWaV7SYIhjZ98eHoyZj0e hCmsiADLbrNbTUhFsiOYMC493QqY7bI34PWuMzScJIAIzKZoh4jg9AN0VDtMQMfZPmDioUK8VLPl f3ri1Nj9pdQAo9jP2afeGKk5YJ3/5lK4XE/9iTF0w9EJe+w4RnxrF/+/dZHduOzjq7gplsql9nCw Lxhiy6HOejFP7w9lWGe/dZmlHY+9RBvhMS7r8Q3CnMc41szO+J1BMyHOOzqacjWOtBONVs81jDxM mAax9gwgt67Z/hCGzDz6aZzP5l6tQlksDURvckH8Fo9BxsP4GNJxY5bA6xfIJXqQ0PqccuksuXoC PCw/DE0LOoRdYpFx8uX1RCNfQQCwbRVvINuYK8g/UtOB6F8hhBW6fNGxa/BVqsvMx9tmwh+aV88S 6bZ432SKgM4wwgNvWj37nSFFQfBwL5PgyUdTF2ApNIfU/ZfY2ecX5jSFaz4jZlmS2mka9YI8s6V9 H0cuwdG9IfbCJxUjKRwRVCOF9U0X1vvcEhXZ+33Ef3+41DpNpH+7Xqna6kzkzmaao5nQvIf+LzNg 55DAhrcNJ96o1Tg2vMsfJvXpYDVeB8N2PwGBA/aLLfMA7XCw4c0/gJj3oFTSF/funeOrAYf2vdEt tL9vTwGqvzL3qmrYi8HSfUPTReYDunI7UrTjqgbCUssFvXEjzsog/Px4bpa1zCha1YPvPw2Zw9S7 /0kmt3dO3lx35iNdfrBNSn7qC8TYd+++Vo1XP6q7QBRtRBJNgtfafsQrxZYgr8IvUzgovolRJLHA qUGqq/oCUJSzBdjHDJ+htg1DNZ7qkJSWiNUDbz7AkTZLBpPeVcsZ23rUQdFdCFC6AN8ywXYNbxrj iaIA0kg143annj4XP/A//xhdt/X4+lKejJFY2U+udjurgsEbYwX6M8HQKvkrDadLQpjRVCWx0tyY ceb+SAex1Uv/VouQDf5yNYelS6go2MnmfWfXVUb9wOpAdWWQ61OUFhRsDCcSdwpLynGl7e33cEdT hZijHrSA5j5BIYqLQbT4apSfTT8vE58QBAKqeDvO6p5zkrw77TPFHM2pGOIaabK3QFSKq/cwVJEU fbNsJvNh/mVuxjZi7GQ510lica0DkMdTFx7WNtQreHohHluuUiMUT86IWCAaPSJfFonENDTICckb T56Cffs6eXK7+1ty9yQdfEa+F8IxI7jG1MiQRtiGVc2DPisPrGOLg7eTIQTH7rOiFUfQDBIquq7r TtirQ6xo/uN/j/Ab96Y2wwqu84cmBPWhHdcwPPBo/yioPyRLBZH4+Gva9uJs2/Aa6K4579mPN+n4 4l0FD0IrurNavROF9uy7AMm05epo7Y6Mf6nrOaFuWhGC1X1YkHvKq0BTJ9n6zHVn2ooAXgnLHDsm gOGgcg4UyzJcCYFZs85dKiAaxYP2P2JFx9lxWbOEgW8y3RP6Zo03NepMWb3vU+X+Cr6BmyW+Jg27 /WSKCRHMsA5ojWGVvLvUpxdqnVHbcFajlX+eQyykW3PaQ3/3Vwa23XJkX+LRvzzuwrU3tjntUx7+ 7PW6JUtvGerFXyCt1JJ0PY3C/FA9nJZve7xnnWVYEu4/lSSpi3Xu/cJiLXvgq8uUuqELqMhllwOb P5AQ1eJwi8Ic5XDAtpLdI0imS8uxSBxT9M7zoZ4hmTQc4kNV6vnoBRqnVS1/fRVLYRm4BiyRwNOE JKaNz8Os02/Ol9NJgJM3M8TuAL169arShUA1UHtDZ191EKimarTJZycwaQvKtkWeJErEiJHfySV9 ExWTkZYh5GAVvIDvEt4hsnWwUfS+lnVYgQwfEC/uEsM3O0br0ZxmRYgDj6mwHH3+45sm8daTU8mj soBQ0dXTwcJo7UdXMWMRDFhOGl5TDZRM0+ZpQvMbbWTEHdO+kPVltmQ/HgUkiYo3tDZIITaSl8iP TLEwR8tnNQSog2gCJetSIv7MprQSEzi68pRgcEn/2t+4RdcWtHpaGwaFmfltO6Gwmcj+BfxSNNOt aTUpwuQswJL/sHA608SX7MshPMh46DBrXm3vsocj/pKWmsf8+7cT2q9JQq3Z5rIMCZ7Wqq13TR7q zfpDJ1oV9dNVGSlGZf7mtSo4ltr9gUlcGZJW93jmOcpqN9xRop1if65xG8e8YRBURNAmdEumL96V oj5sgxmrx9vgiOoYQ81IjgqGnGvSgM4+zA24RpY/Rq8Y5shOvloWN6zh6Mi/IuWza2VXAOlrQJV7 Fjv8U/PIX9TfCdBEpq33D0XgwF5OOqifvQ5TAeMGlzZKwUeVWI/k2vUkO3QKaBgRE1WxJICFUtgM 2dl6pg5TQr2LwQc1bi9ti2mwgRYGe+Rym2A9kT/oRNwGhmi+2TrDp7lXFeQoqZQmsiFarU2sYZf7 gcx+7br5o184BSVTK59G9eHyxKp/EARIJ7ovbYrZ8UmOl47elZ1Nfmjz0UB3gOp3+cF/hztm2Z9W JaPZhrRjd1ZdlhJoNtQ2iwwWQve1y4HZi5YUqWHO8yuwn6dU7GDr0FKfU86cPpGn9BHN3IhCKmLJ UwI9u9y6kC9Kr5iEw9y54F1QxyOW2EZcqTnFOmMz1G9oHbph1sg0R3NtT6gqbCXCRDkFmhDZtEiR adx0xEbiErUoYNfYNsO+nHS0KGNgXGIn+Y5B+TaZvEnWWEWBNTg9vWDdt28OQMGMW7LxJgM5xLjY SWWyRr4e6ojA4rkFPW9pzcWMnMsTb7tvzxtvxlG4skpIcgZbrtr2gI69BKzvwRWETFwsdhy92D5R SXklFmINkqZ3xGZcQ+Xh6kyzNBYnPXZRE1WCNc5rzyV3BNQ91d8F3MjF7ndeKZJOt1z1WP2yApU8 QUBuf3dxewdjzSvv1/BqTBX2LGC7J6wJCcBQ7E3O6Fry7pszLGr9PkrEucgmf8H/gjbkU9sYngmh soH/Q+phL+au7jzowTmWR33dbFXWJTJletUDnSEutbZv37UexGKoshos3I4PZ+p9ieTkUQfvomHi C0yS3yZ5WutoRMCZXWLbMW/8EbHserGB9hpqTzWxABCQD1fkzS0GdOIrmwB6ITkh4V4IYAPyZocM DY2G3aOQ9C+CvL8gqOJu/CojSmmZxyxYUTEEfOqbgKd4/RnsNARX9q5vPFmNq1Zw+IaOntCnMPwE 4KBWRvRqQJNtwMDQ+FaUK+4cAj/GN4XIlnxlqGZOKVxlysbzAQA5U7o5jNce1d8+EF4Pt1fa4TP4 7srB/FR64Ktf4zaE/vlk+rKsewLxNMafTgssr6h8VfZLvlnIQDWvln1/t9QMa/gieFtyAbvj+Zq5 cxcc8eI+Rh7ApTs9fjbnB/xNwyNo55OPopErsJqSl/gvObI7Vq+8iw/gVGTQkpVidPogoe7of0qh 2n4ANrh30jonpLP1Q/MbT2qJaSAZctcKUYfyUHcMyC38Tfv4v1Kn7QyHhO+PzamhrItl/90SsGLQ Ejrfb87s6X5MtVmtAaoriJ9u0hCf58pFga24PhL9ueW+SN0Qm2j5/NIminFlB1AK/oQK6o/kdgLr otgKWSci4BYvJg/FkFGUBlBADS4T4EHPGBwKG1WzcJHwTPDh475zGNWA/KhZu3wjmnixA5K0IdbS 4tBK3AXFBOcRXtA3Cwj0IphmJ2guO6kT5Lyq5YX4YPJRRxRqQmLJ20+6sUL4p26LS9XZnxlXl2bQ DX7nmUxxQb00GcQf7DDkbyxzLUp+XB2VnnXDvv2qsJY8z94S+cvGWuvR2IpImQ/7XI9h+tD1CXyg jXqw+iUXc6j31ohRNZz1GfUJH7i3jh8Ybij92r2MreUyp64iBWvKCAGm5XWHbl4QCcP//0oblDgj xaHh4mdtal2UCz6O8FD52sVYgB7XM1EDC62XyBZAEO3xBxR7axOgfWQAgIPphkGbXog1g4Nuc8zC cXdkuB6kjF2vhzn3nhPcpjxiV0KFC2v7+HFqHKnmdccwnRYMd1wIc8wQcLOT0Oe0k5ofEx+WoTwl rDy8WEzMLJ7rWEzYdg0px0teblKdmL0204Rydq0SZuWpSgZpqrW8hjqKYRyfcEfFmN7OaMJ1rViO ihSOoyEtQORoZMSU0RNNvBcHCT6isrVW44CReE7PoRvSrn065vZ1AzcHMyzY0fhXlnlKFc2jCJ7W X0Mv/Ejowezon18b5V2stadYvUsZpq8o5Qo/7kpcpOQZzMadpz2is1mE6p6rtwuqP66jJz//LuaC g44MB61we4bivA7pw9ROeEclguW94zkn9x1jNNDijlViOJ2ijBhKrWOrSBY7n3EZ3buqqUPKdcYV Syjs96UNBFYKz/RV+Ilvp7HAzp199lbuFpJvmaHMTaX8MeJDBs7TYcswtJj4mdWMrDiVwnKIaJQQ d/qzB+SCjkG99e+/iOU5Vk15kTi2nkUWPKmogXMosNNFEheZFaDyz+/2305asdy0tyDflvMaK3FS iiEsy0ienh0Wb8RQw5fnYThjM3q4q6jWf+hoyjS2WDiINLYJExNLPXHp8vEgj3xLmecckTs+G6oP KPlCA5Jh4z/SFQzdCC+/khWNaISqU/kbymzXq+q2q5WkrB95mnuxdBobXqP+jq4WMbJoOzhG/pJW bLX0kzbYjLChi/IvZw4sLH6TgC0HQwMHEd/EepjSxtVAYJpzEqJB9rvu8msDUMzrNTIivo8f2z/W ULHuhCZ9iIJYQ9s1ViqIW0boyQH12GKqXMDGIjdUTPjdlvZFKF1VLERSNYaKM9CGICtfh7f4oBka O+lDMEy6NKe/M4jNBn4VWw7q46igg/LKrl6VS9nXLK325YAMwNj75ytAJ8AMbv13m1fzaThin+Mb tQ9oS1OOp92v1W1Alq4TI2RpsztcnxyOYeSmKrR/m2yUtmovml4/ORV7PcnrqBrUw6+bmioq6k7D CN1l/z7P1oIPF6hAGmHYEPzOEvKwo5Fn2HlEWbRpMCTXBjKF+gtq3qvghKSYJcQnk318DjSCYFWa G8NganXetMB7jrhg/D7vuEW29XnrTD45QURhHbXlXQvY4pzzgWhNrsd443pMd1G7ZFkX93+0RUsr ZJs67SoeBMTOlndANd3fShazr2Ng2DLdKoOU+PkbPOEdYi49GHcVcZ9OVNloZZkoQTT4ZMLbIpXg 7PajP+VbYfFOaGkXM8oNukSzYbcEoCZiStJofX8wwApThLxXD3fq7QgFoUY4PqZqkSkjIbzN96Hu +ag/JgXpiwl28qlCdwQlZeen3fEvTbDQyFqeE6fQns6NuBGIEs+LphoiVNDrxZASoc/AKGRNGA2k 4Hvoj4s2xqt5kSTUMtn2uC+SM7cWJ7KKL8JHsW61oAHYJvAp5APHzQmndB9nSsI7QZUnhPVli+jr VufjF2hZrr8bNyqo/8YJS5q9JdPdxyQ1SaB3LvKrF0PBT3Msgyd5XYK8ChUjmjgIhd/FmNM66BTC OYHaqk8TdrbdqEm89Zd1sDSyivUBz+PbXJ0NBXTejhgLBfFEyEFHpfAK2TnbKkL7CxW8KMtaToEP dk01ngJstayFUuiNuNdDhfRg5qUwkVtgeq+PKxJN70FPYawpST+DjT6X8IKl8iy6RSC+Jkg8tRo8 oIwuTHe4YoD3Zg62IWzGrT751xsUOik5QVpY1+CLwWX7j/L7Y5WUeQzws45s7r7n26pJOFipztvc i12KHMP1nDEBw6jZ1pA+a0x1nx+ytUcqFIC74/BKxLm/CSOLGyfayIFteUHIdFIwpxp5zysLBfiI 96HzKgZtDAskFfrE+sxDtRhMl/iHRDNs7LEPi0RmyMfCe5s34158JUBB6drswmYkH6hq4LjS5Wk6 xa1GPrbL6+BxsDm7YVP4QQt0rpPE48keNzj9en9MOECXdWr6bfLri3GTmMfTJ419zkzRNSUYGEvn nOFvFXjgj/tSZj8sRqzTflgp5ogUC2i5l0ogc0X8wrYjcV4BtG5x6OJtPSKXRiHQyWhOkTSzp/9h 0Axidb9sbMtK5DND0RDk6rpaOyt3O7Bz8lsVT3VGzbm+2xTHbQgzBA4Csf/HyB284mqxsTLD6R4Q vwlN7pe47Txo2bXRbngQbrvAyOVM2zH4gAaOZC023cizxObnjoaIX0692zo6MHMqRhVAjj9gb3+b kDrRkdH5UEzunshVv9ZF/YAJhYPaZ1On3ZyxNBvylNxR4GuXHvB5/nZHEhGkS+kElJInVg/7OkWW 5qP2jgDruptlH68XLHNJeLJ+HLK6mnx2/dZrrLjdfmADq4nH2VFXvE3Ao8Uk/09jYNKUMvNl46Be qb4TluIdLa16IACHz5ClGgWyC+aj1IvQJKd7kcKi1k2bMj6atZTFbB4hsdJRbC5omFlHpvENB7f+ idycZPxNK/807lhT6GwE6GlL9sB0D2lPDoIjTGsOVTKjDunJWuPHRABaulOWPERcQbBiM4tDEJR7 Cb7yOOcDuDbSzsyc10CrtmzFjVqtgsNROMHOZTCWZcSCZXkIhA5hwUuamLPuGuPHykH51EQb39gN 4LMH1u6d4GFsNF2/qT4fmjwLinqnAnTvqi2ALKd91tG28k6DcblHGIqVP2AmWaCYxzVjd2BlaMR5 evK2zRNH+Li7OXFdarsCK+X+vDsxmHWbdhO3B/ClwN20wAKvJSG8Y0I/1XQWq+bsRIwNUmX0jWpT ZlEBADIiWFfrUqdkl9H2rtTo9Y4fzHBaLcu7HRitUXZcDEFcNL+JrwxOAOiHmWG0TzJ89F6/zqA3 I6Prn82kqfEDg8F19OHQKgwGjb9CfgUuz+Ay3S5TvP2ua1HCKBm+Q3r28Y2ra8XZ8ENXo8YWeZ1h kkrazyvx0vsz+l9brtYox+4FutGuSXRyrg9DDQa7l5KTBiBYg5TPmHsSyF20QhKSVPV5SJvB6Ag6 KmrMGOPwzujpk6lxbBRdFvYBwJnGl/XyNWvSIQyxB+OHag38BqjCrL/pqzQaFEe5g7n39EzwXAY+ uDpnoz8a/InnaEqwalTVCtTVcjZZ/YSKqah+mVxkiGFL3HjHHltx0/jAJbIJcEvRQR1rOqnfZl1x fW3sI3ctWn59Po1vHV43lXLHGZAaIdeHFhuOWvA3wgQav6rItoluekoeQIlcsfU1DbmbLhR78UMV AOrq5pv4l+tIRXlghDNnsPgGls2SdPw19uJkdXjQGoJ1xprmQglxjD6EEZT+2HvQm/aFs3XLNgL5 0daMr4dIXNZC3PENzITdvp7LGvYJCz3/UYQZZuT3F2KUSe2Wm7M37FXLxFPhIrkoQJA6fcagJ5Z7 xY9/5hsuxEmzPJAwUnWkCp+dFu+jiuYTKt/CuMCx4tAF10c931DyvGZtlUZFS+IvwI3GNfQC3nU1 aRaforLigrNnw4kfw4pGtO0/1y4nRS+JsrzLyCX3Pk0R+Vxt893VKa9wZSOm1Ry8XD+WtKMv1c3l f21Nd0Bm40+yKS+m8RdlNXAU2Z7NDML4yUNSNM7WoyKS0ppas/NCAi1M3wlQm5B0YkZk0eYr6/Uu g5NYcRDhl6kwe7cB8WXpwArlqcGT1cJgSvUCHPg1Xa33ZXW8Xv1ka956x1GeKZL/V4siu8WWG4XS GAF7rWFtHVzSZHy+ojS02IDyN77vB9kDWNoi1VgXCj8Wf/gyRlnDjnW7/nXPtyrDO+frmKmbIlmn bUcMdRep58L4mlz/s9pk6KH4f55ijHS9TluldU1mGRLa+SmuVkSrwnjpgKDS/kbqC0MIJPk9aJKN 0LtZsrEcBhKD2k2my1hZB9l4q/7qdz5alP7yj8eB7f7nQoz7lsdnVBZ9MucZK21Ey2ER0/qG9P4A Wv03JUGkLLnO1Ittcc8dY6WWu7KLPFlPTmmUUL7YED98KMj0Y+L4lD0lpiFKCdHyWNULzWKox4Nw sRT3Erz5ZfTt1+/HBHXGo9TE0jEMdXEBWsizqqBc90OXA5MsDPOFUfGqY7LEijKNLsKj4+xwDHXp HkPdQlth9QKP6iPMRpKuMoC1TwgmaQGDboy+ZkcseeTwqjYf4PeEqAunKRjCb+N5B3SXMUF4Cv01 TtaflUUNrA18kCR04mXqHWyfD6lep837I3fiFHKNkeerNc+KVTFuW7n12NNSCdk7gCgTHQcnD9rM JZ4i/IaGOMa1vcEL1/bwfxsENVE/bOlBcgxaLdgLR/LN4oeiIOD0aWV9GW7WCvz/iSiCaYbDapHJ pJtRq5FU5AEvZC9Fxc3VBsizGKcABxAxtZx/uuDipkAe+8IufC6+xcTl9GQCGEUB3V3QfndxUwah fCMQl/Y/PvQAUZ8dmcVQIbP91EOTisOYHOvmiod0VtKaEgmOjmldzg93zpyHDmpmC6flJJ+CdXkb BB6VF9APIX8eRxRtopuNcmMRGfhOFbQhFCBV2DpwNkIKB00hYz8P6DiI5DKST91O7w5HsGkK+8rM wY9OaeZJWZqV6LXBBiyuOVaxgZOHpcXAG/hvwiwr9XP7xx/9pvdKpXk67diwGLbMO9SfahJvv7k8 eZeQI2xr/xr4NE7MTJ7swepbDzGcnDKEGLlcRftTIyZHjM9sZSbFI05oSLw5x7fSepCBmA0yKCqS BxIu4J7xjgyIG8KD87wFtU/IeFZg+eY5hZJaInOC/emYFzyAU1TLd2NTZocGwwj0vjRCJPff3Rjm XEnG90KD/0pZsUKliPjNSQCAzq6f4hgwogYdU4LcrbAwrJTRFDv2Dniv6TubCdf2YRWQaScJUEpD Kv40AxMUo/1D9hoRinuVSvG2XB8Skwykhtm5rAXYP4EKsOH2vLavwxX3JbQNlpXenqq14d9JT4Qk FJ/K81vymENaDZPaVkPsV0khAfXfC28YuJb9DpSjiAzbgfP2U1IANo2aQ8bpgFjbHzuPcOM+GIVx z2sv24EFHvEIaemdh/o7eRm58VRl83m6ocEBbW7jfzq2VL7RR1mhDgsfG9tBkl1B1qPwLc1v/a3T HOSLqAmonlro3N5M4Vzt1Y22u6HVmeAnZvYogeV8TVxlyAnpNleRAj8YgWcyCaSnELKP/ynSjYx/ CITE6qttdt3NxlHC3j6fRki6Yi0jlH8dec69ibd9gLf78uruuLcrEL+g9Yce80gyuocZ5YqNgfVh HzaNDUfJWrirGPWQ1le6743XkYjkLAzjEmfCCElZ0zujxD4SYQcxMiF5vHDvIp2aW7MAJ5lE3rGH 1Qmw1fU85Z+eXJF3uOS9FanGXGVLEchhVels5G5FZdtAe7DEQ20ekSGZiBgqpBM938cCvgPbeYp5 QAKoN95UEwoQu8xwQM+LsPwcT3NmqLtp2jhhl/B3bowiDKHko1eE2vCF8gmLleq53YZ/MqqbFBoA Glux4uT5dcMAMVusYDIibuUDnYdCQ3VS/c6TWicP6xto6SwmGkLayeElNRO+s6osWm+1jQs9ci2T ELm2pS3Z0xdzJd7d99ZZFBbOznVgEEU9YxQCPCWNjABXli8TxDzcMsOWzUknfQxLHwHnRhiwmRJC gop8gLIKP5hbhNF05GPnP4WVxMTyq3rC8zHGWLbEPXLc9mssP/fIr+hySN8t4ww+suOvR84GRLhi v/DSFG3eWCpZtNmgzxDv7teA5uLKMSvm92JiA24lc0AdbVCxEu1PkPMaJzPbM3f4rTVt23p43HTP KG+vIH/Lt+0MwnrbY8WJHerBOkb59Xv9z3N7b7XTClULVnaQHwpHa5F+/jYeYySkAd7JIKDKKbEb inRF5KWUKjXpG3vhWvQ5lDh0LAbsyauLh9cljlYdgJqXXF91KT1lrZfM7yKpExtIyWAj7Jn9Rdvq JCSoFNi97vOgNGjaSdwUYnQQjw1aDuk6hg5F71gnvjig+hYd5IfdVzIELsv1+h4yzoA8PYr3Ptu4 y4mZjfyzFL/v75Rl+Qb0/wM3rrm/c6wswMnbsumFqTgixh3x3SfixNm8pHztmV45aCvsDK8Jl2pa HW1XckbaL6Tp7ktxCeKE6I/6q24OvX1P9TYn2qgvyGYsxFPcjzsKyoDVN+fVCLp1Rq8qyq0TYITw ObrrXCEx77w6TixKU2h3qQusF8ShDCsNDJnswBTc+TtMPuWF1H3KiPtYnfUkEeiARjSY8zC9UVTk 08qTxFaZnLRoimwp2DTUptvJ6kfS2f0vwUwk8CySKEofJbcOOn7greBn0/eBq+sDN9Qbj6xoq4fo /E4/askOArauIpxwaHXesJlHFC/jwODVcsPT+pZugbhBJudHf6V4kiPZnNpnO1WaT3e0cTUMAuW0 Ge1Xt+nTbY5aX7tZuk1ywLw6TkbL4CV/4bxXNG2m1bQHkm1Hr9ohdLvHvpTVBV5CVvKO408KJtyk ythHBdxvHOqUYkaAU0G+Qiy/eI6GBRunIiCO1RzOqYlNb1CDghJmqBxs/i0aZ/jeKCxyQdsK26pC 4CYewFfEjzbsynWN7nlD+O17uX3XMcdWGWFYi/DVaeKKgALbwqYVEQeX2mPvZx1i5uqDCUL9GM/S hQVtFpgvOaMpgvlnMl9tpNWK1yB2dC+FkcbsDh0T3NvmayGyvehfnbHDJFEL0kMlWszny16bWSyO GzxibjTiRD4PKQxhbCG21NQJz+DirTtHg2JS4wYm9dSlVobrmXdghhZTudIzFqL7bJvtmNeUaVLF mKPlgq4ePX6gfVCxBT/cyXGjgrABWNVykiiPa5Bosne8y9zsOLy1x+CcOv50BJM5GA+VRcZdkNgt si8whKefOT2cu9OyCVLbjQiQBbW5HYJrj/KUKeJNyA+MIfElF2xKW1JZPQ2N6CCUWeWL1aT0cnz0 eenxoPxYDsz15DGMaEgK7wBXx/5bxKlWRwT9CHzeejyh2u4rbg+5ToiCxZnTvBzSkj2DnfUmE5fK EmiZek0N4FiZsRA+dC9hU2U6Dm1w35jl73WwCIwNOLLUn6/ldySk2Ht9OjnqP9NMQaxnOiEdLdC8 2Fj/Wmq3qpwmXWBE9Pppyja5+gi1njqKokqeX8DqEZeo1vKvDo1Xy/ewYIoxV3kuPEZFMXWIMdVY KfSLGCp6Ar4xkcHXGQTOV5wgDwCXBTHzu5Se9Gb6Vtcr9RXj8dnub0Kv+Fd9Cj1JEWm2CCawzDA1 qVO30idXfhmKkdZKsIUYHo903eqn49dAopPz5RfKVod1Siv3A/aWpJM34KegtBqXh6qLDCp5fgXh 3A32PXB1eEz1vvzeAdOScu39UMHNGGJQhKm58TKk8Kz1Vvr2+0zUfJ+7B3zRAyyh/lXirSehE4Gz 3l/02qRKDJyqHjwr6B2JpNRoLb29IKa/CY9q1UjP+Li4IuyIqvAgK65Zzx0KXpv7+7iGHFMXQIJu YZRmxFsm3pRE8NC8EmYgaRkCJjkkiW4Ue9U9EarlguDZd264yBLB0S3x1s/XLtJwJ1QqLjV+QZQH 5IPHT8pbbV2OFbNFT8xXfhH+QRe1PR1G1IltlH9XnM6H3x0UyJgAtW4xBPPI42FJHPKmM/3WBTrr cedGGvOvZQmoyrweCaJvBTeXT4OzAwBixTEoed71lD1pztozRT4pvkCkziYW+jAIPliyx5+G4Pw3 9D19e9jYRve2b04HOy4OfOpE+T/9kVtJHUR4AXLNDhq67mrLVotKMb/I/p5e/k3xRTgP7Gx1vGv+ 3ol/HphUxRHfRHQk1uKiSL1d4Homrb7VAMupgVsyuxvnTCiFfTLCkBq37rv61f+FCy54GbXVK4Tp 2dZ5QwI2EVxkkgCrpF7nQklAlrT0GtSuKahPQciWTVF45RlsAAnwRq3BIOEc76vliylL0wTEAB2I XIH9gtFKIXNV7C9iqtXjreDPjrw20lpRgTtcJ7nozdorIU4UPfpMdxWxaWUbgeyX0QxDDyPfo7g0 +fLilkImNElyuq7uOH9Rcab80tdGKfwYy0WcW4x4yIwKhxGWH1VMWMNUQZmXcnjP6xNZyL0xCVKE ew9rsKUiqjfy9FF/OCTrO4eQXxCmyFmqVD4gTCJzqPPcYHGWWfAcRztVpUQCMr7mcc7ISMg970D6 H884UJMAHz07KGtKyA1b4AxnHDYVfixtLqWrytltH/YpWEMoY1/Pq1rEYdlbXwOxOFU4TS+bUwxx lOVBlG4DZhn3MlsT13eOduDQ2EF8DXqyn8ZHhPlcdqeblb2fZUTVr38pqXzQL8AU+Tm5oT/O+tfX +1t6aij/rMW3l+pJEkwrw3sZhe+h4p9Dm4k1zrs9F+q1sYZq667QN1hlBjA/wdTZ+Aevo+9SEyDa z1mk+sc/02S3Y+12hBBzeoWXztNqCAaye+MkDRMubD5PYmSgNfnDHUIEsxwEkEVn3+oOp/o0b2/T Mc7ezpHzpPmajFX+z5c9/CrJNVa1So5E72FLjX44bCWX4Ppc2MSbGCBbwuNQc2mQ3OZXDbsUtmMz sbtIRt4zYLJCNeKksdpHLHQ5l3PGVmi2PaG3elE5/RJM99eibsd81/vM87BbQcg+WUCjWcZgXFGW Qv7nR+4RkWjd8Rz5dluJlc+99UQBKH1kW7mDPXrm5CadXafAfBaHY4BZzrYXePCM6uTbNrz+c1KP P0CDNizptpCNWt3iqlQJOwwp3hpfT1ZSc5WMuT8INo7mic8n6uK8e1bo4qb5alNyCBnwYTVN6JC9 AZLqo4V9rrPJUHbvaqp46sFolrEcdOM+0BjbqH7CJopYMfQB7eQ8SPoQVW/n2K35c5QDUOxTkVIj G7smzOBdoojQLeH4D9ktyBs737DcyfEMK8SF0WBhwOP2JVwxQRKpxJ3ce+2uTLUBm0QIQXvg9oN0 VkU6R0QTVGqA1aH0syfx09FfypdwYdYfucc8SkYmeBXva+bATKf9A60oPFPJnPWM9+LB0JxTAxdq Wf9mwh3p+IDXRkpPpjj6Hpje9+VeRuIg5IV5j0mUlw9fqNGfCCK0OpC2xmagQtMbQWk+6gW6qtqG aFeQjk350AExzl2T0Q86iF2wt2PvXj/qsrlHAqIQsN8zXNxQggGQ8R39fAJqv7lpXva25ZxStH/O fcJ4/ZVAD/QJVQe5NodPMo/rB3hFyoqliBxeXd66ULJ/l0IpavYUxLaHwzWIFj6GxBLZgQTCr7rV OXkE6Vq2nwFJ+qSJbBmrbHfbHfT5Fzq0yy2yHO80HzzFL4MGxnVESaCYwTSJg1vaq4Kik1L6RBNd dCx5tcYf8zzQLYebpa39RIbAe/3BuVsDU4Sw1iikGgKyuRBmtpjQfejdBr9rJ4+ZT2UVpOWULTVK jqRRv8GcEHTPuU808/sC8hUtKYPEZfRR2SaP5WtVaW7slcGiV3yG8Y2TxLZ6mowejGtYPqAM9b4r 2NGgnBGLlfhprwe56Tx/qS0ijvHNptC+FXBkwHFV+mBCyCIsNDDj26/IcGBZxeXWYNQPwJqCA9JC 7f09TQdmPvfnvK1WAF6Ose4ldWETWtsX0IFFO6mOt9Feuw4+rsG8TvLno/MAGWUTalIkGqjZOYog jfBX6MeORZjBftVVWiiDNa/ZdJfMH+MVLQ/GrlsPePiVY8fg1sGNZ3m7EeCkrFjYaVW79uR1Ock5 4Me4mGcZJhgDOx+e1mpMMbQ6EmSuy6uwzbHIj2eUTPSBbtgIwItRztdkhe2hlj0kIZd/BgrCVv5v 7Yt4KgtIrxTvh2erbyWSArTtQAI6+xOwszH3GiiCQgu21+ii5L0RxyIweOlblbWYPsORe6wYqrgn KGe5h0Aqwsmjpd8KkgjHgvrM/2vwe5iQGmaFmqF9p4p4X9LjMmc+wBbzdVs2mmwwNVBbQuqW7wvl QFvrasUUkq5UFwptZDf1WzZu8LXy3hG7522UN1WG0lgTjf2nMHA3S04Sg7heex8gNjunnM4q85sD tiyZBe0kjL/fyKlXtIxJZzqvamlYXgvYaBwX7fJHvvbmYuDEYz2kheaWgH/VrS3t7Z7ihkMXSit7 HwjeFIsCcZWvDfYfpK9Zyvrj4lj4eTPAZB7Ik3shKEFO0NWk1xcjFJV05UesPl5OgQQAkekI+JMO 3M8aeFSn/rUmhNh7Kj/EmovsgJGpz2C9rP+bPyU/rAiBigHwwAT2ZKkWF33ruoK0LEfwUUHu5lZV oYlAXPUucY/63PzLtbWEhf48XrZQUrrIAzRLxh0hSFN4xkr2LTbD8EzdV7QnEJL+rkiY749LFozJ Xo+QjpErSM3LZkqV1iUedsfjLQHvfg1BbrfNvUgeK/Ok703Lv+s32tPPtNvLV1ZeCNb8Fq/xRWBn exBu7T5ZJ3nzvvHtbzocKUKVN93QM3Few8EUCHnmIztsH732KyN3YCH/n717nczpyrSyNc0d1oEI 3QYrO0Du0rJXg4Febg4FXJ/7KKVWrRDMV+L4tp2wWPndcPqcpZrAxFNrCYFhjoqvS4rC8Pd9NAOF VrW3h9PijFBPhZxpweMtmH+TunvuGLBcT9PGR27tYUsFxJ+3zuT9y3qWdHVY+yaw9/c6I546brbv qLfP36Ti45xRM0WDcAmwCsJPEVkSs6iPxrchZNVmcBS1gkIXOrLnkpehNn2shhpRFzyyOB1VdW/G kXB8U2BtMQF98QrWKL2MG3betExUqM3EiLPxa2g6N9oedbz7sFfSX7EfpWEAzAeSBAwJFLoCuNhm W5FRpWTaW8M4/dzYXopCCeEYTQ1zf+K3hWhSWn+NHGraRx3CWjeM/7vD8Op8e25UsnxyKCQ60gYp 4+RwFGpvd1e6T8eMFvCM39neReyet+EAvbppUBUFvSHjg1JcJk09iMduW+n5u26JZAxb5X0Fqi5s 8DNfwuV/DR4CqsNV/3vZyqNI0k/VhTvM5glktt2nsj/cH5HnJySII5Qnm+U/5v/LwruQwOdun9un SoHyqBZsJ63GND/c64tp6tyCkTRhJ6JGbMdu5PIPOvH/pFWOpXR2PwTr2LiUiFeLC+9VLC6Cou4H OsWIsysZ/GDDwPGAUtSYqNsG4ZzDKlzL6J2O9Gpblm7VG6MqTb54IHBdWrhqfe4C5jhcyKEILthg ZeLlRN2zXZ943gugLT23G2+t9Hd4UL0flBye4KbDb6v3m+reVrip6XtHmzgyVI50X0irJE6TXRPJ K+xNL32IQQDI2qPP+a52qNiUzC73cumYmcZX6dKBy2l6s9dJFl0Z6Rn4AvAm6Dd16QvSsb7tY3vH P0UIQ1lm3v+hVZL8DSMw2etp8CfD/q5lElCx6H3VXGAtUKm7UWBq/0TysPHzj67chYsfx1ABeKX7 710DntoXtnF1tI0lr2RznCVOlxHTeHW4tKeVAsLXCSeKguj2exkplCfVL7cUSDt9O4DgYYdCqUyF pnoXZmYQu5Vw9rwhbxqCGAjAgDf19pnfDSbIyJxJEupYFfgho2P0uqUNibNmXG5gWTktDZ37JeKZ HUdAX8gCbeV/iWoNEmlNRbkqJIrlO2uzFtOO0L+3+lUOI+oH44hDzFsaXZ/nbERDY97kwSaUYC9E CQcomdRbK7qXTTDRorQlQs9MM8UZYsucnz1zVfIGInH94Ts/xbwmf/3qneA7Z9W5Xq8KLa0TEaDZ mz7Ht9EJCmDwT49U2VBIHvNYISwSZ4N4MPkhGt01n0Kf/5ow8OOu6OJQUvD7s6bLrJsuPvJMpVCQ L5lgk0gdu6cv/a4KNS9mdKDX0NQLrVgRV8c0tHXznr6gWFWf0XzfEH6LA602uOhv5ayznugh12/9 UYfbxffZNZ6W1l1cGFtitLn62tRptW8FIgWPvEox9NLDV8El1YBAQHkpDgayHQyZNkhJb/8yxG1U OZ9AsUzdRUZ1uxVI8vXzCY8ewutkk/JK6fvK8vwTNFBLJ3GGGhe5BXOFt0mdICPH1r0+fQd0VLWd dPgqYOhXbQVIhXkoZsn/JQICCVLkK8ul3ei22lQwZCNT8vbUcXxEuJvapoCuMpAOQ5SnETinuev+ qyYAFvdN9eZ8JGOIGFPD8GylXuN6Ugg8whKWuEVtRTzvX6C5ILZet4IT6apQllFz1b7pbphplAJB EA61SZzvUUl/BN4fpr+PRpi07bZOUs7PS/5hk7xdedRxLLm/P2qsL/+evqgVJJx+C1cMkhh+IIym l3C6GwkWuvzUdmPgDQxresBOK62k01ybgLDjN8BGv2XhWjxuSYFhilctyP6KGIHYr8gG9aKpwWhe fzAtIA1Le+911sdDTaAq3kw8bbi5Wp4I/DB4YMGunvAcnZRVYiVdpORWh0f08uGjRHYTk7J/pCwl kq5WItgeyon0gYE6nrWd8LM3fqWapOAygrOaCFUtiFMDXs3n4Hxff3QMhEhJagny0/n7Yp0LmviO VkOn3UAtVlfeWtGnxLb6DFUe/jhPKXZFMdFzFVVlgCpfaP2cB68IyPtyOT5paYtRJYHEVb15y538 HzFAXJs+z1BP+6SAEUfcjBnf3lRizLvzaz4fOGitLPV4+2kD1MevmpcrZya9vqJ/5wyLZyhh1AdQ 0r5dvbc3tjCKnZlxAV93NTFWlB7FV3Kg7nXVedkFL4dKHzmZcZt7u4Nr1YRqxkK5lAimAViTNj+l 7VS5XcIybnL663/N8utFM1RtpwiOq6n9wwRDw97dLr70f7xUFE8aZIYAUxoHf0gngnf7m2+TlFCG RoAa+vEze7VzH/FAhFP0bulY3S/zsS4CDPo/4B7hC1aQ8yuiAt/k6SoQNVhL2L4sQrZ7U9I5a/8x YcUqVqQ0dhyBRKnNGnpVnQvelmyMskYMhrfeT63jhf+Qrv/l7fYcHOsdUU8HgxpBZjoRxU2Ibs1k 5Cn8Dt9CNjlNZZg+8VGFc8b/kZWh1LVj5zLzdvDv2v4AmMXLTxr1ZSUzza1fIq042VX76vpZC36B 5QZ+rQZ0ManqSW8gkUclWJrdoPn2L4HlWTynF7LdHAC7JriZdLjXqY4TBVte0qJv/npEutDwL3SD DCHpOVcCi5brZF/RXCGb+/qNAx9lJN08hyEg1XcjbXePCXk0Os9ihJoPPeuY+eWeIZrLBSsF9TNe jsu8s+fwK7dNIpG58uBj5Ym7zKX4LR8hcRvuyU5RDTaDdQp2bWokz0ia4IpkcwKX5GUXwWeqna+T k40VXrkterJdFCidnZ/ZiQ28n9fLpTQmLYMGn2pFmwbco6vsgfjLvxK/eyOA17moF7CIzo7xplPz yH4+ssQDdZlk/0jkOuh2xJ56wGTj0s4mJLc47QoSPgnQJJZqtreesJqhVEAKFGVHbuOVqBYe6MpV g5dOBGyTOWBGnE0nbNVeZ5g+Q2HN76Mx6e40pX3lpT+QtmqhACOr9RaI2VIv9FSbsTfSktcYUrcc JffaUwylqmRG9XJfFS1khA2FuP1jRoL0BqHPDW9I1mM8ik5mLbwSt9lSXgugKfRF1boJO5dakHKA 4ZZ7y5xxSRctYaHN9duWv0UPISVg8GndAMeQ4tluppGv7wW232OhkpYvKKP2Nt5H1pjKLTLov7nj na+V3PhtXY80WQs49z+hkzIxYj8MJdbKIbwwxJlhootTethPJBimxoclhpJQO3p3gjvixfupxWbI znPhCnu+HkNBTkycYcC8fP2KyiHo6QLx4LfBKqoGRAb1p5F8Xk9WoqjMOAsFiNm4JVmn5ZFzBsFj P9kHiIerw/cqmSMUZFi19/vCnJSt7mNY6OKJmRTILoDEvojvxqwa+FEpMV1c1hFo93/k+QChlllf W8NjuHDlpj4to95nH9glrPAPXqFGnTUEptOaaDDaD8yvUt0vkffEmiWahy6CPmzVJ+q5hnFWh/gn QiTTekIheylfkzA9+yiBq2/VEf1Dxbzt78HXU3nhRq09VAZfcyj6b2jT5mT0k+EuOv3eWLRiviiQ nmoPzhLfHcYPE3j6RpvsYk0jK+iStN7Bf8sUjASSK779+tcml3jQHhRVWXy0cstw93dJaUyhfcRQ 8UJan2b6ZZPwm/POgfq7WdFN6/771GSC+5w084SARIhXWpFzFFz/8c6bW3hURFcsYmC3hQP+JTdf fjfS1NEUQYIUY1hbok/5jaxu3xR3Ih3xCXtCutuS8h08ZbEslXcSZURGvR/LBpQw6qQljfJioBlL ZeRmr5uYt80qCjmNiw9xUIYSNXKymRyESx4Y9F3GJrR+438OoyTDbqZ4JHR6zM9Eh6PLZYuAv//d ew5MGLUSP1Gl32i1B/WUS21waj3UqqP4urKCb99LudzFqDd9o9OxDd35oFUxzk48CUamMCzRAnbg 3eLygiINgLoUdO4PVLwxEeXrIMKc5uoo6WymtXhWKPKatCbEHwJ8lU5bWc7iSDkTKTCLy3mKbe4w ZhOOXIwN2zID988QR2ZxzjJpTaXTMVsOD0TZY5sCdue7OC9wDErUlyQZmE+Uagkyy8WsIcJy1CI2 DfbS6NdnqHmEDc+0KsySwQloog55vHIAq+uvKrrtzXuK6Jibau7Bqy1Po2eq69Jsg6iHXnB3ciMy pLZmbDE4g6KFTfNy5D37uCTF7xskGm5gjp5xqSbx3zixH/DWskjY9FUudP3EytnSsdSYwhKy3drv H7RsjDaO2Ntm4B/O38zKyKftaS+RXm9rsA3WjTKeJ+MyAHWlS3UYI4SJ/LtoT8t8C/JUwWtlFwQa PPY6il9bZXs8Aw5L1GYM1f0kqhgLKrjq+rYIVu8xxaa4qtKVgV8e7WJgMYA0EV/f7xO5kEirbUhX 9E6OpQV1D1nsuVMQAnquDXlhUHfMoHAMm2io+O++GFESciHGoJPwlRNsyYn+7uTj53mTMwHmC+Cl 1my3+68ybatlJ9xPjLByQnJn+DKhQ8pwqml/gLOb+AkzxEW69azMbm2LcbjGotaC/5rPAAxMH2Gq qNlCPoWmZ97NmkSOjBPCkVLs75SByhVjmCsowGmHaIbYTQz9RMPUjZjFZ0x3zVgJ1OcPs7YpGWhS kCo3/JdHzzqc7yg7YhhXC6kKi+siPAIDNg7SLr02IlCjriSQLLjYHbAtOeYgj/I2vk4eXCZvhTzL sRTdTsMn9U19gcnP6W0ldzC3P3KVqOx7UcHY671tOMZxJPZvBGQIoH8thQeHNT3Ux8WCSOMWIOC6 Jf27sMRyMimN8eiRlIAzqtNLksDBH0YeP49iUeCDNnmdtRgSqbChZvhbULsJbOmePd3qUqXHHGYI ZeZrEfMLYqA4Q74FB2/YC8oBp3CPAPHn2BoCJnjioAI6onGReITzC6wvgEssfuQ0yQBXrUUQRKin qSWWHegOvQK5VZpTLIVEvHv6HKGfzGO8XzzwCE1Ud1m/VluD34P/3bpgUMbbKVZkDTuXxmFzSEGd myFVtqtZ6iES2Nm4rw/LnCANvfQWxh4/SMpjlSGw8IZ55BCrXH63ebar2BCH+2Uz6wZfrkJklv/u uXGjkfkL+tO5xChBpYIZcOnlDyFlkbunVr670wi718oqr8XCB2sMfpzoSuMXXbsONYyX+iI30MIz FHPCfWQJ/j8vStOphqgRRMUJbjoGDC6sktZrDXDIl3bNY69TlouPdzvnqt6VCNsjXuhsWiw844po 4gEeQ72LnKbV2I5CN5JRVaQ/9iMZqeF+V2F57kDj43/kys2HDPLlfF4VNe4EBeTnjlEZ/YMpqtEM 0PS8BjHbbFi23SLfgUz4X69XPfLDNVpN+UEY6nTXr4jg8083egx5myHzVAHNDdgd4l3U68A20D69 EU2UxEAsgoSZRgnr1Wn/ihBVu5Yp0bfpASTirhVxP0jMfBHA140mOGxfb+q/eVIRLJgh4Pk9i+/z yvF4asksHgqdjB2hp4hnYQQY31dVyFl4cg5rVGX+X8BZYO/VLnTTJtEcB+EGILkI7jv7uyfnQlqK LV9hHQuziOnOj+KO4qPdjCfPhDd5JnNzM9WLiM8MWmWjPzsPANG1PJD7wgbo0gA81AhQKPoh8vxH 1dj4DairdIpPzCz90i4zarzLLa4Fq58XBe3cxr8UpNMsU+KRjyxpKaKNYqMnEliti/vHx2Z6WogA Z1kIXqUkrDF+lsvXBpTyZhRs1+Bwo6EXNLgH1y6znMCySbUOW0q/uDHzLVeFABuiknJY7urAkrT2 xfJnht37bVbKe5ZVqmQuyNQ9crxgN0eUoLaJxabx3oF2OFrcU92OD2TCwx3sZIOaQP4riuZT8KQJ zG2jN22RrheBl/TOVQCV/ezdy4s8ecSrVJpQsWmw+vGx0Ek9t/uyHKqA2QtYJK2DBj7RGGm5A7xy E76BAGUwAv6+f+AzB0qipuTk4jzcOfGCHlZb5Uq143PsuFb0z1bLTM7BBObnPk+fTJ7o79Qgidcw cnCKgbl2UCCPUQ7HyalgusX3+AaktueLdKubXLWTvJk9Y5OVQhIG5ELGBAb31rKoSRdCgY341rYb ysbH9d//MX2QvcymSMleRG3icqWqAlubBZdvW7drDgUzjGSHDNGSfZMyU6eS8RalhVV7FL8y3RvN SwRtWlabX9BiKS+GpPOu6k+6abE8RWpu6LDgPJ7VFO4rKM+rhfW1DpOm93DqDJA/DvwyUHWEsdwB bE6eSMMfEOXOhY5otf4uSb08WcFdCpN4Sm+ohJlHkkqs9C+WTHQXqoQUYjLYNrufeHQg/EE52p18 dcrx0rrIswRUJuOIrtvvRTH0Kmx9635hg7wiz7+dfCOyIGMXwcr5sWPM2h7V1OIhneVldgLCMLoM RX4ubAjePU6XaK203TBcrVzmh+4m0x6ClCFyMevyksyjlvKxi2NiurisdHa5uonLaMCchrSnTRfS 8Pyl16ACzW/YpKUFJvyAZM/391hObwA3U7UVeKR20bVQg7JZEGijBvat+7ly1O7OV3IOJTcZWmjK eTdFofzZ7eYgb2cJ5ZElKA8GSqWIaQjVK2pQbHj0j1WV4O6JXqETbhyWJnSQBwVBu4YZiOh1ryVn kl9wsCdQX31ghD5GmZ9FZzAUYYwHq9tybYHwG1b7VnWNKaT74LIJdcJ9EhDx6mVX/8fJyA+c+6sA UTFk8AlHaksuHPInUE6/MIQCiOYW2uqkkTt9xt5dVav32x8JIe1W+hbyMi2tgxRD2EHFGMP7gWye ByeOcuB2+xyq2veGU49PUHqmHyF2/f+sFIeP9grgYJ4AArT8wpXuhQI9fTa3pzucMwXXoxPpGQlY /pXAQKePWP5G7DVyrQeZrEgTn59B/Gja+BOA2iECvVZrV8XEiJr/HwdYj7Aucu1fkrmKHmc28Ysh L5k/RrJGpN8gDaAUc8ChuY5jP+1MqRmOAGgohXNn6EWP5KGdzUGBtAzCKTPqUguNsGPA4O5GBgFn 3dY+/5NBC5Lz8syZ8hn33jDqL2uP9Fx10Hsnhzs0xQlkytpy7lfV5QRzJBvZRTIUgrPa8PAjCnsi SyOdC1ZTAwLoN9RRfAUwjz/zOsdxEvar+0/PuHja6ta5HcPzb/EwrR0d2DT0Rh9TCOy3EBkKnuC/ zAoeaTNWUr5NO+vetIWlV8THVw8WijWL37SXigkNIq4aNHhACrOoQmLMG2R+qgo83kfqSMadPfZR JTwMw47Kjcz4Tqqo5liwwx8xQ2rmyvL96sifmYaak3GaI8+ger5PXZAI51xLu3DTe99T8kb6isSG DOZqA+53gKYRzswqhw80b0ONWuWmkKLpRCLQ0WTE4+0clF/dC/CafKC1xxvXgA2UeQ5wGxNz89ji y8zB7DEnDbDee+1tZlpUDp15kx8U+eBDVvH9a8M2yuvosyaZbo+6u3dABmW0UX6XWETYROoupUOC WwRgqM6GoY6w8Lv1Ki7FSkytSUDhY+EINBdDS1AFIlodX5wdHePGt6MSEoJrXJfTnrj8TrJsREdj Zi8xNanp/GHsJeqnz5sffYYA2k/OpGdI3NZ+WUGB4saTMky3siWc49F475jxJsbXGKUbmcbrztMP EtYEiXqXTGHlyIQsIT0kjRo8emma24uaKdtJm9RRJ55GyHFU6ZLZ+rNefAJwZmdZQqAAyrsSNYWY 1TV77kWGXYHeUoUm+RfeiFmG8MXHtrfmfwqAjpmlE8LHGvk8NLQbdVJHel0uOp5bQBcZa8cWJrP5 HhNjcRCAyDRHVy3BSdaDXNaykyNzu1kutDBs48BvF60Y75IiDmElVplKdYymfg5dB+CqQXiR9Z3D 1bvlj6muxOrdZAzGxv9ParCuupSbuSmMG7F5v0zKfgKeZx/SQGMQadXZsYY0O6o1VUj1CK52FhL3 DWoY88UAroEE9NgFGGpVuA5ALA5NnD7oMmtzcWYemr5jjiEflbYYItxxkfDtN5uFjOY2ssN9gUYV LI04raekh8lFDdSydM6Y6fqSnUO7eYKAmdhcOIY15IlFFoBh0KUQAh/VeBt+eDxLM/8gnVTkntQR ujo/xpt7ccXBiaoghQnZz/lp2V4wW1XwUAerFyyZIT6vdxdO6f6trOt2mc+wzW3LpXzdZ2HCaFZY ncZwhxX2HaVSQUUPRRDxy6GNGt/s4hR98/umk0acfKEVel2lgIgMONTeoJ3+n8WexI5mx0dcvf69 2FsSqcyP+U9VMw+K7d2bnMHJ504hgyqraka5TXrt4KSuMNsykItI0QIMDccHKze2b12Ze40s8JBR ZFKI9ezq6bYWVFAfrEdg71/LlGYbp5OlCFow9NU4fFd+lKYXOMDOt5+voq1B0pnwzwB9vKnerqxz C+TC+eMhdWXu2LiebX4JX009+pfKRF+lkwaGGh+chwb8EpEf2Ch0dxlyuG2ZhMeXCAT+CvFgNHZ5 Izs1cMaSjSLW8cnD76p9SIfyD6U3+9bVgRqGxXGfRPv2oWLUoJgWDfpeZod2N84tmJDdnP+fZtlK i3SKFZGpzXjYEqJqjJegSRnnmgOPJk3W2hE69Iwjy44IUuF3zN+Is6vi7KDMZuJv9537hmgIGcME 9ZwNQ535tVU9yk1AXFk2x352TgEBNezrXZMFnFGeWGFKKux+oNAftYsUg/m/3//u6Ekum5xQT2z6 UP891W6Ven6ealHM5/noDErA7Q2zkEEpRsMJpyOCLTEkDqgbIHL+gVnuNWZByhYiLgvU8YeKy7Sk s2YKNXVynHnzx7t3KulfIKfoJD0olQxJtQ0QkAb61moNTq2eMckE4Hpw0y2OXTdAGRMdWgaVTXzu 2wHCOghfQg4hgLth1l8WgWXF4cw2Bk1QhYc25sFXPUMsFBwpsC1lY12LU1l972uCa/hoh6MUfJoS kmflKeu50leMteVR6+QJ0tvQYFZSOwDGGnoXSwURCWicRImU4Ci0vonLvwgPdwOYaCDsYFo0PoFQ cRlG1YauyAVSNGA5heCjBXUgUDZ+6WZgI2PvH4hhelZRqkSgiiFjf4mtf5wc8pcgwsKlHODuRGLP HwzykcxvEeMhOifC3CRPQmPDHnGz+vHGXcIVxHbVdlBenGCZxW8Y/Uiqd7H7RLNfLtKK1ZIU7VXR qKEMMjgoCc+mLL4+BF0dqt90yUM62ptJGunaj1NCFTP9sXRG/8sgaNEwBVR9QcGLBkof+oBb5dEA XaeZ8hUZn2wlCtMU/uxNeHDepGOQavp6TdKgGwhcd995LhCO7Mg1+97/MCgGCRY5R+Tr5BUKdkOh QKDOJBOdScVaw71fHwDB7E6Ido35ZiEKxazfKonFIVPZ6G66+z4SAAsFMZBsSf1XCx+6LNJ+OVnD hVUwOvAAJzEOz2uoPYOeEiqSgayB4F/QG+y4BKM6vbSy5y7LPCTuXlXXkfsBFyDDcWHddoMopITe 2vlwbFrUmuA27u6I43HtvIbZ8wk6QpWDeqzytY6k2IvLnQx+JNdPLosShhPdU+k1DKnm7t3xJ1PN nzV2l2Iat9wrSQ8vHQypyapKXEoEvXHtf9kkbUu3adn44O2Iqw5+Heit6/QdbpbJqRPkHKXqre6X UnN52T+U1Tll3jmja5Flxwiuf796I4ekd2UlNGVoSHH7JtbanI6SoUKrkAuMxFgORJB+Rf9JNuBi ZWVUjRxzESypbqq3W2TOuPtyRxt38G8Wzk9d/bOCuOecLcAym36afe+XjCwhx9U2tejacl2wx7gQ dSvLmuexjGkwrdl90aoS+uUmF7e1rBJFa5CXxN+hpRSOcWbrCvzATavUb+1AwJqwn+lPTOD5/iMB WqL7ewzpH+i7s3wzQu4LSspnqY0PPjCakW9UE5ll0sSTybqfICaSGVIAXvBGvmGy1/ydUlE5X05T PpbBRu3Luj2qZPTXnuKOR0ihA2xcjVQu4nn3QFVPW56x0de8N1yHSmzG0ZJnZkHaihpBNUZLgVhT PPqKWUz+CbJHhIXIc8n11LvM1yOkJ4m+VyfM81pexjWNIoVTuq1Aztgq74VUecmYd/Vc5HpICOhJ QiXISycTraKtqSWuELPlnWuJ9tAxZvMjHZLJP8mQt69ro0dbV63fJKWegZaJI+AE/4eNheKyyamW hl1y1id+2izHoL6KnUI0uCOELwIvICZsmwbT/Exa8LAVjhKTN6/gbOtE7bRcS02CldDoPD+dEpoy 1H49BtGe2JsRm6yWaWejrHy1/zc6LNdSbLJ7jy2V6GuX4cN+geF9IeKzplKiHGovpKkhQ4RqwzZ8 g2jPM/UUO/wL3Rqa8DRMlM2oYpmfEXzo3gM/BIVgaOcblcZ2iYikbIDfZOJmwUHW8X1yFckpt0r/ Bn5tJaKoNW6o/ifkSloiuxl0fIxgL8d4LXchtsvLWIv2sM4PkMTGfO+GzL2W9XKS/r2RCxO3HDOi 8KfW1ghTpdNuC1PEXaJM4f6ktvkxZci2OmNEVtVBBGTgmPNlcw8v1BXqUEZmkkxjGbFKv39nIZMQ /kulXxOnBdA4g6NqJ+76y2Ad147ewGtqG2dcG2QipY297e0vN4FntWz0lUj6GuFt5JCXGRBStBPa yF9ndEe1aIuDNrqi3HGU/IPTUCcZ0bc8p6H1t9mapBL8VWqn0ayhgbRvQ6xH48WA7qJILY2HPjos J6klu2oewP3Sd/HhXSDGiuAtXpxaVi8jvPHjqyo7sPiddhwYQKNEPT4SxHRjgLhjTcTgwebrD5sN HGzPt7+QDh17bpvL/Slq8VHKURmpXkrMSVnkyBbl/0vh5ck2H/NvidllZxx9GhGUMV69E2rM10tS aqWH5hfVpK5Cht9Wusn4W/SBS65LH6KoGRvwTk0tTv3mXJYrvdwm8QVvKNWpswPpGHy5UMK5D157 YkAsOshS98tEASiPRTHkr5PQEJ/rPA2dx2gScIFX2PZwLOGuVK5V31+v2BRhnFlNG8ZMCr/ciLIN S+9dLt90ipx4n/IM8TqpMs0F7GORmnrzV33yRhrJYrK2Ug4QZa5h9ZXbiCfAeac9MrwQlxv1dwnU Uk12PKEjeaYxdd2OGB6Dijw3CKAwUZvOvixE24Tp8cVtqD197/Iu/qPPCuPyjrQDo08RoboImvnr 8mil2jZY/mhwL3E3N66RURBVUkW0YpXc4L826Rv+HVUn9b6xJ8PRhwkpIXol5BtyaSBdyaLD8gdu o6ypF1Cd60/lV16dFAv7DzBFLSnhVQQqUi1uqw+9P+0Q19Kl722/qyhCj//Xu+lg1H/SIRSz3NWX p6/JQNUMYCLjizYWMWhdY5Hg9mZi95elyma3RY3QsCYXjlxVp74PWzUhB2NX+7ZNBzCLV/VNl1Fs PhnghtOCb+Iu6c+q6KjS7pk7Qczy4XHMKcvpsbU/4epSDknupcYupuX2ouNFGY8lvVPNyM0xxsXy krioJzQ6/1KPZBXaoLnmzZvC7IXlthHCDkWfK6i46lq/tTMT93JW1kJyRMkcKoQQjUtENWlMt1IB EwVu2KCEA3Ot1lnqZoeAgbZGrnXvNMOsZWVUtMqGjuJhFY1E82JBuK3VlnCfQbnmtfyof9xVKJu/ 10RGpe4hqGlbSIwSUtsMtsXNYkB1mnFspMw0WJrTYJDNONBG1kXLgrJY4NRfhWgM/fDYSNHiKGca mSS9WmMM2Qp54zz17Ak9afz+138SU+dEMp1gyfiT/PNskWyV3ezvGaYD0Q4bbhOslplMAYmSHYjT U0+1sEn0FszEEkSacSsrTX5hjHEKCmxQfNqDUqzMfM61ylp43Fwu2IFBDZ1PWIhB4tNUxWFv6XEh T0VqYQyEFHeK7QOBpTZD/0EasZiyF9Xr0d2BFyejXfBP3En5p0HWAVc9/RoGugJ2Gwx8QHCHosyK tvcCwFJMRY4CI+8aZPd9dnKuA/cweRV63BzduPOjSgfQYP+1AVB9SWbPRUhv9nC2cV7nrIZgRh2I NnkFb36G2puHzaVQdCwNtWSoCjFrJirUSRtkKYdO3DappiHiCxpkl94bq3NfUipwQLpLPvZE6AD1 DIGjuvN3m+aTVKpyfC+Hx2BObPAy44R2cuFK2xnzmdOBMO991HBPPCrX8rQ5s98yRp51Fi0KFpfN J6rmnsRKPU3RToDbfHVZZGUrZ071PbimJYfIxMVyt/h8Nz0X7rDaRa1qjrp6VcXpu34rJqjPEdX5 ORku7f1jLsLTxvhG+1whN5rKHLBMtq50U7CmmSPBDKzUsx1mEo7MO9wStC4fBkQ29judr1HbBHTs Ycdb+EAAQGfP8+ScX0hsUCTuDy++y8NDvTIAL0LzMCWF+cl6xwltKhlkzYkW8ItveAi4na51l3YG PLcQ4W4s4cQ+IC0TJ17HQ88yKKJ0v0t+03F4f9aGJonWs7Caz8OIe31GXtaahc4Srwz/gWOjMZjH YB/gk9EnoDk0dZWOm+jzU3NPNVyCeK8n7Nb/8vWzygkHLkL0JEQx5juLwAKJZZ1H3YmEbSGWS8Lg 6na8Fg2T+XK8XTlhJUwx7qYh7qePkg955L4iNKf86/pcGQhhFhdiWjnP9lGVei0LBt7K3Dini5Wk T2XvIm9vGQYswK1I6htJ1wUbLGSWC17Y/B+8Fol27hbz2xLSBmOms4Q/OcQ7nb4BOn1Pugjpdxhs ZftHh9vIpMOw/d256AVECoYzwOQbN0NlYSSbZ2UoKdKn2Ijjz9I1m/YenxKtbkozIwyq/W7A0AxS rdOAJWmMLEW4rb5IEKjLrbtwLfghpr7RL181/QJgeN23YBrrvifAx3ffCvX3iZ0M2bL9EYDR2+Ne b3riP0VmJCgQJsUjF3LcV9wPy4pgNARgrLaZuPLoG3CaYNJ1BVmv6t8GatRD8i5M/5tfG4Qq2Vep 0Tu9/1NXP3m4QbvPXf9Kp4o203EYAzGPh3dIV8x1jekKtM33izwVZrYHq9b36mEGHz4KLQCB+kpk xVUyy3RywwvsVd4kfcE39c5zevYWrslJ9N+lHmei7O1FIclwsyX+Z1mRxKaklkE18h5Yp5SJXu4l c5vM1rUmesRb1jn/KRbYnU7UdR7GDH660plRdxcO71ckaRjftR329IGxwUuORZqeVWNtMulKnfbF 5KQuXQpF5PzoPm0w4j+fifvs5yAiOfKweRVj05d7zrFjNJu/NZ/6WW+c1+YB6CxevGvhgh3doZZk rwDvt6SSATLFDoXLqwCxvLRyb95G7Xg5yDkrLz6HgBJVAlooGw9na4/LxRP8GgrVq0SU/Wf8Ypqu oL/2ww6DqMnqnpMiUaTKYj6YgT6IC9O+xuyJlfYb2T0g1I3LNw2n8xogyOL3lVVrUbpEUWFO5X2D LelzvOvJoH9yRrogiRYdwGXQ4v2UrTEPW7JaiiMGwMrU2ygCqj8ojpMKLitJ+8ONdzO5/VC+ETxW VU4cwQMtIlnxmfo9ZnJAJkkUkspWIcDzdu55s+GScCLFRMdf93W8KpmYsp9izS2uoCh8O8xcbhe5 /VIAG9e6hTy4HRLBNNY409ONqHxoSfbxfAQgVQiYxa0EI7SxoYtPdCy0fEIyfNe17vx/4PMkadYU VOEtU6OFSwE0NWFoQThOhFs8AHR6j0KHY7Icbguu4hoIa/TiTgnl7/KYOKOkegWARJ612GvL2C86 Sems0RKPEqHiLRGevM34oFiDvWCfovpzaxrZ5e/LsEeF1GtCd4q0PB1yY+0UUaeiP5k6r0UdpQnX TTOi3CjsRyhOYzlbguE+mL63fa8smrSkl7434t6ZYWjXnJ6HuKr0zoBn/uGtwVsR+sCbVL4mIgQ/ fzwzFTBhunmm1zzXzrRFSRFNDhxANbETjYynGJBP8XZH/O0Wo4NZ+oFFHnDL5XpbVNImNrXhX3Iv Jnv+/cEvwxJP/FILzSE1txv4Y8vVJYKYrCvd0wY9QX97uA6IEd5cqzckIdUDrSBAtzOsNjONICP+ AvKbC+WM8iQMdH1qiVhlB0cDvwJT9OY4f7iL4jO1UmMq3dTObjS95egjRXEnX/lYfsi1KhyVD/Fh U9O1jG3Ktj4I9rDb936JUoFaNkLWlLj8Ft9k4b+M/JVZdJC1dBt5PHzCAyRdgB5YI9C6IEgJb6DZ OE8ewQaaxPLpS5Sxl40tUYdtLh3a4i805eJMbq7LgpByZeh5kmPbEVUWxI7mprrg6qpuUeR5JhAN qB8JdMrbF6qi9WWqCXd3hRIn4xFaCpQ9myFF7kyWb9o/ZsOWLqeaox7jHdyT8qVRtF20nLaPEV8+ Wl0kEmdgAJPuVkc0fpRLZMk+qMwXusFNBRHVbO7JDuozKX8LIN4fzYzgsr/GqTeH5Jzk9Z9tz9h7 e7DcVeeXZjEMbfUlKN4RqFqac/iR9aroHfmkqz7JWVVqf6xDo6VMdseepVGLUOC4CV9knM6+gTVf HauhApfFi+AnllP0O3ax6SYhHHB3xeOD4o2V8GboiYIopo7cKboZGgVtM9s5puacxuBgTfkpyOk5 bEMstG8WcCkgcx6hvUmlHypVWuk9yN0WVYCKnvmGQhpDBr2QgZ12J8P/Kn1KkJ7Qu09U9NL4J7LU knfnRG2lspfydhvrtK2/oy/qrQad0R8p/Eivdl9GD95Mm3ScEU7W2uvNYRdkz55KYyC4KFx2WM9g IFInc0Qi8dAaIgbDOcEyUxSgEwEMOB/hgXp7p9GlGaFoNCHjuUCavCxVK77mKyzHgHpJkirAnHim RQLOFt1TpUcc59/+lvIgPnBILJVGQmggKD7XscSVcRNK2+5qj8zy4cJkqEJqByh08Z95Zh3Uo1+p kvce91kNbHJ3OEw8fANACfTICJNlSwR9mCHSKgY7CIhz9O6KOIojvmPP07vobUMNTQYNpeO9SnMy vwLVGWYfJKACQjGdFS+CS5RMRlTNJXrO7pO+w/ZvFIoIHBs3Q1V5sgTL8mprCSrFCluiPg+KvCBU T55C2h0YLmmS07i9etE397dQNvTHWVYFj90+QFd/ODbcrivBeyqEgAItHgl4lN+TkpvTSRTkTQ7a bfb7/8KtMy/f5cwzg5wAwfJAgP7TADCN/044ggp8WxR5UpuNP3fMuGqApezwQZrWliz6c5MJa7T/ YspFIwJMnsQXCed27Apd8u1qw92BHtN8p1EUlvl7wWQrhV1AR/BWhYZl06WoWiP6e4aTuM5ADGO6 Jtj1df5+fgpBYsGOFhGcUVaadckE5fd1rwbV96xrCxa21S6bNJtfsN94Pv5BsiBwWb9Ew+JkhL4F rDZhf+1g61SVsnES+eCofN6C5DYwewfU8vSGRbVUwhu0WdU8T+M9VxWpVN3LpJqDqTVPtnAheCuQ RaZwUWf8m1uRO7ISH/tbVnjBZyu/2SJJsOQNx4Neyyl0pZhKKA2feeC+Bd5aseXtZH1FVH5FCjqK aWaNZ7aH1MJagUFnVO/hmDvH/MwMr0Gd4Aw6ezLCKLfOCTKJtEIMng3TUhkG1njSDSFM8IpKGHw2 BVTkqWhmA3jvNURY1pUeXNa2CT/RFlGu67ke1a5QzEt6pGuVhgnOWqrgkZcJJzd/MLOKmWgBFE+Q u6+mCHip50Hzfmouw21m4ucOuUM85FjjVJ8Ir5BjdjZnC3245QxhcRVRQORrYoPMm3F32GKYF4TP tIDfxCUJcgb5y7UoqY0/HsDlsoW4YrDo7smWkVkP6IvSoqJoAQpojNsPGQWblQas3qB85TuodAJT ve75XqLHi4IQoSDMYZ6MnW9w0Vvc6LPcTBfPxW5rVkJw07FMqXEdEpVfv5CTsS2qm3B/qO73cgln Cwewx6aCPgkmR/I0pG2IuvELsVO/GUDyiQe+hi8RVn67aVPR0X5X32Y+mdQ3u1GP35rvjwyMm+Hj JH2eIaURA2lEGh0+XXds43zTG7IIQGw2tMLHcVAwBF0HhJ5u7f/ky+KIfoVl967JadFq8oT2623i 2OPGpR2Ot4kgVrS0dYT7VH5To9JjOLWg1AdmSP+M7kJUFqulCcGORslQmcdjeCcVmEsy1uOTphW6 t0hlDsjId+RMn636MibYGOoRYXZ5T5Viq34atAtlxzx17ADIhYhIy0PXOp1D3LSIXjD3IOQgZXs6 SGwN/zp3xplmMzKZmw1R5btM2b1W9aP/rSEQAx5RUi0fJH5BrtnpGpHfrRhyP7Ub9865ahGZ8AY6 ULRZaatdFxC1wp+oACWPDK442mZ3R9iuafEys3o5NaqWkFdt9v2keZcFTZ8DF4pGLpm9hjRBiIrC OTZ0tG0crJ6BmilxLvpIFFOX4FoFjzV+bTZHbv9XcT+8vsEqPurS7ev4HsvXCoHJl6FKcSTn1u0S f3T8pOEXEPblyYrFwhhpPtOP1mndaNFKeCYw5EfbuDxqe5XEaxrAi2y/D//S0Q71cnqXpJgQXJ9Q M8d+YHaG2FAIl24w9oK0vBL124ntisetd9ErdrCYFuAICYQe+ozIBPjoePj+dA1n2BnYOrkjnU0h 3MsxjPd2zAIMvOj1mVlJ1NJJgukQ1CyvEBEgUb4SaAmri5jgX4tWsgyIewpXOxn6kAVpR68iGlCX u33183CS7spL2Ns7wOVr2nnu/BCGvpkobY5KZgQeQPMdRjlFzfAfNqpqVwDPwS0hYPLCQzLtE3SY 3InNeZTbM62TGfvjd6NuwRLqTsJGyMFZR0LKich+ojxdDG1u66vEgJvgSjDoFJ1i0F4tMaXndBEx h/mPj1d2AvzHqjbRQgGKS3BZGP3BMi3cE+x1ZA6SjlklIgVN/mB+ODv5/uvmbfSuYPsHayLAZ/pb tg5YNtfRX22K7wndS9FZpcZrDjk4RnbGnLzFXt6Z245HVZ312tbyL77vxSLHO5x2y+zy5fC60FZT POk+MexVYyIvw8WRohkU6k7H3XdIXjdWvywlT6TsG7YHN4CZCDMfWUN/iTJyZIl1ORXwpM4tXlpE 7qviR0b8tCg0Aw6Cbku0ccOpCtiVSOTeVsvCtdOZyfraUW5EnTox7zIy+zC31nGOHO15YMi24rIb rMIo+FRcl09grVNZHlrlZXZhd8rm7cRJ4QArDrZ3r6pi/zxQDV6vQSr7puMP63xXAzpk7NVELr3x 2ZFoB803R/M3XMWYCzd5e4KlIO8ol+7VvbzTB3FFACFPkeiySCNG9jkC1MCnvGB3JOf2PBl+Feo3 hwaBd9eMVIFI57vNBDeW26RVQrklTePJJkUuapoQKsG2bty3dP2mWVARrooPyyPuzbb+UdZy0eoe 2GQi03WBnnWrgBnHZeG8Br7bZNTb7N9qNHx+Tj102yMdc5U8d8fp3GXfERMPWs3t2Sc8dfCdeP86 JSMXTopAApHgqbE808anlFS5qe40HvCglxQNKVhi6wCtPpwVokJh7oNxoGakUC6fZXLDnkSK/KG9 eZlsydXHIwfySBnzwKhgOFt/PMcVzGiJA8yEuZivfwLMXuYpy1IFBCXxly2g147kgGOPm4WXNihk ir4PbtrQuqT3CDIaeHqWM5vMa50e5zhfUJMXK+joKXCHJEMdSNFxLul7ZAughRmX/0KhUNwa9dEt 0kGumg/4rjWJUnhFvjN7pdlh26j0ut4Fejn9L/BP6C1sW8vu45/UG4GyrLoWtlp5N7ua/3psp0AE RMdxYlibAa2kVnZFUefTbQRUWUdWfqmnCx8O55tW8ZiUa7ulz1955WpCa2D4Zb4pmhTneqW+OXta drpFSUk6xZBgGLuhFm8cI7rzrehDrgY4yTwegVb9g7xgal1XHZU6onE2ium/DikemA96BvjQ11xs /Qs4H22gJEaSnu6Q9oebWQCzSbpNM1B2Q5kgNaxH0fhPlnPPAPWOQEdP9zv3AazfhvFyjNoKtab2 bGWjalzrAGDBCBANWeMaBVz4hrXwZCnrLqygGM1PWgkh03EaPTqrY+AuLdeUNNlxvjdH2g3eQedV 7HQNhlL3JyYkrjSYPQ6BsYDVU8V9ui4inYo7fQZ3rLK0kljd0WDiYKMmjXXbdXClDkiO5NQltm08 +zRAhqZmF00Xtj3AAClVhTrW+lkyoLQRJInWomAv8q3/4SFwSqlxtFJo5jXc/1WOUuD5MsbabSm9 IDsfdPUYJ8zcWlRRXpWQZqL/GSl4qEotygmv0ILlDh8kfDcAySmMWchktOD34UyCtpFjqqCtTRb4 plv8hx5T9Vbx1bNk0fKzKPaFmSwPYXztrZpJheRDt2bmSJeYl1BFUz7H6giQ0grsUzHV05tbBZoM NlGbzxOL0ZUg1lrVbiCZ2/TkOnvs0h2xR7JotFL2hoWTmweHEYhDFvSqwUJunDnCbkiPKbbV+FqL XHgMvNiTLQeuF6ddOsjXnvL8gojTuyQVtEWt8szPnrWk++p2BPMr+my5rdq5AMNYMzJoun51D4A9 joKZN67RoQfaBpzJz6ntAeROlQRDHAZXP2KyQShLJ4RBsb/Uipd7ZUGczEJrCujwLxI2hjIwMFme jNAi6/YYkrrrtg+xIcGYRZHD5w6DUviSLVthynOl2VqecVOr9SW75xV37bAf9LiEpqs/ALdsPaUJ h6W2xD1fw3FTJ+MWPEptC3IQ1poLrCOb+gYZgIHtccz68eE5xun2o5M5p4gxOtU0dJ5xYxttGEPu Mj0euCsftz/3b6sgQ0av5YBMHzQfityXUtuw3qUiysEf/6vPy29SE2NeLaF569w/X6Xs3+mI9FA0 WKyhtvOyJUrV6GCOde57Tm8674hG9TWdT79DNSxXANZlupmrrc8Gp1QxcRvpxzwUQplbXsDs2SgZ +rIPhMwjEVXfsSRcpcOqpUm6ZZl/+e8zmc5Uug0EkV9tfArMybV8KJFbHTOmAXqv9LFRO0oEuBAc 0vFzRdu5BeLMpNbqCAquAX84pC3tSMQFxwpinIb1NUBk1DhlTdX6gFQ06XAEcJcmov/NA6xZS3Kr 3nLBzfNSmy0i2bHsvuQqUVoHC5T6Lo9mbKOtCVJg0sPyCcDSao+45wgL4sGpic5GSRBoPI51/8Ge xaDHUUCsJq8zZVgKMD15GAXwOa8rf35goR/YRuLDMOzmVM/R/9lykyuj2Ye0GF966r7WXfPfFiDI wejVIA9JA/f9aLuUTnau/7ZMl1mYyQzx/INSb164WwxPBEFWHBLa5DbqCKKNfl6z6WjGjLCRyYlF FKz8P5oDzgVx5kC10LjwCZ+QoTExGbvwoiI+t/p//QQNc0uTEvcimqQjE6jRnb7XmXyvsHz0VAmE jzXBDavD85h7rWiTQwq2PxTZ0ocMVQCZuOpu8z1CUp9Od85cfPGMLo9EJqftUmJaPfTCUkm/NPXJ d0ayRb7mNimZX/Avq8HRZ8FgOUOr1M6y/cTf+IWUZqMHTEN8gDAKDJqnakRvDDlSiK1IcrneA2nI j5LIt4L1z8MgxgsxFYNhL/0gQXYYb7iynX2D4Y+aAG+H4VJsaWIVPCFAlXTGfhehYiiXV1ZS+1+j OsnDEDNSPNCvKO2JlKzN/C0aKS72J2fdOG7W70+WsBuuvzgT5q6ZWlvPi6qmv9rlpB1BvYbyYavr IXc4bKAQ6zZTtA5eQKvWAFczFMP2bX3fjxHCmsEx8IDbOBgFEEBm5IZiRXJD46NRdcefAHpT8zW9 K8p2OnDZGqspwR5cCbnCoWkj6pT2o/7PtaIotMdmmwukhnI1GR0W9XUKB+62ayNxK2fjKFeRuyTP Z/N+x2vVjYLc/h21zMeiPHnRZlfY/E7iE9+0dvU5vhboPxqzvfMp5KPADneP2tcJVCyESO0SxiPF o/Vk0ujFiTkofC4CULij3J2qyhYWxd4f6xcLS8OTASav4j0u1Cnz9qNcqU35EGodjMDphiCFz+HU 9pOMfkKhKl4weuycJROzvf9KcGQnnoZR39+dMaseG61+uaXzIRl0YJVCMZrh3av1DV+pD2W0gsz0 LJNFDPGrzvzsn6wSGnJHoxyNjbI9H5Wff2gDvf3Ha094LqRKUZKYuTRdO8wkyK5WFYICTjSz6AwD bLWjld23LKFdRatfIcZieozD35HAFWRMqNx5dKhUIQRw/EurQWN6HP4taFobjxLc7s5pkwI19PB5 ROxQX8U/qjEC09Yc3iYzB7msTF5sMpER/wsD/j5FZAIJngt3Sw0kDyYsKAMdL/4OpQlM5iDRifn4 BJFyQxqW18CoBKSnq/yCXGJWs7zy3pi7MAi8+fWRECZxYWolEPM/e7sOqnDVXIJ+qWf3bE7Ux+kw 9Z2vIIt5F8J4KzgylS0X3GHQBrSIYiocDgdxZ5vMHjQWRHRd5nGaQ0M3cUTKWfVK/9JdmbS2kJqY s0L5VN3EuEiKE4ipbTC6ccEW42HwuCHWOzTqNXLiNaIZJchiGdJjOw9s0RUNKOswEKEoBKo948wl utvX9e//cJ0ArVWOm0qiepReMbVeiu/VBGnouFXm5Yt2353FkI+iY8ulJ7EAexvuv+MmZWLjbxMU 99k9mr9+dadztFdsokCb8fV0ftu48wQUOD/Sn90+nV7X5a9SU3FYXuJcx8dVCBOX0T2IPjF+rKqh 6CphfryyGPf078xaSaHpGJMZEyFGtwE+sXKK/pexIoCjdeEW8zFPAM7kYXnXN4h4B2+xlHsr8nKD jn1EDAxiIgI95SMJV05hHXtSKNmNXN98Qk6ff4dM32xKtdjmX28Y2tpgM0H930g7ZpnucOKXV1rs 5CHx+GGz3GsEgrGp4uvNGE2e8gzzFkXEtquOaIpP8gotOqgZtzSZX8ZZfjanBPzf1RYYIeoiU0MH De32D75kh5g1xjt0iBPF2yUml9FErcDnJgE/uHyD/Eh/fjZqzNGdCknuBbxV60Wi23ZqbufGlMen EdaYe9PNLVSAydoSZPOn3MsGL6GEAOgTQQN+CrJjF2VT7LM7IbDC5ToX4yGr7BHlLXcrdysEVq5x RxjLMzAtMIxMuCMC/umr/dYSwWEGkZFUtaOIFY/gMZur0VTmWh05vRsn6TZcFAA88tBLYiz9o14O t2vutu1BIkdpMdFXFshcTetJu6oWnOKVGuEhwdJa84dASjeaYI/5gS/sxuSGZ5AbZX/hLMAZ7EAO 88W7BXwl5RY0eZsjdOl8uWqB3bVQDUq3k0de651EWloQlA4PwQGyqepfojyw7pXJp0qFkKaUjPVD YLqyZRsqweBv50X4DKVnaS3woSjjFGHEjwlDpRIz8mveFmcGzWqQ9BkcJPpNR/TAg18Q0ZuwN3k/ J9wEOVNy389vgMiffs8wKTU0nY7JJDSrzI+8CWqd618jIaOS5uV1Zdqq+I47Q2rOb8IZM68gxPuW 7Jzic6Mfq/VZm1CEIzpmVJlwcUtL5zy0/lhyhyF03CRuHspPTcBr2YuK6lSlLpWXlaHG6GbiCPBO 8wdPvEINzv9uYXWuyWdqvmy7ybi90ZcRBjXd0mUiq+Lu6babHT64tU0ZFn7pG6j42FGivQ6zvjcz PhPq320hZ6IxRUnNenrOxuZYm8aaVH7WJgkVcL9IVXsuzcOX4CUEPsxlWGFuLsaY8dGX6lhRlEpj K/+KIS5eVR+frfqQClBb9abAJRJjbPZTNOi4AqcijpTm81tQm6CRN/O5RAIf/XEXfKbEli/LCqWd 2VbxtDuEe6ZQln6KB7slUXwsEsBEZUHYt+1UUotF7SugrRaJ3hRNxVKS0oyrH6wLOIdApOyEZbmR ayfbmnXiQCqWaDFOWrSQE5AG6rtg/mZ3wxPbfS9B+F5qsStcxAQjCYGIKBmcJOUd2YJUWZpMiw6g CxeAO8eNVsWMsf/Tfoxbt59xlUF0ofSP5qQhwm924hcbMkZFyOv4z3aO/CJElUPJwiTcEAy1TBK1 6iTtPQdEC5cQ21tToD4kVk8f7ZuN9O2h/iPhozJBK1H72QFVTdqreBjvRe7QWMYVti8iJpuZ/Bpp RLeUnNnP68sZMNx2w1/+TpgFexGgu6Ml3sMEKtOBcAHkSU+D+d2pkxnh4lp43K4a7QmPDRs0bXNb OC+tboKKeXKyVzZfW6wT5vtRHTFj42LbbtIZR+bFDITkEOFXTcrnMKjM3hkUWkCwTW+031jfgHNA mmSC0AaLRA+cV3TxKddorx24HvvPBgoANAev0YDk+bu8Qo0Xots/rbB+CdgzIB6xNZ2dYDTbbf+h eVweYEvCb74+Te8JHSdks+GbkTJyH49sKn9VDLqFj08RFKX6VpmOrUtTbxB3nfGIJFAWWqEBKwzQ JOJmk/bs2Ve4hwORoSXR9sESwqiQv+lCP5nvlf06bXu3Flvk3sEAu5QNVeLXiagA+R8W/dA+R1a4 n24cXT+76wuaBFCTGxp2F7NP3rd8e8r7mwgAT/Vinq3h6G1+A9ZywqKJMCf+zO+TtCgSRG4E8ESR HHvrWuWaoCUgr2LaK4f8zwlKbrqRzP2SdccBr5npXirb5ClXiTnkeJBetx33FD6j7cDFStnMu/sd c9vDYBK26rDvFwyJnkhbGZ9S0F2j6Ii8arBC14l05znAKdgkaP2uAtzW+wa3ETlCvBkIkrNfJgL1 Xa8LuYntc1ouy9zwntXqq/nSLbiWHPfRSELHBeiFU1O6hlNMuuas06p23cQO0UsnKf+SIINXEycN Fal4dOTN2mOmaGYvZqzO4GlkdJSStG++m/fVa2t1enGrNVx+5ffKUK0WTqfOOBvbbo0V7KK7vPd9 MK4WMvSiTDzBbkQoPQT+4r3eDqxBnbtswNc1fUtqh9HbehYWKPTQB+acgtTLWkJ/OITTcFxcK0IX E7m2sx24QL0FijEZlHLzdur7RVnz4gKlVT05wlsjmo/mBzWFdjt737++iQS72nl7RxDQMFXpNGVH OX2o7jnuAopjN5bvnep2JlFHJPdqVjDsxjCyQWLtoy27q89PaFbyk1ujqe64zke90hGHXjObgPDP HIo+78VXwiBLEqQCq0PHm1oqqQuTqAuHjXAQPQ/+EGSIZOxGMETtswedXvqVjdslNpUjfEFCTu07 L6NimjqJzwLoOvu0480pe8RPvgGh2mFlJkNSzQbPbveiihGDYxeeOT/C1dN7joTfEh8a1brg8x7J XDQvICHUe8pFqM3eQxx5/k70QvQb6LkyuA26C+iBL2tYDyS+V9xqmCQRxNleRRLat4PPtGDa7hpF uqtqNJsOQvFUJY4E3ou5deGcF7DClg/fg/hMfd3PDn1FmyG6jTi6P/0Si+pNOvt3cbuMG+nwOUwW ezCjzwjLGAnREqlRKyCDa2xjlJZuy6TkSe4wJaZJ+Yvdf0pFPzyzwTyDHVoSsQd1+nyZpbMvOhKK Sj2ZviHVvsixPyJQLWSw2lB09eJZ+GI8CZNhsKtryrSU0sF/EIW2yc3FHYivdGZIv7ZazjeSay5h 8QFhSHG+JTe7v2qwd51sgRtjrJ5xP2+I7Tw3QZaAdOF+cfEy6xiz/kDmE/gXnQAXKdiSgK1jc2Lz FLAjg4W732eT+i84kl5ahx9MyDUrSYUVDu3zOsrmCL4XmSxp5/WJk0LfCTobKvPYGyTx61l4IIJg hH8MZkOm3wIRLYW6+ne2cthkC6lEgnYpqHGybC579G1KbZCRWxKCS/lPIgw9ru16bnC/CHuPirnx sXCpU12XQxmDAtHQo/RQiC+zIbatg5cN0Mg/mjdTA6TBNKGsHcfU4soThfxpD/d8RGpDJKHeT7qw MGlh7fibGegQw6WYNZYM5URMnW7Mj2HzcKISadxS33U++9NvwXz0+USbZB7DikUCLeLyYZSYnbzJ 6B0M5DBFwIT0yhVVK6+5AMNfaJXfQvwS8jtvHJbCKL3koZISp5WqBfU2yPAUVk3Ccv7dU4dJYRkz JgXnO0Xw3BqCbSdEOE42sit8aR1DJMywp4wUAZ5FMfNTn6Uyxll4rfuQNj4AhE8aDybwWpuUylCd vNkA2HpMzKIc4QQUo2hwUrvTWC4HLcAjMyRcYs2iqAD6l2rhgRtmeR0OomNqe3TtYdsbwHJGj+Ia zaSvbm4IFAXb8bkppAl0gBrWWZ/Oeps0C3BUccuo2MTZxGlzYAIRWd1IFf24z+nPalkxqRCKdf8j QOmqtRMWwt5dcwTLDha+HdV4LuYEmNgLgL4NMV4Mzp6CkSeRMx7KG9UguNU0zZ6+CQdIaERwGaWM nU5ILT++hEni1yqjDWqwtEyanRkOZbMdiPn+lAFQ6614XUzHhdP6pksXZo7oj1mq2wFk+7moFAyS 22v0h6i3uvajQyLwBnLgCRDanaSB5v6RnE0k6hBZUaPcJSTevm3p4Mh01HvpTD/nZZGb6H/TthGm OazdCMXZF29x+zzeN6ZedUFkkWzdaNPqLaopKKh8/dW2FdOwfvD/QmcKhlhzfKvBBFkE5AzU6/s8 mpfa1LekQH0LsTmnEtqTfnqNAP8wFa1sgkcNHCTcQrpBzCvwTTPCxxuBwFyGcuqf5UpjPhPaCP0a HAsdpp0rxMHhHgmWtnpJivY6IKwTm/nrRUP/2UArTq7hv/9KRAdqsM6Bls3cmU5bN5nYEnzxw3Ra xhZWpxmnUe6hMptU8uZKtm1VIN5EW97QXLf1+wH7yOVpX7AbtKa8MimBWfc3zrvSe9LnxdXFHYIw lJsWr/TUjvUs+6I0bAEQL3ncEU+2HUeB6bProM+X0eIqn7l1swzBsyMLbrhJHvzPixKFWOp0+vd9 I8mQF8VkSqHvPpd4Wvx/epCBS8vaRZw0rG5oKzO7XSNR8Cuh9+umwVhoFHM0VlUegaYUXb8y0L4u UrIzoyBaz8A7E26p3nthSji/0B2+Grv/oN9pPVC3n6ZhTJkcwUcgl0wKle57wdzjqZQUJ+eXwIu6 6VfZeZNe7EB/l8ikwbc1J9fet1Zgl+HSK1uhCOpc8OndSINPrOwrbLWRP9TATZPjQ9v/re4D2f2W uhbSy53+36g2r6PehRxsW7co8w47oDAeNOr3HmcIxb2Rsg+dh2ZdP6SKpRpRCI22XqAN4LCBRJUg XjXgVHUoAv6vSwBp7i26NbY5c7MlaDi47UCa30utRUKpzsxoCpLcza5J14e0x5pHDnVkv3r64iF5 BSkjW0TdEJIF/F/nykkjb4Jc+RZfUrjFaocjABG3X7cAckhrlDeFPuPmEl1G+4wKqkDrVibv88A0 qPzyk1whwQEOy4T2DhVcF0s1p4SxLaHHJ0U6xlYqmEev7y/t2hfaJO0rgXf2aYPs4BymOrNxcV/S Xsh+pQTI7PtW1GhOQp2RbqDb6oazayz8RoFrjOt+FVM7DcyTbC6fXFVqT4KY9ZkILzfBS27xprPC O5jxftDZvn3tljms+fbJhlu7fVZwONbthdF7J9HDvKZw0DocHbFWpupDGE6XE55l1TTbIbZTbiVV Ne86fKb3cibQFvWBxr+CRJoGIonL+i8tvdHQ0wWSznlekjOZVm79PlgeFgxII5ySTGZp6ibcBm6O EoBEhlAxbi0VORtLhExKSw0djzcmmiyUfHOL8NWEU4mlv3G+n4fd76mv/XCvyMFyAuPpLeKaGDf/ mdlefZH+UKDzywgfJibdUo25E77BmdLOpAp7XPVS0fRIaFdQNi458qpVPzqlvcxQzCse/0ekk8mO Vn0k2/W5M8p8HeKEaKKonypKZX3sbf3kfXc+0qVY9ZLkib57SYK8xVUX72URnc7Aiif5gHFruVMn N8AqI5r+qzkD2ugNTZDV0/RU9YWDqxhp+0sl2NenXtPuuNBYHI6YcsG7ZCJVqAXPuJVrasvj51lh MVZ9vcg5JE4NfOd4q6pjID7wMstcKTCeFdYl5Dfro3wUw4uV6hQGdD+bhV0j8KA3izFQZvc3lgvL gQD5CSmlHgw1M3rh3MblcPMTpDMQ09HwdoRY4lC5uJqWl0C2VnCq0dy1uWJ1pboC6mWOc58iHhxp 7dLf5j+R16bDRqXY6n1+1aaYS4NJ41Q6BBkV23wGMf6m08eWG7REp//l1Jf/bJTfsGN1N3pYEiNC BrTQjTR6Thu7x7Ns9JbxqopriQP/NvgVgElB704Qt0vtDj5ySINu7PfPXr8s6btzOgenDi5np4dI W71i4hmG8PZV/XLnae26znHhGIOg5Xgvp4Pcaa1HOXR2FdajBZZ78RylledPRbOaDloa/R2RxQYN Itr46pUEE74gkm8gYnFXHFbIg2K3TOXhpkc4x/XTmppXqFdP6eh2BqWm/rUXl8FEqZTvWFCD73sT VC7/MJaKkjstfTWW04hTcdbTzP7JONs2q9cVFqF2jzsrGOQ2pXj36y88xbgkz1YUeShIpbNI/OaB O61QdxdnX87YgazDjuexpR8dmKPIAubkOa4Y1r6VPXktXL2dyNK6OsYtN+6BtaTpzylZ3+lQbBuZ CGREDf2xjQeioInDzNX19vo5CXljzmwjpKcIwigIKnc3x2wSODeJJMjMVRj9cR6c3pCBromtWTmR Dy4CqggAR5g5ibjjsZjtA/QVMJN5QdQ2Y6GgZf8CFoD4lavb+ByghU20FrpET5Nj35k+g9DoV0b8 ETH+VxwX85/kYYRJivIAPEefez8Og2SOO9CbuOjXWEOh4mQDY/+KrRCw2jpYswqHe8H6bVu0ibAp wdSbuqTMzbb5zSUxqBn+hdqo30apGj+wfzWL5lFcPMFLpA0IczRtd1YhD5nddVGwi/vPGUvCM3xJ oHQty6gb4WE3vuVvSDjmYzEvLGxR+di87mHNszX5HN5qFN1TVjLebHvJ/HTWVXpG+qeZzLCdy6CK YAnxIB/uvkvJHWZj6m6/Eb+r+aq5IW+0vBrow4HFPTjrgfVCvYN927H9xKRloJl4iuIqgYBYgBfs fOZJTRjxRflxaLWusPflEjimUZyKgoAuJ0DC5QMTv2DqpJlTDnuPhsriEf1uuC9uazfmPksgxVWC AL1abu2uHNpcwhUfFozoIM0/Ssn5lbv0gSZ6c9utnW7XwkliLNzzX1GuMtv7s8c6Z2H1iyqOASrQ nmnxw6I2XLL6B//1mCfY/FTop97DwHAJFO5dpPXgxUUVdQKMq42JF3qC9TcCkhjVDGcvuDxwbEUQ 1ueZTER1PMXGEBzNYH/8rqDkUB7VI7a/5KF9aaC5kcoU8eUiiAMFqZz+VQ80q2qvcNuBts9sgXoc KnfZNSwVeEyZAV1wYuDSmVjez46hx4/HCFUD7icVN0a4Okocm+X01Jj2VRgEUCxhS0StOlIoLtCM eaqyeS818pOgq6RtbpcHDLtVnvt6Hpa8Q52/RaQjBt4c3TUCMT7VzIO0ZpWbCf1lpzLIY930SlhE VjqKe48U8WMSblOxmawIOfwYoDJitIvZC+295Wz9pTI8xuD5k9TS7TZBHNTjA+UYYt4/a/JexLvj LHH9IxQ1EbeLC3DRsjNAOtB/CJuiBoPfF3oByEx7L7cCRVUAfJNRrcKqhuoT0MvP3rgI+NuasCpu goXhBUVmWgYFVD3R1Pm07gmGyNTsJrWHiL4zgqiVCceu3FFEzZxvIOR+EWLP3o2elQAlUeC8Vuuc S3nZRq0Y/u+M6cPjFnHfHNbna+j4EFr9CWgRTN3f9RbB9xJH7ph77EubDW3V7Zl8hcf+bGQc7a9d EBdo63rZoktinfS17eBANY7L4VKd8Hqfz60XYZcx6lTlQrhq82e3I5b8JYVra2rbFBTCuWlrHIEk HDm8q2S8n8mzIqnFTaqTYvJLG05ly9l+MEzZX47dqcUqTgUrt8vhNpgJCfdhhN718mmJTKqB8/P1 oRYTINlyYUfgpPfIDisAMeX774failIdmcWPajmzRgS7bY9JwG3eBanJCo1vno1LXSH9MNlgpSg2 mLNGr1x9x4Ru+pPX7qHIDDBjdDNyaZwaFiec+lgzdCCoQlxTpmslsG7ik7bQNp85bNRgm400Fwme +8BT77R5fMkM82w0kXdd9pGh7U5bpDiAHrhZIUxvyCwc852YqWOdrYKlYo+/W57+/P0i9KpHySEG URrfEOMBEYgT2Cc66siVR6X8WlP3KbKrL+hyzh+XiXEIRDIDVGnOZvHAsglf/ErSURH/u4/gSGEL 3PNXwZnE7nIdXThwShRqHHH8B3RQOK/SvAHV4pEXarZAwnyC8x03Tbp5pH4pOnOEF9dgwAmDz8Nc WstgJcNcZHH0S/V3WMOrybMMG5ArBFcgDWe0ThLHM1vRuDG6WdKjwXTuDrdOrIXVXxTCi/oRU4F4 JKczpGdJrhojVyZX5we7MbGdL7f+hHBEUfGtNO6l6yWNWj3AGQZQ+Fv66WZNaqz2Q4Vpp1IXLE/0 mxPqsdikxqazRPViu7gSttK+CoT+83hXsAIam92sHVTt++YPlh8RrhN4VMGvRGckosLxJl8TzDgj uFaRYHNYFDJBSYlbzF80kRcXqpJsumNOJgrZn7b56+N1R++Zhdc4ld0dGcJUYWzY8ryf0XoS5zcY w0u9KTX6F3zGc/6O05B3oHtBzdZErB1rD13+bWJJqhV3g5nDI0leRheno9gyR1sYh5KxjGArniKb phM3N3ENhA6rO1WhWOktPGY7BMXzTZEHTyxPiysPJNeM44fXU7YkdZd9wFAqk+aomRWoYE48aRn4 XoiJW4lKvWOabDU0g0QgOAjMb2Nf1+Cpi5QSSGYrtSZYN5CkTesbKOifDmTKXL8W98BwWm6abQUm t0eXGuNTNH9y7qIyAFHkn7xcEz53/Dx/x7gmYS7UPoyNEBwCplFQUjfQVrFncy12g5JB+6GpOoyk GeHVBwvHb8k4Axq3J3i7vDk/+0Ti4HFgr4m3nvjIEWVvOobNvMNnOyhU+KxCxLGSclsAbGdqHc0P yzDBgL7/0G0rz+8OQckzBL8+5Ntp4ZHNeSsIOIYxroxeVeA3Ir39WvU2rvmsY6rfl3GKJ+3Mr94K ICGFpGJAg3/QINJQRaz8c4vPfNzXObkS/xQxB3MMW/XHA5NMiQXyflS17hhJL8D88Ze/h6ZhT7fZ 9ZcnhtyCiuKctLF8f7kc6iyHzTS6FtsqZayIMW30hJ2apVm3Yz2mDYND9nSPXJm4Sm0mNZMo4Fxa qSRlD5HAtaBucNgugkqmJKlXvlGHinamnGH3fVfDxRL4gGYmxTZTPPtPbBb99D483BffKRQ8WXVS BhD5ZCwflJD+WK8OZEAiMBsdW1+UQECnCvxZAy6tFw39hAdvgyC/d0Bz2xTzTJx4O1v9xmzTI/or pHMcb94oQJIBPktDBrEmFgcV871J5n60qGCGLo6EgNlntZlpD9J5MxX56ZwS8W0JuGFBGeJ4KJGX 6EWXqMnxecxi2TthG7AFA7Mg/WOAMYiN+ZdqX7MwVT/RTch0i7c7P9i8ZCI6X39443kTI6kCfrnC kbUBAgP9umCGJgV6J0ec7cBJX3w0NM70wEcNXF/Hhd83Q+HnLyVfLR3pIxBBOWzBi4GSBx0zVaDI qkZ6bLQ1O7C4Mt75tFo7x+WRYa7ib760pFXvFTGNpUyOw3deOBOINrtF1+PZpZRsLZAP43EVQTnc tGB2nnkQNpxHG4mg20FzKVDZoO41S03+zczfLFp2Nzpkla8YJoBdWSJ7c/v9NsholqTzAIhRnDdm xibCecHz+bnMJUvWMn7PkXRECXEGkLo7Gu7DMgDgi5yfiOWI+H2IYrcKJXqwlE6FnUYlw67Df/x0 yzwyQWgObPvCCvh2Cnz8mSxJnV/SzJhWnR/dbR7m68/anvudaaJH6VtHSY9/arheKCvmD5Sc1XAU XzkGs9X8dxyhglGcBP1LPcG9R6MNlmjcOZhBP/eALdy+f/+bzyth8Es0qEjx8nbYLdXzcUtQr02y A+2PFC8xbAIDz15c/ht/EVu+6m1Ui5MqOyKQ1j99epUORE9GhMSD90odAOjS0LEDP1ajWuxxRHUY 5+AqidSIFjCQdNnDZ+IG8EJpUUmIbOighvDTgBmGbnuy17gSNGki8sAkbQkbNjYqCs2dgZuSzAJP drKyUIUi/VgUtCJgAw8J04fnGq/KhMznuH76qTzPSVM/kLgbDi8I6vOfrYqZ3iSH427WMQ0EyyB6 7AikSs7+V9HUpLyTYAynDLpbatLudpG9QQNSEO2qRkgPcl36Y7wWLU46Y1GXSP2uNljuEFtRSXPr n7tItkd33BSxVhge8f52OLLdSftsITQEoxNlm42XTXeJ9fz7Dm/JqkwGsbAOX/M/IrpfNQVF05hH iyiFPAHhMnE8je7tnnJkCdxI6GpXW4WrSzdOX0WUX6VJ80lw4sOfbZCjFG/2NhsaalmT9JTrfLpO pPVGaVkQ6apGC4UknMitpGOIc6f4a8YQy0O2Us9VAd6A9FgU18RIUA/AHyFgM1qfFZ5vF4a4sj1H ooK6djzCM4PgwGx2GFjWPJhEXuZ8t1+KNtekxcGcoAXzTpjNakVUzSDwYDwXHirQY2awAIj3aiA0 RzAkCCCeTpVfJL+mf1mHMbX61KJdB9jCjQElnTxg7VYqBuPAt/R00nZ+EaXFHAZNDM8jUkS+DIlH 6uARcc4tLFthvKxCD4oUtTpUdj1BvHzv52l8IXqWS50eooFcxJN8NLGKrqgPEF3DJ6vgiS24PKtU bP1dgReTO+4XsF/guFobDmfRyiPycEm4WegYjg4TOAki76jPCBNUsuGR88SNWOsZTEK2hAa11+3O kZW4lLmO5jWHnOYByQY8FYsSYRw+wk4NKg7B4njise8+LC3ru+FN/b27ZzWZJxSnKTy/pCwX2iE7 zkzQ5hap8RGsddvwU3fRSSzlQuZsSk/CCntVFwbTBWjkWC+lEKyuQrd+YvBwvBnMCC7yKyEa5qOu P2f8NwKer9v4dOK4BZlLwnXGYVZXjnLm2UjGl8n1vlTSq9E/5txudOKp+aOuEaK8kxhn/XoDga0q 27JVH1kbBZhqQWGUXFCaCxUHz4BFOxAR7CqbkA+iNrWKk0xuoLg1wXDJ97N02L7wJkAIDD7u8mKO kIgyxTVVaKqPzwFiya2DcHXL9kCfr7FrYFm4SCB8xfgyQm+pT1RhJHmUOUQw0GEGMw3QsJmokNs3 CStxMmUDdHP6stZalBNsAvYSkQNjjuPB6PaBgHdWU9ySeCuSgCeR8Nrtfx1gsJhM/6upJNZsprTa Ffb064i/kzlxURfY21/ioaYRPWoejMj+rtGdiX6fmpzgC1LQ9lm1OBfTu5tcBA5v8paO4agMr+vg ByEl5aD3OjBoEXxbDqsRa0tyFsBJI7kVY1dUCE/2BL9asILmp3SPZuE178N849qqFrd/rRJEdskU jZMavBVkfBTn99cKr9oWiOcxD5J/K/EuEKL4Clmgn3AAE0F7ql/R4HifStQptnj07FVE0FSFHzJl dtOkuT1xbOZmBNRhr3JFK+KKxjT8CJyt48ZAlnICpoS02rnBswbyj6aWVzJ1oYvCmx5qgHUkH2co qhurxKDT4I1GJMkLaXX5OvVc9Blee5oJ4BY8FJPEOX66yXBLwPLTtu0fvuineUB9ZGNE9xaI0riV 8uVZrUCwX8axLoTaIK+RRylQXbIwu+Twjb/tWRkVBinzqn4xw7kUG1RmavSlxq2pvV8qM0UfjgS+ nt0M2XLJkEcGOJyc6gvDU18dlH2pYCIoUL7ZyFJccy3KMMt/XsNSzM56UAbi8IDigTI5zkscgH8l XUVLJAqHOA/AMpig30kFjnIlCLbY0QbOuZpXsumrXtz/0mJCnkU0TbMORpVRZpToryQ148lnvDzx lyUFfMMuOWCbAw1aHedbC8s7SNI1Kd+rfOv4q6EAnAAZ4LZQ4WXcXcDtDx8QAsr75EXvDcNVaysW mFxFkvbV7Ys2M3c2IjTGRpHdijbDy6iWIzJLrYDf0L6/WIU2Vv3WBNXbqFXcwsdJ+0yNL0947rvu ipE9kaOyFEfNnfTDDdTxR+qr/F7gnFBqQzW0dL7ansvMXrRuNT+oEfa3937t1KAPcVeMXKkXWxqx G40U2CfUfqWKbHeTAmVCBT5g1vbZrCmr10F4EX4kE168Y/FvVEhU1YIgbrEH59HAFtpaN66OWtvp 4krGcuqfFK05rqouKmYbOMxck1Xa3kr+2hUNaMULq7T3m2tMj09oQR8EYMV0U1y4G8eFwr3jpkN/ 2yAFPrLC6O0bsKL0aq9R3KUtqMrWYpNZiPDWWzawxy18n0HePqtuyIKAD2NTLfYQ4zr5Vqlb5zEl jveYM4GLC7phYJ4+y5b6kFJJgzBT2DZWM1RFumYs3YWVj8BU6CTSySZiiKr0D3PYUO8DjWq/bvtz VBDShKLH7w6sRrN4fmnpvqjdAByIpdZV6oLnpolwF4evooOdIVaUn8v0f/48V4EL36ZnL/PhpQdk 5P8BGw6XLn4s810a8q/g+aQU/Qd9HPKZ5QfcXkiYY02WXmsD4NnSpkr1To/ylmKiOrvIpOuqD2fF +d17ZHy6oo6gUyt8xZl6STM+oBl7LxhM2SlNhdBLn5jK2FmnN4ECQCeocV0gQLLa8Au5ahwXC4nz kLQJb6DrjnfMEmxy8Aj6hiO2m9q4x3PZJTiW8Q+HTpuY1quSW5Drw1xhfsuWtU6PTOGFTcMng6DT Ff9WLIKOvMn8z/RPOvQAlnBSoq38aRLNJoyzscUADCU14cH6EYDLbZzb9gCCLXgITgSexdheFcRw /h9BQfuLhY23aWiti734JLdvG44LRhato9iGr8eHVo1QjSpus3hi6xUNV7g2Tmkm7T5OQIaNcn+H 9DXsp59cdgz94fh7jlAhqpe+MUZ/FrYVKP+c9qhdrRmYGAMIuY3iIpgpKddSPSUnVUprUeYuqSJd 1QoL9ZVTyEu0h30+7lHp16gpT4TqKYVqawf+R4fWlZ4TQoA+GTGXuICgv4HbXNmcf14uNByhbjzY nGCNXAbiVEWxu8qAsN/og8jI380FC1heZhR0+N0abzvLLM9tP3sj164/VR/5IUCE1i+VtdxqREWK IzH4qpVdflNjlne3Nlod7x5dfBB99qCMS+zRPFLB2I+lzkBiKLfOeUDxLWKpyza2VtnhO6/bNyjb vV8LuOHVdNd8OYyBXvWrmKJZ7mOPWCVXnxI6t/L+5DnmoHzzL7IOv0PSD9957WBcZWhfcRfiAUkJ x6S6+9ZgQB+rVssI9WMCZHlfdEjt2VlMo0trDsMya56R1BO2lLQ64dLL961OGlEAJclWGKuDbA2W KSWGYlbzGR5DjYvPYAKK9sOwBQnxZBOvhM5tia2EyRp4qc0YiYzAY9Tb+R551Qi5GDcbUriHM2OH 6t8VD7sbrjFD23/IekhLx6a+JFa+JGIqbdVJNt6Kr6nccX/vtqssiBg6eiks1xBR53Z5Tr4DxukZ wt7VE6TdWGypqYspeAjGCe3w2Oh5QIWrMzJ3K/zyaT5/kYRDqxXv7iGmp/lEYtLF+DB/v/ie+yz2 GbJasAkBntlZu21E4twfoLcazCk1GVyGUeNiM0zPTVSnm0jhwDVLtqg7Pxl8+YYWZ1rAbILau6bc pSkcALazyPIeDn3URim9kHapn8bR4qJCpEcOlTclDgTDuFJZ3GNLffPyg2ThOla+sytmYWCqb+/h aQrsAetFGEOsgRA6f5ZMfQQp7UouWAf9JPwoSoSnQAsHejHpQyPEHoVUG/EvYvU4HC6vHS3XN7bX wzZRtbdcsbRUMHzYRGSFjAWTcsPAkx9yA8pLZqqnJptB1q2b7OSaP4UoiyXj5S2ao15mDvUFL9Bs Tn3Gf6aj7xNvAjbM9lPjgahpxFHRWGFiIZDYfdv7JmFiE1kjWQow0CwAq+Zwmi6FR2mj44EFDnod KkNJqfGDxYoRg7IbGFvSr+1JfZ3xrVOiYU1XnuFYa6X880qL7GgC+WM8872pi+G/1bzo0H/2CdNG dwOpsGpyoGJafJPpIndmjcEobblp+ZNABRMhzwmJSvb3q4v/elVmEkiFuDlKRClf4VRj3OhVDY8X dMxPGFcRLAJZV7cTjpI3S6uMwP15mr9rbwkVQk5cYFBmYND/LL8/MckABs2wPpMiUKwLL0S0CrO2 x7DKR1qR/nmHKUuBRU/G+r8Wd7pc0nz4YIvld16zpbMlprJboqoxD8q81cPJrchO9QJaphiKwRDT 4eAkM2N+utFNqOfrXwBwevD7sFI5UO6F9JkkyogNHzs/KwEZ47ABq2Pol7cq23omSAcgKtNrrcKD dsRkjU4/DZ3TinsUenOeOMAOMUu5OEPJ95OufIqkSLL+wc+JPSDJS2jkzQU3I0+C2T7JmE4aCkB9 pDp8v3PBnNFTNEwW7eDv+anLEZJVJIrwQJbk7AFJuqInyjFNVNy4UBHfCtg7ej5QEB/98vfV+mfk libioXDlSKwc+L9anQeqx/P225MADPeLBvxHOQHeUDzgnmuuAZmyDzqHO7kjivtm1v4pBzqgV4nY Dt5N1qeQNQXdOR93y79DYoO34sLA1L7jVh9w2ddu5D5ECohyPi1B2J5QQV7FUX3JJ9tg6qbWIX+Y Zy+iiNnaRHaOQZwJFJ7ec431A0YSWR4ApU2C1HZ1Q3HjxpbPJ2Oj6m0UsUua15Xe/2JkoMgLy88A p2kamD+9SwXU3ah22FWCsZQniAAPPG10+n9LztOmzTYGgszCS7NIiiaYxXbiRJLHlz1JCsjiez0r NjWH5sLhfwiY/C7RJWh57rb4RrTd5YJ16/CGyzpKwABFdzzxEkIcAQQc8tzWorbs+hiBEjXDEZLt FpYqdr+/P6K6Yj21tM7ZhV1glX7uYKLO8W3VjSFNHUJxHB/YSvi4q5lUhMPN5EOQ4ISfowogJPYB UubxKBOeoXwRo0P+LQeWsDQp9bNTXP2Cp/gCjXwka7y1iocYhIdU2yxNxudlfmPQdOYXhXCG0XCa 3fgbyOpyFZMkFMPuH0iuV+OvCawkqTs2tlfEoJSNe8bBKdLGEdGJyy07QU2YDwHQ+gktue3a3pvC XTN6c9gr3Yq2PJ9v6ikB9yN1WL3LKALwptRApB9k28zlXTVVP19Ch2ObMKw7EfdTwaPuGsbz7Ooz Gv1cd8WoWotpu8yAVx2ofQA9vi4tk9u10z7aUg6b61jlo+psOpcgf4uakeONUgRS4zmL+cRvWJ0D 9afH9kdFhwf8xJ/3xppxkgOcms/MGiSSHIOXhfdaqNgfPK4HCizKlucsEopO4K2rVqvff2AUA2DF Gl2j5iYHjR6xnGSqLQKXwLqzx2NCoC+SIQQ4ht5weaVhiXTb0j/GmHvifLr3yOhrEIyr+F/udMJ0 4xTM/j1Y3+wkpXZjB+iH6ezemFM3rIHZZO/2OjGTTY+zzD3Z21DwfXKe62wN5vEhdGXOBryvCQjJ K1zrBtAeHmqyGfVJpLa/bVVk7GQqwsvRRXnFPPGNAnBtPpNpbQ00KI2WDtIXLn7PjRIoeIaIXRpb 4u9RYFL7zbE+4cXGF0h1paNHS9YcCbJezEdg8/KscRv5x0hdD80yRIa3FQLIZ8PnXpMt040uF6an Jyvw/2WWrQMNpvxThBNJGUstfd+qYDZuZZplw/ShZjgj9cVsTeZG/0S7LjgahfMtaKbHBD1YvS1E kRhKPHlWQG+neqEdteDApVx0tideqeoIZqcDw7chgV4+9ZDUz8x8E3/YnNqC9ODWu8w7zyET+3b6 PXK28+Z0CFlxeOAs7Lx/Nj7tefAD7RBNENDVW36zlhu1FMMB9EiLCfjLm0LlELzLGH4I0fv+7Bkv KcCbZqR91sZGZ+xyDjZiNFJmoBVkbpV29/Tv3jDoL0ESPYqdtxQbnEMC1fhkmN+nOsR4ug4ng5QZ n6sV9439l46ktYYm4lBcLHOVZzrhBWY0nFsNGpQYsdkZre00ThHPET7xvEpEBGu83MlHe13u+dW3 qF/t6mUKJLGXFBNlUZyyCt/64kNpU6UOxtwvvk25HPdF6qBXTTqCfuppOZP8TN1karuWIPJ7daUX kr3UFbsBv73xswIvwj6AU6awyrYCzMFJ1SorhU+IvzI/9zIs50dUd9/SzX1DSL9L/rYLQHVLlqvU bN+tBeFapbDTVkYK6gLwYCnbhKuDr7y2j0h3N2AWsZI0EMNDUmR5MOk9rTxNHUnhChygRJ/jtxTW pr7zTacOF1Oi0jLBE3s0LwlQXc4P+TzVlSr1WAASjwlVER6suSXf/4vRHOZMYoc9YFSQrXl5oGmt pahkQI2goOA245a/gvOFofp/NMu04ZZE81w5s/j0cfsv/c057B9LakeVsWMTTURT5UJLUHZ+3gZw ZxfJ1ZbAwIo35oTH8bUmPITmk4pc0+J13Xgq6OzNGfQFKKg7sIOPz/iPjtDvMCmojHzyJ+UIQbBR 9eT/Pgw9NEKfjL31s1Jjtr/a12EtkxXY5Lz9g1ma+9uEiVosEAkIQu+KJJK+HihHNdHouJo8Ccu0 sjEqvxAYJpaMyq7I1tYpULQTpM46f7IkpuXI5Rr1tVmMDPBj8rnHTQUoqbR5ZaAKuqI8TMcWp5hK ZpqtjeJIpEASqRtYyK4NFtd9AW7/4zB4KBDVd+GOg5IrGedMdzGhG1BzXBppb09JfEbgPh2WgIFX L7OcUMPufpNUtU2/FXXiHuqfvbWE4frW1CAmjDTe9Z4MsCLa6WAyrvqRzXYj+j6YL/Pp88QRaFH3 07asy3aUltJJauNYDdK0xoa/TIxnzpxEGzPjwPLllxrnAb7fCv0pCd0BSh1ZXLE62KwZyoGCfUeN GK36gdh4rzdRg2wtB0YFa1r5hBh99YyWSmDnmp0MG4YKxqJxDv12b8C/uH3tEB1TGhyABKp3a/un iLfHO7cVzA/rFGFSAXWeC9b1T7T6Gq+mAqNcicUmzeO9vbs38xnTyVNojq8pG++e4d/a0PmOz13h YPHIPOPDEvvbzxA/WZdCwLMBw3oZ7QTxe6tgLkxof+ryWppfATyzyqQ5WG5YWk0Pl71D7BVthKPQ 9S+UiyDCOTI2bQMXanYJksc9dBxBTuo9HMRcWk6j+rrLuYN31iOO/yKZRYPmRKyz+DcpO9hxn3dW Z/OVQwdLIfnZBo1pWJnE/sHbPTfMNi9yfMG2VN7+uACPNMYDqr7XsBUkMhfEJ8wX7k+sSbc8DzbX pRVz/PPv7Fqspif9Nf7dYk0sJLL4adcZAGPcBv9G99MqEo/YjMfm9DicCcxl7VmKSc07K56/MRzK np9xENcXt1IjvdZ93yyC0mdGeKcqJHNwvbaf+f43EmkoywS0LeHMl8TEFSuUcH8WkVnxxoNa9ON/ 048ysSUInQ7Khf4EEBdke9zQjQod0IfHL8d0CQsrLTw6Tt4mMLU/KVKGKvhPM5gu3XRwIGJ0fpTc aYFDVTdbfMSOQoBEMwBZye0FEN1PP+mRpazLt5X/L4RoZumisG21GFuiE/ubCORhyZpMnvMK0lcD h7iwfVOJ6yqhbMq2+Fy0VvRMmf22Z+JqaRzbD+frMjjylLKo958NKewDExxgkHIwu4FoO8DQnhzk y45V/o5BB+ktWcWA6a9VUhBt3SfenjIX9lktvyN+EqqR4LvJCW+xz7EFMjAlbbAyiNrVIdTRC5Zc KzCVkT3tbC8LhF12exsv5KyQrm1jKJU0VngHpA2g6i+LEDagXF9IaFUALCUAdEAeM91YmZojy2TG eNG3beg/IQHKaT6kXxCCpPhoyl/EccBiLvZ81OdNVWbiWvT+h/QC7r01BNtuGihxfeEZ3MGk6NyK +f8oK55gY3KaRKHLkdF84/HuJnPgU98LjQoQfAPw/vxyD8vgHSivDMVSY0AkmgWSlIZRchPZKEEi vLkVxtb4kSuK7QwuK26mSjRehv4KGBTysS4yfkTTcUvfxTh2td2N4kaio8zc+ITGTejbEsWO2xcc SRjRIeGa6Gzn05nmTnICfAxN5d4hfspAtbRe4FNFQqhTPSIWHoB+xFPd3uN8jbNqFftULCaktn03 yS45Op6M46exzc9XsDP9JM7uQaVYP63m5er1YzA5w4Pg+MlgoBACCrmcJDE2mJn8nOaNjigGtEUD QM8pxICQI2yj3/PSBA90+XHqnzIoeyiH5AlARBGSqvwA0zbNr3Y5FXs0FwnF6nkeyhhP+kPhtAyw to7ZQNM3UIKLPEBijACs22cvF0l0QVy4MK3tCDcEqvJfXbgKjN91/e6AKzvxmT3Pd7M6dyUM57tk EebL5TnN7U35nAYOcWukXMsT5vdAYO5enLAwZGWSeqILcHoIkANTbjYFWEMRBx/qkwrY93VRdwn8 fub2TFqEDTKEZanlzMajJHgyYw1UAPXkeh1cpfOLQk+sRDgn1X45IdCu62XpIhYEMtaXSUjHOxkA TVhweO+IoP1FzLJGRpeqAbyzClgAr3kT4gaXwbIrZiw7HJ0AjJjhQzvh0jm+fVJSSLLk6sz52YeW WKOlXDCde8atmGPKKzvfyzkceCPu02OGq4wFBcF5BXFoD6w+LXIwFuwbhfHGiZgCIUwC0Fn9389d USoT8T59owl8uDjNJQ2BVGdJs9cyBdQVON2dXo4EkI1D1SM0bCIBBZyciQenCuAa2jTOjqcXNeVR ZluLC1yBSjC6FpT7jLKRMInJnqkk7xOHNdDvrkthSh2Ut0prsr0I0VaOqFPGXNBIe1XNugjjhJ/r prfobchEbWc9uv8BKM4v/IROsEl7g3AhzfPxKpj3AJxw3xwgSo23SYNKFID0qy4f2/0o3Ykni001 DSgw279sZWPjYjTsGCx2+tch53YLNg9sRnpy7U7+nt2cZqkIvgxtczKbEAJPcMODCw9CILTfgo/B u/cpokyvGyJaH7jsa7fPe4Y6A6LnlDBlCcddmwQ/wwEzlCk5N1rsGf82Gyz3idSE+v5wNpDsAdiN XBJo4wTYOH8ta63Lku9FV+RBo/AiCdvRyVwaILhRnJskV/V6Z9DutLijsKY+/sdl3lIqKSlwabuC 3AHuwTZIkQ9mxHcQ6hdqYh4S+teK5AuHU4fyh60wwy7TSqxUKamNWkSkZsBRcM4+Zoj6I158X96J au01axxaBcDwi7tEcONw3bkGMA6rPL4ZvjsXj50ckDP/yVdSz1aqdKIKyEjbQ/LuACxH2MqB3qQX t6mDU92ozaOudoG0xN8c9Lrz1z9EfbTQc5Kq3Es++g9z8/o7L6hZygbwPEAzw31ZjGhk6nJ6bmzc ejvMPjMbB87Sml2Kcdh0xY3fb8MZ6APXz937wjsU050KiW8qSzLw4mcsOnJSGKGLc6MLkt5tTKb5 W5CD4i4FfkY03XljNmkMCferGmU/pkZ66dGc2ywIiImRwLkg0R0WLjfpVSvQvy5LpQ6rd7UdZvoL 2oRsA9EDVLDWOCjtCFIVDzFg5e09MKc2xCopEpfC1YmPM859g8cIltgGoXbmvb9LgP8NHyzT7Nyh 6b/U/KKNXrHXbSiD5rSGsm2CIQ2/VgquFJ9V4BG9p6RyPPRxR5h6i3LlDe2TcPNMh5dsc/QJr3f6 2gztFhbNTVrHUefew8ej/ZuAKIgyDdTQN8iSS0X2l6k3u7nzKftLVG4Q/Kyp9sGx2z6qxC+FwEJs lscrcqDHAuHaKiscrGpIaalX8JhIngPjZSNScAUiaoT6+emUoEPnkFcaGsSg4P528lr2WU0IM8nf uAhRxZnIZvrN9z2pOYtlH8JKDCL+u1z9IMxzg4r0hXd5a5AJGPzyOgb+576pMA7DwZv44G2l6M/9 qcif5khNbfxFS5kv41hqfDflwJiaW4Vrg3wJLR9yqyqLwryiUp42nDqVo6f6uzl9nnXFPakJwxlX gVfv73uCFqeXnn+mZY01oV8HKskBV7c3mmhXMDSPnHx5PL5TuNiHcolKBKTW2oRtIF7uklEin4A+ Hxb9KYbwzN8WTr4QrCO64B+YkbIfLinE87Kz2m8EiWYOoGbh0A9RGBrMx1k7qLyET7FSMC5a8xx+ EXNOUK29z9stJ6EhEnNK2EnrUtfw3OU3/qJQi8ukFt56dHTZvPrc4pqEc1ms2yOuBX4nWsPkYm/f 4fCYPv/d7G34XEFudkqVlIKhUKsKUn2dg5huNpJCjXlQYz4ejg9maewF1k3gAVZLGkf4oK82lLnY cJFwcwMEv3dtcxKiMKNnp8SJ9E0QD6FdVrHjH9+s5TGpKf2E1B4al0zmu4xgjvQoY3V4SyILeoiC VGYjW3K1l6O/dPdpSQjEryfI4RarwbdIVfNdWFqmwM0JxjUEg+g6WqYtumH/xuj+sVHowkzmJzIH rpM+TbRedCA3UuCInAnUTSWnwoQOeqGdwW3srgM322Y1LK3YC1AbzwqMHSS/E9hZjKE3J8wWt8ic MlRCgMpo0jbVaJXFm9z7QRGfDLCSlyYiSNw9754/iUec5lSpIWp/C7EkybBw7saRcCBAFj821U1p LohiRxVgwKN71oClIrL4wU8oE8GGRK9E2xDLiv7KpYvr0q4lHD8bWUZyBKOJjs8goUfLcAY2EX4y 6GPKg/Rzih833dxLefP/4sWK1uu8pfH4E6e+M4MzBPVGpw+wTDtdUjVW/nieqCoMA53gCcenD1vR quiidrXK76h5a3zpfVFo7oV5RaMSXcCieGhbP8kfidbWiiGaniPrSMgCc+ffd1yRkDUVQxLrnEUc Pa6qOQ8KeG28oBUdL5FnYDvlTsKnVrGBqxJY9vBqPFBAvhJaWNMNo8vhQsESqigutA/6iiKk322M 6LXY4u71ruBO+VwH/JVXWb0yMN9OcE8zWYR8b4tPqaqCEKphOQu09EUxrFoNyWVasm4Vm6mG3Jkg dZ0tGyVUlKub+3DqWn0hpmkwnfug+ix7bAyeExW/OgJZwYWmbyBy4rwkx8KoJaqleCjUP+m8e/f4 rtG0C0dxFy+r9D3CjG/RDMOKIGaYpolXEDCt48+J4bA3plHWOItgOTyAU6RqAjhMR+pp0pQwxCO4 OTrpL5b3XTQgL5e+Vwq2MEWn9diGf3V6nXn724I7RsXa6ciklCF4772gbsKyl83MjJSr+liaBnTs F+0S3Zvv6r4fwImUs2TucPlyGXEw3oNH0fmo8LwVg4P4Jga5XTK/Rrb1VoQ7aX/xiTx8h/07h6ao 3CMYfJKBjG+54XAA97SMY2Xu6jm8wIIPhZPBG8ZH403kKNeOXxhTHYvENKC+iMx4MOYLUHI/cG1E 7pXjqu7PXJMeZ7egyBq96AyjEBo5ZCQX3Qrpba8OgpFrYaQZlUqb1GxA7UTIsMjzFf9HFyqyabAp GpOmgHoVmfrJmoxlHk5OiP+mwiS4PHTukN29SxHYG5JgkCHjz5D608aP7KuaCMCkwiQEHqioLsrG gwHTmHd3OZloasSosph93Y36NDrQVlk97c5LrfeddWnCHCl7rfSleVuTWVpSAMqSENRXRsQX8ozK XGHIfsUGJWt8f/Tbqi/guFFxLypwTz7ktYMN99YG9YfDUvztc9vB2bQgTjuxvKt553OiSPIKdaBa Ovx+Y3ZT+xEDbm2lsKl5Jg0l1S4QJWkjyC1rR/4Z6yytKbJDDVMRxmZQtXGPrh46IOaokWg283B2 IMto/4WNxbMQ8BK5hz+kECYPfSWZEepC0sosYtEElrl6aME3/rH1e+/UYhWAsRPgGY8EKGtbv9Lc 55o4dzGSvP5aZhtB8fAsAziaWxgUVPUC354kPa2zgu3Bi1730ymSCP0Jm+sk/FcDbHRPWmzMRT4D qdRMaRP+qpxZnN2Ez5AY/9YtDWNFYsqTA5yDljRFl7+nQiHgz9RdVScyAYjONfoLsKpwPXPeyeYK SxxSK6W032iFd0dq4NSMBCaZ5g4pq/xFvIJiYPdL03sSu6/huwTbvNischoNTqxsa4LC8DvYzYsQ b58ZEpZIbkofQM1vFXyUCgMO8FOrIf0EkSYg62IVJKK9267AajIgUW0Jc3agFBOyGEMQCDaUT1d5 KF+DLQUn2ZN6hVE3B6lFi/U4Y0+HP6aLgVXGzPUu7RDlV+XO0QJG4wKWfrGZ96ODbh2Ya3yYX6x1 VYoWjcLLmPrnVSPOgWmNikUfxZVV7T+Et+5AuMp/4HExsoXazsi+0t1WypgD/DVMzZR/axpcO5kO HhJBFfcquc1Vd4G5DAfUFEHLZdT+bhpAybYzz3iyZOb4uZZy2ZmGFwpHNkxTPNlYnu+AU8gBlgwh XBUdYVKZyuCVUtA2YEy6j+2DIYJf9KLtqNyP7IlnxjZzQDVCjdGW1oCqseISigCtlHecH44Pf44e Fx1SBWqKTqnzI5dpEC9WOygT7rb8A2X+yPdCcaUWcbetcmYz+QPrQNBwdIDpk2pd8zfioUjL98DN X/37vL7tAnjEGqzpllTliwrFaxOy8sYYY0R55CdrDC/+8tW+7rp78jIm1tTi2xZLMcPKW6dfQTBv AFDLiyCGTeq+hU9ZZzigCGzmWmewa6hVsTaN5aK0Sn+W/QzZoQfLj4QW9x+B7QSUQji/HSvq0DQN thIrpqgX3gTn10lNC6J0i5v6z8s1Ifd0e6iUHIQNtkGbVcGUY4w3WXwJCa8RSn7pCNIOpVppxlzi MI2n1XlIckZYijW30rSBiRS4mBmZRDuvZmriMozBsW2qkSCrj1diIRaJIt1N7stNSIeS1g6OtqjH 5+rj0pBPJUN7Rlk8zr1dyJPyKDjQ8ZrPa1GTz/uwlSX+aMqQvQr3WKNc6XU5uGwgF7RybKcLWl8W O76unDn96QBGa5trIvVWLJKFMdFSwkTkTWQzlq0z62u+UtVYX4g0juIPxBY+cDbktFh3WyXwwcD6 4dFR2CIDeE4JRq7Jra43WT2nparqx3nqVHEZkqiiiS6P7beQUUwwunn9o77YEYWrwBbJG/mnHmgc +9YGCiexnXnQokSXOD7caEBRM/h01+oVIKfGvdPxoRNxsGJO5f9fAD/qybQaXC5qHtxVHiDVFp3k +SGJvazPcGovL6LVZVIrC3Kpke9XyRuL4/c7erR10evSd3GofyjEq9UrpbymbBdLDTeZuEYufV91 AZU/BTMP7jdfMMcWEPg0jrI+s1pwVBgRRvik5dTOCIQCefKuyhrMGdJTVNm6KDE/wxOqpVrZnYSS nhMg68xWnSxAPU7xcgjxh9qI4Qt6IW/FbGSd6Hc/K656exf1VvOKGm5ZjP3O59YSeOKClMhHlaEq SIFvJTuJUgWpNvWXck3ZI5EcTqK186PjtmyTCUfpm4SKEvRm+f8+p04mr1d/dNg9+Rpxgcab6N5n u1zlVTKkKupJNaHYB83gsY4T25BSsECy5I1CFJW82EpP3r5noPk5cK7D2mUfOra422spF47Xps8j bCNJ7l/gP6nvAgnlb6GnUFsKSabluk475SI6qVLiKUWHrOAyDc6MH0QgX0hsXuNVAimYXb+JUn7v dqdEL51zKfAk9eMw32dnQs6yBkP/lxAYsKJXN1Y4AEgMeR86JvxAR9JpVrRtQEsb7X33gfDZaIG0 TdF2sWukUjFzkPK4E+L6ix//a4kI08Ed+FFpVt4cvMvMT9dJVwKcf1ydjaQ9HrmXL69OJtMV/pop 0eeXxe8ZfFtwO8sRV190cDqDmIZBQvfJ3puaNk0mHAA6683/sJ+sw5qDSrNNjZSOeqH6Ro5I1bMo JVoeAsf6+mAzcoXKKpouG1cY7erApu2aAj0ZKRPIRJxeaC+qS/aLWO52QCR01mZX8ZI8v6LK5icw 3CUwTMQusturjyqASvTylr0ta92X4C+QIF+14aUTNClTj4DcLZrfuhAqjEgmlz3FC7dn66cEAu4e ECTlShGjwb6dMUJpdZ8us0W+u8lHw4PT1B5zBQKbEDzHR1Hnvqqt0D6vWU/3KKwy076s6JUKAF9/ P8vJFTVhJ443yRqf9NmvlJiGcw0qne8HmCSW3935fLChUsYhdtyCTNWaaRxbvj36wadtqqp9MPUg WKzd3FL9zFIvuF5jKYAzIHkE/ujKNq5Muwjev+QF21wL+189DT4ObNhS0SjgWUn5qu3XYencGCM/ +HBJUDUagpgKbfK/UIe5rPtGKcJ5rzrI5SSFESoNwep9I4NLiyCoxS2BeJYYtyelYn13oSkbeGyw UexQJ/4xkSjvkhr2cBBsuwRiYQqQdkjUdTxxeyB0Wpqzh57Xe8TC7DuZ8y2dI++jXT9qNO6QzUmy 4v8LSRkTx05Z+BHVos8LmY8X+hqpEO4dDHoTEoUodoY7SRY1wAdiMglS43ecYMm+oFTrvIuyz8t6 UCQF+dJzfULrehDhV0/EjjHN20Y7EtsU6a3D8BWPuueNkJY2iZ51i9gQTVNLjkifsKQbQvhiksCE krv1YSuJ6lOPvBh7R1kgKfS2AJpvE8bMrso9jYr1AOFVrSZu4Auyg7GDcLduyVpXlGQuuyeGibN9 QsNyqUmmkE2fRY3UDf8zowjfO5fRCwt2kDW5VkBdtyoMDAQE9dWeYhSbvMSmziWJoGIZ/fmxc65b mAXTl3sQ8Hjb7379ai+8DYJmTKWqHWsQadKZzSHN8aPdQkmmhNAgw5cr+kNAI1UtwxAuzr9ZOEvB 5lzrD/6Pz+GVz41YopbZ5Rg6oTbd9lsIGf11eSCMmKZDNU272+mZXzPCqYmhTHUp+X0GXPb+rOC8 VTHwODvVDI4AAm1hgFN/Pq8rOhUJ6OHMMNQ7r00jmaR3fZTBH4/VlG/wLW0Uz1/F5jVL2RinkltX dsZow3zr/k2hcr4FOVND5/KkpmUBW5FKMzSbDw9aeKRZoLQ0XWX3TiXMYzjxHEgltAoaSEt9xnJl QavHeQaLNl2L5HbuEq569wzyxMS6+3EbuDbEDrcOOFDGKQPYm6WhwljjYXy+kFZxlf/XAvOHuGz6 M5y/bl9UuBeL1tUhZ+8fmtC7ngdNCRbya9a5kWtB8Uq8tgPu2SM1qpj2EDh1W7oY0QqCj3ClnWPJ OcARJ5e8AMX4Z91dlALgUDAoAOMgzh2HqK277U3UoP3iz0TLB9JatEZJDAd/WJORehMwWd6NPFm1 yA/dbC5ESIqVOW0uA4bFwJyUnFCorQK/7eYf/wu2iezCm+i10Zce/hok48Wk7ejLGsKqO5kT1zgz 7zyHu5fiT3gQwcAGGkClE3SPFwPDmrbG817MIqXTEfSV3hotop10gLvlftT3eUQJM7RJOtdu1IZ6 6EiOq3IeYzKIbF7AsvAATZFGFStCimCjIVHNZvmBD5z7szBsawuSKQijXi/YNeuZHKQgAV5CQTA4 VCCISjL3hc6WxVFUcyczw8TUUlJiP0ZM0FNq6PZFyIyyH+sTGH5l2hmLTJlg8X6xCaYiLfok3flA agNjQU05VBwY280Lafn9PWFAOPeDncGaF0l1jEYvSF4CxRBEeWOe3khMgpZwbuOV/mhLSkjr+uVq 9pwhSAknZ6WynRSpQfsyPt8DNlbGJ8bHe8XKqA46aZZk+N6dZPPyM6J4rQ4FFxcFZ1FIcWWa8kOC CzeFxYV5GuZGbpJLGG2BZskFzTk/9BKcQcqf9Xlc3lEaG4khfSyptaAObNoqNYT+IVQTrpjPdBqr Rzl0M5dRBhfEIQN6+jcvGczBFU3NWbAmt4C77jrAeBV0dqr9ky4bRGq6UwGhZPxeOT/N584X3m4x W+PUtE4o/LE0crEfzH10Uol8/aIDxGLeT8vlyvN20Ev5LTxZ+LSJDM6xcx9b1wl47/l70le/bQCi EpCWv3s1SZTcQ7NMjlzrEU04fQ7mmLJ2OrtHk7j8lfqQzqZwptBwRFIcZjczDIEFXZkfNDbWOxz9 O82musEdEynQgUMKThu+sY4Wx/9TAgDvwKIF8Qo0lZpE60Gdao+rLWQUd75N7rWNZXGuEeP9bPW5 GTUE05Ut5gP6ZPWCx2YVVokCsucvpxrMFfNq2uviqANlktq5FDyqYo2GapGhin3Al8BMtYsvkr2w OMtdAyZnsiksa8rI6pENAQfcfmZG2xAA4nzk3PcazwSlOfUhI5oWW2bz4+rzhsi0vRsTGVbpV3r+ NrsrKqhaLcBOe6p3aJADr1NT6zSqDfwfGPxCK8//vaOpk6hiy1i/u+W67hOg6/i0UURmTwdH2vvq 3c8FA6x0S3Pi2fJ0m3SC3TlWp2o8KogQG4Bh1cp6ohhtQ3gx3gU6EIRemW7UOZa/2sZfAKkGUjeU BSVb6wb2lx3N1ddNb1gnB4yre/HWfOOLlkblEjETkTsi4qt4T1Z4dJ4cBgU7SV1tyfxOm+vkG1YP SZvpxqYrJcb0B+tGqHinMGmjemdqF7BhOcHE8Ry+lqVLhMnujgxrjZxQSG2Kh6wkallN9JeA1WsP AFyhhHPgS7lD0TbwliIzd1wKSrMqZcGzUKqCnrkzzlCwOI5GGdroGtWfpDepCuzkPEsa0Sq5NyE9 42UfK/4c9+6Dw2IO2zdIyZwK17baaw0KGCyRtUYpPPkIEsGWnhYs8QqKWPiyINNd7mrNx/bEV4Rl /p4g3URMCE+AyiYUF8wZt3TpS9bqM6+Els55gAfumZRS13MFAKi6T35aDf109GF0W2nfSKkxe6GJ foG2Nu1OsP27pRTqnBEHtCLlisiBDo5hz7wMMS0alzgD2Fr5YG7bNHhVVYMr+cR5pfnUq937SBwQ MxUlihkK/F7n6imyQKNuj1d4iQMknQvrShvqHGUu6mcCgGlMAx/KCnkU4J1fvDZc6pJCRorvv5NR vyhUb5Z8qnbghIHhCTc+D26iQpoSzP2gFBhpVBbS1A5lDIuFHjFKGNuha9ShJDMg7z75zpfhANDB mn8jP2IMjvcnrQezlRT14ppAKgdewp2K5JUQYCndVFBMxW4NSB2S4A3TKHMKmJJquicAILQ0wygL XuuDuH4M//qGITK7qL8xv8vLbEbY8kVX4sjkMMm+PjCsblXzxWTkDxnXihpYkHHhSSeaRJxYaVnM GPxM2bguhFYmZCdFA/Yllzg5OYhOEHShUQIY3+jonXwubjzOYlgLed68umSFr72nr6rM4MQUHin0 dlP2iMahaX6VCGb4hUEZHkKe3wu6GCKXLGzUEz7SeFHz1pgzp14Q6wVEI+RjwAPIuHIg0QQg4p+1 Gn9Q2qLt6A5F/EPLyL3HlVAjI4ZBWhEqxr/gTzF6Biye1eNWsGgP9OOEe4s77AdiAPAENed0vzvw QdEvXHFM+DpTkfmqtp+Fz0t8jknXjBRWD/zv/0KKjnC5OcKpUY0AXMd4WE8Spqg3YJhggisZbaKd YKaN8ZqvkI7efsjiuIxOjnCb8G5mRzGMojZ/hXhDWr5eP+U+d45orFdykSuSUYURyH1p/xJzwy5O V6R1c9Gw6UPPpR5gxc85kSkuHkdJu85q00C32ctwGsEpylMVO6tv5FtuIPDSTE99Ul6eyrMjyFf0 bQsTfKRzaKVQ1p825tQQbDw62/HdZ5JOFRfo9PYm4AxQAw0bdlWKK5n/WFTvhPjDi9PoHFGdc8mh YkjbUJhWX5wcQaeGB6tQvprfTEdmtIb23zG+d3+otwN3u7Uvi4KwJXgRirxEXPeWBSRDgA+atxtC 86OToDgiZfib6XpF0aS8+OVMta7JVZFP8yrnhR7YRaJcCjlr15jut9EUVLr18wYzm7MYuh7QnvDz PG+oluakKuuQ7O7sVeFwZJVdTqLOz+kVMOK2bIctI3IYikNix9ZEz6kAwJwg9YBOTB0z93pvagT/ Q0ZZScAtaABykODtj/GfVe8AL1zo0VxNHZlVidAJT05c1i8J2WT0+zyRdS6oc5NEjxwh7okEcBkz RRfM6BqL2PJeXkwA2K8rpttY0TD/qnkOoVxdK/BFilqNANiyJiuGQYm3igEWszKPI8h5Kpc9r6vr 5cauUMqOYUpi4/QgrmMxx6nmNMM6HIwIqdjzPqNK/S+muzFj9jSEQdBoU4NHtzyMJp4oYIFzeuLO /nsv1Qq/DVL9ADgnLjbgkkVQXLwLktWtaaqNe9XNxPdhXFxpcb2xLKqxmd+nTAE9rxr4HC42dgv3 Nv7T7ik5WzlEJBJjml0IqoN7GGfs1CJgnWb8dwHZCMKmUVxyYkbJMsBwWTC+g1EC9TJHnbvhTWcH HCKJ7Pq9QzVI5bYaL4UujErVOrnmtzRu2/cTQcbTnOLFwjYZVBvw1fx+X5kwUOsHm6AquUyAvT9O TmSXoNNMdTWRNPj2ld81c2QL3IX6nfqcbpyMR5nyu3gtAKjD7Z2xYIRSMRjxVkvKvPIE9TKLrACa ZPCAWOsJ/3WUTPMEKcmXc5RAaM9sJq3Nls473mHU23zD1mRmqHIgA2ExVlvCveyH7iI467n8ymTh dqVszR3hhZKSrd7XWnFBReLjN3U0Tz8znocxG0X87yAjwG5xDAv2HSeWySFy6Z3jJfLFDVNwLL8m H3FxRdXiVa0WMbMC0TTcud0sOEB65RYoi/JtZKKQIvq7akquEwIZ/LudxPG7b9dZqd3+pZU/hxRv /+jGQQBPYuQ+ZPkO2tOHxNy0vBaMkpMBaZsCiA2m7W6IeoLHWq7VNTGStjND+Do9zI2extlfKwvR x6v8KRrwjSXnP7WYdFWZ2IvzueQXEYwnEndvncpKeTr9SdVPec/dXuH7i9QqUXc4ynwij80jDCH8 x7WY2LAD8k2QyJia5i1MXeYUXaDinblQytasYDm7kQZGoXAeytiPC9E5MCB1K57s165vEzlmnq9q WtR1eu3b7rkMPhM9koIIbXsoCSLuoD/XEj3ytVjEZNHqwJfPi9JgYL2aFbjYjMhbttjo9yrkNvxq 46epuU5AMN0ZblP6vowAMnc9IkBZJfoG6jmUV7i67cWxLQH6QTugSu2hJaXYnN7hH+5uw1k7u8TU purc+FcnmlWWYzIc+yJtOSFgasxee/knWqcg69zhst1l/Vd4h/LUOrSiGI2oYrOXljq1ZUAGI/UQ k4GDsADr0l+IMmWq2jFftnsKk8ktzW1JUIakTo31ALA4Gc+YTXG0k1KJdeqgxMBNI71HxTAfrVMP xAQ5ChmP49e4caa3ecoS/TyBgWQuyS4ybMOepo2BIwe1CY8ojXUQ/lDRjUNDsPGfYjUzm0EhHDlo JTCX64TVibj5GyZfj8EAEEUlUccEos5TETx2cO6PUFZcAZdsq6G5TvvMHfi7tbbm1Y7LzxIBcdNx 1Atl9g1tOaB7JqPvIfEXbeEybg9gCf582wcB0w2dmLj9q336yyu8I9+79FKOdq0Uif9pVRejUnhg n0xMI1ZYBCsN5Ssl/PY9zhGQbvOIROFgPuegdgjYQPUhvDibJanGpVZYjY3Hs9qWR6FQZYMydjSt ckwUmPiWqv7k13odxZT4RPLILFYz+0CnrCycDGrYOgM6XPQ4ByHEpOW9Mn9QgW3DsCOPyWdjKHJU iG73umXVvbSqQ5oapQA129iXvGLf2LYhbr3JpgQhZ369t0q6x29fWM33QXObqDIZfOlKlECkUgoh kDcvGc2C2qlzY8xsDVs9CkbYR+TTlS28kivDQjyubJFOxDXVuXn40U/BsJxblkJqfc6foYVdlyKO DFpfO4ZLCzajlunWyJ2Aatt7Ynv95LzhbJSGE38Q2X/Re+W/GyNjIQk+VxeD2kWmPuBEnvym1r3o LfXpP1y+b0aHJOPqnyDNjzUF7Oha2TSRmhpv8cfYZH1+NOsERB5ds8Q0rAhv1JbZhDaRLqWuSQOT q6kL1YdBbRO+3/OPFHqZs9nHjGPXJ0t/daY+3qMDcQ0JDzyvXNRflmd08H1CR9H4js7UQ50YKfHG bVK3mg5Hwtk+a3BKoyZHhBSHNBpGFIvoYh4TUiaXvfu601LH8n/eU10wg03Kt2Sda+v6YxTjd3NJ BtIdYstE2EmfIiTLGHke6Pw8ItMYC3cBLC4YHM/AysZnYJJhB8GnNNGgHuSWC+TEgEXyO01rPckb oETSC7a2hWkd4OMarBupn8P3MY9vDlsuoaKAW2hLGNJmTRgg5x2RzlFPCvJrDIzBuzITCsbzflyn S4L9gmCL1PnayqULER6I9Ew1q47Jx9oaoYBDbh4IJdoF4y9JDO1dEPNZ0/qoKgdTNc3PyydqZ4cQ A6hS8HumYmtDrCEwB//AWFyIgS48en0jCBEC4oYy7W8TKoPnY/OBIN/ybeIZS9L+tcSefAJdCp/W AXWCSuFGS8vqPKFGXPI8rYAS3Ucwj9OQeSwAje92NN2ZvVxxCeqTxY+LA9EkYEltDB3bugoCPOCi nkxDWrnfxzl9WRae38tmxp6XGNMkud1vsWzmR0SLRSpr2BuJD1q+k8fb5rXAJ/LP6PyuSO/SDXZz zOyUgrVUPYwi7mj6j5URyyXxhzQr+lw3VfQWmAor7Sj1eyfmmBvau9y0YqrY/c7hn0frGzU1Mgz+ a7oPDtmn6/L17+VPs2tWSuCZq1YSRyR4eNtFF/BpVEXtMVzMzTp+5GCPKjAOgBJZeyQnRnetjsc/ 3tAZq331ou1OGO6fl+LLNnOZebWPUeGtjkJfa/MDQrX0b20yD0m1koHHX8sodzLo0q0W0sZC62c3 t2TmgVaNh3OZDNoxGNiOfB3YGGOim1UX1OP0hi5k/sNmuARftTgESIZYfYbNFwk9l7bEc/Gug781 tCBJzUsewC4h1hLH2qwrUZRLKqbtJ0LDtPSQuojlLXeks+Z15naG/mcWvRCefV5xJ9xWxWILP2a5 n/lyMW6hcTB9XrUWkbVCekBokq6uGSZ7GW+fzNxOlbYoXw2GNqUYXEnpWLE7j6BmJgMqgiMPF45l 8iKUso13B3hdaWUNg0TMAbL/WqBbt06aRFV7g8y0PCcFCYgWz8OgjstRTH4dOeakQogpiMYBlUu9 PEUxmxrlDq8RcmCxDL1CTfljP+1JXG4k4VtWJiut9V9cema32GW7DHO/twF5QHqtm50reBDoeG6P CC6bZNXHxbK0E/iHR7hN1AFhWIbuZsFxHb0N3/OvfKTymVhI+BLN+bHvHkQxW6goBtfz5RIOm+F4 VERrysuXYRnimywp9WlsrHIAhlNKCtAQhBzHQLqJPfRHkmf64wVRxYMe1rA/fVYsroC2aeLG7OHG 5oMS5DLxxxiJN/MRIEjPH1Fd2LVCuD0ktMXN8tuxBmPFvhIJTSoNPZ4P00Q/Z+zWgazOr72HCWCD Tz3PljU3xPGY7OHcOv6uS2mHBioVpmEbn9vVaeE3Szi+gpBjH7wdcsJyW8ySOO8DgOm/nMMeMKqh VK5jwFV4fsrkIUwHBXp7BW2sjY5zhYuxueStmf3NoveMKBOQdtk5ru4t1OLekLwTvFTM9LpKnU3P HxSfBjVlTYEtQLcFvfqOF/WSb3VvQnoYZxoKejjWydXYpDUDa34SJjg3L0dO5XaAcqZXhWFvURcx hWNqth61ylmVw46c2+IdnY3RrQDV98MBN/nhDE2oONpCh3xOh+mhhrIfElsn46pVja+bIWUGj6gJ OhLWo8QUJjuJ6pQwalaVNICHyzT8hPpx7S1a6UQYZVDZTh+I1T7tqn2zsMGnQuNjWtH1c0cCI3+Y YoEAEcwLMgDCi9jcSPpztrNNq30xFobBcSi+Y4F3VdRdrlszrto/4LanITwDB35sILFxGMaWqyDC UnUdi2xNDotzWP0KnyqSzdZRb3PTxiPNoK4HRIiDgtcSNkXTfa8nQ1zO3miLyaOdBpQSo6rKojA3 wfGt4cjhJFI4qGPjQOmvUrvisGtri2JjtiXAYnpvf4b5lIPeqQ4voA+lQhsGSf8s4+n9TuIBVJTF aw8xp/MZij47cE+mUy6WevQzddZcTMJSWxli3z0yZ/+09GWJQk6CqqnNo1ekJjAyvZtg/zqi7aAs niWqzcXITDKq6Z96/bX+fran/rvc5a7+FGfNNW/+aPDt/b4Uklcgatlke3N9QVQZdOkBwTUrgjk5 kNRLUIfjwS6ObFtP+CuMAZ+63QtIjfzoUJickTUZR7bJGBx6Mphb6qT4GNpfswo6Og71alD6EIxW vaqgwHyyB0FrttR6gV7nAafR0Ol3cBPrXxijI7rNQRWF/pod485lB+wvIz42FDr29orGQ+w8xUSh P8Ylzqp6MXViNr0aSo+Gd+mdOy50mdq+9Smd3/8r1tII2bTIA/B7aBRNb7D8CkZg0Li9SQwnr9qc Zh6vP/7ADiUGgfWSkgspps4eTRa/Z7Mvtk784Tju6DTGUcPh9CmDUbfkahNHU5pcNt/276UBq7wd uyAqYcs4ytajVU4trrtqzCoKz6uGqlx021SrHwci8ZRrcTJe3r7Pu1wTwySkTif/07oRtGHndSzt pgCnvF++H4SpxPn8Tedq4ks4ybnbJjRaoxj6K6sNHhlSqwgGPUb1jym/7mXY4WtNGnvya6nAiC8a XOF40BE4sajeDFbJWkuHw92yA+XneZXLzPMd60+rVrgbfoZGBThjR+S5tv60qquz4rCi0SS7DMDs 63j8bwA1QuWWSn6Yq2duANutCyouB/hRU/X1AcLx1ytHH3qbS/30Sb4nQSKeeFkzOiuNNlaB8+aC znGrODN0A4KHUjRWJF+h4e9Vf/Oc1mU6GIB59G/T5NFQhKTG+ljLqHymoml1xaod5ZfCGh4k3CXg ViuFg0GSa9HHxMkos8IQC3AiHdBvkSAr7DAjs+vt3k2IIMljFe7UO4zeKU+AWlt62U6WnQU0AmsD cBxJFwqVOw+761jsNxGFAtAAQ+LMMf/paSs2SKQlbOXkCtu04twABpw3fRG4XawvWnAmHsOiLzLE 5yee4XEWs3KEjTo7y8zMADOmhWSo871NtqVDjw5dJ9d15XalBu/k5slvyK8jkloKdc1IO3+uR87P +Lmv+VfYgpv3285h1vPbkLB42uBiW0d6THGJ3bnEuO8cckhJJXClf9RrFj/F7+V7ACR8jk59dufq /7NIyehRg5LUb7GN336Mhe2RW7G3JO3WZtWGSgK62iQjedF265F2LR/hGEXxPJ7OYwEXvPpI/nSe XKELcRAW7bI6YnrDaecG9SyHQ0g6xjr+/plp53/1llNRvLu+maMxZIsvstRZdW1yJw6O86bnpBDX 02R110rpKoRFp9jEsSnQNomQIpLzWXO/fmaWFh74TuSoDWTkl6x0wJwoSf1rbwPvVBDsO/EKiG1D RWJAaW9nKt8dOgND6/rjVbsVD0OsrzlztDqBneJl4mTeSEgUJwRSPn8E45eQtwpl641hTH3+2BPd NBJjxNouQKJ7p6vvQmAebcVCHoBcBKMDtfmyYuddR3J5KFXaEWSPwh+Y3PczGHdoVA7iv4bGRJ2L CEkZf/V7avaQ2e1mon3P8hC9BIjfVyeDfb6l9anHV2WHHvaMI06efeT1cpXvIL5r9DOpc9BLEqtr bkqGIGbR1godkuS52FgyhvZVDN9pNLJisM9AYdqOy+s1/oQzSkPERWySsJVAJmc1brcoiN889LUf DsYOfxuGez+OtZIyrdtqGW4m6qoIru0sFmFuy+axWnZNQKdIFPHijyR2I+6WlCItmVVjG4/La6+k 2xi2YdMOqfv5LU1tr1R1yF6fYD3BW+x0+n5Kp2I5PTBBoM0jWyIk/yRjJLpe2w8L+fKGw44QCMDm SsvJqfVzStM7YrLz0IqZRrYX5N6Zg9V/UJLWdjhZu2Bihm5iaKZk/p6arMBJ8/AOyNIj1lIgQ+ol Wqrq/dbYdeCrSKp7etRhx6WWRQLJesNYVkRCWw9B4M04xaVL5gE6myaVa5qXHlP3Zn7XlrQLcAip uRJelEEdXpDvCyN+3VJjwU1GCz58CLZlSQ8ZNodS8ncg8iMmci+O7/AF0MAwsSUr3UICBAiJIO9y GoDISE4BDBmFBSg4sdcWIwNDC5ofxSPsa/lZ+LWSsrQBQqI+I36q3VcPlH7RTj7tuPXcugDiXnQV MIq8ciqishJml/iH+YWNm+3Y0WwdHUrFPZssw5rOgC+E7N41Wbm/TepZayEz9DJIammgORj1LlQh ilSZV8XoQPv2c6wKk9ukTu8Y2kZeUspMx6Qd5ifgSWyJIh9wnU+C3lgl3IbLtEygcQuU9jZVokU6 +BCCjKV/AuPBEbAZC8B9zizlkTNJjtmpMc4GhXxks7pdgiin/Ikk71uTOUMyf1aI86Q26+qUdXGZ T1lHlotJDEuV3vSb1i2XKAAevMWm9SOW09a3e3qwwEZb7fwmTRH9FHWi/p8AVPZCTYCuUup0c13j ugowgDKGk+cK4qhpKGKmSSWmyWwJx161jHyJWlKmwEukjI+/GMKR7R6SnTc6ZaiVC5PmfeEB32tw fu1+/oC5W5RP5FuH7wqRmyZmktwO5RctfI89R60BwUWnI/U10Ku2nRBJ4kb2BvMe4EctePG01RNd ulAVLMynCfK66Z0iEddyCTMQMgbP508wjjSj3RWcuS9tCjK3X9XOQ0OU7viDpdEsQizUTUhqKpqB KtndVkm/TmqU7kNuUHptO7PD0f0TSkHV4NrEIMqP15BBfwLsS1r7UOi0Y6TTPVSt0W4flwo1IR2e RblFAvDlaNyROhOfhsoUmbsf2NqyIz+XhaeQLTBW1Stobmd6pN07bRJJyQ6doGQk1SmxsSfHyRNy CbkBPAVRLoBvRTa7QBNm6UA/h3CQAUtA8nUuH6vxpZxdW3O5gwL9qbVPf31VtpE2XdK12d8lqmcY Wde1YXE32ybu71uf7zB4C/rVM4DL/UOeuWFdgJ4Cvr35eYeGxQEufUEXcipVFs9ck5VqkT8l069I LQgBuPeGs4XlH6MtftsIL9WKnC6LD40sHvkhRsruQ5fC3V6bUF88u4fMU09FyTs4H54kqu4F/0xT aCOH6r++/iVn4u+AI36z3CJl5d07ZBMefGaIUFIG3kCwQ8IYM8XJugYmFXhr+fk9jx9IU8h6ivPb euhXTenIlYxvLGZN2dd7jjKyYtYiTQmv/G1WHvpfrWzuN9OyyjOpyWYSNZIxJ7LAytFhve7sYfJH ENBLtnoBNMHiuRcZo6/k/4j/IiiYbxOuXMklsVvgQPqUd7xew6K2bMzSd/9fuh+ibiBTLjmnwGNP 6UBCq5elX+BcM/pK6rOnqE13IUFBq0UhstJBFI6YG+ri+bZk3YLK1k5ohM2N2zd26cu+2bYIvkE0 FmV11k/fSQS3jibQ3+c2+eoH1zBSLSn8vGgHvFmQvHKw6vkyAfFMgPdKGoUctdZvsCkgCEKEHZYx 2sYLeJE418Z5HPr0ROA/f/0FIMRsN4pUotTkUX2WztK7fg9pAsc+g6qKmEDTvInBLu37alhgdQkN HE+xeZGU5fsXQ6K3045iyTAVW69TlV4vdp+7b6A4wDw6/69Jlw/YYXG4YYUTy22d6dPeovNKTi1m iIyiksGjOqq7qWyuiQGIBDmRaB90oRAPmYFOo7/QDPiezkH6od4vMZlt4mGE3wW/c7y35eyKQKC+ FaNKprq/hOyWSM3AHI+KgxgZ9d4YYDUPb8uSXdvACgpOiaevsDpCKunbQ0UhG9byettd0ef1gmGi 63fk0074TKa+zxgBA3QcWiSLAxhGLSsLTlzEaCJoN3Ut6daOe2KGhFSRXgDnZt5rsIpfXrqtkPb7 knmfN6PZAxawNY/AyxDxf+hRyS/L9mhGCR5sa/vH4Y0Y/MGWJU7zQNpKLXiFzENvAhSWsJKqgPCl Jr3BFVMwm1C2yZ7m3l7pfVZFkqTFEJFs6kmBTlNZy0WxYgdqTuG/u87+pfo4xzMCTJtd6yIPcEb6 /BdSBZkPYM8QE2vM42uYoSPlxXWXGaQiZa/weAu2OajdBstuTvBWZBkReqiwSNFQNR3O+e7IH+Xk aUj6vYmFVfAyH+7NAf8msj4Ok0QePiYmYB6upbgPjhuzlXiO4piIqhg14/ViDj2noMhUOBsIbS6w DzyG91v32EVreZSSt0Ki8a2jhWU02pStrgX5Nnk8HwAxOiGlwiR59mKwaGP5bhiZtYiJhL4L/qOa cEF7rRgjvS5Z5N67qEbOyeQ5yVemXxVVORuMB7Nqd5QO8OFM0OU2cgFCtO5quqto61QUWpKZZKCV RB5qu0EXLAV1KfMrYJE0Z/s2cDPVxByXCwiHIEHPLO5MDdGvF3OwXhTZY8wjYjT7pEZJU/YUyV/y xCpSudHSzZGWGLI+bq9/Wv07Ed0GQ+arhJdjeKR1wsF+TSgZGarR9Gsgb/+ndekEMBt3lKfvqVx8 r8bx8+SoIRgKZru64+NcB5Gy6j8Wf264IT9WdRgQUZsDQv1ONVY/JtPMH434tCmIgv3Zp+qUbese vo3+jazCZK2u3sDghMhkoXmSQnnJNDxxvVtoLp9lopy+7A/SGwPw//xc+/k3EaD34aCxt3tGUFuL YjRy6oo6/Vcy40pgiwipkEAkyOMJi9/lUqIn+JniuMyqg3GRXJIKoxSd6xJc2qcXlA9I8UsTrD0p nvHr3ZcESb2qQJkLzgegM1asczrlpOZoPmsHqBAWDSPziEVciTJwvS3Shq/wv4eUZzi3Xd20USx8 Nk5hfV3dlj8onKrSaWTfXf3Kt60oYWaYUPzYscLbNCfrFsVFcwC0SxKJxrcr2RoJIl6cMtZZF1Gj 2a18Becjlg2PgJNfb0vFHkA8otzOpzuXhKxkceQDugS/qaO5nfA+mrs7bpPyLJ+3IgDj4UHRYO+R SC1fMvz045taJzen3JIdxOrdSbQLIXeeZntnG2Pzuw3S3chQtIkfmtgf7e8FYxgC2T0LXKelD4Ey CbvIwc/72qqgrqdESCZRQTP0mOpTheH9YRMBhtjNW7irm1eR9E73eYrJ+2TemcxSecZs67VVkGDX GpHOww0w9PVYsdG/E228aN43NO19irB7Lfn4yfhV/FPGOc/grtioxowTLrKKp6umdBtAShfGmBlk 2/W4zuVM+hvu5D3GGFIBnsT8SUmZ29JbewG36wldXxoeWa/MbJa1jXfnzBAsCmT1nx/VQttSjpew fDB+E7AgIZMFHWmr/f0rpZYGuPAwS2JQsCYKtCF3qxe9ITV3W6Z3/+VmxutKz/5gbQn3BZoF/Q1I XBsojEev313qo7uyrFai4QRMXodnwdWX2YZG3tcyMGBJScQySqL1cqK9IifRIv3NSH8KePZ9XIjt BJRr1l1UM4G/6gbhzvI941ynGF7XBTv4bc5DdA6QeWXt/oeUO8UGm9zemXyLAO/dYMDtWUIMxOUp 6bC+1VyF5QdVKTPN5FEP/9oPOic3qay/T43LCDiJym8JrHwPHoA0qHzHxNOdp6J24EeLhXGZ3gEW n+b+cLV8F2wx4XRE5KeEuoyBki9MC3ds3deA23dIzbP3UBviW+AxiKAyXi0oNBnijNDLMFvS1934 h8rsDM2avdZPkl6Jo62UvG0DU34YfmQUyWyK0a3BzqzQizJLScg3xrBA4b4hEdLia/MzJUjUyRxQ cqtE1xsaRq2oN2aJnew4cAmuOeCCve6v3cpgvMYYyrKFXFiIHFowKeRQEOeWPoiut+/8kaAiBUe4 qhViVQnM+fm59AcWBD0lxncsyuqChlzlHrZfTzCnIxmJAc3Afctw8glJumTLyNoLoyh+rswl9x0m 2mkiheNu557YDCVIft/x0L6y/FKqXYKRJC3pmc1b2hSHuZt6by9ZlOWgtCKbTqYGwvI2I/nilcOV 0w6AMoMkt93i2AqhygYmiGQpGbaPMbxXKkb/Sw6DUn8w++THpBMTiYqnCQHdg/amjwgfiW8yIRi8 jcutMmHBbwbk0bfCOKaLByZtFqnkZ2rx2n4mtY9YCmkHS//AfQq6p8iFSbi/most6rbC0bPepTzD UoEGbkr4ia5p1tEInav3oBH7/aJRxML0trV3uuKsCjfPbgkkZRo3AMErNbmlHlwNVXjxOrCN3ts5 QY3ac0wCeiwULlCR08f/Rq7s2OUi/rkEfT8rcfmz9btVIjfUwDSKLYRZ65iFYK3MvZkTna7ayi90 Z3FXMqMPPChaEBPunhautbH+YgSBpnYSn+SdJhN8G+jHUGfCH9WQwv1/oJsX49Rc4imjP6JE4K8V A+Nj4ZQC2eZXlMr5GHv6IrXkIgaDH1x+HvxpQIqFbUXIr1NRtgNvzbs5OQTvGFu2Dfz3Git5fOSY +CLgzqPyD+VcSPJm4vltjfvnVy5f6Vvmj8yyAELcxyo8gQ6A02t44xensOuvrdoqBxdeHlISZAbZ wLliF9OWtPASZJYhX23p15Bdwr0CRMl38GGyFvzWqWk7qJbRI5FMlD4YDy9dx6CMwJJHGgis2aDJ AJl8efL2OQgVQ38WhuknY6UwpnxK1tYfMpAryaBomkTih9yukRNb1wStrl98nDW4IqGO4Pe2xAO5 AERE2Ccex7UXtgeUfzTgxaTuxvRlpvYfrJR7MtpS3JQ0boCh0zuph/bn7js1/6bgH3Av47G3UtcI dHDblNNyoQ9iMjjDQvFrU8oKQaiZZ4HEHNqjULHfDo5Ij3cjTZlxnMS+VGF0FnU9mn8B4qm3Ld8m 95Uyz3mmYP349pMIfb03Q5KXgnFJs9sceCUzg/McLS4zeYMUMVvBu78CkR/t+6fkVn7WDYZsxmhY mKYUJgkWNkGENBvDUk0dkN75bcHfHLnAXTGB0kKSvyajPCnhEEEVWngp2xOUZf0qork5cCAr+JzQ /a7z/S8itz46pciVQ/LFUBeE8stlhwSE6grgd+a4+A2E6zjKQ3qhTcsUpSR/Vvh+d8XtHcJgSwVW qv3GPrjZ9Um7giMhvSlpLQs6sGrhBRLahhv8tlGMk8a/aLNstLA9GVQAkdXljcUnkaVEb1Jw5+b4 bdYne3w+BKOw9NUfqHqf6XLJHQrlTWIl6dGx1dAAxCROqa21uSe76BlFZ+YmTyLPXh891alzcRWR ubZ/fwRHxXouMiOQ0T6NKFt/npYIH4rYbRD8iJdyripew3WWnjAq+7x7PxyWiGjhBIVhgNyQiWA/ HJnphBS0qKe8Nu0TTmBYUeY2F2q1znMl0CAkamsotwkI7svhpjEUbXzWo6WbzKe7fPgnCrYHEz9G OfeZr2X8swgbW7IvbM9N+qtEWgKcGj2m//CGQWKzlj5ufgzUCTERJUh2pfI/Nf8d64hyy9lmdQHA bTY78gL4cjr2gw8Hj+wGpkAsS5IYgbRCe63PcSqE6AuWI8Zjsx2+zJHa6LCOUoLCui4GbAqv83Xu Rsq2ATB7JG3Cd1oyheh9P2diKq0fSl/flrN+Iz0xxC4frXnqExIVvAJZ0xv8ZjuZmAW+cY9DoEhQ Gtzmfch1z6Kid6j/qYz20mwPmjzIWVHvjV0UeJIvesngL5+wFhuXGHun13ajyr5ltFXc2YhosBZS 8dNXv9yjnb8e9Bdyfc4i8eOKdJJV368eWC3T+TLq6flJqngF9iIh1FGX4d5JTyBBposfXE/oRThS Uk2qcp0RBep14so1jEJ0SRTGCEc3xlRzbvtclrGCL02QExeIIxSf84GAN7HYp6knNMkviMeXVykg XVvia1mQiXqQVfVk45yKavOaDMaRonWiaj8Xejqav88wKtm4VEpkuLiQ+mgN3/OpEr/8hyJXRbZ3 Jii3fr8thdlOdjs6O3B6bEBkN+VRDtQ59QX0I6+8zMxOWcKsB/zAiZ4aYP8dj9fTiHkj3Cfcbujt bDeWLz3Cw+YDZ1gan0lPaVz5PdIfR4GrThWLrUUiKKebGvVM/LkicpxasYsOgQx3Q1aSz7QqjkMh uKxyEoDOtKqjqIQRHyMUlHSbcmaSZYHUBJljzN9GDWBdta1r2sB6csldQWhX8/75SizccaiamPa9 VzBRl5R1YCMjmJlRRKLys6DZlPVmCgWZ1OJ439mH/3sCb2wFgfOVskZBDPbVsdQyvDt5VY5h3HHN qt7VrhI4hX/Zwv+Tqg8peZmPnYjUUZX4/AlsN2htKOuh1ts//jDq/cVx+JKjw8S6uM70c2C4S18Z PoXaiaGQr2IOLTA7KF0wpoBGtqHi4VoLO4f1Ca9nxKqlN18de0NqyqrE4KykAhNMB0AAnUGxdgfC rlIw9SAgz1mDrkz3JjyY5l5uEiG39XZBbrReDa+9GyNtYmpW6MSgS5MnjNdblgAK9RtAtc16qqvD UYuVAP5Sgvom9NO4ytxaX8Eu8dc5Ehy8BaKIE3ZreYzpZ3v3XaoNPTXyqg7r2pJ7TcUjapIHmNlQ 140KANUOUdAZp5ohFDY7yAERvEoda0K+tOzq2SxFwfxj5UVic9yOEDzUiVM2IoMBZwS6QPjdeDi2 bhs0tY+2Q4kQYfwslWcDwD9gCSCXSYR2+A7tpLa0+Z100ISHWTHTtkAqvMRfNp2iR6ia01ADtznE X9hHvhM+mO3nCz7RVJ0JlZQdyxZ1WauWv7MY1f76MfGgZ0os0OQLmZF0xdjMWAEvfJBSxPXDaeDd YQwuzxNC0LpazFBFEtw80gRmxR8uyxVKow9fX/8Y1L1hF82GtyHOmngJOWyZ8D7BTNJfUkaJh/ON wK4QhnOFn9jzkACb/RBb3K0QiKbswHVaq6WQLhK/LeL4UNDCozeb0K0u/kViGXjSvDuvaEr9lLSp 8XQWpgVP5s50UbRpShpAfBCqFSoHxd4zmwxTe7S6UGKEZbjk5rujd/gzvYik4f75MrMfD/xJbt+T 7L7QqvOzx+aDaBuIRdWCVRpH42pwl4m0h0ykBvziSdSNj73ZJn3oAAG45CvqxQDJh6FoOXrF6/6/ 5pIyZ+Z62NJc2HlbcDFEh5HNcTbMdVBuPJJDGvNPbjpDcjQ/tVP3YSLcxh4YqqqMc3WHktm6EfMU UFOXfdVvtKT/+EZ7/zmICxQOzwqQhfRv2FVhO6gpT2B7t58AV1nQqJ5s7djSO393UO+kj/NfpCdQ cwSlurQsR25qDxoNYzSFyMbLnXs3MY+33G+GkO755FBM/nCbAsUbWjENHNyOI/ZcceSjO0yKpopA CGgEGP1S7FG765yIb1rZM43Elog7QNk10K8TAkNj0fUKP3WFDMOYHxl9/1+u7Z6BCRL7iANCxolg 3dnMHNh7D2ige2e2GVydGMIYkX5ZRzapI4DfGoSFsbG6MO9so9Ac3kIxscLDtDcY+dvfKVijzyxL SwijkVZ9lxRixnkktpMAFmtwHyRS6vwW/n+a+mQhEHH6k9Ni0Ur7W0mJ0O99OHL0gBjmM4WZVv+4 I1tvow7LoJJBKyul/7lQjZXunnFlhmF7C8xVnag1fndAfrbkhVbvR9Ejqi3j3DooDpWEKAJ9nDvl 1NT06aYuWNoScdYujwoH8XkgXcVzddhyy3wGLPuqOAKCX3ZAeh6xh2Z8Y1ShW7ZEQ+jLNFnBySKS FFWDc3uaQJ9XVxZCe+v8L9KtCPh6ZdkfJHNaPZ7y/0qnEBOpyP3lxJl8DH+F/21aYTJULoH/g0uG 9qsDFKBFDYU2OPhqoyroAeR3k2ot0IYH/ICWbi8NP2tYmmUdldZQdRLQtxaFA8nVEL0dGVUKaCGW xF/ueJe+uMuYvapsphdoVjAGRnmSF4zpBGN/bl4MklNEQZBMGBECOywaytadZB5KAfgv8ExO7FGM VxD7mVYxf0D2D9uTHKOYKpXgMXnGW+XtDHXsmNXEWp9n57XJPFw7mcNZnyuoRQU/7ECtioqHFNHH rNKHqMsWIfdoa4x+3JVnuyatmRxcwCB/TAC2yZmxw0EFJ51UkGWwW4yJP59eepeAZEwZvNXp1F6f 5Qjqxpqlcxnkbr/9Lm1mndP7Q8Q1t9zUsZqkP9JkJjhlqZAyDDZbN2GVTL3gszw6koI1pxSaNVHG I/Py8FalPX4janENDP6Sg/vI+FQ0GruIIFC9BvWiJi7utEQQDAL42z05d+xTXbZ4vEJBf1HMNL5A Ce73qZfLItIDlfehUnuQPyFkufnRX2dzEkMZh2JjEdiqh0DmPdheg+VDrFhLoN4SfHjFulnGHal9 fVf6M9kW3VwhwDRW10ockLmCNUTt6B9/j75j6TMaaIqgz6XtXTlF2oC5XJmKxhdvLhJbuXjkD2uX cd7wVRyhyESh87Uj5fpxl5e1rsn4Xkee8eMlIhP10AIEFi5SH/+sG/0IGAk9KMHztfaUJJiWcHhV rI1oQCrLOlZnc+EAc8GOSALRk3T3coinpmvvOTPDCe0oNlKpXhYN8WQGhsADf+HWWgT+b+DI/if7 3xHzAgLtTxGAJ0duZy8ikZR94VgxnTlxbVr8zMYnEhVzxf4P+ZPnVNhpjsQ+45YfytH7vYHIBVus HJKgAl0EpRdlej2kL8ly5B/SyYq1ZhEbqr3O/4eMcfVP8MMqPhjfFCfRRJoi5HXcsDRWglkBQDkG ryK3SnpY+wik1yYCsJgWAUzUCWCzUWPAHI6L0jSVwDtJiyFMqdg3KEIZE/Hz3NvVw++BtRb76ji3 yKbGyVLP3SwEsJRn1D06XRY1C5wRbe2r1hOcRfSRF1bx5E3NFAa6MurG76oDauGDCN2jZgNzeQk4 Aqh9DElwnuVBlyXpp88+tCMTpgsbjYKD78LCtP0swwYk6QYLisbMi2QHXf3qVE2XRksxHZ2fcX5I I8jFImQkEJMzZPLmTJs3uO3X5s1TLTmTcVam4WdoIxq0/qaw+w6KVqRtBWzUWizEoqAXub83SGLs d0Uz8LfP4f0kExZQygdEgt2/AQ4nQx0Esih+f6ZT7oN2YwI/mhZgrUyqws04BVUbnoTFrlsffJr9 vQeu2/y6Sw8d0lrJUa/rQhVmVu0OWsr4bJ9K9WL/ybnV7ZSvJ0xRTei+FLKjEmWhVd0sZb6MfRms abYtop5RD0N1X8TwrjEnIqNVHUlHUZPnPNRSvFtwl3aLN9U3R1E5IiyyLpT0NkaO5i2Vhq68enwJ Qg22qQcy1CY3uGY8VAaUpH2y8GeWker7lNjmP5yGacSROmyEXUcz1uri8N76xwz7sL1BIk2IKnZa 9hbCkihtFKBKKpwJyYiOV0MRmy95jSkO3w/KA6/amy0cI7Ma1H+ie2vR0PMqgC3qoHRnJ2iK1Izd knp1rAOh1Pj9dQtTT4Cj97uStX3k6uSffxHr5fqh2myhdrUuM4ix970B/HoQj10/Ld5RWk07Dp/2 944oMD425Doi4RSXg/WEP89KGqmeHpKG8VdmcwAgjwCkFMke/ybbJxSTby9En+PXIAg5BUShAP/S ri8vTFtX0O4rEnVG4FUiES8dUonSNIcNNipj1gnjgM1CXtuCZkf4R/eMg2P5mAC4yyRfZHY45mqV OJhKR0Z5SknDl0aONeOk+gfB7fSyXkHxBqK8iDcs7zskvopIcQqRiOGIPEHX+O8dKkl/RqR/35xt t5VUPdEYZKLDf2cdkialE4fL5B2dqa3XSEL7wAEXqgIP8hNgd+KpDa7A1duvFqpWAbG/5vPPnxh0 Z+N1K7chh3UoS7Kr/lnmZXAzMH9b7Iva7JZBMXp9Y1xARQQYcd0XqakweSHmZ3XdhRt8gp2FTfEy 5lv9icxHQVQ53soaFhmCCLqPiSNvVHVqTcNg+SdGPvXZ03twQdmAMKYrGjPuWIbnxsZz8FqDNGC4 pGhc8Pm6htq/AxbHNOBdVAhU0uTMjHJXjCIDVvisIj5QJLY0OwCIOb20+h2k+ZVuf6gnWk8KkSMK efXxzmlohblXGGeQ0fIK5pY3FRI1kQjOazLbuYuAbJh1o32nRrMDYKLaqXfun4H6HBf77bQ1Se0Z +aO4AbjchzoirPRutae3Mx7jZ2dyHYYg8nf6mPNrOcH7BwlF+J/fBvmfRto9gxZNOzk4hO/+fNjs i9TKIYbsu39THMNpIW6g1RkDkgEL/JUumRI7nvs/je/oBLPccLFCfQ9EM6KmPD8jpgX9gUO8cnb6 4UnIiMNotBXhLNsdefP1n52xyeewrKikwJExipiwyHBby2u4W9oV7J4qPJlz71n5e/7TElnA7CzW YeNZgQj2vMPBhksZorjGJ1Lz5cq0wzbTLE0yD5ecP75kgaDuV8CU4TbxTaiMva6salZYgNnMtGAy lgcYDqgQFdERrCn9F9ji8rHR6s/r8yliJt5ggHsCGzQVAX7rxGhjZ9Q4MkhGcX1zcity4TYyu1Fa XUPCiYqr+e4kIpk+9df3yT4O9Ns8pt2ixsayHIuAxTm/Uwt3xsrxvpmjYHO/HCpAZojqwT7uFUyP C0CioL9qyqlbt0901L0zBqbgpkC+9tpYTcQmbrpNUW2rSTxr7+pV1yb1RWDaMJ1PcvfhLYbft14W TdWI0ysARSXdyJFyATMT6omBAjgMw08r/GAS993HcEIBBHUL5PON8SGJ6P7nek3Gmsl9dAXVJQJx gvUahDBCmmyIwPDqJV/WlMF0em5Hmz4Id5Q5uWkKKYHF0CCc7FKkCYoPWxjmDiVTj0zT3/YkIRAB rj5YW8fQkYEGBKfqQIBU5H8t5YoPiBFGgvWW8NxXlCq/ZKYupb1J1YvdMYweHfk/1KXAAw3Niu1h 4DYtcJ6CIbNY4bpMol3sxF8HOhISmHTGDGnrSD64hkh4D5ZuKg3SizH3uuPvCVCuHiJXnRpMOb/q dU/QnDFa/15huqZmBFDsFlo3zWaSHk8CtNheMYaj/UYIIRCST9S5CBE2wJepebTMydjLjAmnlDoF 063VK8RBYPLiAgJcW0/smtqFRmEg8zKLYQreQbugcZ5kqGNIsf2pWhYc1wRn8upTW4GlI2G6BGxK I0uOEsp/BtBUnev5caKSqvW8zmSry/esCjCPmCb4kJ7PpKmEnfSZiUiwWynd60gPh+Vw/x8nxSwT ymv8nQuN/kcR281Y31+SUdXkzKxOyIyswQ9+aOn2eAHIvcsc5xQ6zy9IsOrYEeucH0tWxGqNLRWn pZrNe4KQ9ahe1Iv8GcBPZYUK/EL5TKkDoEYCcilhFbq+K5uzFedoA1BsyzeWgY1NzzqC9XmSlq0T XfQSfocg8RG3EN2c+xLCMvIUz7uFtKp0pmZI7erq4HCxlvVMqUESbY04Sy7FvdF+bXx1rxeKjX19 u/D2FpGXHNLeh8dbNkEet87PPcozzSV8OZuNXf8qEb4N8axjhbVVcZG57q8RWZ4pITOdCUrQL7k8 0X7OByfjJQ6a5QOysbE2x8l+3ZbTxHvd2IQT5cGJ4lw/S9pub5nQtgrt3/JrwA2I1ahBo6pITqgy khkFCwaFXDkGqv9rJEYVmL00F6sFYi9+iwe3YxSDhsQysVaCl9FRKbNWf+qfnExfh4wqPra35KNy I0i+4JwDqNXCiJ8XFzdzDiIVE/m378tjAsQM0hAR6JdCQ/EI2Ysx3cZOpPY8BMQ860kB8Uxst6Xv Jo5VbFdPneUsVltczxJPhKHVxg2sim7b8/2wmMXwIk5IJE06wGm3yQSqaBfOYlP4Zk7f05EqFNGd PWw3/pUXN/DBCoi+RqQ1Zrk/nq8eJiNzNIYkbvkclXzGqCQcuW3ub4dS6bHgssOd7bXJeFCDgg6R ap3v/PvkpjX9RZS6X/KTC3y50NMoOPjt925so8iA6zPbQywHlHA5a9iuh6UsU8p/TKJKx2IgdJMF ez6ZWjigP8wfXyInRHeqiNb9EHH1AEbJqi5zmzVouA2NxPnNmvOgAD5nadMro59UoS8tdU7MzL28 ylnZOrqxYYN1sa1Gxkq+Ez/mkX4qseXwHES4Es8zMPDdmYBmSJeWV9dxheULUCYGrWVuz+yD/8ox 0A0+nIlKCk/ryb+TaNZ0twEwBvH6zAyiUhOu6Fq2xf5PvW4baDjUJbZOTsXhrDWJAQJQifd0JsbL FskwXDUrUMOFWmSlmCxrdb2sjU9YDL9RBfmbov6/ddvaxqF5z0WkeAo8fHHpZNj51L4ItKY+ibP7 p6aOaxo0PfYy54+//dn6g1zcz9NMWTTKf1dYlFHrjx2XOC/J9IVKiMxWTb3yVRdiTvqQbH4jnLMG nNEoduej5yI7MAydH5/tQ1tytvXbDOOXSha0c4rtW2mds/rr56fESfyJvxUYGj9gCCEeJ3HQQt1K h412cEuWl143xbYSAM3NLAJGSYLR0UrQExOAU/UEEBh/lsGY/5anbg6qjqJ/7X0DhIb2Dv/9iT04 R4uWpJgMzzLoBE1PC6eok//7ok8QszO+plUfH20DitVel7itiGQVTqAFQlsSFMFEU9dehVen1FOH +Ctf1EJNYRjO3TtrGOi/3200M7TfKF2SDAnsXCo7kDrQKQrFiJGTHPRB3Emhrddy+m0f+nx0h5mk uJWAhl/sKZkYIfZckW+aWBDaDKxumFW4eQXVxuVnFKnhfe86GZQLJQAz2lufqsi6YkPcY+8tij3b jDLtZbT/Ccv7Jr+3XNBusH0BW3llSkJ9tH3v2gIco39GGHggs+qgGc0zqLHsoIUOlOm04N4vdK2V dugCW6LBrZGrJxYUn8UbmrcmTu7NV7oM9zCt4fqpifcn16dm3XGxy7H2WpEdhY1htPa/rQnCHXUP hgfUklIaZ2PJIcGfcgqtPYkxgc20EsdcGCepIGwR5qFnw6q7jBhDUcLC6SiOIRdwT/9t/7gdV83+ SOVP4SUGzfSaCKewi+1B/YT8AcLsHBeZFE0gv7Zq+qn6QaknltNK1/T+tOy0PIAddF/QwpUQQQRk qdKjuISvNqRJVQWMaijDNhn7IjPu3b1EUink8AKKji2eK/YSnylklZjLtMe3gjDuexqb90fesC+I xOnpEf15krOJYgE31YMrXjCglW14ETIzxRYrIn8/ciAywIjCkEZBxZjEKlgFB6WYcWq4hkwhJcVT lM/AehuAqr5cUVQeQ+A+QybjH2WFxhomd0QuzMJRAKZlRhIvheblIDltYTpsO8Xvtg3bzS4LqoGI /YWvXJzns2qLxcvv1nO/Vz+AjPT4O+D2ZkpwfriN2QegBixLKO5r0ZqQJ05JhOcpn1WM/gplAO5h q9zhGK9FQ+23e07R3m5yhqUwHZ/ltfZh7hEXhcv/ncXHwf7I4JytQYLE16dDrt6gEg7Pg0+R1mrV D4w3tyHwtAnIbqAsBq8561dVwA1pXoh83ZH22mYrebBmXlLwDbWGLvqTqL9Q7IFNcxgGA61iyTRB hTjyc/fbUoa1FWRnOE/Ho9viU9x0r0a8iQxfJ3pVjP30aTH8rrY6GrFoHGZLB/fTZ0I/no9Six6R tmWrFRRGY9+/NSURd6QJIyU4QHWVqQctUGT6STcb5JUKZ+XHxR5aEZeWkKqVoP231sjQTzs/p8Dq qNxLsBqAd4mA4x816ut8B0z2QIyTdzosL1lgmoTqhAWjEYxdhAquU4pxgmqYCjsmTz0g+AnDnhUg yEP7w8GV1q7B+osddrH/mGqot5ODiiKYVdsBiZr12npLk3myJpd+6ruAWCrxARhuARav3473CLMS kITtdtScoXhy59Nw4oHsDCNcio4fcepgyaLndKxH8v7XUU1XG05/EXQgTverm8LuSxzAJajzE+19 R8LFAuiz7vr+wsl2bqSWztHv6G+q6ygpKPWZtJCIvZSGQl7lNSK8LMWako8dICwEY+VslkNc9N84 MCmArCB79Mw0NrOtYfZ/vdTqXgIgS3NJiL/WyuLQ5zSnUTP38XvlCwTtHH1lRWXU5r4UduTjkiSi hF/ymwSUZFCayB9A/9AewzsHZakZU0HaFIksnktbQ8yR+ePGnd/HI5PIgtv5A6WOjW7HMUmWNSiB MKdiNzJ5VIpbn0RR0ogMNlLPXhOmcv3/MVibW62ANcRYwcjhhP2jS5Ize53CIbQZd7UgQfz8/1Xq jIk6IIKHYDUloYFgR0ykEKT1/PQF/2Jyz0jZygzqIUXJOA99Ph5DwR3CqlntHhquf8Kb3ea4vfy9 HhJXaA6T5nRXyE7CWG0NkjSzxbWiwkiwNIJ4Ndd3zO6glsdPOip3KXEdb0BxjPAqFf7Ccz7eLXih eGZdqGPaXEqmqz+UsHS6PuIoffOLbm5zsYc1enewVzE46+YNxwFtTDMSQ1jWapdM2h3H9wTLtrDV k/2ypsLoGzpU6fAiC6Ff8frf9Wg1z8HuWIgMf0w8lSWXYYKa8H/oOm+8v1utQaczPKhWIKHp9D+6 9JxPC8cdn+3wvbkTvA0EkYVdqMQgcv49emBUl3aDoTC2mMpYHdaoQayNoVaKjzMGYPtJslqhAmSJ gdAotw3D70mqMO2FkFf3VrEhamx+15aZPFH+oJU9UbQRzR6DZSJUlF/XM9UHO2oosraLAhZqi4kZ nlxRcQj5auP0mWRB6nIXw75fFOllYLJ9w9A46aLWAQNoH8N/5eMvFRU5fGcdl7Zi+tyeA7JKWyKv 5Jqr4goWm3HAbwJabvjRX+9xZJ+LRSgA5CeWQuKnWFQ38jjyoECdJbuFibwBaO0C0CMKBU6Dn4am uwXCTVQgpwmEnUixCR/p/dvT/oSDVG1tNwXZcBDgxZenijNRsZdwT6u/izUtQ643ZE7c/dCxHH+l L5m0sA8LQhYychJHZErJcLD3SHPoALs9bPbn+I8jAKYkszOFuTRvAbrU+mcwhGfdAn0K293xW1Ix LeF9Wb83Id9GnBzGa/rJlHWAcqXrAKgoDXf0eWdknmYRuPUIHaZnJnwstjdIDchdB/ZZRUM8LUop hh0sG4Bhsb6c5WJmqleVS2OcjVWDYKNYV8DhBGoeCMwRGO5oXMBWjFIRaOghTboko6UX+bQFS/Kd MV3hEFDs4Anbx9rSQf9nIJAiREDdNKru85YReTavj+y15m2s9EdvCvx1Wxg0dllJx9gq4JgIck8A 5EiFpSu52ZqdhAtCHAbiJC6JxDbpf0X/7x3B2Vjr8RwQM6825izr9t7z4BXszdkNQl4wlucV95ix aodd0d/TIxceuTVa9VOxU6NsRbhWxQJ919Vk1jUo7z+yU3KPLpY1VcxoLDnyAFEkc3zrO9uiIyb6 +vY3KwgZUu/zXpFKlaquo4unPlST4f6x/zOtcjoJzByBE6tatYNswhZVWitsRepIOWilJZBW2wLk Jbjf306C0cvcyRbKvBbElTXoQBdx+/DSmr5eKrIHo99PfAKO1yfBzoAfNDadam9dfD3JyG/8v9u6 8YYRwJlEsPYcyJzAEzzRhYaCWq/VVljMLKloOrFQUK1bvl1DLiGTFbWdpm68vkBAOD15YN+qcTlR iarrghk3ods2/fdtezuoiNT1Ym0rug8r5203oYwhZMOUErdFotzySSBMPWCEU3uyggoYh0GqSTbz 9BxuYiqZqHsQLB9MnFXA9mjoDcuv96wtP5tLj2GPnbDn6d/XmeS2ZNhGA8q1rxmZZ94zqDFjblE0 njCU4Iytu5y8w/IrU10amL7V2xG1ORGlunXIws11AKps7KyOR7qO6s4FvFk6DMHbD1IAje2YYxXb bsYQoIok7dnD/3qLgTeg334KuDNLH0FqFzbc+AfRoLMdPipEHLzwya6yt4JuerWMgJHsDXZfJBFo RWoY0GGeBTjAuSlx5w/JUP7hb5tVP85Nnrmv4nbBXjy2D39ilG8ceS3rX1H62NX8pQuV4TCmXx33 sOb4YU/1XP8Sxl0ezqDNDR5HCSDYHMjzDBajgCALlxCxf4l1BNvl+OltmsT6n7B8Ksw9RQDxjsDF Sf3VpjRekdhZ2ACcLUQkdW8adPw/gXXPus982I4FHycCt8RkEsdFAic50bgNLSUZfbhpwri83TZr VugtzTfuim6CnSCqnKbyD9tHOOE6fTloYoNnapN3yCEwhCdWTAWOJ0Tygjrl80bCTrrirDeXR7yi 9xDV4tr65nF5fe6JdNBL9d1KN36F3rs713OJJtVK5ksJymk4Q93Op755DLdjQapgRSqzvfEpiWKr 9bsQ1d6udLu6bO1IJ76ixdaYxG6cMHP9UFu88HajR6zH1Ja9+s2GMlT2Xf33+OiwqAnIyuIuZt42 3+vbgJ7xuuHoINzjyw7ETstowBvDQZVPOj/gnsCaq2/JQfC7+g/bSGlj3sjqienRGdiAuOQcdo0V CMBXEVIcE1uEpWqfKkj4UqbAz8axJlCPqKoIwu1YDrEEjzYm5RnHA4s6A7X50pfG2idiFHH6FArY j8IUIIC5v71MJAAHIRPkPRGKnLdGuX9PTaymrKdM1Q+91lJ3Y5plx05L0o7mwHqy5awfQyOjpv9h BnvjSpZT/V2NwgQTjYDpIyZCyM8Q5xWdiyVpMxLNa/WOX22EUeOEyf3pCSgqMgDlVqbGwtQ4fpeH WsmXYeHJhN8KYhzxzUQ5gynn9QP7gtH4A2n3/rNV/HGoJoOMnNxDEk/cikxnlJRvOvKGI2umWTSX 1JECtArQcO/E/+p3NwE00GLcosu9Ek/HEMnqSNZtZyjznxm2x4hVi6x9VOjyiW+6myg4Mf92c7IZ vSf9UHRTeKahGyZVCO8E3CVDF4AwOEFo/cUd4JhgUeJcgM0+VdB7zcdiN9S/HaUmUhBJ0blSfy/F bcb5JdOIXfdnjIK/J3/DTh8Is92wfJ9rBQBn8VH/b7/jF0Tl/PBs7jcohrjdNJzUD8dXiysaXW5n WJJqU6rH0AsvTSOXffwJ9RV2lLyJf81+U/4BsCqYM3jsP8uHAQdMLTAXnV6Nf2ng1ap8zhILoOiW 3ZcZT4BvU0BIzAA0hxdgP7GrJ/JcqNL2HGG/PzBJvtEDq9Rf/bnv7T9pNi5zFoz5JKQDry+BzRdU yuAgkNxY5MdKbREg+OY/4I+2qep3DeN2SJC+Wc2tymZ/ItBnCJaaD0gfbIEksFBS25WdZfrKwoDo 8AShpOx4sJQHIoyzllCHZyR+CVOvJNTlPMSAtUpAbW6RKDIEyYNjc9fhLc61GFjvOJhQ+AkvqiCd dN5Jc5fAfXp8e3OUMe96QzcFfxFUHeYhfDjhEeEdFW9/k93a7xAyKcI+//TSljplJ/b2mBqqKDmL AIUVCIKKZoqz6xjPR/dOSGLz+5YvmI6zweoPOk5e2Gq3PzWEUm1WJYzhLb2sLPm5N2tr8+CsH1gh YUj8j4czOc++T0uvioIqWTHacHC0i2YWtrK1e3JRLzjkRpRi5xdRb76CNxyZIXH5nOFOq1LLnvgt 1T0kVW5W8taSDoXqQQ9ZFGHocZkwkRCtBxR/2Uz04AQSbgqVwmhGt+h3fhUgz5By5O0+h87O6qEl a+usCyLN5EmBjzbrGsZ4P8Pl5D28AeN1R9XH59hYV2aKi5+w3r/O3NmmL5dcBF2E65/xdBNV66b+ p14jdKqgl1c1xvW/Ca2/9hnbwp2oDIGOiH+XyLkcjfO3EnJTpaeeUpEnf7oq4lGlPXvldeeqE3y8 U6itwGT2Ixdqojy0AmwmoW8HTG81Vs/NaK5Of4jb3CpAx6BsFAIqaCtCTuErCc2SvywEs3NPehTV JIRSg0Ftu4aHGleGfYBUWJEycovEOwZuexdR1CtICrxuBkwDRUHSt0mX3bYSvmBpTVXGW3f+zy1j tqf88Q6dLwehaF0CfpE27IfCVhOi6+AOxtGKmpDZt4mQlY1cDkKi4voDqXAoJP1jB/ci+MmBEx4g gteSMMl9MnUbBbOwTEHaye95xdqaMPp9w3JiuRUZlk+dFMRwCF9CS8uO5AcxMYV0YePJdKsxEqai 4Gb51+wTJIuQPDDq55J7INt/DDCHkxhCUwjQN2t1E1F5iVF/K55V0fHg8z5YILiQApLva6Ck7bAY gza1VXqnVgq9qyicqu0tLV2tzKE+E79l58OXB/AC04G+gD8pdeYWURjnio1TJaCOS8zxPp+m8ohN dNXOIeYzWF2oSAwr+jJbhzqWso/oFsa4MJ33v2KO1h2ybyDui9SgekY2sio9yCpNVSTHHqmqbLXn wrEvbSMmPzDd5Ut3VFZ45JEzqwfrW2hoWO1l90dIIcJOZ0L/YhEardfJViGFklkd+xzjgENinpZS rlBIYvSlQtpD7PEt9In+r2QIccnSogvrdM8joQ/hEiELhPnp3CTV9fmtxCzCo7I1N4RSIZUHQuBc rQn44zG5OyUFRGbOFdGFoNiH/7MGGT0xl24arJBojhX2PaFSKbk0kmjFjWmh+3T81j//A1I8i0SE cVRtB7lWDt4PMyMhALTts/hNoqYymVq9EKvnkZLioGMO2tGPlrVDsEaAfwf32PzMotibmxDpzFAF Kqzpjxr2HyXYPfXYPOrVbL1gM7h7Wgkx32t0WSvIJPyyhwtcKN1AntbcgiR0nXgtMH2AWUfJmtLT oz39LhqNmWrBDjYnjflAucG6eSZrob0PwliTc5Uv8BvCO1M/V30S2+qdLDkvMDmL4cq92P8jQIeS LnamTvZ5s1WTQAq+YsxUhw3lTqnD0HaMeu6R44cBakWQLN98boAdIqMYQifCR49zTXocGdurJ+zj nLdwh/oMKr2kWJ+KOhQSkBVZ+AxjYJBZvOwnn5ZbvukjPO4NIh0m2z1X6xmZKKapD+VXzMJ4ve0R EGwUHhrBuSS4aHQvYPncBYmSOBoMX0IzFnRdMxEsW8Q3EV8k2J8gukWoZL2XqK7DUemDYD/TIOqY wxQyNwaPzFP41JMrg3INIk50aaR9S/fbKCQMhGP2efGPGsCqSJRvPwP2jMFfirHZEx0Nue6w/bbC P9/Nny9wRPnaJJLPbxPKwOoix6CXQcvJj8bLbGHKysADERK1rfFHzw+wq9dpKnFSQ+PGzIxFP6uy rijzaaTQVk0XWrKq1q0TA6F2gWhN9k5tvIKtUjjAVf3iyptRE6ch1Hj01ttFNVjWdioezIyBaVyI GZ3eB+9Tv3aW3Mu+7Vz1462RznQ+dy2TibgDVdTgsfUm/+WiPLJ9p6VKfphmdVmhP6+GieluleG5 paB5/9/DYrl860vMore2BzoE+snEr75cmBlKnOv5wqWnLnh28UZGBpitwQ5XqA0TM2lO+R0Ofs4I 6/LzNfIsuSvoyuitzHHaINsna6l9sqyUI6yVRFg6h8wPcEm/tAyLizGkcrXsWrymUMKiUeGMKxx5 BlWOZOyHFzB5TrF9J0asyeo6GDhtM6rz4bobcKibnwxwXohJ0CgPXgSKhKpxtaljxXyf8HXXyH1P n9jJF75S+m5S+aiN2hQbk2+o9ugb3Ws1pIf1tXUZIBHoA8ebhYgL+MiPkWSMytWweqvvG8l5JcZa uUXcvnZRQGLYk4qQQNU9egUPtgXkZG+UNiLm/rJL5bh9DOYkE+IUPydPkMND/QQ8yXwtiXN2uefX EM6b6qPzEgR5yqaAAGliiz5ECs1FB/mcro1RT3EcSxv4RJGXXZuB/Ku8tx2gPXBw8Le7enfs8FyQ 2DHibAyEpCM3/9grns9v5OsIbrnhuY9emxqLsIqHX2NF1lOKIzL7ZA6qTJacQTfYutu7oHjJp8yd iuLUM0C33r41MepVPpSv4JNjMcYa9TqlMH1fHCO4kWywtITPiL7AkMncJjyKv/FJNlSc6MK9hv1v MGgkvHkxGjE8iPdcuTid0JHttvXSNMHvobXyOJ7SkVBtThOhq9vSHTKVVF5tksK68S9Pzej56Pai RrEwxcBdKjUJt/Z3LrRfoWPmlpCo2VXwZ0RHz4VlNdZrqXdpzzk70fEuMrd8QeH2AizX6Yo6SKET IHynHdK/vXCb89Hwb6qSNw/rDvJKnJ11K3W2VVdJRu/NW1vg4DRdiVvuwwEz5jLsS5gbazXTOHdI An3uy39SU1Mt/Rr8w1L8KmmQqKFUDTBHv7wPtPMdbNAjOYX5WBh2+h1BxswrtjpY+7wivMrJm5Kh YKh/l3F3AE/Cqw1D7GUek+jO54ydRsfDTB3/FYW+UhDYUGJBTbc9PVxvLb+J2WEUom0XEwYoEG82 KsvKTU8R3su8FzQGkgTgp9IIpk2Bq9gP9YdmbcWo2PmYoQIiRy2zBwxiRKnYuVZkMPMLzKA0iK7q u7zEkayyveWx48IwQU2Agu+e/BUqZ2n8q+7//1BlLx/dwxvBaAQp5UTWnAeLZFW2MW3uBHS9UpBn sjZj8Da9mJXxqo2YdojU4mMbHrW53ue94tK7qjvAXFZ1yOPNrP70aVl9uJqEHlX4O5i4WMt5idH3 ftpg0yvuTLvoP+4aywDY7/gq62OW3zEILoUrulieD9bOKSX7XJUZ4iZNKFn7AEdZQLHM8MpqWwOk NssiNhSk3+eH8d0K8zOQj24GFLQvUVj/7pNH5pP3RtQy8fdYpGUYyZhfKGY3g9Sre4LCCoZKggOk fmEGI6dmO16n3gEMVGE+BSsrMT6NlZikdaQlHOFTK7z1Z7Ubx7s5S1aqaAmThujrrWeKdghW47aa 0co9Hu3Udw10VsRrZGJOM2OSU3AMwC9j/gVVn9CdsA8zOO97JcOcwfqoT4J2ra70KWLtSofxB6d1 E9hsIjloAVvUs2qbzfnpiUCfDJgdy2A/1IFCGuPhN1068EqI9mUvR+n2baQSw2hnqcSiIVUCQtny oHj2cYAQsDVJy3L1zL6tiwZgdWmH/oE08azYI6OydSttcGWlpSfayLPXNYo1+0RopjHENntACTwq HK7FxWRVMoFc4hbmAh5DEKuotmHp6oYnjGCbXX9izIy91pr3mwN/rcfkeMdroyep5dUWP5k5kjkT Q/S/g6sBZFo/CHdb4HrAzhX88J/AS0O4C91BWiZ7iNED3U7HRhZqTghLno6OVL2no7QJlEckkqJs Su6z9WDflrf3ngbD2sdNde9SInGABQPZITT5ruO3GkMEcW72kaj/EMPhZVClMM5ZBxYESoBuVK+K 2HrD3tu349Idn+zRKLMofG38Tq9bt6pwNoAfLjXcSwwQH+5ORQb+y1S3L0H4XHcdJcJJjCjD6r6F 21NMukcr94n4+d+75X+ozmbyUgt6oDJGK4ldK4KjTXR8ZkmCDOUBIEURawJF10YOqijtAQC+FcDJ BMC8LZjrmhhgRLs7FFQv4GO10NFNXJrch8XP8CFn0m0moALfHqaGGJo3jHVI7Pk3JX+NOPFlAZNp bEjgV3c64spS+hMhP4OFq2sDH7wlbtApQnsxHtX/p7YmOchmkM6oC2MKvZ/NACxvl4re+r2F4g// W76ZqmXgXV5d1352CXKvQ5ZGJO4FAuQIK1b+5D1JnZNQXgDhT8B/K9hwYHiwjRgLZ6JXf2FWj4kv Shggk4uOoNdguZOBQN74wNJEkGkyQSh28BJklw3TUuMPfX9ukkCPK/+3CFrPSai0ojHlBjEO6Lu0 kRUj0StEyNySKZcXLciSWI+u4W62hhmvIXRMkfRWVPDCs0HY9Z9+m8aBD9E1fhFDnZ/OH2pnBsDO bmrRWN6+VZ3Rbas+M22tmV1lV7k7spxs5rk0o+OTYO1NUSvukc3rwdUVURIlIzmgwvIt8qAB+1jm shmQg3H73RGzGxDiAOsc9/7aEqMvPI3jAlDX1PgPTdhlUlub4ZZZdqgQByZoKSa8UaNyu66FTNMK UjEzVmTDeNlDHe3U/EwARFDlY/OWtGmzp2uMwuuZe7YHFArHgxfvpr2LKKqJQuK1GHT5PPX1/55x sYS1xOT1bvDOxFivYhJFyXS5D6ByczBPXaKP7QriRw0KfkNUDNbltmy+djAnsq2Zk41BdRrnK72X zCWURrhBRx0CZHuATaJ3jBatwfp9+5Ao1usSSS+3mQQ8TE6C+mWk+fF7oydx4fYDIpeOmFkxLimi FK3FBlUUnDEdkO40LjJAXQ4cqW3dmZUHgCE6SEnQg4JA1htIAh3kG7EdGNMJQRZdTXS7YElYQEeZ XteVnetRVjjItfJkRoCsI83DMjtV+S6VOaQs42t1cOqI72WfK0mksgE9ZCwWdNgTf/3whyC59J1b OsZLU1c+NhAOjbUfLxXv/g/5sDKyS/faOQtuo/qCFO0qDPycwbldy5dTnCKlD5KzmA2kUwvWDwEl kYkML/FuqTvQ8lcghfSgA1Zr+JhIhSJsIG+tIUNpmHRCMVd2CV6jlBYXjKtjGgEXeMmsBL22rN+T FmArr7LwTkXulKPKzfmcqQkgbjPh/qxQ8I1qmuvg17NDttikhsaH49MimOcJHUPB8mjCsy+YqRHD 69OtGf8CPiWRhL7BlND23+qaIvrYCoqDjwpfGmFRJl3VcWcGsTkSFbSH9O5SxsZj674iUtjcXLAy hRCixn54MHUH+5ZL62ke+u0Mxbf5asifW4qZ9Kk909kEQaDVQGDfPh6QboFqHI6/THDKrtecpAlj GP+6mbyuXraG+xgurJDG6DV3xlcda8ULk0HL7g7zu3gtIxh9CvDWm+iVyT9nkSyjlpMs6pW1kCfE neU022BxOUtxzvM4SBXxN8Hyv3aOWF+li9BNSHTCHDQtr/5oYkXKj+gRIppKxYNIDw5y4qld/+hD IvQXiasJ1Q3lqWNBHnVGirWq/a+7+MLa5zRF/OMlfmB5icKiYghAR+C29BfZpWfF+lgjgLgnpVKz bTM/i9lizAm2RoG8zyQ138lo65fEAuotPgKw6+dUMHXSiS7V1X8RCIPBs+5hZg+BOHvie3f2ggNa Xb9YQIXZGTORKXPqp+IDIULJZzLFjT21VOzllgYHWCCfrZDKI+YAWUKs+J3sy65vf5juHo17VP9l N5dTYTizFwsArsEO95Scd2mSHAOajgSFPPjwKwbiH9UiPAx1UWK/mYifa+EOfmP1j8J67W0tPHHa hLVRAQ7fUDj1arUdZnHJf7UuCriyQ5D1QYpKPV+3z4RQYhO9az3bp7tOl6ipmI31wSQHWWajQE26 TzeuXFoAk5tjPWF45NJUeQWaDCeFWF4v9hyIcGX8LGJ3gPakPNhLok7qNjHa81vQx6zZT8UtrV2R peMhYkL4IMamvkydwkbyJNeHr/Eg8kX6uc3SL+8cu0ct+AOIehzJpyRVDcyQ+lDTYr3RxXcL74xp dvLIh6QZtIQOTzYKzWtZAQsEtz1WveixFP4HAuLk0Ay6cZRdYI27Js4Jql82oQyCCNGQ+I1hDTso J4+H3ZMhtfjUt9LYnlX0ZWUDeYxoKeq75VTRYED6FfWq1Dg26QKmddJN/mMdCYAhP5CiLWMOzBM5 jBPvQMVqNDeH57fNXVaw3KS38+kZAO6LGstxxHgZmCFECj360a4VI4w6HdEwvcyKcZI/HbuhPqwc mLwPBo6qRgV90CC2DtpB8sb0XJ2bMqk0WUzgCKQqf2f40fucigkrJ7f0l6xiKqp51OXMhTNPKJWH C3njTbSqNrSGkrn+gzut/QDq6sYLFyjOxwmDkifEtgvrDTerAtDqERWGvQd+Dk3JtQEdWGa+dvr3 D0m6DiPdkJu5DwNTMRiOxaCafpl2NTTPbBoWHu4XMnob4yzg19KwchLE4IGu1IGFZnHPsXUL2wtp X7SQDY2grUkSkgzJ3q3zWgorZSSrxdPhazMz64Xwlgnwtb30CZUc+uqGRMs/LeQeC5v0Q3uWGaXi HhOwM1aQEZIJhbE3YUXo+5VelSdw9UXxgcY+k/tIjO9SvWsqZ5lwlK+2KHbBMXFYVzuZxU9b1Hzp ngVjec97guZIouMUCN0Ire0QjO5uTEtbHO9XL9lNJtL8VmPbMMiFsYQU1eJzMY+HzNjbte+iXTBY X79+hYiHvzj9F6+idJSIIlBzQvR3SY4i1jrC5/2fInzT2Ck1IoLUmVxqBvYlpnj4OxDPFt+C044M Smrx7iZujyLiz0HPXlnTsOX41IAqnVsedX8gaZ6gvKN5Tm/9wB7BQMYcp5QtmV3PaBwcZ683W2SK /Kz9OMRLFLnlKIm2yEQ1akEy61K8jCVZwgICvPr7kwU8fhs6Da7dI7Ysluohp3nyv7ID2KNzHpCi QA8DG3P3VjsRGFBmZHejJjOwSpZMwP90yAhRp9Xib36gfctenChiCtGoOh3jVflL9OSaR0TraR7V L1gbHQhl0nBrnNUTf3gSFi0av88gCZtLkw/COe35wjdrZigeilmSJIxXH497SRyoF3smODxwFUAA zdHxK/H7Cj/L3eHEopwihGz4BfQYvOJ5A94/FLM84Y1jxF98lnbpHYEWy/kshU+u+u/j3ci6HZIc GOHNpqxOfHVozyFvI+cfU7xZVeO7Bh3FcBEGj93aoruE9Bqr8LNeNN4BgQVi9YOelbueYq4J0jYR kYRGsxSC/d1WFVc8lE6mNFmc4rHgmsQ+4xf2aRbLcwScmYdWSdPzN6PlVNfCSmHtC1LP7YKtFiJI h4UQlyXhTRIRMPBH4D9B6V27SbHWAYcEIhbswTIBSxvP8hRDEEQS948i6Kqmpl1UySVWd2HSLXHv zI+tGJP1HpNi8plVEARAu+jyF5TAn+pNtxUz2RgpR6WgM7HMfMFUweLZHslmUOSqPehcR4wV0ouj Y8Tk8r1HI3E9Lak7ua6zntES7q3GkE6usz6I2slHkEUrAiOrVvC7K1uwa7JTdq14aOX06ehWhU1G ODy9ZBgLBioqirqr0La0ZWE3anVotmwBspfjye3MnPMBFe+d35W1z+jClBLUBUpuYEEb2qElJmUy 9mjSxLjymu4Lsu0rsIvnu4bJoIU909vH6XAMSzLWy+Y4cEgtEX/35vixkL5U45aQL3m0vJ9sSnxK 8BE97g0M5behNOevxJqqvXbxThp0VgKfH12V2aCHkwGycjxsNatBg1Y9Ql9nzOC9pzEZaJ4sg3jj e0aya0kVsOXxsQFer2po3jTWAwM4/CyzXsP8EdR1Wa5/DtchsrCJWI8XHT52Ru+a1uHGMubTy+63 WanE/bjsUFPX16LwwvLX8KepEoZTw6oPCgRR+LGe2hoogr5ozd+Xdx6q7kQRmrhJrSfZHOdjpCVh 7+AQ4zJuP/UtpwJ4PkneGRKAZtsPmv1SvRSH+CnZaEcVh7SorfHcdCp91tyzpJXOFK/dSB5jEG3g aI/QoVcDXVEbTlnxiOmWOfEv+o7SfSLSmbZjRRBXmPvulV3iZ1HS7UpMHmLXUS4DRpmDZe2eAErY nMsZkGUk1zXxaOxo0Y40Ai8Ni91z3h4+Lb4aYpnnjtXN8OaMH8jM6LJNemh0ZRUCgLlr69vsR67X O0YjHCjJPO0Bvpa1iGFMak2aZUoitG6mkw11wJfP0MDpA1VN0OGMTcvwpLowRXU6Cg5XVr+2kgKs oa9+4WlxueOTsJEJOrqawg8/CArzFoA51vpzEowsFwTaMLnbOmqC0hN7Qz4A3JyllYPEZu6ReCD2 33vSy5zO5CRZC8qYzDmgyGhsUw1YuaKRZD14+s2WR58MoD5q8u1nLDu+irk5faoVtcNV7Zcc9z8v 8AB35obKv5MsTzIETMmVqir1hseANDyTX5GY1vqxJIRHDy8xCTOdl9Lj2YF/rOpOa+qmeNJHX5au LJ2gyScMFzb855C4poTQPxk35g0h8jmXc3lJMWdQq4IeqgDemZnanquH8NlkNuXUReL5QB/Fwq+I 2YPGsOhUk+8WpNB+6WfWy6EMk2M7TkeRrJqk/mF/tOzDrxMyADe86HoFMRgFiPFYAktsCDtSnVi/ 1iFBkfnUlPfNkIdONLRGdMALXMtji9sj3cSVFgy924F27jVXNpCpKZg2kpQ1IxrtFFo+nH4mCcqA 7w7Y6MB71G0M9ccBvVx8VBvZETn1b/WRLVXU2pkvhbgprSy8AbJYzI5shC9aB/luPI7y07tQp8hP zjaSvFreLPgdEoXSlT6Op0xdX6bxXutmj8VzoUL/gSQnXJsCU48feja+8/DGVGfU1UXPEp2Xzg24 wTweLmdt6+QjCHQtV+GxjMj6CzRLZmxUZirQMNBRig+Ku53EfpXFOC61eKAgR8lfrry2AGHCuLTq 13CP6+1m5LtcTcY7Z4P+xbydqh4S9YSEAzuXNDVsdxzeI49BfPwjZIDdHVzmBaU3Iw7gJoxfwzy9 a5KC9P3dNqsJPmn72GXSqQ0u5OCdy8oB/m1zpKRSVgbX6h4LEiVAWtoCkVZOg2qEs9JbYhjrcGxt trbc5uWTNH0uKXYTaAqUefziBlk5CAjlTZljQAnKyYElReFV37wkodjRgsgQxrj4sjSugfE8EGnq Qv8tIcBpeg2lGV9TMl33K98b2mF8SI3y3ZiilBZlPv/ajqTxbpbExjjddKgOARLCu7fo4ay0k1QT Spua8McQr7Vwqppg/OmAwfbCbJpJDrtqsPjGgr358FOagP1CTsTnwZI1x1EkkWkOmEQH4JQkCEEG X8pkpyZkSDTvQchysnxuCuKRy1TldflIP22r6TFsMAi/YOHwLtsz/nboKELcLsE3VKiJ+zDhydDI WNQLuuxp2+D8bkhNsTIr07fwh8zpRbfO6jq8sudIUqFUUbdaszEaxC3yOARqXEgGqEADBjHPR0Cw QDul9e3b+bXS8leZtJ++tD/No9wfJVZppZTUH0Nj2kKDRaWumma5xX/AliRb4eQmx3a3KUP5JXDD ticwVitVbnMOmUL3wvnjUea9DU7jzvhGp4vg+JbmzHft3DKdQ94i7iF4ptOkdN7+LoIeKsYG2uZD m5RJeixlkXrInbPKI5ALAzBeGzsAUz4t7J51lLrKWD3In8CWXugfSELiMmqPfRHXSfiC7BU1zwnD Xa/IeysBZ4feBrkzXz7jxWNXolU+TnuWFw7ABqu1Jw0pTeRhw2LHKcSA9VFQFp8OFqWL+aR6Vb+6 i+AuB45j7YkGhwKScd9ZU19QEQXHBtcTkZux8r75nbkbUu2iPjLpPOCwJ3teBjFhF0mmOtpHxROG 5TLCoKFf1iEiSbmB9muG287jBfjqGtnxEkKVWXAaSRxTYmh/saE7jiHq0MKruMab2qyXLaLnZk0J UvKSQl3/X2XEbPTBF4lADHRybG7EZLGTy369lmRgWnmXhyh4mpiFmpPNRi11kGN3vQxh+VSOEZKv ZluyDc10sCqiE1iCYOPwB5kXwLIJpwQTMHv1goFXwIIfrstvQqwqnUkZZYjRG6OwfXJLSTkJvSn1 a5EleHbkQfAliwoEk9HfubHAobgQZQVCMUS+nSDz8hNsNbqE2Mg2KvBolN58I5WvCfFijZFForzq b/TghnAhY2o6ZxDVGK6jRRZmRONcQnlVdVTXu3a3uUy1G3RiXTQnWoOqpmNiYJM8MAJAaXtjSqlA Ka87/rpDpQGF/ufPJmj69IaldrGExquONq2xGbAXubdWFXdj2IaSomK1ZdDiagODoh/BPVZqli/l xrq5a8fnVzxPgqxLqMY5dpMY6DUKhiDtaclA7whbQCByqbHxmxGfR3hr+i0HXv+tDjdrpPKomcAv 3m1/mIXjOE7yzGSScSlTsBip8p/Ga4S8Ine7lCxYYMNlmXwuPrQU8X4FXfSBSHVqhNAIDXiY0XNw cGtruNpexAJTmmXbA/AJJKMbZOYldlpYX9jR4PnvkxHLBWxswV1F9uYsgMGfW05oxWcem7yLPvfz 5D/hzz8y/IeRNLAekUkFPHlwDzjmoisxaKzRs4pGkX5f4dKsnkQX5EPN9NDWFyc/Rb+5l7KQ+BPM 4JwsZA6dyXEtcCjnGcE6cO+clxyxttDYrw5mY9cUxlWi96sKk0oDPDluMH1VOzP0HhD9eYFBFS4h 0Ma+JBZPBCztvlxT2gYycsiUQUBn+yRt20yitOMupK03NTdkAUcqK+E0PsHiAT3XEISQeg+CpC1c uAjvDqtj6qUEMZzrKyw1yLFpuh+SfDIfpcdI0lvxOVZHjrxh5+qAkvFzHhBQLonS1Phjdee0HmG5 /+G7xmduqjZlWGNbwy9xRivZbC4o3W+Wrzx8bBqRbeQJVmFjhlkaFDJOifoLgS8iCiGuNukEF4N3 wjAfI45NAnmc369dv8tL66icpvKmaiA1EZDahMd9QSIywAGg+u5BhXMj/LcHQ5EQcL9URoD9hrrV IZcsFTtSGyyXgPkQLEmI3TGnCx4Fs2bimge2D/bXfx+zRN5lY1TqPpkwo/ju2YfDn82/xam0LuQd qtWTPpnHQHBTHcHmZmU92BFeOFOG9JUty+2KS2eT/1N0Sfq4pih7uC+XbYo5sVmUVrsaQzRXarNW 8HlKK44MV4S5P0G6/jJeR6admHMe2ScSSXi26vpjKeOkAn6+vzhKKUY5k4iu3IqQ8N0h7tlHbavE PgJ/vj7opWv01u84rQKUFhRqQiP7q2McofqyOIcnL9vRV+oSzpS9gkQ802M7l3hn95DtPFN4fHz5 2i5sCz+2WrhkN7RIR3n2wRB5uKAQBF0CxyyDBfRQ0af0QEWbBaReEuvaGL023cop+GLS4R+S1Ucl nd5DsLCazFCRXXz/MZvY2tiOyDZLdtWZEYuzCRoYrc3ESsPhIv9RSQmR/Hf/05BzGzQiO2RYkKOr 9LkzRFG8HC4eMd3rB/sYOJcrMRE6ADy1mL6tcUo8pAdSyjYXta3Wj3eoV7B1Gk1jc6FnlGiKwt+c K8Tb/NBwL4jDfOg5jCd+ZCIwSvSuHNahp7h5X1aLZU27zK+D5KWng5iLnPQw/D06s1dGEU96CNf1 bM4uXAMFIJn1urEwlPUUTlG/A4o1ZJF3Yjhc1E9VhYMrer0vyzwJTbG2P8Dm2X65n8n5Hs9scxZD huc+msGPlJIEcA0cP3TogNj7YCYMU26O+hzhtwfNlM8LZlRjrfkvjKdQHmM06J7tX0cuWd+uan3i vIJVj8ieA1d9CfRcT9ursc2Y9CbnA+rOOIAALiJLeAxZdBrrhzlvdUlQt9puq1jaepIW6Dit5h4c OHBV5Rn5GrBt+CeInkxBdEhNDB6DwjxiGDmKdzLjI+CKYOmI/YXR3sL7Dmh9412w2TJFwl1KMK0A wBGEyX3eYT/GBQvpjLxr1MR99y26N7Q3itvUCQuPbSmXt1ibHe1lQ6ovWBve1NwdiiSlEyGcjAga hnhbko4PmTMJ+UjTtjAAgDHwSkDy1BFSgNSgRFpOuASb8+cZKI1F659LROUCFIzRXbFewm8o4RC7 yCft412KeaXz0LU57d1PYqCpnR8N/izeoqjUS+7LfIBs/goBctIJX+PnFPy7x8Tu7s9YYbINjSPX Huf7/go9sRIWhXTIYW8hekfCnJmd6lkhgUXFpburrLsct+havFQkxvYTnC6c+l5zUbGvAUR9xZqM rYW6R4Alh+CMehIr8L3/hFECrOdGQCSUtyrV/r0VNaEQ/sFSQY9fS1gPrhOAIlcW4AB5nhZ+Mzn+ +2Hy99Jf7TFCIa7e4As226jEyEjwKJ4lljkshoGuCK11POUoP83Zi7lK3jX8UUr1gkRlFlHdF0f2 CXEVc82UsKcVhwZOUbj9EzfVZ6egTyNokfwngF0/Uh5MRoAz0+6qlAEkWX6gb8u6ccjuRO0g+jen W28numuAVsZQ9JkRij9rvBtBs8iwkziN4xXRRMOiOnFoiyGUgY0xMpN1CNUd0u3syJ3sy6V2ygOt ZoGCdcbLjVsa7rdX2VQdyNVTYrrUdviQ/alwyXKMxNi+7FrJ08yZPKAWi3DptNZEDUUpKU4basaA 2iwgUZyFPEy4BHHqK492lEA/HLQHQS8p1mv14seQjXoZLdyhVOpsO/2ZK9HH64dxGd4PH/LDNPTd Z3eDhc/Xwu558NOZzjLnjeOL6DvqRB5Eagi7wAXa1sSoRw91+nh2sJJxPYDQbAoFxczp9DWSSGu5 qofJvM+hFFh++COLJt6t/0l1g0uPzyGMYC4q72mWODST0i9PdC+YSUTl+lRW4sCPN8//p/PqY1al TnrSDrjOAcCHcjo7yfBVB59c0NQaWysxtmQwtS9PD3qN6GJLd6xrDPqkDHXAxPECEQ4/Fe7DP1Mw NbEVsDkdZ6ewCrHuA9x7BvBN1SMJwZfr1wnjfcCnvGQZNL6WTDKJQMcuPUTLtIEVHVPQlNeVMcMz 4trq7JQdo7RHwJm4dt1QEhjTiPcXvaCc6ZhJ7MszOFGeXERiBbF4SA2EGKBD9c/mzbeAtCB4BibQ M6rx1bnRRIlbKwt5nHuekgpJb031DlE0KrNOtI0IjB1rBE1jh5aJEDTjTOZNljYoze7//SIL4DQ/ CWl4fXHyqKCCM0IDrC0vNbxrBBNQSdZjo1EXYkPBlLarQxbrWAR83mqZYMtRXaTOd3VtJ4ctDide DqPrbidT2p78cwIfNh61QPrl9KyewLrdksydl0myvJDRCbkqeesodPR2oiKwc9065V+SG52484w7 bKSa19dE3gH2VxpUOSpJffC4FOGiVWcgepoBv5khrrsm1jzoEl9z/AfDhKXrBwwaS6M1v5uBpDVf pha9ncZPBpkL9UN0tquXQ706j/XjhOT3fApKpHdeU78XCO93+GuQMCda3lYL3bhvbFsJsZxnsrbS WBvSL33jqWksMhj5HU6I3tWZxXzHP/gZmrIETxqveQgtLdbZCvLb5gbnelqlLA1S6VCLLmuncH2d HKraxaBEhAW+6nwRXkTa+5l9jLYst3nYSl21a40wUC7zwWFq6LyzrS8y7HbpKj6hqpZSEi+h9uMU pcxmZkOS5aWwmVyc5r9tX9ZVXsgvmrlbwhH1i5QUkMqBebERQ+lvbWTvbbGBRc6/9b6/XpAkB3B5 a0kwaaR5fr2riCN6xrB6ld72EeyINL3aLPvDDFsyDsFBGHmHKgFUQDs41aWhJvRiI5jbUvHTF2cH GehKNemx83hAnQvv9hbDBXgexUj6vBhrGvtlLCWktLqhj/rJ9cX9a8tW3Bd6Zin99PUcwDhU8c9q +M6a2inr5pkbpmd6O3fdIhajLVm9r4ffyt1O3dQ0YlUPIs+0kj0YXXGaVG19v8094LxMro/SNhXC 8ycqx19hQUEMfS9SGUmraih6aL7unKP3KkqNnHhLvKGJIm1QvYLwuB6+aC+ic1NG3I0VpJAA530X IKD3FltMzEwOxV0ctJMG24i2KDR0T01VRQwJk+kfL3vvnv1Tx29ujfYu6Gs2uC4/7Do/cu7EgJLB HMsFNxp5H84c2N+DAYlgQAWwz74axdUgXW+eHt9IfKU+XGxQXmx5Or5Mqv/f7482DvCGpzU6uYx7 oBjx59wWeaZ/27BjemSUNOergQqUOjlxvy3S0BPR2zId8b6G6ckGIOkvBy6yWJIv2PEHWKTARjS6 td+Z+SNAX6VR5rWA4UCtMNw3QiYnxOyVbotUyT1peqBNPbvQQDwIXsNw7k9xQn/EfwjuRnWCgO2j ZUd3O7SYn7gpuzBUk42Vne5ZMOsA0uh2En9Ugwjx2gFhQ1qIj9fFEeP75ZJUM77zvAsihnIlD29t I9kyPf3X6aNrx9ZtkbfR0+SNqAopGwVVvU32GCL0xD+dcU+KywUCvwulibcgqVvPAV4TpjOrfub8 d/q70qXe+3mZOeW2sW5Am9DPfO4TPUvchJz6+KL6V7Su5BYSRJsPeiqw1D3HJ2ek91SBXl65/KH6 gurD+pJr3zBx6p5dZy9f2f7koU7Rn9z42755Lc753uhrSYUbYxfJahlQSaNLaL+MafbrAh98eXda YnfXo315ruL1g0Uzf1dljkJQpsH4e5HupFSevy+vJh1I9bvoMLLdSzyNnU3PTF1Rz10Ua2U8OEqX c8LMLcHWCJXnL+iylK+cc8C6M2xpAyLCzwS1vULvHlnO0IX2Ae8RdHljQOhn20sKSy5RmwGwaHAM G2lNgjH0j1YkAeJgImj1T9PljSPtoa4AveYhJL1nGEO/dh4fGDwA2CvX6zFsdAbEsPMVM9Sh9VHC aui7Oz6HMfiBD3nKeQ53TiqLQnK97oYmnR9D1NtQhlEzEiLpUD1KKZAP+XaAIZsmkaZ5BQgRIH8b +LAhjos/Nwf5j/YRUKVJNUw2REjXOjqeDY6QFRGeEE0KrTgwV+4xjzPuIpPDFccUGr+6YW6w3Fcl molrcgzIy0owqS0pB7x6tXYf2vMYmv4TCL88qDFiyE/SFb5nVE3XQaGOMFDcyYu2uqO6nr0HMM9n GeDMB0IoqESzlPJJb7YA5QNEdju8qPCR0qVcwBBKSyrUKwLhci9v75WL+CmwwxlTuh7mG2zJcqVO rUkeDVNLjeL5Wu/7RRwUFz7jGrQ8wyri11ci78KMC07A/KxM97Wo1lh+M9JOzI7S1nSNwv6rv/D6 Q/pSYn2CIdu+bJIOM8NHf/tsbWX+L3wGX9Zs5R5hePOD8093rgEdlmJhQ3NYTY+57Z1c58fmExUX N5zNJf+Nr1gefVuyBGWEvuK7O26XsKPcAMmODM3bcYzQAfK8b8IOKteHO1kryd/hKJRg5xy7h4YA vha6bwLWx6kC0HGG1mXoBT7IoH1BVj4GD+T5OSormDgQj3Xu+EA37nM+SqL1rXBsH4I9vGjZ9Paq O1rCDuoCyDsHvHdXY9wbKLw6qk0xSrrMEIIOsZhGcCVNnKEYzdteKna+tPMQU13hx0mrItdxEXUU OOKVhISADsPZ430RiTxPH0aPKUD40COCrOQ29UNU27HztmHqhTWeQjNX1qFn86e8L1BqBMXEellw Uk7wb83vx+7u1qSd5MDyRnSjE0t3DdMQG0O696srQ1xQxQpOyfjgXnYuwTKJ2uLPwLMUWlo6EGbg EK/WbfXlK5MlcccUP83d/EEIiws1B6pelY2hOa0/b6QpAl4FJht7Z/W6ZkzCiw8+d79lJy7Og+Qp aA3ZB2que5K429ecujECe/8KV93do7r9I0baV7ye8w6TCmSyWgeL3MpO8r4XXI6dSJlbiPISA+e5 0llIp5qtmAlXOLU/3BKiPlp0GaL/B4RUDxDaIlIWkQgEUAv45+XPLiO/X68qe5jXo42ijgM0FLOX VRNcVKCwF6PVwqWLd6Hu/fOxwp37w7jY9mDnRN4HeGPzkwd6KI0MUFcvMs+xluFXVYbzerci+omu ZuWIac57EZAB5npxZCar2JxwAuV4GItmlBYiUtCZQsWrccEvFphgPhHOCRAPOkNJSWgeoEmhvqje bhgMK8CDt+ZTo3+Wexexpt9ByiNEkW5UmR2XX8qt+vYZY63OeuN/6fnRDygRj8YTQafjCI8grncN RlRzDdJ5TuoZANAkb86zcDnU2YPY95yqgEPtXpZmRulsjsYMpNFmKvLvjgxXC92ZNrVHKDnuwT+B 5SZn4osMZkIxhWMrY9AJSxyt1OhXriofszdxwMOXpxeOi5l399UnVcTEa8BofIAsWJstVYuFYY1t 3kiM8kLXgWINkHs+rAa52HDstUg+bCmAyN9KJ8TDqkJ9xTAJC5sbGuc/BXVrd3F9Z9jtwWHfqoUW g+hYL7Na+BdzwHQVjVtVPZ+ox1SpsXRq+aryWzITZcAI6OmXagCUnLDLbzem8QUK0oXdaf2yyays r8f5gNxCYvssFuKO4MK//3+sajKXSABnQZ7JcdEZ3rC1R9CoTj+L84XQ2OPA1x8kTP+AnKVr9+KE XAE28hdmoKJMIuZKicGbSGAj2W6v+QAyz1J1+LykQxtG0wj2tDbtOixZExaPYsBuDd6XYiWLy5T3 GvTt/19qJA12cS71aGpxDHvuephxoNDqYf0QsldKQnBRJNfZf6BycDgDBNFYMVtljcVAcD6rel3N rLql0OjaZARNnZXTQ/yL4d6zwQXjNKN6V5czk8gOtLTmHnObQn0GY610SNRvphJdCdXuiK4SFPia lecDNK2Zh+cEnQFlvqxTGyTL8MV7Ao1nR54QxKhYTgG0sIZ0onEMFusY0uFucriqiXyATIXbJmtg hijfdtD5BgPPlLTqj8thmJIu2ni0lVSRVBkG0I/sDLLV6lpZq55HyZIO/DlUGopsdy5aLkynH/6V uutBrEONii5KQG4gcFh8hUe3gvlAHDHQZrI3nxzPuIIEQ5AdRrERBCrmaPf4g38Z47uOCKhBFCWM UgqAMNFNcMFjU1UdEvUw5RzcvYA1kt260g4ZY2O8X2TLIB6EcSMsVopPcNttJKBCeV0HK8fJwZGY ufPogvtd+iQaGUPRlUhRaYpzB+GUr+heVV/061oauwYvjoigu+ljUiaR6vMi/kSshrnTLBL8mNUj FM0ySkaUM/OLVtPLvKksvl9O/xKSzTTPaljbPzMmbM54L8c4J2KslqWOhgRnEw7CRaAz0MsDipll yJvrccdW/OK71MxpSe+ohiZhbTMC80knxDY3athC3wVVxpXxUejTf5VemOec5ao5kZuZxZEk6lsV Qa/geHmqsHVCLeM3o3Tsp/9dtMhzPDhthm5McWU03CC86diIEzF3OF0AkTKf2XxYWUhgHkduHo/i OcbEw2LQCZCC90/LMO2xAYYY9k2VVU87cjcka+QYzWiEyrL8doQJ2PfOnIUoKS/fRi7hahDLj+QB ExfoNuv7OKeWyu02gB7KnRkKP6+IlfjeDgGiZxxc1QfpDwKygPuAA1GubiqTOI9PydASOhE0oHwy CO+PmzMl7KmSIMLydCoPX65+ZkolttGwahm39HG76iohJppC11h3lj85FsNnWx0FTVaKJx7xeP4t qWZIS7/bErTw9TNSL45FK15kHvOLGTCnFzFWkjVDiQd72Gw/7zNzfCJiKbeEGZDj8YXA5vslI3gn YFY7A5DKn5V4+3JlwBcx6ipGKvOzgrNnrYT4soTqAXHS90xZRht+mmky/RdSqkmPV0QlCwL1AyKX YNiFmiyTRKr4T6iWCQpIY4mwpnoT4Xo/euKrTFaTh2XXNJ0yLuHAzkhwcK/4TfMJ6LYSlyHQL5R2 FeexpQqpsdsYv+QCV80pd1Ven7fOwONQxpKpVRwQa2+ve0bSVEnBQ6QclGSN30L0Ad1yKmRqvJQh kNRmMDoU56d2jl4AoUoGnIPXjZVU1BuQzP5fTwPi4pq0EDzW+LDm7Ev+8R6bVIYF8CgAisAil8y6 UkeggMXubeyOnazJo+NRGFY20AJQex6kZ6lbwhN7TCFh0CC0PK6V8Q2fbrbeXw8k9CUwHgU8KQnu PKcXm75GOy62NZR9fpADP/uRlTFeW/gS8MBlMR8GM3ZRGqLjIdgV5ucge+Q1yH03Cva2zNWizkWO 9kN6RWduYN+VgCOpPTya+Yz/ubUzuxRqkve2I41cmdkDI6fwx8uAOhBvGfY4T3mnxcwa/cAN6OXp KsTHMzKrQYSjs/QZ5gRK1tnqc3/FWlCiOlIYk22ARE2fYAULX7ujly3/fJwYcIE7O7Ro/FN69Sm9 0feWAAPVjh5NId89GtWuhwzKFn02hHL8i+iBbHuNlT9J+0P++XMEJxyfBZRKpG0nZ8dor1KnI8BN wIOkrNh9WznI1zuFng+IYQVPZjr0UlX9014PqoEfGnmXCeaX29SR4a4U1xckenEnU/BsWIfqMiaO NUtKvYJ/7v64kVI9cOwTEFmLlV9Sg4oeWW54QWyOkeZ7Bec1ZSiMS07n1dBEIlEewOPSvnJPIJ2A +PdRhrWqZNLriTtpD7KRH7E5YHXi9IPAq7jiYPzQq6rDdYK19Y9/zU7wcfiIJXihBfVjKGxQzN/T uQIkirYWBRWBQ2PW60rTijGGZ5X3pqBBDv6k5W7hvAg/+j9d2OiqwUef6j3LNtSGiqXhR+K9kCre dBhAf4qE5z9/l+1gaOpk9u33CAQSthNbhp3fW6dKVK+w6UeMYatqslRgfx48BrXznjWZinW3Y7a3 sI2ZgXtW2UegLKK57ZnZDLIOLJX51qRcAjfUuzOlEdo3iA6N+4R1hURNofiQqlhYPXhfnAhp2dxk 1k211oP7bOT0thnt5tBnQbvZJxf8AFdo59KLbH/P2aZBBMT6qNUr6qMmxVZMUA3wpx+0TsutEb0x 6dYyP6ukFSdv5BerdtRiCc+6iUVzdEIs145yGbGb6rD8CZmRwWrJ3mYFIsmYfpOzYmdtr8Mg894E VM3JEQQSJX/THvHn9bvxSujT0qHN5poSl4R6FxHu9mJ/+hLTSdRkzKo6eOPNw0Q7K6dXvaZzUawo R6VHcIfzNLd4sLJbTvWGOPzpTv+hTNneOLjk/yP3bVn5TOjzXsaiLD+x4mIBzFFadnAK7JwCY5WB PWSDhHTUjLLEqQbyVMTkIiNCLc7xm7qPJJc3D14nfEhq6QPyOERGQRIij/Y8igyConFQyQycpK20 WOWP4iCdMHDUxnzGXhERK8JFHD2MzLxrtr/mNbZwjW86NaLMXs14jcTrqDub6H8JMbKOSxg4ik4H jR1pXCZ2WUVFR1YIc/HD6cKmJBYnaTbeMGLbpawbs8vVPASRlsJjEdZcialrGtmbJo2djlGJfpHh NAEongbjwxWPlbT/pXepoJieY0TFHflo/x++qgIVKShTWVTwO4O0jURfSvnzxpJ2utmUGE1ItRPD ahzwxgCRVCEEC4tTk/vXZ6kG4pomcu79FXMGK95jVAeqIgCRNMylpcJsGjpqyjL+gzNazhQZGMQD cIJsUQTtK1L0GrMKyp+9JoOh8RkbMBGp2gYbzqcbGLkL+75xCH5HBss3Us/HKjRWDbQsfPYh2EId xBn+C76EiO8VITpdj5QNBeRR/jYxe8QxOrQT4VpyI0sQbdgUomfE3Vhav958bBa6sSz4iko4T53K C9WTpioHQdXji/5whGdOIzROUd/fWF1ArMfa762goMwlV+gsdnHWMbBaVkIcz68w2pqeBdA/2Uz3 UZwdOoRzsZINoBNujMWdS3/pL6WXx/3ErqjyaQql+lufdRhU+v4HqwyKDnZEjy8du9vBcxgqIiqn 4+rSbokmxInFZ7BBryRFTk2GPZ1XqLe2LOYGfXSB5lDBsxvc4uRhkuFsjOP37uoMTykVQRgSYSFS jhasoHY/EZ28ISiWApy5pXhpLB5TZG4+pnoX2aRBeBLmZoTYfRAOiOt8HTpeSohccaQIHv7155cu WVXTq8XsDM6KJZH/EBzJfV5RWr3+Jyq1XPEkn8K8sqOAdUuVktR76PpqU5U8F2L4Wu5t8tZzjOKc XY6wCdvuR856LqTYS7uNUbsulQST/5M+2DiogwB62qgd701HlsBDGUx5Uu5hUTvg3be6K8MpQvAd sQSOJVTmz8qpeth/e5V1HdAJfnO2vtRGzxvxkZmz/OfTkEOOsMeM9i7PLDucHKij4CnyXptm8r33 HIYBY6XYG+j2R23CUsj96lbdCinVeNZ+cteUTeK3ScUVFr/zoWVgDR/kcABV/9qAk/DNDzrqE/4G W0lDGrUremJr0ru9s+GaldZDAlrnCZ8jn0jpw5rZTus+2Hd01Wv91iJbE+yTbtyuhOM6TJZQxOHz JF8y27hi+iBnficXrZngvWAwJqx9OikFoEogMEAG9QBsQ5iLdPe+14A7gzP8P/cxbPnSrUVSiNid HptgJptJ9AgYAOOun3d7/H3AWYcoylqFIPi/ZDW39SXAquMVGztCYuBOAZWHlGBfds4izSNCVcCY sZzm411Yygaak8+3ZTIMgdzeCNv8qGs/pc59lwQvzoP6dY3aOPsJ8lQt+KBREixr6rheWyTl1rmi Yl5Eg0ZlrHdWYYWzVSdnkAvEBl+hckU+mZDsEPX9W/gWSXwHioNbdhXshKN50rOWXwfxHf3//71G ov6fwsLBry8mXyhvkVEjQa2chxvXEdsk6wI6AmkUWrzVlcFtTRllPcBxyW0YiusFLCnEC6mz0PkP sI2G9XaN7FAdZmRKvhuA/944+iYljHuPok3wEIQ170bW2mmUzPoOEYy7MaCyI1aetD2tunH7oJA3 42jT6PUY+71tV0oxI20EZ7Z1ORfln627673y2zQuDHntdZw0x9hrl4VdsL1XVyyzfPRLZBhSXs5q 2D21h5omiOlPpZ2jrVJSDQERL/qmtS3jbdN/LK7vDxcsGlrkaZ1Y95S7EZbjF4L4m2TVN00CmCs6 0/UZd/F19iy29fKoteRvkKdvatd1RGa7C3FAcUjlO8oiJaomN02hCbvv/bWGZQq4n+LHL5+9NQKN You3VrFN4cFMQd4A1oZlBfnkKP3smqSpGmtyeo6EF0ogRlLqJqzX+FeY/AhN9tDwn2YVKg0PUkyT H/JzETk7AQCZvMOGxYEX/EyWpfK4uoE27CzsYVYWw4hR+K6cWEA0DpfNZxy0u/vF1p4oHMH5QbcP wHXVT/vkYb/7ZN4wmOixZMwodVCVmGr4aU4grXISXDez8+PBWhNy1UJd+FKCOto3yv2XVZKqB2hV sBoMTk16+/qVrjvdWl50hi1Lt6zd5f09ynTj5Vh88BM/Si3leVYXoG9vFexjnrTLmoxJHMt48EV8 BJIEkb2lwWFmmZjAKGmiCWhM/8RntRJtfP4mfINpN7zjcK4ElEoWDPirh1R5hUWPX+wTQw7zgvV9 qNp/pHykqNlQQDtuuEQPbVvlla1TIYzQ9DeEadMVQnOpCxy8+yRNaoY3Y15T1p0WdiMsuB/dVw8M 7DzIge1/TajK2EFu9xKZYvNSCDsToUKFWhQJdw8tdm/+IHeTwlJukTpYukzAl9QeOxQVE6b10d4P M+q6MHKPOme0XMFxOvM1uzmr4Q2m7358TklulDR1TMegmWAs3kYpSObi7/qwix1l/j2PFuy8/cRu BjnGYOxUntnEsRnTpZummPSESv0dU73T3rZ1xLt9hzwSA82xRBv0xFPAGe0CSMYcI2mbvzSVlaUR AYal5yv9Ucsf3PS5Dfu6AsvgfxFhvkPQMjc5qtlZS9u66CJwBIr74W9RmaOr6wmFow7z6n0ZKRls MOMM161sE1nsDza0Yp0k4g74DpPOAztZy9VH1dMA4eISo+y8PBhu+U1T/SkxXAjk1Pp1IJ/wUu6Z sJFuP7/pBy2DfM/TEdHN0j34dPOA5DA55bbLDA5fvXxCvTQbnVqNn3BtWX6KuSfv/XyG0LhLYwGC jJl9LdvjutRxeHaf/ueFa9RsmCQia1rMDhx3StmrdvpFC27ZNe+D/GinM90uC1TXbnHuPfUSJMae PQUfxAWeNR4jxJezGmqNXfge3PVUqU+ms2/CQT0vJz09v3yb/jghlcu+FQBhVA/Xrrx/bVw3MACr XuTo5VPqgWbEUtKxO7xgmZzIdrbD1IPAjK/Z3I4jvIxrUnyeLbAM9EnHFoBVRlx+lEUZ+eGY4Qki Qz4QqxW1UUMhGDDIBFMmYcwDIKFv6B0kJfDbXbSXnl71FG6tmKi4hpF/WqC2tpJmLFF1mpVrjgbd qVkfFp8hr4yeYj1FiokDuida1lxtXsqiwPKOKYuWTg73xzGkuwASGMEvYyhXV5OJIIADXqb9WCcg wDIDHl+bHOHqIOZRXisrb+/u+ppiZJhgirnx0lyF5pe73tpsZ+zDBZo8UqE4GAgkaUxVOz8mYq4e 78rwpARsn9QTot1Zw/9IxgZuJ9uGs3yncvzqiXKsOzHb9BmnxgxiuPCW0bVeKugdJK09aBKRvuom 7HbX79FlR5UdaF3KLUsNlCYerD+iMYh9wk4RsBYEIvi4fNMmITf36quuc+9kNFyZuASXi5E6GTyx IS+zwNt8Es3er3LESE3fIpxhVHAKZyGsRlcBmVZyTLEz7RcIaYSJsPeXpfuCfG5BdemkcOkkOTb2 gnggVTZtuuXoLpmBWgMHybZhBNyWvWrkaFZ4UwQbLGVMnyh1RuIcMjeWG/27qeZU0j8UiesbSfbq MPIFsFTP7ZOnvyKSoTuvQz6e9hxE0kJmzlIsjC4GSoqw10/maV5wqDA/fCp7ZYPX3OVJB452EFWa +9Sxet5SoSN44eGXmyroOgd7Lr/9YGx8MWAqmAhESB4Q0k3+Bb1NDLmocx6Ux2KAT5eqSGNw0qmf nqwJWXspMYU6OYJjCxl5V5GI4lPYGCEV0whyfTmc46tfCpnpwrKIn4Yk0Ov0moxMyKLvlDICBK8u 1LcgqKamHq3hgQr+w7nf45Fnze8xkFC1vE0B5mYyh1QzUU0VhKyET2wVanWK9Yw46S5HDq6DuDTZ jEI7dK3T3GDCxVj0XS92IjYd3jzcadwa/hJk0ANExyONyjoLwBfZPkMxiJEbUlAmDQgz3bMNuT69 qJh+FkYkGmhlpERDorAcgHNfxGXvg8D2WO4M9vRHlWlTTyPbGa5RGkV2fTxCH+9o/8LsNEVAJ+Fv v5Z7RANx7nlgVhwu7cK1mjW12n+b2jBACL2D0A+q31ZLs1V0SzPe5GX08S+RG2H4MEWjhgGO0QXV OHTfAarb3uD0JEJb/1UgYWQb6Q3JVqeFt9f6HKqb0DEuIb5HgdiboEpz7mFqBgSYKBiHlI+9UH/7 Bjtl3/LdGbV9NjT53ljEeEQkcC98Qsza/mKV9JgtpJwLVThs1jmYGJFNCo0ciUM/dBbPgV0Lm8uy KuPDq12U4F/eQc/35letBb1WaNBP49iChv5Axl8PuJ5SQ/rTUo0tUfcdYys8HJZJoDn7M43nks9q BK3WGWomVtw3bkTi5QCrZU45vJJiSA6nBki6NpAs5VDmvNIMsfoiem0ZbaGmseD0779L8Ua8C0rL VNB0tEWj7tRWhwkiA4Pvd/97y7V/BjSZoPgYqNaAteLCynt0RU/jGJee9bze6SJe8bg756DKugUR SiOKyUfalN5P3aJ2eME8FEUaYas/Hlk0UHPiU0X2vSM6pNy7yPIDzUg3Lh1odNyPkZ/rQVkF2M/K BEcdHcwep/h4VqNTfpHfnRzEC9W0EfEQGu4H7KS0ByDAkdslqNA2QLHl5u50KKMEYwLWIz5svK/L 7xjlhEN1B6oELAzIwMghTsaS+nGOCFh1CaHkUh0lure94c3w3CevrhAJurZAVamz+o7auP4rpkgB 8sL4CsK2ocB4weKYLezjopHSaKsuHrot/AcOYeAuUQ+dVnF1jVnoq5M8MTZ+ECyxJMTwrAuiD+rm OK2ZuUgS4CEd7GkiV9DlCaJirAKsnkRL/7MmqHGfugGYw5M2XZ2xBgeCEmNJ1wL8/6MFomoM7iQ0 FKRJnvaAYMM8dTjytCVvv7R8ZLFj1BccWTwjqlepka+6JOnRPFkrvUIcQzTJFWFDh+VGy8axHDpj Ht4kxo/LURdJWe1ROseBsZXK9+TQ3OQenhbSRfRQBmrNV0QRRZLa33eSG59PJFMQkyiCvzfDpHeV OSo90OomqtjO/+noqLrD8j5d9MWc56Dja9NvkdgOJ0WGDMOI5udo8/jhm4YDYhs4RCzjeAtLOcgo YwON7Q61C0ScxU3L3b76pM8eI+ZCperGcsUAmyycJSPoh3WR2rofimO3/MPw2yBaOw7FNFqhcDMz Zi3FG1ks9WHY06OwfevlRLO9/syLsbhjL5L4Z/DI23OOEX3+zOqptvmGY6gnzofZwAJKTO7aWL6b T4RKTbnHm17vyRC8ZLN05blPzJjndrdvNcIc0Lj2Tbu2Z5It9A9Bhg2NvvOXl1V+v78B6YwKp+uI bICzz4ULaseKizeHq0Vgkmad37llFfhqlIyTVCd9OzEfXbVMrSblAs7d3h8g4WidanSXKcxYOTea QBzhkI1lBzGmvTS/PPF/RQ545NYXINnxY+cchvsWKnKDVnRbfom906xEo5maKNhQsTB8xK2ulu5E a26i59RZWbGd2Abl86LOvauMGTdCErfVGp6GoCcrEa0BKbutOnfzSLv4yvuCcHdwLREJlir6h9O8 XvnCloVXa2yQrDga040LTGQpRsrPXWGVbcb9dLEK2VWFWDwekrIFsT4oSbV0WhjlUPFpP7uohoz9 O2CLU8Cj8g52K49xlvvkC5RPXlVRcw2fUqNl+kH9oT5vuCMIRq2lcEER0NxDXHDGW0JPPw3EPXDX j7HwGJ5lznWws8fhJv0fd/6ql/P5Af2B7/jwInhhc+6u0zNs9Rcx8qX+xZyr1yOC0E01jjCGL8Oo thceFcBw7m+Mm+zijgUvlr77hl8GUKsgO5b4qAfEQMJSUnXLqNOiSACTRyj0akQOzJJaissG996Z fz6ouzuRfwyX9Cjfg3iv2hl70yAc++WPiqxyxMVx3m00yraPYHjfrcIY7YIDqU2HdzGPQ5qxhh+9 xpzRffoIh17Hx+5FSFPG/PWIQA6bfnGUmobif4C6wEWwk1wRbsd2fNTSDhNhOlA4DC0DH9tXdnC2 bFqh+Kfe7y2lveRIErYv/ch/3g6Yja2Hhd+16UfguZ2B0sErrAz/w3+TjcqpPXQ04NZjSWx0tTl+ vaw5Asgz/I7mJsyRTtpwi2rUN74CttT4POscoMPym8VxdSxhJJLmWLL+TwmUCLIA4KeasrhdWOr+ ZGZezwF3JhoscXiZu7OsZ0KP54fWExl/O4ZCStzjXbfMRxiIE/iA9ybOrBpmiLnwUtJKK/xrlTMh /pCgPkX2Ea2r2TjAs4eqhTWUUij+U/prB9KVwngxXOFvjVxaz+qo/Q8yttEbC9r3YBoy/TsQNdsm NJ8/PZnvjQCz3lN/gQmPaCu8T1hPPwmkKPkg6oTNP2qUOjuWI+huY8TnCXoVjRq0AVqul+ldzpBy U4XBU1HfF5pF0pQW+vzbfbeOeu4sTXgc6aF2lA5VuVIXQhJrSZ29NDP4Xnfe11mhaWd3YMKkX1iK 78kKdabKz0/44XDbGMYMTTgQBCOvY8qCZPSRpAUJwEOEg7Q2feTh47MLHhQbE1kQD43larON/psP WzB/hPIJtGXc+lXpxV3zJTF1iK9XThoyD5WDrl+PVQMpEkAy/kN+bqeS+ZxJkgnB4pbNJv4jGCtl n9vQiN2yi2KzbP2VQ/+D4DVqBpnmdtbH4VGxEAo7KwKSZBTeam6gEZ2AGyeV/jI8a0/5aIGcwiTY cX+kjcMPhSwMqQfWO9QB8eqC6FZJQGxqMQNANj8cSLQ91VsLUTVYzJPMX9kYSq08hwn+nSFV4fwC f0p+O2biXjZ6+HK5Tt1cD8dt7/CcLNKjJos2stYJ9rkhzLCtW3G8WZn3d2PNUjuBbkpdyXrsKRb5 Zd/FHW2LmeSTIZNZg0LXfRWHquw4BbaSzvKG8bc0XYCVL31wyGQm9YSqhWp4dDtjRpK9Qa/r4XJ4 3waZ38xD2auW0feGwSTvVFZRWduuTGBMu3uHcia/EMPy39yURrcUYKH4+Ikttm6ShCroWpNleB8q kvwEQrmPl0nYhlxIgzAGnyKz8HdCIuqsoa71ukNHni15YlFiN5e0aSyT1qIMCZj/a5Up7Je42di/ JpsI8Jv3c7RdXtPWPLHbJuPK8gEeci0rZZlTmFgzKqcVlHosahIP8SEaKmyibeaWcCf4BWyVcO/k Jy4ea9Clf7rWc1qkdzmGatV+xtaoMmocHzqwmmV9qatWqGfRq/nRGMKMnIHNFJZSyeiXt47zsqEO GUjU/sj6XEH53tuKqpT0BZbptp8+FUNgH7365tTKCgMOGxsIbwyQDUP2r2eldq/rbJImuwTyfDYV z+kyUlyh8hsuZbqFSVaJ5uxnffX5bINl32JJkL4QxFS/0HwZdCmq6XgLCVJRnVx18Da3MMeIfjoA 8QNRalSQ2wRhtS/7MNDob17BvkNr0RbM9+wzHfyjkbiCHHcT5iL351Kf7chf30H7iRWSlsquEIFK gYI/DR5hf2CI8RwNc2sjede1rWx4ieteguugdcJL8QN4DCaCFA42PLLzUxkQ7rj2ZIi+tvZh4ZCR cjBVDADyk8XUXpL+xG3H+eezvtKWcXTVMtl1Ye5xmBc9ODWDIaODZin4maBZKSdXUnc5hFkx5oG3 Fftt/ulOFT2zIuJiijQTmjJ6lgczG1O7SaeDtN5woZ4KVc1wZLWkB/sl+UVSi1sqINpa6kCC76h9 Ij8wF/pCUJVJ1kCag6avjgr1FCsyiRNPSb4DaMDdmlR7pnLx76jSwezFxK4qz9PzpfiU25HloTQ6 ZyyiTkRUrK/ZuolwzY5qVitQHPxTz1U0CLdsbmO53ODPYgzixkGsiU0TnK0KfhVtirlOycrmy9Mm J9immwTEv32du2p2UBAf0YVmP4+PZwOnbsmYvV4B5CD9fPMiILKIw7LbVYS9OE4IzIAvgxtJ9/Qc Ahh6+eVQH/kthjnUBUBGIDrD7peFsZNzq9WC3GbJ46cAtNSbBGm0IjLxlUhuHOJJPjIKp0gIK5+k rwGBAUpuXMXKNWCdDnKpCFloaR3EKm0A+3i4cIpg72kpmiZ4r6VDN8vdiB1XCgAubMsn0ZSHCRA4 DR544LAWliYSDLIJCOT1t35llUoDdfLxqCxKC1c97R0iSK7EqLfyYDcUZmS8Wt5ApmAOWSUVFeCg gqO/QwNUWowqLKjPoOpMNvqN20dX54O/8uY4WmSg/TGJsRiMR92LRpC5RXikmLIc7klko6jFvWf4 O1/rxBByzJc7NDJDZh19ih8Eiqr7qKaXefdULky+n8EgVxS8B8YGlU9EoGiMLgce97p/WaF2+Mav LK0hYKLDKgLeKrkj9GIYoBFOSA252IoFl+JkX8FDvYSA+pxe7rZHaK9bqTpenDJC/9fVyOjPvgvT JbbLFasqJl5smOEX4GKMqFyceDnzmygl6oavnMxVgfjJxGaQHYo7BpJWoGNzn3kIfrj0zL8CVaQq NRQMCd/hmYYtWIMD2VY74AEQhYyy8zkqLi0oIpoZFtzYg7wbfd3SDNkll+osT5j9RjYMfIBScFL+ AyShtneZJSDDLdkWZhcRNnJWo32HstM4QeGZki4DnqUWAOSZUzeH7JEHHC2NgOpYc5SGrWdFdX7K 0lP1pI8WvGaKeiSubALQAN10oXBGghdn8hKJQmSurAFS1IN581hxjVMaFwvvMwhI3DS54RkLIhiJ I0kjCBSC1SyBfR2EHIyL/8SYYff2/7gRYF7/8pBzpFXh1bqz5U32J1WMsF9ibpHqL1bzcmvX9ZMb a7uY3RLkryIhhBEu0KsSYa1GOZmeOlwxw0W8d/v/5jJ4ht4bq/Bf3yMvOABug2Hi9ZXePTxXOEvm koQQFHAHDokbO8kd8IwMyIS5/F6qgtiq7mVSlgRi8TnovsepDjWsoS7R1a+/TuRyRh27VWWOveVx 0c0ThYx1AICY9GmfEMSUFu7xSdvW7E3t9zjjxUHoUr+zm8vLQo63qE+76OHjplmXkc3m202xSzcE s5+G2H3j7r7cS/ti9QO0sB5/w9WtboRKZ0OFEbzd31zj3RlXq65vaChErFwx3JwMG00ZHlFenob3 hmfV47oY3Y1UYLRuWsiH0t74Kyl4OBMUF8+YKnDZ3432rUFQRiOMTemGqKAZ9R3xwPrqvhCrBF0u f/qTcfPgDZDRvGwOBbywgD6TmKJrsAEXp2pg7UybHcQm7cyD6fb0ADI5xvvFiHbvBlrkFzn8uYcY 46q5hmgNvDuR7cZuPjDku5sSQKI7P/RxCy/+8+/lDmPpxYOgVXrzZ9yzy5tGNRN7uzgsCktkQ37W zHm76i9NF6/pNQXoU+qEB8vdnYRjRi17ISDcVwVVFVNsnCthF4/lmxOe+hUJKH2bkZi2qpWRgcwh XHeRKwhTAP67OFPcAOtg1GwKUWsI7ms/hofJTItPavxjHTzDySYeU0kKR+lyzsoa+T7bDks7N5NA ah94sQl7q629EruzqEqjVrxPsX1+jmXZQCOn1HnF7ufA7u3xpXkePsJby2JrJbyJoKqr1kyoB125 0NeeBS52qKBarA39ta6yyipTka81HK1cimVq7lnytAvRbm8vKHcgW6qWlHcVf4jzv3bOZxngBNNd 8bsTI5CDypnVaGb8XiGk5k93oGo2GI1il5zTGn5lOSU1b4REVsC1IPrSlQpSF1PvavLWzmV47SCl VRBfgfDAyagPVg/aKoO3sDa/70pIo9IB1X/nFrExR9jRCk8h2OA9pVVr6VXAVoMmRkIIGUKWNNT0 BLQDnqggJKnTb0w5N5Q5gEWnIowFouMnP20hXETrx60eTO6QQsoTm1C7kWdtntRZ8LIZSeTzDtId dZiqNtEP5Ov9BxhRrug76VB3YPXADJ/GJG5x6CZuWeH62Q3ttYr22x5FLoNpR2BXOOMi0WlkCx+f 6yM0gWoGIj6J18FWfQ+a80XkE//UTSPbV5OfozcEUzNCNs9c8Oz8JLsWL6uTx7KztwwKUr7YNqph AU+yW8b07Ms7uguuuJ6ONvbKu3MGjz3hZ/GVnm/7ZAP80Y65wg6AWf+6FK8KnJ2kPiXRFudNPa0/ BY7BtDNo+3jpocSnMHas1wbsSPHauph9StWqD2pwK5ZvQ3nkEAD4s5mWIVertY3s0xIM1o6FtTlG ZQsGHk/LJJ1wFgUrF4Hmi3orjU0rhbZvBNezlRjNNsGUT0mkRros3IImBWavZz4pxLhak43ji7KB Xc516bKedTkmVVQOiOkEffXAo7GtlKMRQBUp0UCy2c9D/wIGpaCfJU9zvCRmpNFbvyUiniIM3iPZ /RiCpyc/2v7h53vVcZRfqzQNTk/3JTtmaiQiq0SJq6y6gjmeNqu9UwCPK2B+ob1Ckt4QV0Q1YTgD skHbriM3fK/6pg6nKE8VhAcTrZ/EbSgH7KKtLPSfIXs7T88sBNEQ7krMnqAEM5ka9t1GgV5QhTKD aDFh/1MK8oNFhemunpO/r8TkeY2XAcutBwCA77QnfyqNfAX2R3+HwQ0uuP7zjpJdi5hzK6MwOeJO D4lU39liZXPwEx7t+WBJjNOcuinTozamRKAqpkAFwmX+8jj7g0m9SE0r8SQZk9TRn6HTjfLhHL37 xXusGifTlC91OhjIwLJwYrlaEtHHvh2O53mi9AOrZ6D2r8luI0dX1S/mMtp3XXl7ZkBthoXBb7ji RNPaqZkCfQQIIzBoTZPBZMx8zvFwlenfZ3XobmTc/W87dvY/affBjRUJ4W+HZD8DzROW8Hh8nCMZ 5bilk0ElIPB2bZNFQqoQxQEjJq8p7/S4oZoOGPW26kZZYVShpXd9Uhxd4+xm3iZa0Cd1PPAgD9MZ ID2XyFuv4eqsVAe25U9zE9rcM8OEsqFjtHfO3oCfk9DvZud5n2VMlq6M/JcI4Rfy94af7HdnAXjy fNVfoy3yZI8hJtAkTCaguvBaUyIOZ1MXzfUDCjClHjMczEtbQdaEteoiQMPesehd7B7kFG2kazSW YqtvbP0PF83BltyAX8ZKSbnde46K7IF2XmCe18E8qsQAFcqrlixXA9cR5MPneetCwK0PtllMb5c2 KD5KEeJJr64oWZ9qzDEA1N3TgVmIhoH13VxWElRMZU+bL6ZiWkVWpoesVCByEdjXXvt63UdDpqgI fKPrnuxSlnfZc9Wv/Jn9QiR7muDW85PQNGXdgdwsMKIA5lhvNz3i3jUxsT2A8we3CcZXAqrCSU2L UBJcgzlyTy5KQ75T7nRNsudjj/EljB2inOI50wvz0kFwpaAShK48Chedap6yi9pi9XMoDwfSV+Fe lBC3BoRbJbVMLchZfUbbr6XDLt3lgjLORXgzcDbxYEulk11EfSxHOredl/RnPR3M5vDN7WjIbocH V02jVrKfqPm35KdmEZy4Tpe2OypKltgX8uKF27LJ0hUS1nfVBmh2fFbLc+xM5YMROemu/IpI3aag uzcJgj5yFICahdO/U5puaAxFS+zIErnWHfJWZK6EOl81UiMLclu5mdJidv1awc8SL6C9rDH7KeRH EvgCGrkv4+9qThkFyXoBZ/4xIAC5CkxwaA269QdLehsLrhzT0tFJtuGx7adbvEyMJ4v6akT225BR BOa7LsS7AhYMlCaeuBYijnVQIidXjkMgiOiJdJOIYlpO0FC1Bz2giHb9rJ/orlE75cqHzgFaHaIm rbOOF4JRNTf/b9PDUpXhC5xJ4wOrF0hpVI9UjaTKgeKzCjvz677NF0d29l9Algz5C+oQxSVJjy0Z QrqVeRo2GJqKDW10ox20A8UHgwLwx+IsKd4CsFxkaMsr5vgx/bAR/FnQAuTGUbYZYIBnZxiAgJ4l 7cNCF9KDth9rOyeXAOGNY+wmw+V4An0AU/YZvlJLi/+bdfZ8L8Xw0A4kjBYQ9USGuZp3SBCGjl1D G88+2Ttx0Sj784oa3BqsTOoZ/ERmEeL+1pVzT3JLaagYClEOyfavef9zHADUhcCT6Nn4tx0qQ4Gz 9vosaapJ7htcfEtXJsGrDI1i+CX3Fs1EShgj6fzVz2S6/eiKfHSSR+NLP5k5J2MM4lm5O5ZrpZ+K XYNpyUbNp14EWvxNfesDSnQK8kKPWSg5PxrBgirXskDiH4fna5bWCP9d77DgDjHHDceS7NFq34Xo 096k+2uS2Yo/lTbKGil5yaCt8waW/Lx556BGJDDlZ13Ki9x4wcxRO3dtC1TX93qVX1GERRlLD7Gi EjUQJnlDLM1dJEy2wzASpwvl5CdG6XTQsNCPdxwxljQhF79vspV2Y29CERHWc/j1H6qRMMBpP679 kLdon1RInjM+Pl8KuH7NmAU0qxOmr1gs8o2tsXrh69zpWmyl/DEgN6xK1Joo+klqVhtxhOF7Cz3a zxKxRGFp34Td6vQymDr6XvI7VCAzgtXWJegBrVsQ+tfml6101mIlSQ7mZ6mOQbMcfqPNe0+iwaCn qz9vZjaQdTC+kN8e/TsOlt1T3ON6dfpQAtLKQs06t6DDKk2cQytDxJ5FGKf2eaR83RjGuE/PJt/Z cKyZyXvkFFasEiTT2VZHa12HAaD5TRdPYFO8BXQzyfWZdCDISQGvd4QKmK2jvenzvKMdllAn3KIX vl1tAz5OwT9yFe5LIE5mFijhQsgtLJSp7CPo+IZlFoy8ulv1OT2C9XBE89dNIOvoSIHtaJgnYOn7 lFI7y7xi99/F/XpXsJInJLzqVBPY0i0UeEiODTGzP3xmbd92I+Difm10Tm11UGmhoFsA+H+ff9k0 R5u/rAb1DmE+w8+rP03t7ZOBmn1mhIY1AVnaKPn2j1UmqXN43E5WgxodfY3pKbO4xkWyayZHmd/G AEnB+aKD0gYPGMYhGHcZXQgSPJ66/ZbDbv0dz9HYC9sb+rPh+s6/gji4li8ejwDB1ecao6cnYcIc niuOU2rgfmqowPIXaEmcyP8OOKOyjvhr/DMWQtLdrXxAyauHZg2ECb7tSl/XIqPQlHjGBY+0eI9j u8HFH5LgA7PEr4ZQLwG3VqclvNEsNJg0YQ6YA+K9L5mkf8KX1OIwy1mfhf6MubazNiyA3E7CjNgZ 6M+5Rv3/+7iMn7tsx3xvHneWbKjoMhODsiDdFooRy4G+0Si8/3Uejz1vjhZIs+dvGieQ0EkiW14U TA/NqUyfkolsKXbb15fs1pRdtS025p+8Jdc5f8mGTRHwbEpr3Wp4jk3WgdKFNQ7Lqv5MkLCHnqQ3 DfgQcUyujZXubsWoUyQ6LZUX12S1Hy9jvkKXEf11vuYHO6bgDwCXEQdzey1R6QKoI94SA792CggS F1vDMrgaAkeCbFtD5L/dmkf/Ele8SzNlmGA6ez2lmwEXZHj49t8CGq6z7FSTznjCHmI+zig+xTiN NDPK2fM36BA1yYCJGjHXpCTtscVkvL5ZhnBaC4xBZewejV/hh94HDKfsHLiC1821WVAfLcCraCyQ ZPRq13R85Ow4+mz9CIwJiMqM7QuhdAcvVUjCChQLPrQj9XwSAmcd1H8UilfpD+VcJhAkN4UCzSqx lwL4N+FJx7B/duzJTHO8JgfdbrKEqXgkxlz8XR089gf05Ua72Oc8tzFVE4ifKietSoaJ1pMQo6+T /CBmx3/4r9+ZwyYRHAX9BysaL7n5tmzEoClIui0uOftu2ZcKK6buZfhG0rj5Tvt1pHOq0z3WyQs9 katxLr2m/XFDZvEYlif6djscMToLDE8NPlhoHiJtulcq0WvWrCLbVG/hl3ItvzQ7r7X7RfhCrDnq zGpbbXOfPDImUYavh1SNd8xkr3K0n5T7ioaTTwIfxNHyVhljLAgxkq6heDdHMMfzd/495u7Hyj09 eObgaQgYdqXtWAnv2WHYy7rF4W2Si4P+g5hsHLnDoNDvmxW/x9ZOhXEAlWTJzXZGTWMy3zq6aZJO aPevzUWA20VF2nH6+OQ/CaiNZBDQiX2m0L6EN6X1znP0cZPSaCnVv8OETYRrwqkQ7hbXI6lceDkZ c/26ILAnDaDDsbKI433pxtbxYe9FMQC7rk87HIOtaWk8mj+wRr4/UtIUyPt+SQjklTi6r/3dGiJY PVQah6imfcZ+gzY3LeVPBSFhcFRYzou5qqu9kkQuTLO3mRX5kB2LsvTjwQD9kHTnS+LV4+U0WrwT qNbRUtCZRWkCMYASWTLhVnhvymEsRJ2t4CfpYUpQ6WnnpdzsQoABIts5sBWCHLFCGm1UwpRL2ynb kBU6pUOYy5Uvm6VuKQEB3xldM5HtFNy5dJZxHSMOu57ssruYWwSGR29dQvqgCIJRinTj+p9CAKV3 1qYftydYp0ceLsKTSNfhQuQ1BV9NZZyRjbjoVx/eTRpiCSfB44eJe0A9loFHmbH0aiaU4K/bgLuh QMe/rMj5HqZU0nUKCPCbT0hyfRDXQ32pLBnfGlEDa69atzvbiRE3RjW3tgdEflDg1TQfeRHqO/NA ryXqduPmGgFS3UAoTJJ8V8FaexjjeSVQV5K+YKFhKtULwrCBg/XrFubLpskQKn8n246vW5H2+QsS E/2OIcK8W310JFuQVdzfe7g2OUk+gAlZY096y5lj99DRbWaqCCfLYb2KHWH6HHTv+ew+cVFNWfNU YzdnHNMrhfC0dT4D9eLe7/3xzfiOzboqt0IUC23e4KRUwqbqfXNE/McFMkJEXiyvGKe18umCeChy VaKqx3GKEEF6WIEUDnZ5Ij2Kp4x+iuccUfKg0Skbf90b2iIA00sH59whzWIAF6ni4rZYNEpQx2A4 CbPizu0g9TazJ7nfeSKmBQ89rK5IBVCdIsioakL1/MXUkSdR+I51CBy8G0HJuqzKI6vOWcUJQ5ee K+9Qj79C3NVSVqWjIqgH+CL8c9sIX+L3NLEDyQ1eCecDmHdcGmWB/XUb1RMT2N1K9E92zWWFCWLG Y93QrvX5BZzfvuEVhJdz1fJbbkMG0TA3y0B2wsNBwiIJpUvB350gPl++Zw8/JVNs1QX26w7xqyW/ l3BiYLebfRAL4MaAVkKhRu+HaHx/Oc/G+7iKRLTPCE7pIeT+3eklrlEKhyYj81I3cWhZjiGtdfqX 1DPzMgLUp2KCVmkXp1QCsVWiKNK8Oc2qg00W7PTO6xTg6QDYGG3EMDSSk8AWo59ts8rAuPVv/2R7 A7HVl5eJF/999AidravW1+rMvrx28gBId8CrWATgTbP9LI/3u+R2GBX6p4yjyXqhNUpUAVUBXYP3 3xxG+4b3Mf3FKJId3c6cFv5EB2H2JL6jWnBHdL7WKqiMTUFd8sAFiuDy2fPSaKQQRa1iytAc/k2z XhblpZqkvPCKEqPNMlFQr4FRtiVifNbzFqZFNlxZA+buVmmlbN2NB0FqSvsHcj6ZBQSmEBkWb9an 4vukGLvqysmXhj0ZjnBmS0RrX/d5e6KNC6nnws3A/Y9c/b8/aneWapwzzrWGj2G+nWzO4Otm7jkl czRZin02OLLhPDrzIL6T10Bb92xtwtXMGHwyeSm7Q5UcAAQitPUH7KSdUBQGtptv8/hkM+j2eQe+ NLLnofroyNehQcss7FkIzlk1yCuBErnML68XXJTyTnqsyUC9/I2ma0XvDI9TqWKEwPTaaTVLdQLh mlWdhp864aY15d0ghuR7Us9nfSQsdPqYuYeWIxMs69c3NLFcNHnVGikQtj0NRWnXoaahCI8GYs6P Rt3d+uOkgakGsNXDDY755usXHkt7cLFPYLjlcEBgbU+xiKusxsRCeMxNLD7cdysZ3C7MbVD39oOo s7i6ftE5U19nkEpdJGOd8WuNghSAYfLV5/w8JeHG+G4s0Ed6stLKMjGo274frrArZVBV8myprq50 Ji/vDqUWK1wj95LKuWTmHeIYNWQeXrLX3M6bof8rWqRCv6S974FLJj5SuB2dypT9tpJws+MZP/Hn sHNPXFlqQWgbrTHkwZo0u4UG22wcLNMLTy2zUtHIMxtcYJEh/+6E9FsY8Dsx1yFWx40AO7L4nPBn z+c35jJGkNkkMEtlW2Db3vhhRTHtPTrmmXcAXlVdRXvR60oIWK4n+LM7Q6lIo04vkPdhbnla3+wn qwWg0aaH1HsN1+4Sb6J6yarQ5YMDvr/V7ONKyEPZy2rA0embVeEFNASTIjS1MYVMzpQ0Vyg2LeeK WNSB0RGgVBaLUgVyq7ANNtHbzTmbX8oqlfF1CSiekKwmereXULZn8o7wfMjQIjQsjp1hq/vQge0H 7aAUtuhsYPk9iP/pg6LMuI5pF8YiS03SVGzF/Uw64t/2qToWHA/zroBAvddKiDq1Jmny/Fueh9Sn C2DKOH5n9DoWppzccIT8HJbrYOnKMP9Tk8Yqv7Xw2AhfklAPaI3sRY5PAkYeoHAquRt1hHQXBqou HA8YuUKDYbR9dfz7ppsHhLgYGUBNFK8ltg2fJxfosjzzJv/2mv8O+kCBzxxajG592LzBk5D9PM1t 9jJYfKlv1KX7KAmCqbvuhqUtsHMnK8aXs9V0GsbAgyEMk7mTnsuR5bhriYjRVLm/5XuQjpaRFiZj ebG+o7cwnlYl85n4CjOWBc8ah+e2eNJptuDou/bt/YJftIpqZymJF5aGv8bHOoW/ffVGbjDfjMjx cE0+oCvmaTDzxhVkPLr2kXhzCY1IHbUHHSHsBrpUEAA1E4Ooy60QA6oF4SPhlhuL5yobAqRZLyar RS2D4XfMtkYb9+t2JjtRVU+otPlr+WGZyPN+KxFEAvpFCrTD9lfnMS5DLmuqCsiDjSOXkG3pIHrf 9OeVDVZGvJ8cbEnI6B5KufRrxGBVIGMzY2jjpIKIXca0nvTBjC7DfAzlQhB6v02Lzq42gfimIFAb fe5fX0gv0TsA5jMYcj5w+2sWYIA7MhG6th+Ly2fWNiPSVR5P/JPVf4F/aZl0e6Wj/pt+vtNvK2SW 5t5inuxzlMfAoiPfyqMMLdI27NECipC2ElQ8OLjVwVVkNOpavlhMvNSnS2kXtyh047UvG/S2P6WD OFrMlW/Aj4dyC6IcxnsyoZn8GTvUpSBxrzq1UrUY4Q6LSNO3Qh1hatRm2zKVwsmyGTO7UUk3B0lD u3lJxBYB6UF9FymzAU0YOWXLWL9Wsl7xjkd2PHC08c0yRaODJheXF91CcFSNSQpWnOFCBsvjgsxh YLt0MMgM+nN2pV+ggdGK+MRpMpjb4uGJ/9MLTP2AytF6JvkmE37tR7++zI2JhSIvb7miqHITefCN 55o/iiOn/iTUMx3epyDsz+z/QZqGvK6N5GFU6Kn7HrfWMGO6vyyE9TwxPMHzHeuHtMPA9yA5TsqH O1XnusGWsiRijzPTxSZ1WKkHT4JwCnPw1R38E6CpigP5uzlFXf4k6VQDsEN4coPMojGlNG4W55oU KVeS2T4IVea1J9sGgJ1ejgjU66dWx7dEko7AJIx+3DD2/PBuCHUsBYYmwVK5+EaZyytQVzxcU4mR YRkWRNl0fmCHRfuMWflLXDHI2bkh3fBdC1CHgPnDlZhxbnKle24YstEuuKsk4u0XwN5fglDg7Bxz wVJVWzZX3b43UemMEneOZPlX8VZq5juDtG1X0RX2wtTav0bdw1almpJGkTVNQBB/xJoeSuwWYz7w Pfr6AhNX++zCTWOhmVdynuT8872QcsGFtyBQa8MOHYE5HS2la1TBSVfPCl6Om5c8lcz/LgWqxiFP CFXAbtBHE92911OfToeo3Jx9/dAldq23ql5CuAvq85mqjQphaCi6zFPvvpgvWo0/koTbIbbMWEJk FrOaEa8yzbePntmEyXPkv7AqXNKbSSfiCPZ+Px4iw70OysuPENTfCtaFQje/h3fSqBCcgvubxedg VMxwyovglyRvJrTdpNJ0mokzREXJE7s2aYSPjthDmdGV32NiBP/hhjDH00k9Se4C3vB4vbpFgtYK IasimIROXvpIDLfv38rOjajnJ5O6Wy1CTMA7TGOi+b7DA/pHthmvH03FcM8HDNOgDhqBlxkZhW5+ voHGOp+BtvjsJxBw+LH7vzkEsuBAiUaWbfr2q3zPkQwcOFRsg0jmpkCJgBdpZC04GQXlAnsgcvXj sI6IRYZe7GLezOEMnRejcVUST5AEoQZa9bT0W/FH9UaZUBRFLOc3uVSEXPzGWzGX7xkS0ipB+s3W 2br9NMDrzZQAfDpTd6x1JuTWo8l4e0BDc9bx+WS02PCdzmKKgE7DS8A3qclMJikK99GF2E3qUKzX 09M1IlRnAQ+yCUiNuujEvBPT+06N4gisyKLS0PIfU3ZGOZv2SMztRu2lLX2gP2C5y54aEPELjwTl Wh3phMX2ToayEob605EIA5cPofLpFN4K0xbocHXtiqMp/e94S/4imFH8FeY4U03UTiHanTF4D8S+ ix9C/4Usx72HV8P/Uwe3KBzG0EhayC5KAQlvYiYGjmtYMwqYCTr+Ez4vrgp0YSzDfjsBpm0EJKSS 2TqggzU53vI1g2nhrWw8S3uqf/Bra5eCe8pcua62rnWjmciST+UlWRur+QlzrAOElw7qhkqNUY0Z Mq3vjyzKv859fylR4APRCbCkceezg/kY5+xfvUtoTscASDUiuEW6LPF328cOPapQYzDUYgimxcTB 5o2cyHUFRW7MjR/Zd8/Fi5I0kzekxSOfyjhpcDru3TnxIX2GrSlEzUgoZ8VN7j6KghXsDrhFxqgh oYrgJOmS1NWTj/3reaTbsjFGl8E5DLIyWfiLyEwuiDioT7IRLW9KmLc5cJeUHgJq0oKZ7YfW2qgP rU3zN52YkyC+aB0hIQvo6v5Y0VTPHhERGmmlqfJToDNmO1luFVSsXjivUwfYFf740P8cStvMypjN n5RF0ShCTM2n3Ctwf0GLDflKlp3LD8F/zAEuggMM+44v33pjTTm47lmgeJcucXiUCNhYkL2mF9k/ r4YdWnr/DqwugrhbwOREHOhi6IdJ+k4o5dDHzoAeCw57/hlzp6WiCqVZYNaEGxW2qim23IQngqLY Nsu4EOgQrizhUpeJHqRHohOoG8BooH1mebtn3jpiTuHTKeXedIzbMkhU4RV9DixN+RyqitW/z0Jl XRfN9EwL/gymPgd3IcwdQ+shZbc5Fqi7a4RiF4cvWyn3GXE07Xy7/bkajV461nCINhLYmR16JqDK JegM5LFJetN37ZHGm8yxKW1YZZ4XEtNOl3dqARY2ra1dIWm/07hBdTk+nyUINmQEKn5ZNxsUC2ze 0XTRldD04rNVFCbmepFf8m3yMvNiHT3TKGFCWO9wTB3N3NBBwyQOaDQRUUSUHcDCysj6XFxI1xS6 Yw4QJTXjOP/3D8wGjMPO8S1L89s5WDk5ct2vuPJMr4Fdti/wzd12ovnqwjucZvJId7A86/rI29Wp nINvACDIuixo3a0k49NkRHx9i/pHxk8RYuOMU3dW0sZtX2FWr4YLRXKjtIakpjUelR+6Kum5xovq U4tnBTdCdOqimq4v4+NrPgkpimge4YEG75bk0PymYs/it5fL0qWGRlFaX8ncajofo3nnNmmyMD7V ZFHSq7ookSJmE4r1TPp+I8/2+4I9N+UX1VYdPphlCwkOSQHUioDTyfUwyoZ8NmZfMUxcZVa1OSl5 und7ZnktoLQNLy/FLof7MKGzMSMF5PLtWAjkcN4LCwm31tcndmv91jenq4EYdrmYU1T2oe+3abnL lgdkMNhmxVNYnMgUY7dWqo3j2AHI1zoqesI3hAQfItSye9SkrAQlpI+Gs3cGHJ+PRVyOkZk36PP4 9fDvKF0CGNIilPJiWvrm7vr0sIMdO1l1/lMQc6alOM98FAEl977u4CzUONaPgB08TfRrrF4tRSla nFCcdc99e8OS+Sd+UfDt63yr+5vt7RtQ2aEWrtwVjKDvtAvAb9BomPX99QzJ+tjmQCkZYFYc7QDD pZ1c/pIa5heeaqx+fUPoiSCsEWJRy0As7WFifjq/9TPYcveBY0lfUP7gT6ghFik4Z75vT9mV3y8i Wml7nGtM5gFWhlje7xAacvMz+4ZAaev6nMWJ6Bn+Vu+HLwHr4buEm6mHBSeQGwGKuSI3tQciyfye 8qWeV5E+UCvSj9JMszLelGe82vc45IHp548wKJuzgXDQFAt7drX1B83ScPxCfrtYBOiSSu/ZmzP7 qAOO3m3dSgp3loGnyTlzwIdXJHo3OCgDa6V3GorDWBIaOraiFp9PX7qj5P3eRAEX6SwqhFzx7p9s rvTloOCdzD6F/JUF7hs3ap6cU9YcnsBam/cC4gYYQidXj15HZFC4Kahs9oQ+h9uScmqTNe3MTzMJ ANptxWDOOp+yBiBRs1kub2D8ree93gAxR/nHj5ehwFSUq1VYS6jmKQz7a7eqoilP514ecBrb5VSH JPVYuH7zDXTHslryQOSjrd7N+puj/b9IoitTU4ZFbuV3HqjbkEIYQ7HcFEMXrf1sQVLc/GYMI/lO k57pMlRnnlgA6xAb+JShgMvRIPmNvj7Uh3cPDtQTg1hxVYyZX6mrwSyivjpf7ZErtJIE3CyO+SzL 9oR+2umJ7LDWZWb6vnkTS5W+pcSfF+qtVAjehzIAgKl+3Bmid4KvR9sGhOfZj+BMmEI46cRLOmPv TrA+ugbQjo5nWtPJzHMpIpfb1W1vQTFEJgOKrIOTwVI3nRTsUg15yt+/UK+TQBVH01uwEoLIMPRq eMjxysfX8YnfSSaoFRwR2tCjYC4bNnZNZus1mQVyo1pMe396AhfEZmoU7BmU6EZVXgt4tgqDIXF7 fHWbQJ5nTaP6jgDHRaIW/0Pu3CRjTKEqwgyU4JOzLdCdHc32sNMAX0kklu84gk2RtHsbo7pVYC+Z 335z1OUfDcJScbhwWkx0hFujCGAeQiZlhKlK7BLe2esvgd1voamJkad2Dqw35ri0zd06lUvA/UL3 v2jH7cIm1uMZmVHu6PAqMhsOxphT29etVz1b2GWkJkfuG1daJbfJDWQC3YMJVSLQ+8ihBYa1yilL xXPNC3tDzEbZV/G0qLI59nSp43ydJPMfnWkStfg1B3YFPvBlGzRMPJg1UzSr4eLuyV5uglWp5GYQ SGKQW00DPEM0Tf+RQ8cVoU69POZ7MbTvH8Tou5fDt9IGudGUSua0s4Hq9hOx0wCcoyXXvyGM+3iX taLnp/3vFuxjvW3XBnTxB5gTUGOjGNa/q370dyg4YC4YYKzUp50F/+DHlp+DlDUsyR9opDhpKCiz XatkEnov6v6qdamy42zWzgNnMK+IVBSD7YtJSV3odUyVKC0ZDGeU4a9QRZs6qYpfBX12IV2YAEJC WzOTSs5jN9qZU9tR1nWSWhSY4JMVfNf8Up8cICKyBDc4q1IzApYymkfAFIIBIAL2OTQXsWK+yrJQ 1/q6Aq0+ThEl2IBk8T917cUkii8NSSo6c6rjqkKNLCF2aLEjGW3LVCYFHgCLWO38oMIMudQoincg M4hNDEPEYAevL1cy3OofRHuy0DfQASqvgx8wkZeMoj01gslQeQzStiq+BjYf5u2ePbMIjP2Hndfw sSRNnBqSLgIL58fa3H2eJcyUZHCAGMehEY2lSmWu0Ln4cLCaS8XPe9F3orNp5wElH9oSSO16xFNn EJFpyCTV1he4Jq/8ulHDvGXXn5pdW5ydkPiWMBZeO/btv60mjTXyBKpUfYLFqdGinUz74rJL9u/e hiRw6V8SedDxAUZWdn1wk2V0b/l8DmqbZK+yhJIMWl2RbN9cKOCTWa3zUEGcz5lf6uAk9ItwkLe6 dXKHyYp9i+eMxums8VI//SlAbWt1M4d2ld/q2iQPLDuW2EpzMqdf7I7Pf7ufOOoDqoKLsL8BWTM5 1hr2mfjH7sNhCJUfsCU3OupKQRWQ/1R4RMxp5CdvELjMWbf4ctPGkEKwXVpVtVsn24DxLgRbj3MB k/PDsgM/hNdez6WE4hzALdFwN8Q/o3ENFTN4dqC9Dry5SYQlq9r3rTowEOl3sApSM67Owz/Q0cjN XWWjYJQFoVVxdBkXRRzJyDZaqbbiilYdSgx0XgdEFNTtWKh+MZtW/b70/KZSF3MbW9B+t6xlIhJ8 qXHLilozconknsv8PjHt3ZQkb83UXxdoP7Zr3vkMZDAKBImABwnXaXabG1HVxCQic4/6VqV1QjU1 R7G8NitDdAuFh91a8LaPbi0J3yTzACz+BlxzziI9NM51JWoGuASf4mHZWTFnuMDBv0J7L1eD5Fsh G5a7CmisCL07n70Yz0kzugEPVK8X5UXtEKUajodo5hPmuApvC/qiyydRCeBOGz5rTYqkDlbGKa1B l4MhKz/RmBO8YkrYQ3IWELtwPX8S/sX78FctldObvuH1YnzoSf99HeqUdySAKdAzvc8qHmKy3wSa SfQXxyMCJfqlwKmQQ1yYoNlAOOzbLsVLrxhTJz0YfEIJdQt9+5PHOnWTInw6AqVouvhGy3rMjAWJ 6vABl7iJl68x6g65FkSx6uOVefIxuSIIC9Br9JIg+ko8lB8utknK4JtydU4qHN56X6utLRDobUvT ppbgb0OSdTqrMBLePNUseuRlLWXbCBbsyjgSQJciSDQxU/ShRI0Rpd2Z33CJ1wSwJPl4rCFPtVso AF7UbpLkX5A692cZEjm/aOY2xk1IanGI92YMIfWjQZKXQK/8zWuZRja1Qo8dZ5pw+dy2jf4lfc5A vhcLvf6/SLxVKDPn8sK2zM0/PBtmmA2yYkxxrUiIk4tsVmoFZodv1rq7RbKZ9q5Qx5TtQlfp67zf O6Vi0CusHp03wmL0ZLgUqvr4GlAwcy378f6s818XGUKNWMe7Ue9lvP8x2f7d8x9iwpDZP2F7Rl1a ygKA+Q6Xnb3DKV6uODdCbyROn/D1Fsvf0EP6m1tSkHQfY7ppFpBcpTxw4lo4zElR2GaoMXWcolDk buJ4knbWxQj9oiA9ZN1nqaJEwA6W3KOkR6qlubU2j/j6ju+lIdpw4VtOH6WkUBepTYyy6I0vHh0e IhkHSXx/KBFS7p/4GvjhLBLk9cIFijpgn7NFy+mPnrTxxZy+VnIpCmVxy5UDFHt7jYbkC0oNJne4 RrFxLiwpFbaOGscEt/4aOOTXdemCz1Q/PAMSg0bZZpwRBIF1Kb4pqpL1ngD2ZLpn4gdc1PbzWAIJ TWRAjHu7S1PT1GXtx+GJwa1ENxrBjsf4uM8iqmjeeyalvB84F+/FjBfXeauT4GvyVtC0bq8JTGRe wFqbvoHrRl/f5e8imGS5CSKxVDEpUPlirCgaT0vRqRvknb/ra0I/ONSDwSl65TyUf4RPZ5lvXiq+ 841rhgwhyn0BGC1wE7Gxai/Zlzyp5cwyHD/AI+MQI5ju+QZgtOpZfrH/AApPPKL69rAXTw6QeyEs kGUA4GINgP+H50XEg8goBKgWbaW1lX7Ag1MeznoRRHniIXB6U5Q5+05IHCgzJkMcSr71OGDSWXAW izBc7LHx53ePGzBx2Ylb6JXpAMi79CvswuPs4q84AvbWPPDeFU/NqEBIWzq6hrMWchHgSTsG/Ixl NqtMV2d7IZAj/DcbGuUoPupS/nIymdTMZZiz/kUOIHR34dbY34ZF5/qJXrKH+ZYHtRE5P/2gARzA C8t2OQDSsjxk/HBwT6/S+AN44ug67VHm4rg9euone7M06X+MZ+Fg/TzuWHdfQfzpXDIsF5xzaPdD 3sago8rHu7kFM94ZL7YQaBrkdCgiCpFT+3HGd4Ibeggw0gibenbR+mv0sPOT2O3ScpPwhrVNVjg8 cPwKHtY9zUv6wj25ok82+i72hAvqUOT+rYbtscB1XR5IE0mpJIFqAVetLJKxPDI8IFED156Zhq2R ICehAKg4luNrXYx/HQBym6EGneGk7IzhqZ4rdhlW8hmZ5wfNKmwhImc55L66yKL7304Rz6/Ourn5 280mkafjZFcd55a+Kow+jrV6Avy6Sv/C6IZk5XgmHyCnsaXwjFMSLk15W4oMO5d7VCiSIlgdJMY6 zLfsTgVDb25iigjAY8GfFvIkxbyvqJukqbXOUOCaAL81K+othki1E0QZ3LuwdMyLZpFsEgNXernG q8d2nv27RcuxC2cU29f/qq0duhbgVs2W16Oo4vtH5hwU1vMnNS//0tLHKsdpR5hLA+m2UIk6jjCW 3cRFlB21GKvpe4uieMJGZCZLEjbYizYOqYjUOHgfPVc0COpw86Kudj7b4gDz/9ugFGHvkO00xEau eWW7SlgNPPRS2XnT6CayGfBMPN2vSCC53fqCmoZUQJjfWaNS6hmsJa/fxM8Zu/D7wL2P6e19ehm4 SRZ49afV67B2ZtT/H2eNNC1uLR8ym2xR5xfNeOvak9XnPEi2hSdWHJ8TWiUwrdwrJyaR/1tM5/kU WJgU8bNswk3roGkmQB04vNX4XilK7wqS4volPRZFJAd8tvM9kiidP3d0WxjNCEn35K/Srk8GTUGZ ve0S+1nXN1oM6jfNZRTj6ZRixisqpntzua7FF5m2wpOynbczKS/TsF8NZjsRreB064fzmd6YRY/A ETk4ZwpZh2oCV0efmd8g8TFlKkBGQZ8BgIpsdQsZDUfJllru940GTb1W5pYshs+CDaEpU0ZrGOmu arGNzzB/KJDX1O4H9npBjPQWeX5pGT9iIeYow70poH0LFjPjqoU9oPvX46wRRhNbldtZ9FMvzu5k puL+m32++RYq7yBYvofg6y80+QEE3DCYBDfkk2kWBvicbpL5qzMW0Z0kV+rtmzpOaaKNGVnOQZKc o386zWntoR+7DQsn2GW9NDnds3u4HotfytOUVoQ+Bn6dx/siP/wbg/R+dzJFgiZ+WagJTwnVU/pd WFuWXOcHRS1ykDf1EYZkd8rDXKqR69VAb43QWX6oCxo5cxp2keYi8L13BPxKYsOeXUMvDscB1iZg 5H6ZSX1/oQZgkoD5wPleeWi/aEgDXOMXv9wmANyR69L3KvOLTtiH2d7PyJIY9AMWVFp3I//GpG7x t7q128/IPxm3SJR2B+eE9Tw36OEYCuHYDZaRNK+aYeb0CBkmuUl36/m+WsnOysK5P8B8aHfgg/aV Nf6Gi8VsWhKYyAClxzz32cHOyxc4GJArqW+lxTqgAIeEYeAjqbfdYdk0qhPVsaHeSKf043gGEWD+ JjCaoTO+d5ryCX/SiHW+e48Ymcz21xfjsjAvkHAIyPGH/PcnIqdoCd++8tOjxwn0PF3i9dE7qk0z CT28E73MBRvjQCygp0IWGE0Zzv/n99Y65iRtz0tgZ+bifT9V9U/7YWelGmZQ7dssBb2e/mOavHh+ QswKtkHasoJe3k7iPtjvkUQ2UlAOeCRaxzFsC8h80Z/nlu88tHXaow3ecnFUXd3cHNX2Dj8BgvN5 gTMwpOpe0oCCWA51dHFeo17pzZCJ4p/D931pp3pnyL8oTTIbz1IEExdbkRhw4spDEBEruhIMmyY1 g+cf78xqCjDcENoeo+tqOkkQkG6QnxgO9FkstyrLB3R0wPeAlqxsEasMk69aXLg3IUTmTTYExn2v qR/irBXX1ODwgbuGnSSxdsouPOAl+0SN2PBKL1Xl+K9tZxln3TpmD9aNQFk0Rpf1Id38+1U3kZ+2 QStNope6O/vL5hWvmxFBw9NbCrQDq+TXMv9Odr4C3rilVG6Yug07CVE1DIGUkY8Zo+rEMUCHDBLR s9DLmiZNHAnN47tBoxAvlKHHDNJE7cpaKTUT3eUelX8Vetq92kJGC6rr2nLUhfkAGSu4c2HWcl5n igyw47ebdqxdvU3kP75NtTu0cVSlp2fi1Frl7jiYSDa25bixnVi19+xyntfuqU1n5rUZSYpCuo2E 0+e6Y3n3W+6QaJAZOU4TjfFBkK8fVS+Hm4EX/IBwMpmTscmfHkbGAv99aUmOTFK594i+ccTDNpjy E8pybUPUwYXYu8nLmZzW9+plfWZpB15KhkfXsVRDyhx3OUDGIoKwGhWvv9OvD394oY+UZ6eEDZCv pkUXK8g1oR/RjUQvFO6xv+hDjmZYjESlzXuBLaqI1U6pyNpAVic+z5F1qtXhMVp4OkZ0H7Kh5NHt 3NiHlBA4qw0LRp57yemYhMBvQymU7AMSsrF25dJ7c9FI8iaHNAIUxn7Rza3bFo/OsEMACbxwaKyi cxwuMT8JcQ2pUMcQZc69P3F3ls7ZXrUv6T9e+MhptaTY4EuUp6H7LTAaV+9iBLsRkVh6l+XBi9Up hnYqad3uV2g/gGIVu0vQyXqiGxhUjTKU9ZcGZWzvjZrIR0Rm1I/6ULPZYdiXP+L75jsAFYcsFCwI WHNlyEZ6wh3wRgcYBWzD4rUUz82i+OpJ4XE0A9yx9gCHPEsy6gO0c0REHPQBPx+7LR3DMTCgiA+a O0AzyLJcamTo85v+WjPVrxPVBBsuRiRlPpC7ybzuPyWQT7fddlKICnEdvmd8AyidOQIerjHikNwm IAcqXwLYhAPr0enxrOzA7LKGI6pqRgwtVk6nUVlsdci/ZBuWMkElNni9LoXJrKzbEUw6VNT8UGQa KZhE7ycAq/t10cxk8GgEOLinvT4vXKB1fg7Z4dxRJywZsTpw8Y19lwE67b5TeFf0a4WtMQyjqUOH egPoM09VA5O1fAfsOO0pcyLuA/v2DHX9IB1zhSQ2lZt3Tf/rrmz3alziocvleg7EpkOtdoen3Us5 ZaPUAGQxhwyeMaUg+ci6VCXPGeVCFs+KKaTnt6nXCuxL5iOG/hHHpkvU0rsHjHBTYtXEL1Gxxd4A z18TeaCoopEn70EMULm5e16Et+OpbMs5WjXfX1YPFnskXubUlqfLHI7bzImYUVt2GD9yNtcvgorl ZeMYfugFoNgOj7bTK2ChfqDqoyPt0e5KZje2FN1XHigwzSgqV5r9vrQNsdeuQiaWK2s5ohdeU7WM r2fTAA7oX8VbtJKdNYjHo639UGd+z/78QFnAeZIOt/dmmPYMkGPMX4ve+guMXD6h/U9WILaZpXB4 FYByZi836x/imNbQ0o/ybekwh/iwl7QKc06zhX/ld2jW+4xBxbheUzHMwjf62K9jhEks6PUEM7nw nQiqYgKNUOSUPUnmd1gTBNOxtsGQKmvO/o2O7wBNb6PV5up+eos1o2hdOSAjAaAyGB1v1CjDQGYf 6UUD5WRkY8wi4Xoqw9oF8YmDnPsipJ+04ZO7y54dr5qrKmAuouorzhCUPLflcjkWNK95bcPEGgOj WSw+D+q34IZpdeKkX0Q8/KZ0eyvgSGoVVRl+qdlXMZJcuI8izwYHZCI7CQXIhHC6s0Czn+ewzJAI 5W1OQ8Z79fpuf8NR05UCebhDn8Unb7W0aeW4Ca7snlV6hLGXujLC0UzM8BAAJc6h3Q3V38HvC0+C q/OZU5rHxaLbq9GUVbAWWxV/q3m1PRaMWHrVumO2HDYj8L+cCr+aC6GO0zLpoDdaZ2TWAZu9WbHz CbbRdevKfEcV59Nnrj955Kpkfn18CFFL5IYbOp00t2XkMnSxy1G6PPNkJB7qOVp+NXgdRwVOUbCm 4odF29QKu2J4cC4SFMJa2YzdtGwf43IaFPNI5TuvKNEC8Dd0LvBezxO38RNycCduRTT+JUyaNhLf Qh53py3DtX+XtRghqIzlYPIvmoqGq2hGWPEGMDNrAjG3oKman3g1QdPnzo7zUMxsEYp5I9NHyjGl wl4TEmH3mo2deoCpbEFmjb4mC8ipphNIEITRX5yzs3HI0fYYOK0bfuo2dWFgVj5sOOsyWP+lrhNR gcCFXAuk/d+dTuOK+8vlCh3Sa9k+tpT7zWn55+GfsYFdAXhZmLdA8Mm6UVHMaD3XQyWWpWnHOTeZ sTOOW5OKRlGtSc+QPBjs0hjl881x8fsZkBfhzoPB9uqMI4xRq5dp0IVHa9u9HXRhDHeWXOeyVSq4 MxOVoUka4BikvgWBp9NSK2AE9DnTbtYZ/nvowqHPV25OO9l5zSEovlDz9Z5H+Z76v5euBu+cSqSC 9ny6vlVV2C/yPNrBbZkZ46sEpaSjpqWn2+tFlZX+LMSkhXdCOGNPT6h02l/9hP+0IuaPryTJ7JfC 06+f7ftLCBwZBSqSc14gQfPDR/GdNZxIRMW/Lxxx6Eu+5AjhMpFhTp9Ue1DIQXNvnmItTAEtus5r HfohYZYzaNwUbyTqVk4PCU5XZguudmeDGn0b2EM/Fl2zG0/aAs5qwNJ9PljIT/1YmZslNTWlsgFk djFCKdi1lfEBgL6vDjmeklEgapgT4xX04Z0g200YVvxfpKnKgggrQp5eJ40flhejoRwvHlHB+7ef dP+wSfd6CycDP4VqoQ2yuzj39VlOGXx1xMe+kZObULJsKQUP1aAUABYWDaumbiZQXHHsyjRDqyPB VvORVzcLC/EMtjibXn5yusNKd3xiyXGQs/i1c9eTWCZBDPCG1vibzhR7MLde+D774cDP91DIKgMn SzNr+AiWDnWmgtVUlAoxydohMqnZXmstgSeqP232kwP42ni/P3XP0ltGrdn28caJxx1S4nipu5tv m4+tySuag99Qmbt7DBQ+cUXKEtoU2z6pD5FjNjWHjVbpbvK7vEX+rsY4/Fsj9yFZhJhi5mAzviF0 tl4l5KuBRB3H9iZFtqx6ttdR6ro5aIheAEFSJkG2UkrCRl0fC8F+ghJCX2guL3mHftg+CvnSCkNJ KDimmp6OPoicQJg+258QjyxCh3YzvqrW6vfAhuw5cvbfkCEGVodG/TW/IxJQZ0TpOSJyyMgzzXAN cgECdIRwEojeHEuzd2MvJdNQqra9N1ToLpNIzVZ6y9mpfV8+UKpMiUgne0PYIlzl9fT2AckStRUU 8nFJxWQWNJEAl9es0trWNAVkSkdEHwYBiGAxy8mVTUuGWpqiATRmWJX+msB8bu7aXNUdX4bZnAMS n5ctbuOwfOL7o02LJAuaE6wqZNtmWX42dwnjVrJ730QvZOE3mQGNgFFSNNOsttOLH9xN23HQT/sJ LoUk+Dpa5ZErMFycYZToEeKUHRyifoh6W6XnNbki5GxN83dZamPi1XEwNAapJQCg70s/oMUBy4qz RfDJA0nY+Vuso6GNpECwz6Sw2T5e5/uw8TuwtrXBkMN0o2CidWjcSLtN1MScW/VWUgcggwvrcXAy nW27bVuLjnC5FoS8UetB7VBfwzAFRMxW3NIgr90CGLXKbrkK6tL0qpDUpH/V9JdxabaQrC99pepy lkl++LdFKtC/WLN0rMXTJPEChsjETigmPbYTjUrl8a+JDrzWJxMGAXIzsr+luUYtxh+iXyao+Yfn RpLreZ/9YLivP6pn9MpviDK1tlZl0D6fbHJqnEW7RXIWmhrgf8d0Pdo2W4kPXwrSIuIPLHYL32PS ssSMgCGyDOw9DE8xf75bf6KFS5JOm7Oq1nd6kG5q775lnoT1G1W84MbFcCHmfI2Iv6zeGkqNHQ1c A4XVaUhR7CuHSpv6LOe4ZpkxS7p+TiRc5pFQV4R8PuWv0kDo3mHYOthb3X42IpL9HIG4glpTW9r2 gBiFxZpXD8yPAaZeoH57OoFxmyfRjHfuooPyTDd2+fwNBzF4F6TTPhoAGUxcPyY/HaMM7tgI9mGa qwVz99eg+FelBBXu/SAKlpIn1o9sRk1U5S0T59EDnJwwZajACo0bVUMIDVSoAU+Bod/d001T61E6 lGY4R05USKBllzhB9UViiJMVu903pMaUnz1S0h8+ztmZY2AOoaI8POwTcW4UoTSqzy2Ap61ZMFH6 CJY+BLCAswzSDwRJKKtfwSTTxHsnOfZb1lsCC98xhGZXNRHqQEAG3lsYY/YpgZ+5Sp+UBQ28YzR/ ozKzfQyRJ2ZAtlwmSVQ7w7CO5PCOYHYbytbV/kgQS87jEnVj3K7Zxai/Vx7t+K81Fj1l5WwqOxbO fuBWrj36y9RRzxJso/CsuAVMGpPN2r63bzOZ6SbcvBNsXe1ZZsKS2a9nMjkoUHhK5aX3OgsWFsQa djdYntn73mYAWrPTjzc8dhwaw3+QZh6xn6I16imheQ5UC72JMyn/V7McZsqIFJ/my6ltYrtsPQjF 5Aix15zyR9Qz+6Av1m5txWNcmIlyst0Wj9fOLKbUiUYeNNqv33fPQPCuX6GgOrPnkR+wqjHquReb igwFeNpenidPmPkjaqK7HqM+xBrgilhKfWNVFL3bFFOhkHiTjjzyfG+qk+bL5sjonmpLcAex3p9A xJRDQpG/qIpp4/ge7qHC2+kqFYZKDlUArFv/pwCREKi6DIgsh2EQTcrFf1dRVZ9ROjG7otYc2hzu QZwi6B7hjSwJiRtuNWGMKK+ggVdq5GJS2UmUkgLnTD7xV7rCChsOwLbULQMRz/noLwe4fuiArG/x FWeNF5tEJEUDIElqsrvi19NKQ16Ib8tBhl68b4bLtTp/4Iv308j0yrSlsMvFMB+iICPU75AfKbqh md8+j/fKCn9hhQ3CeZ8OpF7y6oQNei5DaTfCHwOeYhBqteIbMQa9NV+r5PzxOKHPq9BNZcJDdU3V V+tmeLpybNVKHMYDTq802SC5x6UxFVRKuKDsIuAp91Wtv7FQHIFBwmiCRbkQlbJF0/n2T9Eaag2j 5jAMpNEa1I4cxPK5/tnTKOUsgs1n5k1c38VHb9AtFKfFS9SP7PQWBy977LtGatVWTsF12wfBC1hp 3VYxLtMv6t7fsUZHnH3EuYvw/PbM2WpfKTv3aarVxY1KNkL/Frl+XKQ7Y3DXSqHKpyH6l5Usj9lJ HpVx76BUkF/raZ6HRymj5L63onvKSUA3PA/pngwS+CBGC3YE04MEIgQvrDgZkF9Pw+MI8DBTIpza 3IVEpzqWA1iDPV0+XS5+Zbj4s5AfOBsnKjvHiUctvlh7+qgXeXiLygVhbNW4P467Jpuc4L1iJC+v +LlTkDJPpmsL2OoBEI6cVmN/Hw0OcrzGuZy2WiYjHGs0EtqKsTaGI0FX/Fmjxr+mE0c6iQBaaaeE tH4ToRrQ5Gq4Wyd1JS3+Zj9cEFo2U7kLA2RXbU8R/352AFChGDQKh5fWrF4Jlz/qvCHe68NwgiD+ OeUSleH386OGaxT3lf9WWZn2MhIiwDwmJQoDFI9EFy42VopUvBwyuUlNtHnQmm0a3At/k3NUvZVs WzZPOeHncgxNNSZMjZxwX3c4cmg1tgGB7v1tvTV/mv+iNaJfmeAHP8xvLqZCG+Ki+Pcl1Rw5T7XE wE7lpSrI5RvERI3pjfo904+XjpEuR0W8vAmXSgq4AKpGuouyZXXPBPJw9WXnATNhzn6mPhE/SGnZ Wyaz1o0zpEy7usW3nZOZVnvBzaNpUk4HPmGeGyTVixtEI2lgWmiCrWtr7sKbrKGUapS0QYK71rPd uG89i5qpQ6xG3p8TIsCdvi8XjdpG4wTTYrKZ0FD12nSiY3wMcbNjBS/lGyPjRuJ3Djm168ccuTcW 4URzrk9RZQ3rd8I6FAGzafx+r4b33UqCnXtP4fTr5BuL219ZKje8RbUcfvI2FrtumzWF+06EF6UL 90KM/x/7uEMli64aOHLh0QcPDiwiHS65hMC93od4Ku7Uhnt8mR5gBKYeHdyKsM2T/ogSLTBRlwn8 6Vri9Cbm1ifzpsknikHp3FcloMIHsNeRUMQOqJtTqS6qrBYvn6SOKiCQHj6mtT3QToPu1LrjAZRC IhMBVaKSbKhrnTsmPOALrQk4KCg4kHr4elDF1zCWC0fi7dtuFhz2Uu68XwKe3Tn7OjxtK0KZFI+F neH5EiTgZGqHLEpxdQBmUpvWMbCHNsbdv4HMKnxNH/6faVNn8utTTuh2gl5ztAWZL7HEWHJ6KZ+L 3ng4aKDhtSIgIzuDRZ1vvxWNgUR2gtb31zmEAkFw+wJfpVBNulscaPcWQBk/8CV5EyH1FShnpM+q tCdgy4XAcEI4CJc8IWh+x1qd0CN0gVTn+Gq90k0uwSC88PvZJscgk+3aBo62oxS/v7mpmH63cui7 cSfGPvR2lUnot62dvUcb/9kWuXJSHv3v6QY8SLM40OSjzx/qy/zQUNOSo+lSEobRBUZaKRl61Q2n UKRpQXiQICWSDUOeHxYr9Ir54wqarfC9Yp1fPKfvcLSp+nARDxyXbiP/DIxsG8mj/iv+YdCYy9VX ev3HwwpwSmOirAalk27SILGsdydW/Sm/N9euTCSz2j0mQpagCdLvYnAEl1W+1NbdHJF5nbBTeFGk 5w5uorSr0bQFwq8ia2ThrmoeDd7u3o0ILMgPoMuAWXt6GkPEVvRPX/GXd0y7w41jDfw+40O4oNMp lf6Uiu+3iLWjAwycIDC6vGMzUFKkmD5yYDJ/JAn7v5yrIGDDZwZ9zsSWd2B7SLlZXFz6prddSeZh YVgujGAZuJs7uH0KasulurnVP59NjiPvQ2XE+HTLZx86fNJNozcRs1thZemllFhDkP7h/HJPXzuG pDY2PVNuQ/yYr5pBgXLpToyaRXNU03BBfCs4px1BJfmd9WtBva4rkSJ8yupgiU9qIPLHXafwuFJZ CbTxTWeoX/kF/n4LIGs/5BFMxoggyELdEpLT4mogWDOpy26lHCrJbWaqEcGdomCR6zy3v7JVzpxO LHmvKRhxAfJTk/631X++q9Q3TjrB/9jSay4UZZFejiCnz66kfwU5E2iAGQ4JnrcVkF8BkzSK0tO6 7LKiNSYmQq7/1fyQ4A28VzzKOVq6aSyMqC2JGx6gKf/Hu/Xl1OcHyiN9u3b4NjuOvZbB2m9FqvLZ UfFoDKKTHR7+qE2FqP7juACuuYhDyAQtCisob9DryFzZmFTMKRJAG2xuLBZEXDqZLfcAIOLevUxs BVIBgXyWqC6FkqQg+gFxMtyl9YzASc5iRPBX2ZubTzv8HSg94BKdD85UN3feUDu5XTKe9FXxLCzl L+ewTZAE8WYu9w3zkT49Vpe1qUlVm0A8Nbl/p4tA3nnBKW+qqtJbaI9lK4zL7BJuWsfKC12EFkav a2F/eQHpf1yVQxdViuhO5CGu8RZKFAURxfATE8OyoPyGCR9W2+OuURufQaV+yRRnxwICwxLmOhIT Rs/iydQ+PC9ZEvezC6LCxDrlvk9mfCYK0nmD3bb9DNYRt0hpow+cbk6mnYPeb3pGfGGwZ2djVs6q XbUZml1DLsvaCyF6W2caRhtCSWamGR7l/6Me19a6d24ddFrD2g9cPnGBDResW/KgaxHVTYJlHkRX pxzPDcjAo8kCdV5XwJ0/cxns9FNguPi898ti81FlCkp/iSiMti+GHpInU+HcF0YGi70+ibP6YFLw L9oiDKWfewyq3wrP9nuCDqJv/u58ItEiUjuNtrqMWKCCVaLHqwGAkpt1uqpy3yUbpk2xTRHGq1A/ XHoDJwOrwb3eVLRodDHJYIoNUyb+rOE5YNyX07YcSOvhvWDBIL/4cTMUgdY8bimO+ktYHzqagt/0 MS0j2fmdoE6+2cYBiK+yISTgmMUVLfYVN7GcGdgbssljRkJBIc10Hzz6qBcYD+lRcRztAJEjyniM pw/eqSlYHMQdKmMuBVgMnqHAWfhHc8ae/5LIzA3XSNWnNAZZgar3JP7+nhwR+2zAS/KIVRrRUE6w m8E5bjRoq4XR6jiCUm4JfVnWGaq4i+tV3mqrQ/7LX2MfYZuYrmtlJLZGAYJPyM85KmpzkxhXcNqQ jJ3x4znm+CylZbuoUDCx//q79rDHXm20FxH05leqyGJAfwEpp7rtN7ecZKO1fNb1q6fntoEwRrmn KmTEu8oMXjHjx2MNBUCJPicSpoUxFvAkBu02QntOU+GCiiwGWMHsc4BIPzF9eQkTffBImwulRyrL NmYbj7KgS2RbQso6CzJO/E1JZzacU6oibQ/mVKHvDoeQ7kV9JHmJarvmXgnGkBaEQHwh9k1Zzqhr Bkb6DetIvNiZekgM+TCDdMypps2TUFoH38s5C3Zhk4RZQnzN80vYi9jefqSVOpBRqKggMM7LPgq/ xlQoxH+9gLF1IC3YehdyE6j10kY7IY0zFMcO1UrpX8sBpr9SP81hcbcn3BXIi+EEX/IhzGLPP/Gk GEYy0jfZvsfwRkU2aTmep+N5o/yAp879z2DTkyID/MHu1bcla52NnnBDltIc8ns0BLGgzopa5TF7 7+sIgHoYBaP5zBNrl0qfmbZrQAcfAyNgcYHmVguZpjno4Id8mkfP3T7koqv1AQcce7jl0lQS5mqq CmIwLzZ212QraeoApD8pBSMciT+U5M6HGpnc13HEWMACA6RGmTGO3fsg9AVHnLhSa6ZwxYiseETZ +4RDU5wgOtFoOvljUIi6pfH7h4MKXIyVdu40Ky2+VbkeCQrCPMBpsuigSDdXujz3NdwP7ukRkgSc YKwWZbsQ2MDeZeWf5XhX/LrDYeF3LLSeUo14oaNwrrA5vAX6S34jFMvvZJFNOgyN2yV8p0jajkcv KBGudxtCYsmd8dny/Wra8xnEbs1UGc4wIi0ylqgtQxt/YDduqhoM79Y6rFQrVukNizbDcLJjIj7k +1PXiplxPbZDk9P9KGoCXfIS0O1JXWwYayLoxtkt4bncaSZiSNr/LADj/VihJOMjUuFJS3aJPjJe xNqt0uZxfcw1HpiqztMfG4s7ilOZ6fGZdn19YiuPuHOVNltr1UlrV8k5R3YEYVURBuxaSwWnaHfP 8ZAOy77/O7q1oINuZpXTGUQIPD9pq7RjjqbQPncadwORODcY7a4FjdKCZ5+nmDR93jrByaJAKfNy /Bhzmg6sMeiuJiI9IvoH7j+TQ1G4AfeAnklo1TVNU3aKhjnlvEpLhPeYjAjElSL2IHq+Nim0Q1Ft bCP+FU/hICsNUNJ9FuT3Vq2Ar9QA/DO+Jd4DA1v1pyGlyhshQc8JwRL3gLLKzXVyGDIIGS1ljYmP x1BLuBWOiRApMdy181UXKXZJaf0rG+AsQ51avddfznp30OvlsEqe0Nc5ish18NfBGT8B3DD3x16K oKV3vYawvnihrrPh1i92NcePEZ9sm4lxY4NxNqEXQykZnwUQcTj6OSctPGt4IKmtJWE5zzGBEOhJ mbj3pODTDIHTVohyvg43wnT5PGwH/U6RQG2fqq1v4fFitFGxJOOjjWSpsBq4/oX+saRRqFqiuneo Nyp+2v+FnNYvtRdbB6kZ9l7MmB3UmdfWK28lv5u88HB+zLmDU68rdisnfvkfByIupUalB/mQHkD1 KVwQ4Me2qk/zcgu4mT5HUVHbeBhmAaE/JYL+uTglnWjaDNshLCB6/V5tQN/CfTMkA3YVEqkACXRE Lay3d8ioHIzeSGEB/CYyv1CZhFH6rwdfE2uY8c+Iz81ogjmNMmZEDFwEKTTM0UWekTLuFHRxx1IE 30riqoroibKZ73mNz6sj6XRA4w98OgId/A+ElG0dJ3GJ+jv+rkA2xBrBgHnxClx/jUcTHKkEc8K1 0j4cv++A0oyh3HMaGhn/NcAmsAXi1ThPYoM2xE7ElfcxU6Sd+0jvcdx2Bh7c5ma4ZmPU/y71t+X5 8FR9RroC9rg8wLxaGVBYUizQNvLeRd5SWQk5B84SSohExud0PNdYRSMxwrG35+jeRZh3Axi+CUwL 3OQWVvLy6BfTgfq9yM06NIJ6Dfr0CeuUt8Ilg5aEW/2hXThwNqTLL4TutHD+CzSIDWi2ExOUVsqO T3wNw/RVZGo2p/eGpYrWGYKiC0rOr6kaa2N97q+FdAPStIqix75outByh5pGQnr9SPgsq/GZmx7P Kn5O/ZYVPeqN9IvLTnPE2+ueii9lWxL8L18qWs14Se5G+SHSdJG9NDEp2pBUSMT/ZQBfCv0scmDZ 544QnBspc0xtYcdbCtpkc2+WxKZ1FCIC2g/ALGKTo0GJx2wHApjsGmsh/ELU/bXTwe+mgV+eyTMe Q4+xqFk/TkYrnpK4oWgYCgtLL/vSI5N2ghPY3Rp5q0n7Rjl/6TsZjgrUQ4JAX6dyt02fePi+dz68 jDdhUL7O19HaRgZVrYt8LS+L6FBib5J+WsMCo7FlzoRcepnFosKlPWuv2otseNCjAkbc/80W0NTf ZBrwHVqL7Zrht092t/OV2LMc2oioydRh0p/whv/TaewRP9BuTuK1Ju+/EFAfqlnVYgrhxtdve3r5 haLI2xx0nDD85P9wYIRmOri7Kj8EZOkX8HTe3NPfrqX1kE29zQ3F5/8CsyLhdW1r9eBW1VwCWr1P d1p6W7fNLXEgrjz/813utmPlEbdRdbh8yZ60x8FE4Q5FJzh+H8RWsz64LNp7dN8Q7ruFpUqHCj4V 8Fu/rhlBQJVDOd6hcF36ZhWfxdMI0e0Djp1VmWkIO670L4epV1LL4FK/xnd8mlyqA+Z5PYRgjzMx +ZzLIpEwHLT7trhwUXLEZki2oiph118Yf1n0E87qcRm8mtt19LBaVWUC/ebnuQvK3/8gRAJeSRis 1K+aZ4SpXWgKG4tUEh4TnTYAZ7HmXP9uCa1jYPosQB99oZ5PrSMtHJNsQPpP/flWNWnibyYbJxW/ bHfqkqgy3FXD6o/QDIqHHVm2wUQR01JfpBrsiCBq7XVPcnwbOcqsNZM6QcmODM+yBJPEBKEzDYzz 7x9C2fXO8Rs/8uZODbP5LAev3Du1hbl38ifwaXtDLdBZ51hRwGezhWR6Wa2LR5+TszgjtU2SgYOI avER9AOMptmYYsNRjsgfxA7PLRmn1OU/480Durskb8faAT4mk7nH9s/wxS+ARnyIpZyaMN5tOxwQ dSZw9T3VTYyQwjPeHO+pzWoqAIp7gDNefW0UzP26Hhv4ax7fk6nKPB5PMn62GQYPG+jJrdriU+PR GmXduDAjwLDgaVX6kqsROXp5RlDxsWYRpVMRrtkW28pLWonx3lBF4+QdWqAvw5sM6fGN+y4izcT2 22HnwtjzAMUBj1OYR7sbaL4Z2DSWx1GDbiqZVk376XtaBrIXPwIn++2BBPATkkSTinuwqqAVFzhT nnjqt8LrQmovsrp3DhIXVk/v/rpkl1vJsyuAMCFocY34oWsNgVEqtM17Rn9DYKS+hh2FVMT4i9Di 5lipIM6a1NoHyxrkxaB9L0QivvfttSPI2mJrnts+7pmopxiOF4IjPzNxMnBB2fe/WlaOUISFCQ8M Mlsu3hLnT5X7F7gdvbo3D87OeW+JvvMFmxIjhU7XbbiWlDQR7XsZ/xHxxddljUwPPyLoXDuwlh7m eLKcOXwLbx1FvqWCI1UYST3RVRLhu7yLM56UCjvyIefrpN2OJN0tMphb8vz/bB9bFvgGpXtUiV32 RQIlA7YqVzCwAWH9gYw8A6x0XaCs8G0bGv6cLfcxcqDCafiXhGLD0ZU18UF9JZoTsca2nV0HYt3D oS/HI8BgWc3DM9COIaeet30XX+c46nbtfvY1P41w/q/w+JBgXQBLnQwfvuyEHBkAqs83fnMM44DA S/Zq3qYdBqkr8qVwmPcezx9WF16E2xFapdj5fn+WkfL1OepA3NE22loXFRYXo4GvtyihVn4ivNln +H4oQOnFb7SevnS9gvYvIxlisJxeuvgXQ0Y9bicCsD2UeSTOFAKFG2Yxc+y6ttt2/c87N1L1T3aD y1RuukK7zv/HoABqDE7v0sKo47FGMxW/sopBJk/YK30KFVgx8aKjAm5NMkwGCx5YZnayqm0swCj4 f5CzMiYBVlqz64/mK9H1ESEKQ5DWgLEopzYI8lN21iurU5hWJxzP5ouwBZTeTJ4SUwfTeGSG2DPi YCfLjHTEDmAZU2TUW/tMnvNxytv0DbaX3Wmq49ngrwrWht/W40ZkPz1jKude96i4LM4ejloO0PHX K8jKu8LUdjTshNXGD5uFtctXeaHDn+P9thiVdDMh8YCqSPwhnnfxLz7SyCEocFLdGVdjZAxBcNcr 8ImgMYVczfHGuIIMNX0t6YXdYDrJzYQ+qBj1b0ANUFqoccQocm/dLIUl5Np3bQT7dWXyi9hP8NDz L21tV/5rIYrnZoc/xfHFoZtAq1Um/xcd7aD91d+/ZCn+x6usqhkKHO9JsTp0v983JPuI9yfrel7u DWbYft0T2G6nOXxOXskTwiTOp39H+4XUnBFOIgSAvukd4M304FnTIKHVeN8rYXHq8y1l6M/nxPXT BraunvKiJDfWs1Tsn0b+1DIUcmP6QXcaBvDCHzCz7uJ3hWXqZZCBN+ZB/ISrPirXutfqy+eAbfLe qmez60qq8zOtzPFjzvUoBYKsDseVkGm3kHDCLktARmpU1yw6v9Er/eakPQ6otbEs69BerZuAPTIm DG0TSr0UHb/RTM6E9Ny/zTPAS+JLbYayTpVu2O5hmSiqlNUb3KO9OrZP2AqfV6Uw5biIiPkIKl3s 7+EdWDl8qsz5v7cBsS3/6qkopGK1HJhah0eQzBPqZB4e/iN5CTKCglHxkizVz7O1DW9LGCW7fBCi BGJPkbatRrjh0xKIzms4W6eLisHTjMez7ibKISUNKU4ptXCtehoqHJKbCkIXgMM/EXA/cqGSzRSf XOCqOYH9ozzMZbNMG/osfCJhn/eJb+nAGBPdoiSE9RQJlR9rxntdhwq68RT0Cyi3reLCrbeRblG+ eku4LsX0wGsoBwsEoaKaVFnvgHQpwfFsB9C8rn5Ps+OOADIhweyMX8yaf1yJbV52ByiyX2g69RMr DQkKf0W6Dy3MUvS+MWHn3tqS596fOoJvGf2SI8xSvqpxDI2FZ96R+dFEOJ39aLtQhVSuoXfYESJl rX5KOlTaG8WjyHw21/Q7fVeeWT5yFRbZsW7T6muwaX7s50caSF6+H1XKvL3nhcRIs9bgaFsFbz59 7dUJWIAVhfJeqswPWNNbCqNkzBOpoxV4nmJ4aJ/lXRu7I6x4TIsccqVe5eI8yW1MCHqUbXitrRpS Srcccyj+NI/S50h3yT7aC4Mw/JcnhYaSmdkdHvgZu+acrohpqpA1Ofcm5YNhSv6Bz5aY0K4JPMQW XlT4oiuwYqem4I/fmChnhIr81NIsNnIQYQfk0GU43lLXBqMZkbIE0NHYpbYmbY0oR5W706Nh3jXk Ns7hcnyuwhKWLuNN9GTwf6tX0wQ9fUamFukNcSkq7U6mnIjfBUulCl5m6lJJv0SIzvoZPzuBnl8N cvp7rN/5w5zFxqVrLkDMvvvIOmgVXjrEh9oXJG+3soPsQJxY3EBwXqrFMpAcBGASNcOu8GvfTk+S 0ndJ/+gpcwQ+Glgv0hUmgT6/k1fjRn+PAHHI1Kvn+GwUrTVBv9z/KMVQ8yF0W1PEIXpYCXSjRUL1 els5w5rbEa2a5dJ60M/PV4l1NCeRfUBWFLsQbnjPK97AeUHxPewX7aRcCL+OwX/6jItnkw7cqXw1 7ameBXMsUNKXO/FLdEmmOTlilmaIuRUhL0G1MEYT2nJeW4aha8aYdDPEnPfvNCdpJB5tmF2zMlom UGiy3kOxAo9jsPUnfmVkANCkQlFU1PSJtkHc+2XXyXhHd2mWIrCAytlaB0Fho6WwH8d+a8OjyMYx sYXitUJ0pg4ZzpFub/Fhzxy3BzpGhCiv+H+SceYzkiHeVA+ViNgUULTb4nR1T9TbDuwU5NX1Nljs 4YgeEEZA+Q7+nh41hVJOVHknk+ZB8fHUMeIqggdjQ69N2IOCVGf7rq6cptITtLjeSNzgLaGXdy8v Ujvln2EzViXj7FxBCYvESEwJCnrUHL5F05fmJ9MhHdfNxWJoJewf+jwzNAXuZdsJDt+3/ywR1zkx vpypGjLnu72gVIfkld3R3YPEhA0q1ScPUtk6+Dh9tRRaXtNlRlOAFor06uZaebnna/PIJZsWDZvm 4/MYCX60eo+d7gPH6p7EKSSLehbEtEPGTMb4xf7efpsbnhCxWw1l5CTUp73iq/P4DAyAlYPW5Iat VveQHKYJufqVm1uiG76SWCi65pYVpJ9cmn4iLLflWEqh5umgW+il4AuI+2h/59aZZcamIhoTF7Ty DK2+KIGYljyQG4uPqy67kaHeccgYoztNUr/xzo4zpN9wZOx8SW99bQrPJz7HaNVWBZ+gs4uQu6BH 1HPlz9pYv9/Oa+uWfznI7+cWuMAC7rcQla9oIlkUThKs2Pb0lAmyzkLxXwzuJE8lMAq8ey0Yqfi8 QIvMvAKVLQR7g3oFeUJ19pkwardDplfyuhEltHSh4m5tZl8+JAAbLxBGBapWVMpLbe8NUgOCHWd7 9uSc+Y0QDxOq26AKigt8nPENxkDWzdcsKm62xzKTqERAFRmyin+28nPQ4AMhwCCXj5v+I6sNZstK qiH3s8X4ZbvHkz+KXD7kx9n6ZKUvOEFV4+SZftDC6Z7OH1E6+cTypPAqIWmVqE98GxaiiLxDpTqI mgofCJaXrIrg6Z2Td57iCW7mUdyzBolXoyWGlAFtojANisGRKJLt4GCypgEAGMXFZhsPr3HNVARH wp7ofSsWMWS6+88eF/mYn8sUTY+3MVER4Bd7ewjaQikLIeCz0ypB1F4y6VjCoLkbHzvySOnt+/3Y fHO1xucRHhKqciH3fYzgpSddTBHMhvMOQ8gdXCzgtviHNeyphE9ZhDNc4/uBZQ7Z7awCd+dhhaOl BIV2t8cbh1cgM1CqxPBv8Z4QPy3ziXtVyCu5FRyMFG5xo5LspRMHczrYE+knum8G82AlJpbrDeam Y1JyVE04gLl0FEU/pE1kWmWw+9zZ4xJeotJpg6YZ30ZkADGl1e30AMU0T8mG9Cv3Dbb0dYNmIIgV WdykMFPFJk9QVA/D+1e8gN7DhVnPRPg5LL/L3U+wHmbNsBrsbHdd83kdA7fNkdLVNjdoZgWhgrCM If1XL2sYhMKqw9ZGlsR8W5jPd+Ug2wtkshMIJoiL8be3Th2Tu2ahX+rtfQvhaofz4xHoMN5CrYhI 9DOH7EuJ6A86YOM34+MqgSibHfD4RL5lqj/545Y04FNIKNmsvRORahkH+Hz17vE2+2JL+GH6Hxze HllvLfK/+3w2UoEFHjhqyG5nDP98rSjrcmYjXtcEWSMG2JMvzBd4Af/a9leDuEyv+GiI1g1vWJsR 8wXm4Du0ykWn3kRp7qhM5i3yadKgima1CctcEJJHQQ2KhSxF4iYk6+EVyWY950Mko3tULGhs0Ws8 TglT+PShtvf9GbSRmTFiAcyD5kt/sE0tOLGYzxs9HF30F5XieogihJ9xz2GqpgoG8xJBIKO1TFqu vbvgiN+HEN3q5VrA1r5pwCdd0DM6PDMqp1/chMEr+y+w7m+GroWyUvXzgLcBsIVNdtSLKU7aPp1f 676aYchVDthC/ZU+p5GAjbIQvKBLBDV7u7LtSHbixH61553bwl1b99mZLBBVk4qjyaKoKqeQIKz+ b/14G3bv0YC6EFdDydPFeYjEjrHGivt7gtoMtFwOgdasfAHzDRRzt4UfggwPLy6j57vjKPumNECg 7XaIyXBoRxn4G1/NVM69Sd++vibf85V191UphJp6jP4iObqe7/a97l50wqcd+5YDWEl4LkShdPoe tl9daZyRyCLK/HfN//lFjsaQLJsF6ogZ6AUhltp9jfaICx4HptNYwSKJjcN5NNVSFCH7tnOxpn+q y2UaZbuQbFTNJpNR0Mjeat/eTzxCh00wUqdUZoUjRjlwHQ7tzIock3Qw8DyQLUyVyxix8neQfiB1 me4fNhvujzxlKyUaGI98/JYGyYNIxkTql3C4QX3Yd99At+d/Sq21JfZzjgRuOnqDkjlfevKnZStV G3SeK5qfCy7M8HMo0V6xLZ+ZZzvLN1637zMHUfs03iElHPKoWhjKNaoQzBefFdtIeKr5Lw3DCC2i NpcX761UaJokHj/nbLZDCxgCle5GWEnoI20V9WUts4uBFVD/WVjsKOwMI/tMJfLRHGWq4oaFicQO oDWST/3UjkuJvIVK36DNNyGzMINI/0iidU42H1T6pv5RRvocHEE1Tqhld2w88mnkJfvFooDmVedw 2lON65sURfb01m+g6y7lfOYp8SOzxZQ+pvpNkisOl2X+n/rnkPesE8s4wTGkCbntUKh0B9JRkd4K x/g1LLMMHsXQJwNIoNmyK/kJsbLD8NzD9gAz9/SCk3cFvoHBfq02bZ3Io1SXFph0tBAraaKEbu+L esw7JnCJqT0vAL5+935oqOXKGcq7q5nK7fOBF2Dt6zdfYePNGFmUWVyrIKkJxRjAlTtnBj/W8Bks Hg+VSvv7Olis+X9r2kcuyWJ0Q1g8czi2BgMhrp0mtwdHWU2tGebVql5TXBssbIwC0pblZq61ebo3 nrKfwUowEoprvjEuv6VLjwPI7eQv75EAzZLBu4SEWdEg2FzH6dAdv4v6+lIG9MCePW2vErn0lJfH WgdUoW4IDqUcnK+IhyU0Gak5Mu6TmEkf8cq8CpCaHshVYrLCR4a0DaogspVBGjXq6XvBQLIcjChX Zji0W3g2USgN2ukXTsOJAPQYhUfWfm3mNNgduFV+F/mz8tnQGt6WG1J6mnn340gOz5O8jqYQMhTz CJu9TUOdDyhoe/WRKj7lOOk4tPfR14c9WgRc81BXf/Njxqd7RyN75Le3wHJw9/P4PZwBB12820B1 HPRM9/Acssyb3RzedBDbmGlRm2kTIJ8+LKJg6UZrd0N/SBe1F8BLkZf/bOjgveupdn3aQMDu6Ls2 My/PIqTjbnQqW4LO8k8Un+JPltKM32LXO+fVvUKQhIMUnHMvplhPz998I9NslLuF6+pzpNACbR0l VVvUTkDa3H1vwsehqo4QztfHH+zSmY2MN4WSNySLiRvaFPy2ZvL+TZxD+qzdeWurevu/s3gnCjdZ IxQzUY7ACJ93/98kI2v5QsZFfjq1KyG6/mzyE5976Ky3GWdV6djhfdabDj0sN1KHfik4wC8regAV 95deSVIXyQFD3axFbNRwUgdNYIfy59q84kCaznyX9d8KFFKtD9/FB79H3aC5t14o60gT8+ZQ8QVz STNqIJ2+qfBXxYvigANGzt9vYh0QodefsTPaD+OATmKH+u9t7x6JKnDRzcCT4MxTDi4Y3sABbJe5 EuFKk2enY3AFG821qx2s/OTz9OkCsYhISd2pTSlQQri4ez1PqX0SJ5o/P38CJNdjNVT9/4MYgCwU 3ELlHnJH8k//yCX2Bpjt9ds3D3fzALLPHvAKgxWLAV2FakbmHevjZTDqG4t9Pjge7Mu05+e82aI9 tzfckhmBVDl0uHktyIxe1eReS+WmydP0BxEWONz+cgpAHRiTp+UnCAaYyfEeL2T1M8koLMC/ooBf ybaG4iKyCDVDdlc27zgSDnxxO2DUy7nlQ2bX97pNj5JMH0vmHyqRSr++p67R3rS6my4VVjMgB7gr 4cqKPtMr/sEOrEjQ7fc7b1Kgt03OY69i3rrxfe7yASJAY4WtQlvqCk3xHEeRk2Crugj0l9dq/jAt UgAWpEyHKtljg1Brfl94+0n07OATnJ1jDM+IUl6sl6L9jlwwX5qSqw6ylERdIi6pwoyXw34/ltJC u+79077dl7K+LjMtFbjyc/l7HicK2PeZPt3nFciELK8BK0vfpEzom9w6FhT0RNsjRprs1uH4AVw3 qrkYOTuBXpr30xvJiDV0euBqltGl2zXda2WBfbQEbZo8RfyeAZ3VCMxHPI8+gPVqLw4O7lBHFgtJ erzM/127yk6iMDgEkQb7hIWhg9pMSJoKJs1fZ7XhvpGCwSpCH0W0N9KoDi8vd16OoGmyw0ju+raC tA2EIkNUVm7mV6seEkpL5uzHCqEEStt9/2ZMFc01ou6nUrsYnZQXbVkz1Neh0UWeGq5KW6qv9Ovr hp/5ZbKam1bsuHt8ikNcYDjOzNedAoDl44rCxEL0mouA0lFrSso0xOEnETxmzPki9+xuieuAMpr9 E367iI/FcCF4IXyPeUbi3O9IOPfEa6Zs4X4gDSTeINBfNOwh4dwe35x8nR9pw/NDZib1udsp20oC 7V2pIzb6dQALJfqth3UTocxZvnY6iCN14EzwBVEqU9fDnXfiZtd1vI3nJj93liFrfIuXLwWeOx4n xZjQGSpGI6lxBWt2mYcMiXWkHI40vTq6fKIU2GYJorDSVvFIgPG3bro74TfTaGxjw+LXQhcE85IN u2ZgtPeG9Ry90GXdcvm1WH9QgVcNF+y4r2J+3HHENTHt3TLgGn7X6fLO/7lpsTelL99tH6rfDDiI RzaaiFdE+sIj4DRtzyWGMtYf6+p9/nEJaf2ALMkp6iTKxAFTCl/K8zxY9vYv5g7OmP/6ddk1r1v1 OrFwhPOvBbfPx9W0JWEUEx9IENkmI6xULHFVTieripkUV1CqBNHRbwp5szaUh9PsDW9lBJRsLpLx t29k2fNGeEyYMkq4PbvRFtSOXrxV94bfLFJlILa5dlsZ5EoqUI2D1gOwM4xRZRCn+LCVILXl6x7x mF05cefB8H9zaeYgzoyFYXdAlLoWG5wFdg1pOtcAdR0QZfGmTVju/MeKl8bPtOvwO9sg9EWTMyW7 SnMV/hcsgRai4y4BtNBf2Nocvm1k7SMam4MYXgKmyIlSAJZUzRZy85NmrbQ3k2A1bFllVSnA37uP srzGC80hXzQN5AydJCuEN+TRiRTaSuf7GfOoJKu0k1pCedLNlROE0cC9f4amoNXmrMe5HwPvFrIO fSlwjfcfc919oGDPVtsxKj1UMyivtWqYbyDqiWuqJB7sE8Z59CxHHnsh1EsNno2R43sL3i0TX75E bgNNxkR3OAVxGb8/Dc5lepHwXa+93BNF0tKQy38V9qWXuUnpfzrDLn4YAIoQIoGEzCU25mS6Zl4X c1Efv2ldOFBBbEp2abvYha7rwZJFXRJdIVcCka9MfA4YTqvLqOYAJUFYh80ZlCy8y78ClkcPaSx6 11QW7JDoUyWyjbMLdfIjZtyMPUyxaJTkM2WLXc+LcbeyZYhznToeukGw8MD9bOHh9ZueeU2hzvMR wN0U0bYc+COKNOJIFIDAsVEyeMgmcRl25ey81LEeT49pS5b+LmN/VqaKchtkbxBuZzE8ntByz7lo SMLSJudbdNB0TE/aDAOiEQZv3MV1zGCo5Ex06APfOZMog5oOwOkaQMlf3gT/W5iCuY/kST99Ginr jCTHmFw8NJYq34wokqurl2HX8Jf2wosheA25O/q4wsSksiRtJJFKOFR/EakjU+sWDI13/st5zM/4 JYdiKxE4jl2w0LJPstO2JU/dd+9ZqMv92ytwD5WDtKBpcneJj3gyrovwKVO/vXz+fQ4sXPvzw3Iv RQg3jGOxkM4uZPmH8Qxu6xVwrV7pXg/VH0qMXbz3AzaBVEJQJjiYIwXtbH46AnNCwiSEIr3j6Mfi UDA/muzJMjWtqj0pMVM3cNEBq1+ispCnv+dMRWrHEqHeSY4NkQWLnOcij1QFEuocE00YTsiLqzoL epvs2XP6tsHlu/Typj8XjljdoQSPenqWtH1WQt0DJDsJXhmt0KhaH63RAfkFLSFiiQ4i9codxfUS 6PFjAOGml4olK23UXprbXkNnHvgTMMsBSzApHLmdOygN/tVLX5Qgt3YIEzoOuZPro5ZjgnjCINnV VnF5DeaMICSru42kt+tkouDdtIQ1yjVmC2uJBcIu09//JzU6d3lkSDaDQzYxEPakvj/0TuP9kL50 t4JPXHJSm7j7a2NiMypykzV/aPZGQmLwOOWI5l/rhxoy4Mx1ngXutac6tUfllNNukThAsZeul9vn C1HuQ6mHo2IlGIhcEzWM/QY2dUkV2cqV26jx9NihVW1p7dKNjimVY1i141hd2UX1xdt2H49URtGg xb7dsRtpCNKl6Ja8IS90VGngjdOyTqnHTLE044Nw2FJ9PUuHWHjm6sjI2QgA3hSYgAisOVaBBni+ XFVav6EB7CMGHNn3V6GnTt52SSyliOjzz4FK9tiy85N4zjvqr8OtW1nZVcYGEUZWxJp3SQnC4xrR DqMD3eLWYjU0NZMXqD6qsTN0T052vd6WwOx000RqYgVX6TEd0uvKB+O8awiNJlXD6Gc8erbH3r4f wBZkCgEU45C0TUG4RP4baYCG/5kVwmChDq4JGRQ3pn7LfQiM/O5gC7YOSzMAZm8mg7HHU4JmusIZ vkPrQwGSiwaBJlIzkHGXUyZ/Hq0MES1JjqLjBUZ8mY3WhfzgMqsQwbVeOmoMWH3cwa+gf0AKkHvR fojee4PzkaB7HHxkGkAgsZpX3Obtx+rMvTQX5lSx712r0xtfOdMIMGYSQ3FIrgj0u7WMT+tXE3yb dIsiWSSexCJCZkKKsFHdKumjBLNnCiVoU9w1YJyiRhc1BBpE8WpmahJBB0Frt0CsJxJeqsS6PO9a 2YtDWWr0iykWQhbEywnArzI0tTv1v7V/te8DdleOowQY56j5leEuWHdqU0pauMpcWHibshqS1lAc y5KLkXxUqRK9Ec/wx1EWpyHJ0QDqGQLe1v/dF+jOh+d35UspBrxhsdql2KAhsXIngV6nwMcRPIpX /s/bJU/RvR+6XY3brnmdcnnd9LEEOzJcoGDv92L3e6roNWrg+UHM+1BUcN6zFBiNTLiZnfT32BHV twIxQ110ioB3Qp4kK9NxGKtpRIy1u17Z9ZvM3StOqNr6OC+NCYWVcYgPjUjYlvhgzZzJJxsdDVdP urZOEH3xAKh5+zTyyNiskGXroM3KcKd8KR/Bvegrvtv40v7sRolMFKptAdc1pig9dQnccHNQAlfd uZRo+3Ufn5l+5tVB3Cn5P00IXffuZNNZZWPhPR9ZUjA2l7joMLCxYmvyQolocqryeq1L3bfTPIRU 6L+LfKnnFzAhRmXhIHX3+TjMiQ94sbHvlqe5SdVF4rAzq5GxLfTo788/5IWhIryYY2qqAOajQrG5 98QGUeHu5m60hOdBsbn43Wqp4XAZWGszGyRBRWMU/vPIGeSwU2Ceq2bclbLBNbkUGyZsssgNZvut LY16cjvJJp3xl3ZQZheVxRLkorB5ZNY2WOZYdkpW45sHjv/vSVlAcE1rN33INu380SRNWCFBIyfi YC645TLFE8iBPcmr5FMiYvYliHiru921uX0aFHbnfFwunRbFsbzZ7q9SJiAe9/4FFkOVN80g5g3S IHqSE7KJR2cnX3PaGMAg2maJAtVQOiqy524mQeCYvELhZSMpRIezqLmt9JU8zZCSF2wvhQvzU0dQ DP4VC1I9XcbagKeEcGx95k93Le8TyD29OQYX0bSY98dEVTV1qrNd0s/Ao0WX2Rh6xX15v79PuZJI WJ1Pxblit4WaVotpiu6rTbdpDw2DgTWmPH+FmxNC3XrR5FTKV9CCq/O7MQe5shaxO6E2fwSkf4wG AXsIHRPdTB+14b3nTQfpCbo/jRtWcgK2jwMqGnV6Z/5PK/gB7B7cVd7CkSfHwUj+VM85Y4i3+jPp wqexaTv0xjO+fGl/xdqR/m83HMRMhNB1kUIla19nXOjRfd6t32o3iJsnQQgwBTkkGVOpDpHeY9Fl mEKeaGlTorGdcezxHL6nocw7eFkrfJn9aeS+Rq1i3CBlbjp7WBXCx3EoOCtxNgd4YAM4wq6iammt NMJzvbbKXIECkdr1mIpvrzwT+MBQKV1mZbxl7xHHV9Ltpk6OjD+TD/9Ad3Xc0j0qseNlJhfgSTYJ GH3J9fLogIP8ZlSvzGNpuMrdofZgFKyYT9NgSfBpMmMLUhiuOETfxAxATEPAOvN3KKOkKgRxYhaq 1ht9hdrsnPxhyHgqWsteKuT9oTes8e4liNVsWgTk9yBBpFIsemxkvzyWCR6CQnxA1Y60AF/FtAG7 nfiMv34Gh6d2rJYj1ynDLIdmWZR6652CztWF7vi85HbFOOZ4OKJyejsUaLOftJJsS7VL5GLfxiQX jGgW1Zh7G34y0Wr5Cf1h8aJVFe2o9CyuyeJjyBCgo2DloaXmGRMWMnux72yTPz66vNHDK75xZoiH IywcVcF2PRec0qqT+SYIX++zJAv5wboPKJZf5BY1hwrl/vvDejfOeYmGcRAG3Pl8Q3HZuCpV9DLA rK7XL/4qHVToIM7obShLvJIQscEjBUfPq62yoBIHLEyuHjcgJIj7txFfPOfLKZWMoqC8lDyeXK88 SiOglgaRueHt2X84t4FdQk9li4uSW+HanDZ68ij3r2XS1nMGMdvkeaObiYhGb4izZWpWaOuL5MQb wLGF5L7ZM41E9kmJnsV9C062BeK0CFx3zhqjU3ycAyTfcsKT3rJQke1RrVoe7PeDGEoS1hrjo5pn p0H6T8IHBvg5hHEx5wwrhjzknAbM8zBWVtU8MMGkEI4aLHJ7kwt2fEiagTWPMfwdAFgsBUjOrPlm VynLjPPZT8696IvioxIbtyCNbefzYtuix4NInWZs/ZpthSCpdmnjyy4npYOr9DnC8mZUYA26NmBZ a0pAZcPr4OPZ3yV/5fU8yxDwWqe1F8KPRGHzLLVGieRl1WJV5lGAztCMTFXqqrR+2r0pwDK6+qn4 ptxKbBss5thqBu8lDtOrBCBJdLMZGHOqyCtJkj4IDsX3RKLR+wdQ9cIjrgPzbjkM5coWVOhUz2hV iFhVQMHwo3AYuX8ltg/sF+V9KCv/zLEbN8/rS3b/g825xKoxQxi00VvQ3ng7Oztwu7oU1jLYJ+6+ qtsO6OAoAkl5DGC//S2Y8Jzxoip/XVDD9A8sbfcKsR4Ghxpqlz8x2z3iMsRR6S5DBOty6rHH9a0r yAQXU+htwIKIpBVOXLqq4o1mJRwoYNa6pLTWtpBszl8a7r9ccKKlg2UqVoXNfQgl2vEnTQW25YJV 14kPzuKCeELWaZ2ffjn9rC6/HzOQs0EAPnCwACi8I9IxLCgmDJWvuEmMioL5bXW0PqhS3IvurvvA ZQKzcRgq5sIAjFpAuhErnT0Ka2gEIkyTcMgDnV5j8Ecl8JDp31veuXXsPYnJr1TeXs5yQ5jUAzcf 1BvHEV83sQWqwYEheADeOLVJOYTgVSwvwn2bRQHLpxB1wuNtY+D7C7e/6Qj32/yMoQ4xPrpFLU53 y0sfXNDCIAnYS5RYcyLYXvgdFATCZHbqBCU13wnj/aJgJGxLd6Wa8aeJcwdf1wkfFZQPmNulMPDF dQaiEGTSQsNXrHb59INw62C5dDAII6kZ/13cEKuuWOKq0XtoRIpCDwtnUC5hsnszlOcPupsJIYhk CG/9KEwjb0wVwvMawrRtAgQshe/LXwiXm61EjPTfy7PYoy9v2+NcWbGz+D2898B6hZVrjR+iUZuA 07Nfa2BFz0i02rcsY8VhXipySOTbEqytaC1vYtj5R8mypM/WOT7EDJ/6rBpMHvjGjTkw8L911Ln7 iu3F9HjQ9rrm8lqq7WhcSqCKssVONmmQFfiJlVS/2RjtNUSJySmazUsaeHetSKGkIhyclvR8uKYa vNPNbwhKg65XnL/61o01jyNW4uYJj8aK/40FRYsxxxqN5pQ7wZ0lXmHmdMwrK7oaUCrtAmNtApr+ g+1OgGylGy41xw2cHs/LGE6BlVQOm0ZUYUAzEMAngEB2lYIFr9Nz8Fl/LQK/3dimF75TnabkFEOS 9XJRFqPsVr/zCMYv6Io6RSyi9iZRgLDGCb9cgPtsVgtD3iwFGaoPcGbOZoryNP2xH2xCkaUlbWxx PVVybRbG11sdXGwjGFE0Xb/BkxitqvqvoMDaAGdWLXdCvLtB7jnTH3b5Wk4VIP6Ao/Mgc9pHSTvj x2ibVeIGSX1F7X9w4keaN8tacbzmd6ZgOvhpDos7O8SzzWmQ0hWNzw50+85XYpxE6ZmdRe5mGKgL GaiIb5xsXxjy5IRU0dE75U4USvGU1cNdhNLSvH1qdxOkuY72ihce63+0HoN7pEKH2xjzc9sEGqNH 7NUe2njKAYT9tNKdozwiOQBU1XfcH9bVOzGAxPQuSAUFo2wBKBu5Q9sTxI0tRF7AAoi7Z5Jjz/73 GIu2YYPW21xJL/9chVJTLZOF3auWKeT4hcsoKmEV3+n3/SsKqpaucuE2EKLCybX0aUylrD3wtj9f 9ebVc9VUQlwCiu9MzMA7JCpcA5mjScWK7ZJvMPMvkggi36vBclR4oIgqnFy3d/oIIRPKXJjILNMS V8CbiI8zJ62Ikf79jjtDKDmheqpk4xD+3W0KSTSmGgUB5wVnwmTQs7ipGL1YiCG7zE/+qGHMIZ2f GB34g94Fa5HEv6cPNnFKw4Cagc9wCxKPcaoIUl8CJ5f5DNTR8WYCz5IROZHLBcbUsV4vOsNYml+b 8RKT7BaoD3pM7qA24OyK+c68nnfRo+mOkx2KGYE6Ni+JtDPIxOPpzqcXfW3fWsYLSdBFuEe91OeC ICWy/dnc8I6uYijf8etd9VLMMArnz/LD7/btGq7/58gfz7TPd3eeo4V9bzeKkEwUrWjca0ozqI2Q T4GC1iokMHR1mFI6TIWdu8PwyWLvLa/hlXrJZNDyl31eemtnWzYjoqDJ1ogm1VEJ7zUgOuPLt2GX qQa9tQkuCZ9CNpbckvs4ZheIPi32e0pqifZ+Hwk4b4lHjX4eBcoL0E0d7YkF/GhizyOLfHUsMu93 S60E7oqCYW+zE1epJrhHf57/LKAI/WitUDE5iXVvSbmTY59mc7Q4YZYE8UiEBCI4ojywcsa0jkJ5 hKPjbr28ZqVzooWC6s328MUWn+A9lO8KKygSYMUhpQPEV/NAsuknnODDeOoue9+RQRY8sZ+GQz81 49gGZLbrEabahOnF2mpv8qoPp1omxyjwgHeGb/c3X0HKYb8+4IIWyV8I0EhT8kbiqHVrUxCeIoBc fBhnp98IkSXXMQQ/MPcy2kS6ezCO2A1/cLAmgfD8eBlZab4g0sOgRxd/JnSfngQHa5i9V7vB7i8Z p+SY/wDQ9a0XKynkJmHtStJAr3uI3PZw9kJU6mWHRYbR4TJT0hTnU063CPLidcgUyl/WwXhCCfJN Y7GKjhzheKZL2CEpKMfbvuHSrcEenCY+LLlBFtoAcm8WFHSZ3SKb7Je4YTlmApcchyhEiZPNWWSn guiACG3AXolGyfLmvhRmx/HYBsXTm9lnoLIMRY4qoSHKJO65oaTfjZLGC3VpaByTxMpz41V7I9QY 8uqFL40ayE3syiv+JbcqQsvr1raqjf+N5bPzgATgMivSdagv/jripBrfdQSZKpsJBs/HPAA5d4y3 XNMCvqZzTPw8lKKKNqa7U0P1OMgSh4GWdqXx3g1t/uBHHo3ELf+IpvJlMU4/gXUoUeUkUnNFCOcd tMAeNFdLbbHa6a9A7GE4LBdjJX1k+/FcQmGuDmnpx5jIsioUknrDgaYiWN01r6hPUpYk+VRNfBNY Zd8qFs/L+9DtQPjoxXzwj8zAqArwpmhIW7uXuMlk8HmqGcJrWbL/Fz7dsiFp0a+QNR6IfTWAteVa qTNz3/JHQ0NQ0Xo9xIQE0aYPOUESZqNpaceZfztnWVC5olen3Pxs2Zw1f3e3V7gAiPUGJMkc9U23 8xuf+rTCl+FOWNi7UmNJD+K6n4Dp3KWS4DbYtOJSsEfcNTih5zjJFD2E2Cx3gM+0gSzQEpa1Aasl NWtfI7xO53CvlcM5YHIwP8H0ZtV5JoK5zmIeFbPcftzrgbfewbepxgY6zk6kWKMnMr4a/BAn03lL obFBm4pVBuLpoMxiAZqkhFU4AN42hPmr9C1xSGERDgjNtAXKGrVKW5VhQb5t6+hOIkTwpqKr7MUe ltx2NTPUTyl7vKyd2UQYGiThbHLi3gYLkCsf/br2ZXuFS+3Y1c3mKz4fsMEjfvSFiddHs97dEyRt R1SvmBHIWac5hocBOcH0TYmo1DM4UYJ7aWegNOft6h2RwZqQETPy5O0T2wdFBOo2kmQA6LvTpxIq HgZkZLME0L/PPiBjIi1c9ix29BC9wG6FztnaGBG32xLybRHd2fSHWO5plfwKbYFd8OyYoR7L7b+s TeimRq02GNTk3etAEmpNwH6wMH18cPMMA4jEJN8Dx+LqjpvwwF9h1mVGxkljEev0a4NYPW1wTOt/ OYn+SgCKQ8uf4VyxGYt2X5LX8M4eXmFjH7uwv3CaFdPA2jehfy2eK3EtUT2blnOXCzQJrjHeusEO 8IK5GYuWAfmnWdap9GIAOkY0yB00fpX6nkmp41kQf5VQDukaHgK8Bnq61oFayP0DPcxIf33/2MjJ kJ28rlLwIdsLgZOGhChqdFpU0Sk7erJsPRYoMsjIFtF5BmqnjCxEOLyLTMSJiA4CGvrQyG3xegWK SJU2m05afOstOxLvSZJjaI/AlsU2WE72NsSUkbjov+wGz18unmBeLWPLimWxhUsuxPKAmB47y2Xj w8RNC/t9eVedY4VCKSG9QTqf+HfA7MrQMVuarr3eqCbEe93rdhBdq0XgYgw2p8nsaGgs2YGaUSkt vcB9gN0/E1hpO9nxuiRKuCfcUlo5p1BKuxVE7+dyX6db1oS/JZG/sOBlALw9buTRORi97JeW+lMR cOtFBlqhl5ChWe/gR4obzh/Nlkg33Yq3GfbNO/iVL4uQiarBgBqzb0l2q8u9docaVqIh016Lx5ho KSwOBLlIb39RmvLRaCqq5gqbfk3MWtQJ5dPMa1Svc6A9o73TqwxQa2KoHFk4/AOH30ze5u0mk4c5 G1S53VekugOuM1gQ7hHDzhc7HlY6CAie7vS6xK5VBOMmhPJhXcJsP36BrGkJzqXbFLzY8tkEMOBz WWqHQDxmyGshdH/RcYlVrHBwUkmHTLqqLZrNVNcLm/T9B3ifiVGLwBoO9OpDvJjccyPNmMzYUXp4 htKJW5xM/KlOTyy8v34+Wt6wV9Y2uApPtswKnE51qm01r/etpk3Jh8QdIG/g1bUnSMqRZ8iD5ptg R/8ua/pZrHIuFTA0KuYC0jsJNyS15AiaqiUs2NBUsXPHlJuP+XLdDFJ2z6A04AFdNn9f1DLeGn7X reTHmGv7w/XjpZO9fy2QCCXCk/i+rzDRWa7ONqpkI2HLrNEA2vt0SkSLsmNxE7AFxLhclPYjvWyt wIeiRAZaoS/BZPluqTUqT35TTOMOUXwjvXp+xBKWZOs4arM5ty5cvXsmw09VEbC3mlCMjbHyNd2C cOvBePkElJ6UVLkvppl1rXVMJfr2ejoUxw9/qJkevxdsnmCCsbn7sqnHTCQ3o/t877chP+1rGp0m X2O8avJa9Cag3TVRkBgF+M7VLrjVdVflavu0aBjzwE73QhBPQ67NO9VKVBnICC25+un00uh9IS+q bNd1BV35WjiWh3mcaAJ+iPWaTYdfLZkLmMFTJuCyTh2vJFGalAvReNBffiUgOvKW5cx5LB3IGiHT bXLFLsi14XNf7B5Rk0ZLyOO+ADUY85Sna7k3dHfEVzk5Pz3SUbhxm3dd/mgh3RY7lGhAZvbzSQjW JrIDgR/jFKoGZf/k38YdYAVIpY7lwiwXoqe0UKkjPbGnpMHlv4+hsA3MT3MeYvjWUUYrXpd3yNxE cLPu15XVNeVnrEUoji+NBdWhEuiAA//m2Jdbrd5/KlBys7gR0nxlWjdhUzF5rxRuETQWnLgY3j3J Q4S+dB3SLM8UpyWYkOG774BYRJ8V08+jCDZk33md2tGxRmAji+243Sek9zsS9d1zBPNhUKqCpNEV f5BgN7agIBvu5XVOnvG6U40tPu5OAqsgHqFUD66h+mC8+dZUzoGmj4epPbBmgHbPIZVG1Ydn9zDg 6wiGZzPlJBzVTh5gsfv9un8s6Xm9RzNI+iRFUtQAQinSPyr0u0XaS/0E4Wx5ZB6/XK36Jj0Zky/i fb4Fqz/2LSSB59nQ3YAWM1t5EyFN3PSSrluRqetgH6aBNo/XykHxSdeuIkPFKm0lo0WkN6As4UcW fJkiAxwmgKnDO4h8c8BP88iPon7/zy/q3H3ciNyKi5RjRHVGPCNvvF0wt9jHHSa8lYTBJQodkzo/ NqbejVRngKj8TCd40cZrlK0zWEyRYrquuSXezxAecTNtALUZ5HRfgY+0sUcDnotqvzOf/mCxgTBc eZUHNbym38Al+UZHB7LoItQfInef8zPzDyYVqB8M8Tj/TFQ+Qdy/Uwcbkf516G//rg0kB1i0Pmct eFa+WZEQYOP1fIPhlmUSZ1MSpucrOE1oRntj0XMvbggjO+Opub+lzzramP14RqEOt+qV16QUqWIx cfEnj/bX8UV+WKWqx37xhNQ0lZDzEk/NKgwh2GQghF6m31/qewc+cBBuARREFmOpM0/X87ksX729 EouXb157Lg+G2siWRzMVcT8QIBVl2spFs2OhSUwOoZXl+FakWPukRk9IGTIO3FcKdt2L803EIAln 4FX8roZXGCabE5jmwGn6lhj6T2D1cZ9zj/2Q/cg4jSGnmMlhOcaBhxpIRNFm2iH6s8gxclQjDj8U 27smE7rPUxTFaE0mD69Qm12sNT7nqJoq51U839RQp7gVAsYD/eZy5NStARhhcFl0ZZVWtWBIBOOp ftBEsVBJrTGr+7tV1H4dtWhDL8r9kGczr+yAzGb8kpbKPZuAmu/T9KJ3Fla3SMJCmIvQoscNyva3 v//9EmLeLqVnY0yj8NOCB9dvMfMqsV2k12hhbRMH3NaRn1Ao511Mf9Jc7B4/tHntA7HX+xklCK2W 7GVZHIb17Ow1hLThKuEZDnQd1MU8bxJ/uhPEzr1eFCZeWGvA/+HQ1Wz7IKybBBg2hvS6IGN8bosK w0HwWBSuBrFBG/T441UbX2Hb52Vgzz2g7a1KBdwyIb58GmeI54wNBJqqPKWp2apfrsJa3SrXq77R 56OldRfcKmjTVlb2UfnpqMFngwQxLhIqdsG/74QhIdY8i2JEU2as6FnCk9I0p9pl/vy5JJVWGqkE 65h9baM78KM14IKNkJhBuP+tRoOgmbsh5OO2eFH1CQNeU5x8KlbvFTbjsNLSsXZfouMsJY2AQ2pw ABIjKkM6CzEZS66b+rrRMQbSX0tCSVi+V25GDR8Zz234MCvLbaEJEQbb5jzWWnKb0gz453CtGG1z Qc7KlexQ14+bT3Su5XbD2yN+j78JIR+aqNeKwW6ilU5/b60OVAqxgHa3YVw4QCOp+i+L6yh8GcxA mBdPFvkdJTVOexYN5qhnl6LY3HYenGZ3vvaoteSfAhS0ojMU0YOlHV3OppAJrkVnMto0kiOUy9uE LWNy8q1qQFy69ixmIjSz7uzL5R2R94wcX7eh9HFcqnxgRcEKBFXp4yoaaZ3m3rwSuOPwgBcr4TrA E4r+dBC+/aJpfCzVBDdLwFIfpZ7zfKpt9yVa0Pg2hr79xM5DlyRPZnX+JtYhnamYSZcSACybB0Jl gYRDuAby3UyGd459YT/5NcXx/3MtjwYibxjq78kqoxxf1CrRZ6i9Gh6144d152d7HTOHV/5BYQGL swWcvn3I20eVw4ihdSlmwfrUuXaOteDxCA8nDcOfq0Vbmh3F4kZXNow3UxEu37Tn5T8/XOUIpzrN /j96apRIrCkA8qEyC9VwJ4hd1SHLImXAtR8cQCS+i3CFPcpBgwdxgOjZSQCygEjpnUNgiYwNwV40 F8pxJLQcOxQKFkyRHH6KdZ9RcE79ALmvUqOSCiK77NIiGleiir2RSEh50917bNAJwqu0n64MCl5e vioe0HFDqoJh7WGE6d4hfLowUtVIt9ozTxb1HDt5od957yWaQkQ5DjSulYeHGIYBc8gi77jMucDH DZCbcrDX1jDJwP/BXqTaXM2ugW4G8kjq57oTlWInZrWGtzOkIOLxenuoN6rCdB+NLvknb1O8HelA qFLTfqWzgbzcFut+4kIlomXwmZsXH91v/VYy2biDTDSDFWg0zyYSWya7TJnIzwrlvWKJW1uizJsq gMVgtm7n1LiuerNqGRqbu8qztL0wfSdAyaI7wulkneOOva5/O0aDv7fmroWf0VSg9kb4Crd1rByr kD3kJKuRjd5HnybAoGKIOgGmrHixzDjS8Kpltkq1dxwWWwyEz8YZpNE/61wIJAG36fK5mT+pMiGD bf5+9AWg4AB6WuahGBLO1Fi9AOlDWOmzrEQyt/0mXmMRCQFHO1MRSDuPaJjmNNDr4egoC77yNoBP I2UPKS2mwvcLJuzh+KoFVU2SQBigTQ6Sq5W6oZ0hhNYrz1ZsV8J45UjYWmIiasUdMc/zlXhz7VBQ wmIc8SZN2NhqAH76JaBbfv6oJkJ9DzLElM8+NMILDgLHKLchwflUYKYUtZ8dUVM4CReXLcPg+H5C QQOVRX5fO+ipYUANfaGSAOIeHkelidsS3ePI0Ybbwc1XfN+H8JuW4tV7rWP0Fxi1W8qWXArnaGvi sDdFWhGVRiazU15JxGm+tyjlcsMyID7looOufz3ubhvo0PEFNgYIUxlDDTauxoe7GwMGxG9QcqAu DjJANyFoNaflsW0ys+OfOR6lS+vCb4+8nqri7n1hAaP2vNnGj/uxs41eofjDpGOsCrQDlu+h5t5o naBwUaU5GZ5zkB9WA7LLj+tFvirWBQLnCfT+rgvj4tH2Q2LUh/37feLBir5SBWgksWkVTyaJbLHv I90nfzJTWGFNEOXQwvcjKtd3lrd0z0Lmlk3B8uvYV2KRAmt26zVwuDt4fbl/qZZfD96TeBi9WFm1 GarqqdLLmQQxiWACkJbx2EUlYTxT0uOiJ7uzHRilk4YkYFIHiVumzL0oeBPuyR0fdhKv964nSidH scZlE1hD2u2UPaoBZY++oF6pjX5fjut94gRmcu+iushwqddfTKPFD3t9R6b/DIsbLZb9iNSL/OIm TIGXsrkmaqnwrlNzP1xW/cLXzkbCpYJBFzG9zaZan0VQHY486GazkL/ro5/qMZ3ZjgPSlsPwRcaf ZurRQImu4MaH+O3bzEs8MDKXMzF5aB9EoWhUwQgnL12fXDQf9M30avHbTHkZuaPxT/r0nlBhB6fz gQA1VlvlXQAfGZvL2SKhYa7FX5qADnAB2iGVKMJefkXEYATJG1oiEXMebAAd6Bt7JWo/seJC6wLr 2jLrvEnpiKjARj0/Uqkg2lWyqxeW7UpNxaVUjRo1lik2ONyLnaHYwPWy5sCNEpQMvYTYFOPRBne5 jzmgODLY8EajBVQQdiRThAnSq9+FYBCnv/OGaBBTkAk3gHFLZvSXNMYh3naojnU/amppRYNgo0Xj 9r+SfUKyvegoFSDkqwUniQKCCND/OHCyg+xUwS9fOGA7vt0VoF+mXXhee+iWATEFIhafjemJslSf E+fttyOFygzazZg/yPj76p711M5ZxrN3OSg3fA95nPRz4hPz8N1U77a21yfGhTzn/zpKgh/f6ikA bQRG5G/zytLM279WnSDQrfP2jSPGZ93ykNbLcPAiBsTKNara+GXECfro5/TLXb9ITxgr4l16IzjD W71tn9Y1NYmkdj/w8n+wwGtQ1VjnfUYgN1W+8L6KSP/9UDK6RaeX5jrfZukX+H60hJ9DtDYkDJSq 4ZZ0N0Y0sZwKwptekHCldx35IncoOK9DbdizXvuug+AtMqryWNNuz5hhHGU+wdN8J5m6QJncF4uy JyrZ9XJkEhNST48Zq5NIfIIP6yODCMrOKQ10AGBSs9mlXa8eqe1hsRPvEmf20rAg/wfg26lXmV9s K13lxgIZICyVaacL0DVTDvGYXkbVbb9ZsvXu3qUX9Zeq69VMV0FxXBmcPgHeTgwjxMVDtuyPuZBI lem7IZnKFioV57oejrrfhWClcCWZaakBMve5C+833uCW+EAESbZvkHqjTLEtg9LQ5wW4F9bTDvPl 0vFEOYVOe+kIx3F74quVM/S6IdTG0NwzdbfDeEimS5zzJM3kM/Hvj6RrU2gTt4ZXX+ZN9zIHnhCm BsHlRzM0OfOgjH9wHE1ycMoRT80b+dm1gfhH4ce6vjhsiD6xxFUIkjgs8hskBzcl98f0EuEFisZa J7Bs1CNeUsh8uWisedgA17YfCiOVAXHUf/NM65Iv/UIln09SGTk7X+ymt5gdJdkVDS5dePCXjtmW 4D+AXpBASvW7Z1svhx6TW2ok+jvagWGu1jyuvtnkVDmVgjDC9EEbGix12WBGb8E9IxqXFxdMHcsT 5l7OM0IN5UXVhoeo8Jpx2QQOc0tPtrYFV/KGIiFMmyq+myldB4Mi9t79PlcCurt9fP3QcE5LBt5F /Q/iHn0GKtBDexLXu4eHiB88KQZCVOjGO57VIW93gmCzNb3uHjYyvxxLijobTRW2GL++lUMs1fok P1Ui5GtIvzpBvxL4nsIYrob53rs8lDaulcBPLCpboxWnqyaBbsTYJUk7a3eDjGCK2lt38y8VMe3W iUdWkmu7mMcAyLo2pOVt8FE3fdSgVCmseySYgLBHEkb3lasxFkW31GY028Blow7HD3Vo8hjlDhtv DBl+5gPahZbGz0u17nw5ieB9z0SX8zb4tVFSyr2JY9jeOvOnF6d67WdE3yVByVQJr4aG7mVvqahG 6yG5KWvdSV4jQD34WdNzjiKdRJN/JoIHfZVP9ZqjsuNpetYouRxa2QpzWMMR4yLB86J9tnOB83CK HdlxjREQuHJ7YDciu7vwFciliC9fJXjWKSW4KEzCDLpg5PTq+8cLY2DeWFN2a94UzgLklKCX11AO bKVrhKwxDYNFiRgSZIopDTwczJ1C6V2fJjFWXwWJ7Fjv+hDk2alhhm/ZNaPbVde55uO00XyDY7Hn b6FB1khW6nOyO+meuxXpLA+DoY1VbW4K/wjo4hp3ncuuudJP71YkL2YdX05AIWZmd5mKK486ziya OMwb3+yeQwCvQKynUatQtyFBj3u6iMmPgw6l7+nYL3nNAjt1+XdgV43osm5qgat3HPEF8bdPFJll PlNydT4kEObpBHTBXi/SsZqWXiaF4bTHFKhtqbJmDARw99sZMsCVlKjmM8reXrIKH99K4UoI9fg4 H3BOC1swjqpqLqGmP6fBsULIS6JAoOrkPx+jmlYPcYl7vglyGXQkuZL1Ny+rpHo+WCLLx0ju/QCT cCbX4B1eaMyZn8NcDcL7dqxjIuHY+xTI+tPWV/HY6aBiYiE2M28udPQqS4CiJPr1jPBx8HZwo8rn alNBokzquYw2eS9biY6ndB2fOEV8XTos48TL6HeMYL7TcxsGe4ssWPA+07nw2ag24gfHjCzrJ4ib NC+qSML+IP0WjQFdG14xfqBrnlTCutkQmPos6O/Vg9Yb8McRi4F5v56BqZ9mo3tLDyaCKjMTeA6p //r0CcwNjsvqc6GP72gU4BXs8GIa2JAJclIgUAM4aM3zYJxu5j2RIoWevGuXRp28qcCPS7Xj+AV+ +layYqVEDw6JZwjjF7oBw52Rdl4ZqFAs0lxT/b+eRLk0792Zqm43+5ig/1hnqUCqDB4hgma7duWR eMd4UwGkVDxHUGO5TW9pAxs0Cshifz5HZxeQpGfvyE9qsn5QqwCkCytL521Z4K2Jy/k+MYgfnd78 8MrBiox52q2rdgd+aBzWNiw4v4wD3iAP3hUPi1+onHlWhh/bHft75i0eN7cYbPwgSKACUDXp5etB pnwMpcalETElCe5zBZ4VPYSX0rpWk2GzhT7QAtS478gWXqoxqMEY0XvrS3UbELOZzXPmDdMeNKsx 2Kv42RKBPNz2s5AsLPNmNU+nKoNtXc01TR9JmyJVs4BQjFWqitzxyOYVTMXr9Inv9s27TnlJ94J8 DpubtZjSICv84MB50DWygendozeRhU08i4IL+knFNjsnGQtFZDEIl6klHWpXPJ6VKx2WFVUP11rC jgNnxBv8QFySlL0F6vm8Qkf1wkVfEblCgx/mkBNRg08nQVl9zQyJ4Yk3AN4zVyXX7Hq3HmIaXnV5 DEjbp844clqCWbs1zwnf2CaOIMDcMKwsfUp2ZxFQBCT/1tyE9kbtlhpmhdVwOPrzXqLtYvzNweQn 7pU5zXWOC+6z1HW/QPx8Vhv5njgh2zpSe+FXtwsD7QVPu4Tnk9gtJHsQnjwWq5y4muRiSyPuapcG MG8bFJ8t/r+l+b+eDyDOYf3niXYBYoiW+sqWyVNbAXOkQi8jsQqJZWynm9ZHIoNGq8BJYq7C6FrF m6lIC4C//YFOx4n/ZeDBgyYvjwy9PZrXkgkG4vluEyEPCfVnq+jtPkQyxJ+lrUSGUs2x+r1Qspk/ ybmgTsq6rODIhxhIiTd1un2K95CUxBmqe2vUfsJkw3CBrT0yeju0M3a40zxzETKkgx+naR23oO6Z CDSekzVKDB9Ym+UZQQMeq0kn+a9kaQQsuH97csVuma2REV1jKP8VXjIb/ULWynqWTH57GEse9tij noL0nVegJYzKdszyVaH5SSPatiM7PY04/nU11+NZF5j4GrGJKRIfYrdOj8oQHYxFFEHoU/bKZLcz xzawLvJKRqMOdRdr1SjySV3xeaJUBfpUgLzfARngXmaKYt2hxJ9p3nRv3cfVCUge5fnH9ZwNfqSB tt6R/rKjeymmNeynCBRz+Q2PEdGTPOSJD3wyyOuesr1hgA0AEKOKKP9/d9s6jt0lvrOlMyVh6QTa BhrqWCFXWGQZqHS3yuUro81VBMyphAiGfo7KWRdh94WzjjH7ea/ldxM1ujid2WG+E/Qe1BQzgI8U SykeNr6q88lbIr/mpy3WG5RXWUxbCOAz18W5Zzqc+kl+8nxvJhsCWnw/9EpEEvp68L8Z5j5txZ7r ZeLr1y/MlD+yACMR7Nb9fX9jdV1jwBwXMSNAJLbqdovap4AB1nsiUmLXNkAWCPUr76gex4jC5b14 g/kVmscAbHvME/Fpa10zuo08hQx3Bc2n9IleYqskkl1jQdhstbivm1NTTHNqOdV8OqJg8xZR2dCb z2iKzrDt0s4rt5yaio6tJzb5ifxG48y5v2n5UT6Fx7bYe/Jifq+GZuF25u0Obkc8/1IEpoDJsBqi IgCM3APj+meaq/HdvYtz7kh/fz/L2oPImpx4B+jqtTFupkWZIIJ2rEU1R6yK1Pu7sJVHWq+WtCXH FcgzAUvVS3E3Q1dGdwTim/9xNgmqT+mLVSfttUMb0kFYiUx3+GHCCpo8JT9PlsBwjc85SKbujkLI 68ChlHWaWEwSvfJ74QbNGv3tppTblPe6ZrSM6RtGyfHmXf6GKLX9D73S04H2YcHX8+2MR3XYwCuV xLftXgbpxTQov7AhgsuOCRjN+cBKy3VDDfHR8robG6ocRWk5c8/B/7SkUWHjdof1koGmSq4PzsIR +vaoe82NqUPIrCwmGYULK7d19Ehm79XtasX3ycG9s1TCNlIA4EutLt8dKFsfvKPF6+77QUWxSMjk /a03mQ4MRZLqdeQFUaVoHpm2Hgu4JiNC41Ry+0mN3waIZ4QOpM8QFyZ3dW6IjK2fH5DoFvchS0rQ ov4KtvKlVvx+50VBTqAzU4TaOeixLFU6Jy+YCN0uGcLhR9CAcrnQ3b1/ywWuiQEHyPnH/npH5quz qBMKY4bfQFoh+y+XTWBoFNtpaUndZwdRPQILNnsGw4/vFMLjceL6HDclZjkqLomCnzsraiUmT9a4 5+q4M34Ljskc55AHaO4EA+rUtXKdEWtj8qG+6knRelhEOGsS4jgb4fG5MaZtbaYLuCGmhit7dH4K 0LybkXrU1dPoF7O/r422KqmiTuoU+BJpXEZHcFJ9EgQQS1z34PS72TWLFDd46GvRMBqffzYNIXa4 4sczWr7kPj4z377qzw6DmeR5sJMm5Cp6x6bG8H22BgU2yfESUH/wCaYdAo34Hbc+hG6DoS2reJ6D YPaOJQs+0dN/YKNd+VU/903Ou1W9KTcGpDayGk2bVzbj60i41Bvhj62cOu0nAl9kLuGUJP5karQL JEEzoer3DViY25qV3Q+MsrAyi5GRTVxIbLivMFNtAdxLsWrhtvcO0VNOUi9UZxBX3yI7R7RSm+B0 /KXE1geuyUYy8jEYV+0KgfJhOG6h7TY0T9fqTKvOxAWabTb3tL3o3RTbaBLm1Se8iByBaUpsIVpP zm/JKnZXSionES9q1vDklJXA+/OYognCbGk8Zb0IY0v4tc2Ccm7XGiKTOzOOGkdtwQfDLnHrpwVm 245G+7wn3qUiuzwcaee8Pc6njsUsdE9TxbkUoEAphVv5mt08Im1aDeBlAJEQhzj7iCaEAV8A6wNi 1t+onm5xUkdpEC1MdAct9lohKX8mk4tUnL0TJuqfSWsZ0nVjt1daZGv6iOt8Khzwh+x2z8n6Qnuu Tp/F/ZwFX2sWHKj03CxqAVJJHwPXwGWCkdjyVaXRmlGlIWCuxVUkCLd0JJbD7usve1B6hi/Hq9ih PNpUY+pqx7JKl7YT1fnhb9IPz5fBt8JwHAGeqKuEk3BxMVXrn6DLRYd5CuRRitG5Y88yegtsp/48 esegl+Nfl3hd18RTQIvxZNenDnIZOPXFJRcSSCkB1zbERkEz/tBhGip9h05UQX+06mJlLBXwUHDK X4J12VX+zF7qCxEfO2BxtWCxGLkkDmWWFfp69Ln39cO82gEbHXKRZ1t9cw/gcGX81U2ciXA5nU+p E7kEkXuC8/JYhnjuX/yj4pwMlNlZPAxXwmx6psRNlFpP7s2Fzx8kAP/PzYK6WQc7fyG1i7uuORY4 opOxjDWk6lWcoAQlVKwdaK0RYdr/efBKwk/pZJJrPWlm7kkEBaOyi/xFMyQfkZJslqTjqvx4Atsh TaleDg71R65OfCQBCra85kducS9jI/mcfPj+4kBm6+AkSTTL6M5lJaTp8J742N9gQWxHSL0Hzi+B fU9EaESbNXQTEaCil92UJoAyl1mXRGxVT1I8palGpRYuYYOusMogBu2gPqa+wMkJdRDwHI5mLgHf Cw+RZuUjxiMmSOJfCKqh7YX6oyiXx9HLK63czccRwplFfVM91UjEE5EwzzHCyGureXSNznwlXsWS AosU0nwpeVeS6pNczkuUqfNAh6b5pwWVALzhkVH1hZm5diOy471amGV57NWJqpNfXzuI6nOqjBde QDhc3CNzjvD14tEAUsKGXbzGC5Ps/quNsY1ngWty4jz1DfNwbO4b5ltEXlIdGtxQBQY9GRoRy/0S CcT4aKeVfhqcjoR3ciR4oANRCsano25bJWi55Pwq0thnSXkoH/cxREwF5Mp4nhXy4ZcSNASOeeUa Tp822chS1j5+dNN4b/Zrb7y5DAdo/vJ/mtivnIKIytntCoqhf0M1SzBO9KnRtcqDTxhT2IJcfi4x jgM3PHIvKGtSlaQH8aSGBnL9AYdzCHQnpOvDhZgi817lbTLlxkfiyVQvje02+fMyz8ZSt+4mWsgL NpAQ6AWmIySM47qL4EMW+lW8gImDaFJtQ37I3apHegpvKLTJfN/cBKz8PA+tO9i/Nx1rvjFgnJWs 1HcRl5RFGf7uIeZj6IwwfkvQwiAWxnOaP9Ltq11ZRLPg1NyBu7u8fIEYI0Icb+ucUbcb7SLr1igP jwdfFvc0B96ciP+ORs/W4Y96kfc357hHfcSQRJHIvSz7ZdcUFf0+JFQ/vSiZlLupbOfSwS7cAWnG 1EwxY5PQ0hkZqUX00jsXRefZ0nHiTnVHmYgVH8A3upN34owd8iCNUUQE27i4p+fIygrLTibclOEF l4nS2KMh0iDBidR3qxutnBN5T05uIAHKYkugtvlre8RDt/CkkCn+SXyfKQg1LZBj6K6ub8hZs9jM eObJS8/IJyvVRSP3Xosgfa/jCiqk6PfkTjpQjI2hBvchLmk023lWGQ7Nqw8y57OyRcEHsSgJ6RyL KkRx+7GprSYWOHDCwcYn8PwtYULseiv+kJT8a80V9soUitpc903mQtKCCfQK8xj5n5qm18HMU1hi 2hewmYrcOK/wgO8wpft3Z2cuhtC558dzwdJmp4oUR4fW5B1bOQVffBNg4csWyztcRAJypQFBDd8y 2plmDk9ePaLgYIY+Q4bdGt8WrdXiNklzbmT4L1SrHn/KlMMOITUAKO5zFKP5W/x6WFNiVDnpRibo X9u1RVr0v1pCmHxHRKyMk7GvDrcsRc3EoM52Dj6qy6RUW7gs5Iyauoc2bYTcgC95j3mc2mFY7MpF Mbsfv3fgS+ccTFPTt/pso6uejsqBtLNxDtyfdat+9o1jerGcMW9/aLjzydxdc8+0mQDW9n1lMuzq 7gcy9N7T3fFcu+U0r9OpsVcEVl0dDjz9Rm3BrdXggucpSJZRDjnWhBxzGDGdtxZ+nvZFYXMwfuOI H8DRmM6FnYGy5SDD3U8gRSY2z/rZ67xh2Jh7Jtc6i7qF9kyXTcRls+mQdwI76lnAO5gkcJ0bSL72 UMfrck/ALX6HrZrV01RtV29NBQAYPqGiAkk1LmhqOngRw/fIFL4R1gAFgf3GCfDGQh5VSX+UvxLp 4pC/RA1hlbheskOQWn8P9stfBahYHwPANkvbVla994vXtqhmgGoNT19kLK83DDG4Q01p9bdr9Puy BI3CyYo0kBzWolnr8ancxt0prdNEmpMu+hIRVHjP3byA74POIHgn6qb1aLu/3D61IaGqL/0veKwR bjuGraGJ1mxznCkrJSBi98crGTXEbHQJ0b/TorFQREYsUaINvwMxrIXFUR2qvOBV8gTeN8MFhHiG H+CHis43wxB+39xuhQdm9+XA8YH2PVD7qhk1ZzQsFi2DD9pXZC6QNmCDZV51lbMZe0V1rk0+B6Mv 7kCkgkWVBM9mpb0CcHjKxczFJKXORepYrc6FN2lcpjAZyKE6fkhD8gE8qd1hLRLdc5xhcvwQdWUq kyDCbDrIqNflLKXyAf7V4pLWT46j/HKcjecNq4erXD0aU/6jizt3xrYYVpkvOT+heVLzcxwxkNpV iq6VX0J+FoMQ9fsDKMwEpIhq1hxwOY0++c0eYU+sA1fkUc4yE2xvSpg44jF24DKRMCmKWhHOT7sd JPSmNtoxkwppk8T3ZqlUuZPt3IapHnR3CN1dXTK8mvMB61SUu1EksPEKIpSJXwspDsYIM5z31add j07rFe61/13vP6ARNiOaZz1lVBcXKcil9OiOGcZqLQQWBgHpbPI0aIK+76E0TLgXXRqXoInJ6vSR 5Co6vmto5qdGSbM8UFzBixSpFHds8JE4LkCQ+P4QkOaRPik8GmgOafrGBpdxLYGH4Q4DcFHASiuQ KzRLZPfwHC3MOpU0cZ06flmKzeIbxu8OuPw9BZwi2CM54XdkzFx/KJZfi+Zu8/ifkwqJXh9X4vpa Xqf4wDoCfSS6JPdJMF+KezyN2s3XRdzcZFiyV2OKJPKa/7heVBqKebE9+oWfkWkOHE0RYtA10FtE TJSL0zps08VL5FiBwXNwCLWFbskgxSqN6B1edbFWnQXfD4RiR8LL7RWUWDJ0jppAZ6MpHOAMf2po xxEP3r7shIQE/XkfWlonY0dYimQLTWmEtE34kA419WFwEaJbKZNPl3NgewqXYQsc2GCv+cxeFtVj VYHKOYmf3OCDXAGCst2zjDr8FswEX3JvncotIJRtUjycRsd0KX1BBTFSuC3vEgMECRC1inxErtWs gmJclcECdYWW2qc5spsWMfojzDwf7iLlvjmtx6dwJb0CKglWqPFerCbwtQ01W6JGE2u5CprHbagg Bg+mJDSpX80JoV1E9vnTMdjg7W+jgSKwDSmZf7QbtN5hIV3C2uyVKQoT5cg0h6T2ne5zq8Ju9ZQe eKvIZqQh1i8zDs0+ZywPoszVJ6CeB3gnqTkvWXK0YkO8JIIrVT7m5iwIyqfiYgFj+PUkwZqtBZD6 G70VHDTYNvLSpARwKr6UDI6+ZQ5dhggreak0brW5gPzFkQ+Q+AqkQb9DrOc1IK58r4T2Od6LOv1h eD3TGsKQJNUBUo3f3CliFAkmW2+HceknvS5ykdN5wjrQGR0RrNm2dyIpU3sj0L71NkN7U6tqvhJz QuWqK07QjG7dUohSvAk54TRLaE1Ull0lt/Spe1nHwVg5oCtx2SOb+p3Q4RL4Ofgfz9U4MQuwGdUa nNUvjqimmV513GnRVRsefTtIdR/sqRPtyudUcm2FD+kbGpezzKFh7J0/pShefcVteqaRU9ZEp4dC SRkNG/posREW5R/RqW03eyY/51L4JzB5v+bwEec1cROu4D21FNYyDgBJ79uF9fAHtGxgJISs5U5R GRGKn9DryTHNcUGq4JEECHSefJiAQqLdOn6D5ECgkeyaQYnfASkqhzTS8wVJLl2MfQisGft9lgxR ckP6GjG92Mbw954UoOppIWm37gUvSSfVwv4fuoMBdy/jOn4W+CD11rh+p6gefLg6+q4QUdf/334m uYLn8CMHpL6nLYEGiGoJ/Y3DUBdpGbR5HCl61yACFIDJneBd/PhVOUdyVspI315munhMOp3W6gr8 BP2zf0RWDL48XV1pXLXqLuWuvY7ZlmpK6Jsuqd3xukPhsoeqLSxumRNWPB/OhqPrVPU+va5Bmxyn LUNgtg9apgpXtEU4C0rAvTQAIAfKsFGnzxkClS2Kod9KhNvupNJlB/Dl6euILAe/zX4LvcI1W4kP joFIpF1KDI+xUkYykeuxyBL0ddf6p3nq+FiV62p0S+WVLXsEOawH8l7nGIGizwEsX0bwPT7jgs4g EjBlc75RaV6lfQGGaq1dWxfTx7rOJDYl1rEtduhKjRhruR8K+6d7L7YvdbqUJcBnC3I3oGNGxGUC XFoAut0q2etTbhIZ5bhYxA1Io0TlTTBiwN8/sPnzQCdLm+AqjagMmRtUsDGcTU03osKT0wlAM2CR sKjm51ea7CvEFucB1CG1AAQFbEwZ5AEFAaKJkU3t+OYPCZYFuI8HRU7MXsXysV28H5Yyf21UjILW tQgMDM1rR91bof+BnRx8qFXhpKQAJNysysRvK+cwqWZTwn8fU/NybFWxvitivJpZ7t41aUS53q/1 a3D2J8lMINfCw9TJl3RZYAQ9LBxJlMFmW1hjtwoYORjNc4AMAn6jib4qrvyeqOhqzY4srx2HHG8e 5Kc4E4Yic4fawHNSskJ292/fxQxDofHOf9fOaenRrGqB1KRDpjKj+yAhm5YmeE0i6S8V4qHCYTeR K2nOjrCX1qmtCCYuPoSKaKTD/e+6HqonkAXtHEqrDUubSIwS/dX42eExojyvW9tZE/rGObJztnTi wd5bFIForVirult+06jhG2LyxtgcKfUI34hj55Mvto6g9oBbZMFivTrBUJzUcq89ikoug2IwdnmT M6ENt7MrLNstqjrAYRFg2KBZGgmZIDknTdZVHm1fyP4XoDxasEH/k+7L2F4qiAX3dJUK0SlKisiQ eE1eHL42oUShMQfu5GcnpWdFCW381aVNN56LBrCYTtz+koimkAl/X/tUxBaXEK9bZRDatxjXn9bG G1nIuLViSPpTvmvURi/+QEuXDhkNzjbFOqC9EN73fikjE3SEHOfhG1oA9Z8AFQkllab0iIC96LhB l6980Q3k7YnIdlLMkCG9/A93KKjiL/ZiXKilyUWtrpBFlA0E6uNYptOwdCQIwEGwzptF03w6gr2S djOmVDWDc/JYyX9/VCNx90u+214v4l1en9OxgpE0Nxf4ZGhBTeNP+P25ioTP1k8FqYqSCLm+eDJP Ual2gvgJu3Uyxy4DJ+ijCz2LMQvZoglauKJceZUCopbFNO2M1WzlphfSV2tpHbqy0fCwzVTVAoR2 nwHvJ6ZFqhiyi5fxCYO994BnZ2yancC/xE6ovjx5ZJ5ULthjw20wScvUZZxTMoVqvkWuIZEJRHiD cjSIccO6r0KauMUvqIrKYuSGRNUiIG0/RE5c9ZTz4LSQzwxsn0fAKytb7DKrTJhu3z2jbzQKAsSx G8G4DcLlMl3mFRmybRdNLW8pEiqEkXS5sHAKB6PHXO1s65Hs+IjiWFfStONwz7z/M60t8s2xiw2B LXdqr+2znEqi4EB4gO/Nsqj7twJiQyu5hLm5lngIwzRtwgtYNFpLEACwFaMD13YDpyG43NzX1A2B eIMtL6YMTXo+hAe+AtsP9vGPYiTyK+1Oj7sKL4cmtrxce2yCAaBadXFRX4Zpj1d1gmQA81Yt+yd2 PlN7yE6bv4ZkTQ7CdALSXdHNo0BOP+4am7N88ANxsH+aPklMSAgs2OJshiuh1aGbKb9pkh8n/lWW /ZgFvcQn741N5HZQcAijcJCulZ+rrpUZq3hRaT18vHqY0c0P5WBMaWbMbWm8CcmE3bu/QBT/jdbC VuFcid9lDxAimbBJpntAHJhXiueGruWWfxMkJ61TRdr3l4VmcwbKe9bdQjCOJDbC7A8+PbQstsph IPOEDHK5aFlzRO85F6E1CylbFfs01aC2bHKNPjd1XCHVC7p3zEupMcoB6QNfajHf3mqCHj76KbqF N1PJkZNk7DmuoVBbp4IpgIIJwNFDDQ0PRfhIwchjGvzKw/0qD0kY/AVTBl2jEVBbu2Pa7JZMMVns /s0OrFZ3wtBfP1i1BsHaKWj95Shivx2CJDG7C1aojIqnzZR/B2e78BQqvieIEwl05RiGhH0yDfmz mfHRovIOJL0JL/aa4vghIbhqoo1bJIbQfsz6Hlu0rfY/vgZ98vwgMcqxSZGpi7OJPKRB6ATGQkxS eRs0VU5V1C+2YzpCrWLf454D0P/02kPl86n8qlRzL0S9/JHADJT3LwlFIwLVHNavhGwYjqFv55H9 YkJtXDHA1gjEtYkYse8GNsSf7dZFhUWNjsbAFbyWBN69AARuRv2d1wFIo+3Rd8zSrt9nzUd8XaCC wE7hDwm5G2Um9W1YUfiYjqRIObMe0RnGScEDQE5sSCiPHk3UUZ05Q/b2ERXqql1N9SyhvjxBgZ/i 0CCuQqGA8rGjx4T35lURGFg1cf7oR9aXTL1wgDYkCKBebbB7E4zB6U1szFKDcMQcrk0OkTVrbJAa dsvxwE66u0GG0h1kjLO5ixd7B8o890qc537avG1xnJiNy6kTHLZMKlogZ0Lp/eVSKU/ihdXNws73 /PB+Z51exDwDPGsRRpNWYM4ihe6iY2PBhrWTepUXHCJCLfIqnC5x3Ni5Q4pVdpnI1tyqJ+jLFCxg TumzBAZYVe0TtXNMLcsXhaCEgBto47H/BZsCMFC+ACYzaIC182ZeS33nmATJmSbo9+skHP9E3TL8 5wgeFW9l+S7m+cVFYU7jfOYK72U5tsFNHAYTKXc1kVkSWE7GcTyoRnWzb4q5N9RHmaPs1V8w+89l 6AuIgTTZc91Dy6UVzro+7b14VbUXmpl45kvPCtfj6I/f3O3aeM1e+FyJAPHk09CY6EE0s7ulOsHx o6Lzc7c/TPoKlkBbIxHY4eiZJE6lprwjc8Z2ivZYdysZRuopol9LAP9sk3KXu7bIc9eVSkkYvfjv FEXKRgoGGHK7+yuVkgkwuqCflyrAuQDkAKs6AYt1IiQlw0/McBh0wP6qGxoICHhe/Xzp34vnd8mw e7cIfb5c6GzGl0t+k7MfQIz8QGVsWtoB578cePeFX+tm+5L7b9XxSQzIcsjT0Mctox2/AL8XRcW9 9zcIqA6OtSKDNI13qGmXCfgrLEqtGFPUw6KDAtBeh5O6utJnzr0/bTJVyZrvMamO1ZBifLm3JN3+ GVMLc7nGmJlyITCTNbTfQNF79JqBnTG4e49CZIGr+CLj3gEF7nPHaHlOPJFCfSYJZvCuBUYlI1zY AXbUPtcuyBGCSCdA7jziFh2+xF7BZpU/uRJIrVeI+yw78l74o/45H0M6sSiCMdziyT7wLiCuqo+1 H3v783aluFD2YreWT2YannDBGm4ACgUTpJkR+a17j+DpX+lJEsDzImp074f7lv1Fpx9n2BQ5/+6v UuoYazfD2vbp+MLH6CXM6c9zyrCJig/Xf+Bvy5IkppZzo2Xu6yYcbVNE3vlBPY0qMEvzY2lszElP J/Lxv0nB5CJ9mKpgc1vBFByYWb1HDZuTo+gNtFT/Ke3Wy2jRmWAdpV69o1VHXoy0wN25JFT/FnAR QyDeYltQ15lFUwK1qR7Cn5czQMpzA1DxxHB+nnRs03Q9sB6LoQ6NA+83V0UTk8evAjlKGcWRH9OU Yp8U4KcL1mm81uWBJ/K/6947OminHjyPfdSLTt9upRIbGQ7okP+EsU3rKky8CK0RmLXRofLVHQd6 gAkXoDm/gJHjGIPBjxsTLFqmAcZ1INfinqqmYpXvVbsrQryLNIk9HZD1Tf00+sXLbHnFHsSbG/EA etCMnf5JFc2mxIZA+jXWxfEuPu0sxJCaSiskD+Qh+j5p1cTj3LQKIMcHSB/XoBstX3uiYVO7Zo5U mTVkgQQMZomZsq1h3mNAfVQoxDf2PpSPn1Qo+ZmeSGvgys1g1x/Q07iggwJayXkk0iAoj5/VYMwX WPzjx+XFdTqexhovvHo7Ek/1ghR0bF/Jf5s9pN+1fP7yXP44nw2TpnmsvA8x5FmXfkxkUH6VljwI ndvge1axJkofRwUwFY7kz0yqY2dTeJ56zrm7kl+R0UY8rbvarw5TSh4P5JmxpXU0nm7yqkwPkNg2 20PUk1oAzTT+qD6FdC8YcF2tjwOZ8qtwy0GZFxFDPa1m96mBrc1IVkLIcqNzmBHLqTaEZioY1BY0 ngFl6Uz/bKxA0o5a9Rh1lzS6rSWAXe6iG7gaM1Elbdd1mLCCyc40ERm4E8f864BXDsr+kSCQjWEj KFUGq+AgDarUC10xKEAsijqEaTmBw2blnBGbfQupBfsFU1wYS5kHlDtW+YQA4/xcjU0MUcPwg6pG qGf+OpTg40vofp0HAal5WxBwcqoWdZyFQVB80seuhAAw+gtZo4ArWYU6Gg33DGQjXVByO4SHlPKv JnQDeEu+UpgV6TrBOdFz9teXZ0aVdLzGZSwuSjU0lhPNFZBZ0p1mvVEJpxmAwbh/qVyGLW1gdBpI cHiJqH4EZU1EcScXZPUmmw4V+nhmaaq0bakNuUnHpTHjuH8PcAZy/KZZDucWxVocKLwgUn6Ng/5J 5HpLoee41tu7waune9IopPtKciR/y8DZMagXzhc0BLJLQwHrkd2aR7xvqAwgWYRZLhQukstjzS5K Zocz2NjuByD+Vk/xQk2kjfY6xYdbAFgYtnWECqdfJGn3XmlJbaj+8up+wa1/DUsOaNb62s4mvQ4b rRp7s8E+hta3p5574ELY37YgFE9yL5m1QrT5MuLWgzY3JJRA1l8rBcMIgKOpELvYcXbB2xfRB9vx W/jrKlt7GPhtSoiq7lD6vhqW/QFl5aJYOG9llOsMVACwBfhCiWG4FjcmUE++oGqLIrsbDTJ0UIjF CXlDTPmAeeM7AZ2KgDok51RtJ1eOHFFZT3iTkaDCrmoxY7MQn/XvGaa7nAOj+F7u3k4HRN4xYVsn 2GNoFYDW3/u8nOhChYAY2Nt3NI1hsnMs9HCUqOUuNDi8yI+ktKzd1rYvbpOd4wCf1Dg3mhO2ijrS 0RwrcYbHD+PLaHfdgxF6UIjBk1VBbO21nw2PS+0WimYuyuagIvvQlx7NU1seTM8tQUTJCAj7ssjH xIJXJRLrrrPqM0ZA6vKzzNHLKMLBsPE5SovEa/EU4b/h7TashzkrK53lCesWmxTAra9UGQAaCAuu KENdXIdlgNtcLZ4p/NAD/6HhS4rAhoO+AGoWA2of8Rehe5+IC+WE6QG8eaooeZ4gCSbxYH5A5Ska AsUCaw/TdTZv/DiDqRMOeM3QCpe57NF4DlqZemyfvyf99R3aFrBblGw+SxempZAltw9gSzj8izaO YGXXPwBSuYk0auRQ0HnXiDRfbQUhzk/n7eqeew6GI9ZGvjh6agmNzyA5kqgIC1KHU2zHIzQPrn1K JPrOUVFiOzDZUUIhPhNWlYsSeKmdH0zB9svoBQ8wwmszx3cYTVdClcy60qxNVoqe7DY8VkScKwMY +VvwlstLXgSoYDMvZVBvyFIJksJBozmLijbrXmqAO2CoInsgYPB4jwuWlTYHcObF9DQahOIrwhPK OQZFOHRakHkZIxYCbClFN/V8OfNOAtKm7e/uY36dorfyD5h5SY/foLcHGEcbeJrw0oY6lH6UOK/4 GF4Kid+dqvxg0lphKmM/dO4s3s+mNZREa8t9Osf+O780YKkZNqZ3Se/HOSriU63EGCAkAuKyhBIy 02pmNMG+TTpCGb4rxvuD9/lhCVZ1VgLXY6BvtvRfTXCOY6wD9UVRCHEgWqrV9hKfp/i2UnLeFEWI CuR6OaaWZT/M04v1o/uRGPnmCnlH5hfhrPfLJOdE+WuHa4irlH+UFNJMluzcu0fIDvUY2ji/K5Fl 0bQ0n59skJ3l94NKNbIyEwH7x7OWJg93K3Y796n1MYI1zCT/+8VwBs2LQuE0QHyTiTob2tvXvhAU 9y34SXaFlI3vusqcozIAZd28Jc61mDqb2yK54Dya6IkPl61f4G4Rsi3PTTioH7XsPZ9l3OzewCB4 TC6oLRTrs0ijEx+v53itfSAMOjy4vebsumJ9owTHzKSanAghBiOvP/FOQp391lJyBa/gxYn4WPpn NFY3iC+Vd5P5ZfKNSBlRxGjp2ecwyefLh9FIOPA0rSZLNV+lKoNeyJaX/F/7CYxI9lhIdNC0MdVQ u6uHmO2YKwAi28n9CFw5q5nt++1gK61BKGfrrke4BjYi+yStGTgIBRU+cjR8iGEEPSDBXqDVPrOO yNo4wXJu1AM+NLZwyNd2hly34dVWOSFPitfWDXg6/1UdDWZ1eF7SuCMde+6HoEyBBEb7khW1FfTh 1Crj1d4IophDAPSmb30fwPLmfwaDHbgLkjEVNHLdU2qhaL4f59G+db1lcNz3cV8/YW7SDKUn7eQJ l578QRM53/I/W1mldP69SZlBHZlSDAcruCYU0LwNTkKzjVdIqxgb+scvreDHkRG0t6yb319xb2xE nbQIfzQ1QB79cK7XyQ/CNpECx4su9cD4yGZgYsIB/SCo6fmQqOSNxzKSeIL8gWZU9RqUQktx4ooT 3B0Mk1dnYxg9xXGa2FvVSEoOSrMWNDWIlQ4Y/8xDIhQsz8QWpTdf9dXcddEvndks11753smZlP92 M8M2rdrHtxR0Kk7806RV12JlvY8usePF3riczqpx/cAo8k55PpP3q1pYAJ5+MBQYgEqm0d66PuYz iKPIbSGU3VGbEOvrUd9wqOhDIa0Pkdnr54RJPiSY/2C8dY/IrpgajO144xGMgD9rHcm4EIJ5j6/Q 0QkFc/xkZ332Sd3tp18KmGZ6f7x++C3TQHMT3Y9e3xbnbbYZ3VGDRDV+mOFYCOUmtSeNhGspyHUn CroZlWTVC/rlwt9kR5TsFIofpsn1AZ7Zjn0ZsJpXqzpRtrfG9z4iBbLDKmkK4KvwI0nycd9O0Y9V F836rkVifCUgEgcIdBae3UvPkc4FBEZQCTcAKUR7KOMwunELX9NCIlPv9JqFkMLYt95nTljXlSeD ERd+mvK7Kx9AORzS76ZB2tXWZynGVlNzIeHOzbueXqUJ24K+rvbQgkR2xCXOM2pJA2ojg409AkZs aO5InssuKtJcB30XxwnxW0VhBvnUMSfhaF1WPvYiroXofWts/igdv1+HVsRJR5oevjipu7R2V9K0 F8WSL/ocniWNOKsRX/QVn6PbXKmjPPXBIlFfKlSi/m2hcmeRR+iLGAPOWAK0h5HYb5QrvYmmkisL j4vuhNMmi2s1tj0AbaA1li1dP+INnj7DlopEhbk7u9QPd92L9+rjuJxrEG1FkHjZEWBe5WzZ1JwS scuYR5DbTcaVukwXBkppA71hcJ3dXJdiYSAmoFWNJdYqTPwAZnRJH0a7Xjdb+fvWnXdcdFunDhY+ SkO18QNP98pTfsUTp//UTQJL97Unbt/WtW9yxdE/dpNWxzqBF/1c61FScTymz4SeeBiyCw5OihSG UXwX1KfwCUGTPIFpKX3rtBfobrVsB0OiU/uuqmdLy9iZZkODlf9H7QoCbDHvgL9kcgpRbXOovT1J F2+xssYVprXafJlA8UZt3HR+KKUSAq4nuZx/olr4cRHIbIkDpUWqkbK2mtoRAOBCqHhNlCMbg4up q0Gs5zQ4jebBPeplSJR4ff9gYyG696VQh/06IJEQ477ODkDVDDNi8f2C7hgKRKx6LCrakhqoEbYi cp9EH/SKiM+56qyTxMxtbn75SuqVcB9oIx7C5HuP/607EtYvCpdKZHLbJtmISN2LXUyXj5mwmat9 BtRKUfIyVCRVKfRl0LKRWOcUMZiG5GNzhc7xvlYLy290FxULipmqWtN9ib82EJRg4fjAviV4vSIH IQF3dLXNtzYoPBHIY/lGYFZ8QNy42R5ry7TA9NzkGBQ6/CWKdTCIOCSiieOtWjXVWnBi3WyO+D9J h1h5jxO5GD2dA03ZejVPJEvxBB8AQNqKxtFsFbmDleJ+d4YXirfqbsW4upmTsBohVplF+7aqrAc8 k676LwYkLoVYFGMcWtEocuAbKjZjmZ+LRohj/hj7R/q2ItE1BCmd5v25kFyMkWkZAfWwqTJznECS ttnIcfoOiskjgobZGwjOiPS7esXqBUCb4/ABw1uDflm45ofbO/yScnqaBvkhz+Pq9g915I/LuXVq TDLs+5PkM9MajGWwpNkwamOFjjBTOz3OPn/ER3wAQgu0WgVkqESDwFzsxrNkjl3nRrTUlvQ7E1lM YCTAehz/ZM8nGpVu8EVPEpjWhZTaw6efM+pfytTp/jMmhX27LRWSHfEdyKNalA04w9fgk4EpoRFJ 0NNlZknEcY/pBN6Ny5jUWfbbKlDk/f82B9pFTpfLFiQollcpZtotcxQnBNHt1MWiEAP/T7SIsWky NvxoIaWkHPYxOki5cbey4tF4DhgKAOpmVYT5WgTxY4Hd3RSAB98e2kWXU836TvIqpn+cl86ZApxp gceBX9LC8+wx+SUN4wADiCyjmjTGi64qM6eQqUVazKy0F+IBdEww1FEMYzRF2756+z5B2CwU8lqJ zk4J4WssA1hFggfhg3Kn+itIkzK2hjomh/dULgvLQgQWIRMSRadxr5pJwLPL7+NLe+Y5ukjVtLTf 6Sanwkn6L3d/kLWPj7LlDMLi71zY0/sub5QHi91zfS908Ro1E6SsKa6NpQkOHWB2K/6wEyiSa/6e 5VVAIETkI44BRbyo8FSOPSAucoGseN6JeGk6N6R9Df80B37pNuzSotZoXPuXva4NoLWEmlVpAN9a ex+D2YORmoESQFkrxmhtyNGfkBsHj5s35zyiYUTo59+WrJNj0zn42MXoTetvfpCBLPAzhGGzsENx WpHqd8PuLWBlooEMT8t954MXCzJ8Ix75y43Nzghwjeg3dpZW2rl9pu8v+zK9zqqJrW/rLCvpOXbq EympdKVfkftPFD0aMZlNZZnu5lmreE7t2Ql0XfME0HKcUgbUfgFRzA40lWjgS2W0bBtgEg/1K88h aTlJ8eWtZZtUj/53i0mFff6IhhzFJ/FDZUUFrsIsKJR4/YeEiLTNUr4Rwjs/qnPzPBG+HYHn6lGw 0fVedYP/VkJnSVGel3ySEpn9HK0HjBVXkiqRehh3+nVQ/68nfhxSHIThEMHbMnFyBgGE2Z+svGvB HJ7A5hdxP9Z8rWyLNSijDlI64VZSFsmXNcR13LVqwoGtCsOXHjJVQ69BRW9vQisDsWhmvrvL68cv OOfhVGdW1goe0C1T2NVfE2Zsw4EbnGcJD/k7ficO+NaztXlKXeShv3AkLA/FzU5lp7W5U4U3BbG4 mZ9QM1Tnq7G0y5C2AneftijS8HkHDlyRyDFAsZZHisPaM4hkMQg3ZQW3uHT3XvYhhkTEmPntzFVw Kvmv6saG2OORkUS1B0LpXKEboZOc/6/20mNkC9VUa2VTF1bjULGOJWm/55kJ/x6Ob6klARqxwufK OS57SGTLpeTbkMVtNk2dsBEmWdoMyoqexs0NQSyej+bh4qkl9OrTIAJDBis5RZ304CZ0BV3z42M+ DCrMWGurB8DWZUj4kjPW9GnCN/ghvURq1rhAEhX1DFnyyC6mL0duYq2fiL45btvXDSfgzzmk+xg2 8UphoD2mjGuVelgf/aAt4S6EODBFL02BVW4t5tYqGVwpVeX1wC0D0U62aH9hSFqx1xp+pso+ojuS N8x0XZ1CIaXtj6R8ZBCjn3kLifjllcEQ+n1QjqiChn2u9qu46uno7hX8H4ICWZjhMEIghfMe7RAw OhItphqZ3q0lEcnwivkBf/79H+k908LTvZDDkujsdbLdwbmTiySNF+PPpQgUgsA+74FgJd93ADGw ECpRMYx3bR3vUVzwDBy85eZB42L7BLGBBE0WuHtDR3Qj/ngSolO9YiZM5GID0seczVmfm7qTqeAy PH5tfaOwTxZG3G3XIGbs2xgUMSJjGYBk9At4K6PaAIxuU1TU0R1YVM5N9peC46STRzgLcYcPo4b0 oqOVbCA3EdrKUoNDwIjVJlnPF/svgzyLM14JU4skE/pnIphjynaN0IZXRDkMyuooF8G2WkanN741 HtstfJct1WULAawcLSSMhlYRmBLtOPXYYwnRkWMY+Fqmg6kRXvOV2IDhDIwiFu7feb7QRymaAk7T tflhahX/AsINbs7qBZIjSgghkjtmLGtUY6qVJrYkS10q1tqttIec6p1E3jl6ZHSfO+RCSjwOwGR9 Dp2vUkYcBgJ7OY9iyRqtAH8udekVf6F812Rn2er05oT46h5ibTFuaRYygC8WX1TXqC1kH2GBCRf7 mdK2KdIHml9Vo7Zb0/S6WJ0KTak66fvRMUbImYuQZcoz2f74HyFhpjdvnv2RUd+HKc8wVot/AFFs uyLRJN8xencodXhZRCU6J/aZsdqxTYpK4mucX6H337kRlJL3uzxcHZEJNh23jqKObBFbjtIPU4Du r46PUl/jcuKUWoddnP9JsHUazbdq2LNYv0t1Xv/dq47w7qnp/ZPJJnlBkEdgj/jV72HK4lRLb63l +UEdHweFgqR0tKVSyLvDS0oYOKgxtRNDB8vd7mCZiQTAX9rrsthDqGlzcWmwiIjwYFHWYTQBCxJl e0aPmUdyvzul/my41r8zCu7Qx+TvDuw97G7fFfgx5a3M4/LbOjNd8XSGOysrG09hySpcVjkgwj10 dGpXYMyblv5KMSNSKD1AkQBaOjnzITcTnlWILuD4ATDZnrgPvgxPZYEBgakki/a9JCD2HU0fqRVA 4Kw9vDPLp4PqpA51u/joaDXgLv5cr88LTrZCzf+FW4xdprRWKHqfs80xOyScLMcQmbW2OJkkZDQc 44mh+5LTueFCXP112v55S4AagKu8W4/8P5CJrFBAvuHRByBQ/H+U/YNduulF3gX6k9eB5+hO2sJD 1vQOg2X4QMUK0Ry033PwgBbercnTzfg2w3ZA+kxPlFFH0ozGrMETLEQi+XqvfvdyWcjX0Ofh/mYz uFiUa+4K3/4Pj1mP1wzEs38f4yIiiUyPJ2Ial2TPWvSVKmi/Pm89z0geJdBpoVyFM3myShDlzKHh CRm3tc0ogiD9dR1hDzJx6MSuR4YdGxE7BIEy8DsfBHUDHgj4fah0/b2YHiVWVDbQfpiPvk7fa7wY 6a+Iw7XAGv9TVP8p/9ocBX01U/5+iHkesQVXrf8stURBDPnPWGnN1UEvNNl7/Mjm13FmKlmrh0Fm DqdAmTEFfA01qf14oLkqAXCPgoBq4C4rarJONza9XaG4qSBofzsN8IRoLZONLAhWcHCQmB8cI+nq BEMqA+2UHfJkTIXpUTBn7DclNaoYo7B3SiQ8Uj6lQrT9RG5+NTb4Q32aeTQzWbgPqM6Su7YyTZMT PTOTDoePJRRMyGFpGHNNWMn1oaLCrFLMERANNsa7Va5zcsPwBBxvDHf0tNqnnW4dRL7C8XK4aMwH 10SqWZEgN0AxKiaUVnncRaTXqPQ5cN10LYOfLrPYojFiOLutoBOlOEvf4QTC+PPG3GWX+c5EZwQP HnpefxL19DJCOFVtpDieJE3B6bHG3feNz8OVW9kZac3bVMVpxIFdZOQlsOrjxH3BywvF903ir3gq XwSohE0Qq5oV3xGcq+5zVCHKhkG6fRCxNgCe6s7MlXyHChZUkRnflU8tUrWxeQ0AhqFV0eo5AfM5 V3q/NlsQJqwEpEPfITsLax4FtyuZUFdeReZsExo+J4i7GNdZO4X7S+h1LZ+6hSCwhxwYX6gHviSV DXM28eU38qEqwutMQleUQaOq+eSpilfzBJF93qHy/Rf+3u/OVXeegid/1STUK1mDwwCasEuyDepY 2p0k2n/foBox13lhG4jlFTNxskcJaW1+l/dLkzt37FRhg9oneq4pRfs4dmhKXKPGP/kcdZLCWKJz CTuelsM9tSj8hrXbnnJ6aH4pG4U80rsy1PiCZEXwBcZXySMD3KSfQ8uxZrTdurymygdFXIiP64ZD Ga/xTSpUJumr/KS/EaSNAgrPs7WsXgZHmIJlMVeLIIaQhUmfKcfNK0ENp0+3quJApriEcSziNX2q Ch2Qw76+MaqYIyLoM9w+z4ccc+7i+VMixVb4TnUxNN1HtpfK0/28S1JukxEQvkzQuch+BVuoFs2n Ye834OkPCWTX9jO24PBYcdZtjYOCs1ib3XoZwVNdn4fHKrJqnqg+woW+K9+JJB9iVafkxrA0UH+B PqxbVnPXLL/QqV+YE5g8qXmPqze0Ho7gI0/YtK3OETtBrrbFoZl2wwhGn+e0ZXy8TJAw/Camaypu kfoDmwH0SpZw+JZTMBCmEehZpAhZBilKLx1HYRs3P76yJCGkLMq8GT+gcfKyjBWg8ZUqA7mRiN1F 7YJ6uMyFYoKwAmwI/Jt6zg327pTL/W4oz/twTBFwpPsVcAGhruIy+rAwAdKGqxsAz/nyAWCBGdgg rRKBONLjY572w3blWljOwY8pI2DGfKO/++whTK7qtD0zUB6h/x0AueUs2UbO6WuZ3d9KjnfiOhA/ x+nLYLAVa5ZHS10Ef8NjT5PQdqjJaiF8tlAaKfe5quonCtE05J8qbxtwb/Sn3DeTZKwCq2FZqaLI 2u+si1xtqE6CaEy73N8uWEYkw/E6E0mY0VBMJSEahUvYJBm1T0zwQ9z2We+nu/VvbagQoPwxxE9+ gFY1R30BVcHSAfqawA3WbnBHb3p4sxkB4P9QOKLWtLG35YnRlp5qVN3hQNQj/pZm+pRpnDS57q4Z gW95r9O08n5FxgqH7yR136Sm2zvod+t++B1RRt4JO/U9CLh1iu7a0D7M71dR52CT5sKcxR4MZYg1 1vl358eIHOnHUauWx5QaLojURhmm42vrealUDs4ULjJSw4E80TZJV7ftqlOq2e+7RgLYBAoHqFPU Z4SqSJeVaUqpjopKGZIN1wU8aHBKJFkVgkmEVPsWkMJX+cJaZnrM5e+j/tq1InB95cQTyn55LM/y uPtOPwDelwUBJsT+RkzcFJssN09BGxAC9ZWgnU5j7qbOm/yoP7geCFYCm+vpQ/+LMDGyttAvhQAo eCIO0xVO6VNQuLbuZwV2eRzD7/GqGdzXNq+kdV//mNJ0sxCXRx1AAMo2ZiewMrygAbHFqywyo49N yzhKgI/UbhUPKS9sqW+fBLD4d6r4rGMWPxSRaMFVXldIKtDk4xzi2T2uK/PPWLk4QVUULmDDxyMK WsC5gGy7YLIyRqt3bTh2ZmER11HL+CPCCak6iuPaIa9vHZLuHEm4cz+QDhIRFn7vOnww0cGoqZO8 nfD3t8VrOSapCkPdL+gEv1fx9pVMki8S6XDxYWXIXx/ouRsrTAoA6YstBjHmxGE62q1GnuJ1B7N8 f5e/RHOAjZSWxiq5QUkyg7gBPXUUys4JH8Xx5KTaAfI7UOYmVb7kGWuu3Dc1pT5yc+vouxhaK3Wc JhpKOewIfh/q8e6sCCgk6zKBZxeR6rAYJzMVgN1YEKpcKHq3fqF3+7PiQrqOTaWvPtbmZ+VxKacO vf69nhXEioAqDpcUzXsIz6IZd+kGdshucLvvPK2bqxKPsXyjDrX/95+O+BDxxPsE3of4//oXe1L8 /1TmO2m50qsGPtgDEUdJca5MW82vOJaN/xjGWZ9SKpjqr4RNd/WdA/VydWfGH7tG45UuQf0wHW+O 7q3RJ/bgviqn1kwOLMh2EsUAVw1+3m83o3RV1rs/vowMPzl1S0ReIU/vuA5efNTRbclc3cq0/YTy BMh/zoXjXG5Os+GLraWBqb7/NqLRqKC4Qvgep4CFMDmXfVRpeSSXKH0Br+7DvJIzkXhejF3yboXA P2zrP4fePIyo/TzmYTGNI+ESctMUSElnAXTU7d1ZYv8L7TvOxSErHSCNFHgw8yEQYkxuJcpvgmG8 cYUcb9+XPIC8Nm1rgNVTD9UlDclj8an+uq4gfdcUG6vBzTc3D1/kxNWe42S9dXeS5nLoX+/M8uLw PXh22u1fTQPffKO7xUtkI0uTde3ZmCBVd+pmt+a6kwl9T2esTL78ET7hJkR1kiDhzQ6BBmMx/Xaz sIBQpH+1uo4PlzstC5aVacx4ivyF1JKZPEypaJNCXIwp9DwZlmwSdAMOnUNQhYKlgOFkGex3uIbC B0tQEnYb8p/ssjy6NCipp5QxW5nu5OsR6bJsJf/FQkNmyCsUec4Qd9BR2ZK2maKGF4IF0dbVFLAe jJ6KnM86jhI/7uz2OWKjdkEkxfy9UdEbL9SQ6k92BYsB+lJJ2xKdLyrNmDolGDrPioHzXgfAe8k7 P18+PkXEToPcZ3IT2aUQAFuws57bsBrOLrGns9ldcW5E7FjVnGlZbiigf0Ms08Lh5ceKQAI+7wsQ s7UIF2Pe+/YmWRSxkB02sx2vTwGWTMI+ZTvxX+LZdrQgCpG0xFquqekt8xPNEb7ZOPblw3ByjuQN AItzQ1Vpqcn2U7Qro0rE6KFSEirRFF+5Le3w5FqdGXDXrIRKPSfiWfmYr+neZfP4Rl8ZlFrQImwK +fR8K4YXAMtGyr+v6WquV0MbT3TQ63c3WvB9a4AAkzQoMvMO6/sIip4etwpQRlsedMUC0K3I+HQl J2JuKpDZlP2YP0djQscLBh5T3TJjcsB3diMcZL4cmdF18I7TTk0+ue7wbTqbBZTedEg68WGjoDpO rsS6G2X/KkDyxhVpqEM0NMrdaUiuFSju0tnWOHn7RFU5Jrv7I975fl28syAby3pJt15jM65IBb1U 9Wf7XwTPr7fCG0c+cihSTqkNgT3PyLlPf3JEMCXd7A/HRHzuPwYEQv9+fBrw4X8lfsRgyWP6ErTk ExtT8QQfMRrdzD/C0tKudP97X6VpUkp36v1+1froNmuK3ccIq4JcSw5IfFxIru8gjTg7unNAFcTn bW4zay+lqZyne55la81fQr4uiYnSm/JsKRY09NKjEuXH0LfKfxZPRUZYJF96d9uvSBjzNPW6gww3 wXxt3JGG2ZNLVs6Lx0KYYznGJO2ga2+XQlNTdYsNkVIZyEk50MS+Azv7ZJunZuQSt64pIf3vZ0em wf8sr4cZowEifZKAOYcbBg4BNI7fdFxMGHkIP58YOIU9PxQN1BwK2zCTmc750uRASFySTWO2A7hh f5EJdIoBQkd275xSfS+TljAhGDUtnBPtQfvPILNsMSzAvQgyp5n/EExIgpmszyWUjvZbMVrFEHmH xkZZJxbkAtFCf1WfjRRnkWmeK+k3oBbuToSfBCPbdItncHmjK82SsxggwNc3veZNXuL3zj7tQfHV tKQBah3Hj4KQouDspwHomhzdOruCaSxlKSO/D7AW73BPd8863oTTk5Oru9hC4LB6WdwQA5w4qjY0 Ctea8DZYAUk3NQKD2WKreq30Uj5nWSbZaHcWmFR9adcNvolM01++nBAxVnZI05w0FYJiV77ZzDyY Nqi2gJBWv/g6BPbZe1BPix5Rqxu9W//9jUNYYBuJoDIyZWW13FSOXdyJqRGxacwAFFidQ/0WLRd4 aysPglbnAiyNBUdT4wdTn+atrN7in4fJdb718h4ZedvaI419aPbV/DU7FBwQErtpyvwyMlh9cfNY YqS4tJH+CGFaPbbddhUi+vps0kSkYSBbNo4egF8YPcP/D7Rb9l+qGdOlZBieRNRe8b1yZF56yZsk 72Qrg8cwjmgmGNGClUh41Y7LT4E0M1KgKUAb+yIkFStHwiYuJqGyqSqJ0xZhlK2VTc2B8wMY/McE rUHUEVKpJuM/nhc1EUSgZl0VJDnHqEPnVT4eYuiCK/8fImS6C99vlX2AqtFXKij9kE3ZM2DR4up2 CvPnU/swfog1lha9oa+APtU7cX+dV5Qn3QirZLY1i0W1TVLbOMZwFbOFjF9RLjVeBPUiE9fZoOp5 ewJS7nhqhswnRoCVytCyn1Se+JQHn8yBCyYn/M1OYKX/BYTy4Jb7dImKBJWU9+L7M++wII5FcLIk 7TA2EzRhE5g0LOegjokC/cXvuc01ZTh+S7hHjIg6HMhEx3Rs21bJ25/Pti2SNFcfR6rxU1CwBKJV uz8/IEFko5t+Z3iuKFU3Zb6bk8gMs28ol7u9HODJIzFVGvMc/QDmtbLNf1YHcOaPDaUsvi0LTUua 63xBiUYbcgDbRGH9mIP4bAhvylTdoPcaCrFB+iJb8il9MV75h3jTMLqT1kfOamBQe5aQRq/fev5N WdyeTqlx0mhn07qOrthvxGYipKFtnXDBjhncPLbmnm+m7UN5P3xaLWTAsZvp14r30ro/JZ8E/sE+ O3N27QnlWnrknVZas75IeT23Gvc6pT0yxVCPRLxJO+7cDTyblfj7wfaEnpnHr2YtQ6aSwZzUZM1+ aQe0zczhDG11gFHRYD0VGs2PiI44HTgQw4gwgNzOPfUgMK3jDWuRbpbGMEQolq2H+UFC5wp+LpKs y1cfzCg4+nHn8nb5jDBEZhuw1U0cOSPyiHLO2l1NDCHlEIE8hPQ1N/6AQosIqeTwMnIXkI4TbNhG easkxDJpiW6UlU+/tXCiUENMt9Q2UpZl+qeBHgMDH//gdz6Pv8/YEwkhr/8+0EgwexF2trFJZZZr /h08Ebdkh6FEwj9i3omC7+NFPMu8cAdEm5MrOWGMWvWMgjX5rg0NrGzN5aeTjHBbYU2vA2S0Gaz2 J2VP0ZmdgrO5EX6loYyoHIfz4FHSusZRBVKtIhD3rGA9Uf6sW8RH9OZyvCx5emc59PJOfChJAN+H 1Wec6YbP4BIPWuhCsll+oi+SCRYBk26T/BJ/JdNMBiXHRPli48JU/h9hdxGs8opA9GOusNf5+kaG 0zETVjCW79gFTare2KE2QHbPwCPQLJ1pUxlWMGvGZtlrTWbOckysaPQtWyUO5ggcLQmaGM1XdUnP kLIkDOMHr6i8X3rBw+zkpdxTWBgbB6EPN0gMgKbH1N9GoRuftEkDeBFpjoyweyh3dNAYT+Kl3bmH tsmeKlcRu5J5n8GdsNY4W3X4eJfCjYtiGV+CAvTgMpW/9J+bnw22cJOeq6GGj4iIQaho1lSYY0Nv uo1Vv2A4WEdvOPHaczxZxpSWWSOUTS6rmHcL8qX4ZcfXs4CZw4ids2w0pBQDzcdwOotaKR0qKcEJ RQpwOCbxwdNOjIWHBgRbgsBel3oXJM/2TPxS7IITHUbVxjViJ6E++Z7T2hQuMFJo4q9h3nwrDbtJ AfCT8okBGdX0DB/h2H5H5wrw6oiqRTh8UnYnQi0pxqOU9MvSmitzO9VaOrgcPiZ4KkTentYGM9gV xH78aiPLu4btLrZfeyYvfRMkRmvzvUMCqP7eETm5y0R9m/prPnhGgd8Jyr5Ipd7G2AZUB/AAyg/m dxSOtYXZ4N1f/aZJ2Doy5hJiRUYlzCVQCaEytzos3pwHhgf0MxsxzgT2liySepjN+cVuV1EQHOG2 ePitTHKmvjiA8ZhnzCAkpk1EU1zPCK5aqF74P/8HyCa4yE+qCQyLIOsn92q0DtJcs7hLUKtvuPWk G8+lXmPTaJfTv/fNEE3p6CPHiO+VzYe+P+1JYU7B+H1GMANcv4Nozi08z0yNv2bIh439Mp5zzmBI A5lygCksg1Bi9sOk4+NyBPu5c1Yx+N0ExhvfCDtfOytwWlH0qfIi1StUwWC+NtivALdagLY56Rd4 HjDglk8/z7398VRP/p8W42rmtyVYZhA+c5YPhCmtNbQ700kZMTfy8Ka1dnktIBpsEi1Dlb0bVu2U XQT/PbKRImaJVBGEgixvvD6h4K8vaP5ZAKCTPcfI095e0GHAo0OdELOeLDuMTXX1DYC7on2bfVoa vI7NNE42MQS6i9U5gnmVMS6TtbcuYl3Zi2Ljby0mLSNj8Fxy3nVMHbHuc3M03sqVqa38ZCf+3w55 Aenc07NQBZhpYQ1fQy1/HC7DdTe0Tp+TTteFleFQem0NJ7zDOdtRuOsme0otWNdC3CNVrc+EOqG4 KunGY/tyqGsaULa+L9Q0BQTudbx1HRt9L2SX4LO8pLXoitL/HmEbXSoBhjNaSprHmuLMIJz3N1AI Uf3o60ovtW5Qmydnj+VlzZns+y7l8OQ9L1VOLJ9BEHWbBHsl4rg0nTG+0LCBXlQjFeh0Zw0i8G50 uPquIuBlyeaFaJpQctzZRbzBUYHiKiqYoAm4mdmSWsusjtnby2//FCRFBpyeB7y31HikzncUWrhx uVHcRBLM6MwXTGexgLmdVkp+DeDJowRcb9WIxqeeICCH/1i1iTIi0Z/4nELXc9rrsV30IdRrqfKI TAsfQedYZVMq5biO4OSB80+5vK2HQyfvBYzfAQkRl7Bgk96Jb+3RvRnXBt1DYYjCrXmaGQyiLGfp DTBwjPLjvZf8RW33dexFZ8BDFynlYt8bMnBIMY6XolkBTcRnCrtscGfMN5ZdF5TgB7MtLebXvvV+ r+qE8iXi7fhiNh2lHZLVAUpmzkVszTUK9C4XVXrQZGGFIoyD5pHgGQifmVY7xYG2Mwv7UEFPAUmW SvvoLlwDBlX+qkmzs76H5WFerafcwzLrMYrQHDo2AU2NHzfCofRcX3j/xfZIlTCvFu3OS+BOoLyn tVPRzuw+TC19PdIm/4m7tDVCww8BJBMdTgcjVz4+LaQoo2OL5+voku0ouAI0VMFFEuk0SDTp/eUZ eNU0JFtabe06yq42SqQMcPOMHFHaovvFwUMfXeGgrJ5zhByxPkOB7uGh5JnYXF62x92Ppyn6H0kB yF+sWm+9VhC9bdn4qTB9RVihMXXghdsAQRoFJd0/AyPBuTHloIhD8ZZVcxFk6MceU4soI1f5dbwB lTu1dgOafh0PIsz/lk7NGFAJqEe5UvI4wyAX+wDREku+ugVjgDf7EhbJgKO9cBJfsF1jjz3GIMtI 9OHxwPgwWnxHg4PgkYFe2CXFAw7jRx3Dr/ZPyn0CUeSFX1702Mx4R2bivIZjyi6R7PSu5sYa8AU6 Yuf6sWhcyJ6wWoNCj2dyQ32vVj12TdqgUA1YVdZXtMFMKfCFgXZYbszur+m0efs3ICFcaFjdoJvy kdOsfU+Wanu2nk6VhN+lCXkNWC9hCsOqTeO3Xrzkc13ns1vZkoyaXsoHOGfpO74DD0eqCXUpaxSn 8MAqOofxSSW8/Wd2GIGTtC6ALGq7cYkLAVxAl7lLLK+QbliISggCB4xBBZ6gO/f/FU4aoMsFIPQP LnB6v7XQG9UdHDZ+G4D7kdW826Fk0qXtWEUnmptLZGuHxFfjakgR2JJgxg8yuH1OQ2ZSpmTnL9DA aFliv+dyjcDMcJXCILIpSH4Y2dd9LBdeviRBGautjovpXj5UsfqWUq9Ul2+3kzwaY2YOsjBRrUA/ svHCEwq+aHzM0IPsFMyvbDcQc74DjdBX6iso9alM0RDe2qg9khK9Ph18VtZb1I5f8PxfFKu3OGcI 3K6KQj4yPh1tVa+fqLZLU20aVKpIXrHvf1GCvAwH6Ne/StP0hv520Dx1K238t5PZWgducf5ZVOIN V3WAsnZSRPiuW8d4ovlR92I+aVWT2x38fYq0oFrbOHDG0nMyvPMevsWaGmD9c34oM5eXXzf57N7z mABczFb8UaD0KGGNULK7uHc4S0+DA0I992SbdMIasgNv3fNNSTYSJZd44/RU3xXXKUx0WUkkk+uj A/ixDhmcn2jSWTi9uD3p5u1/W5EsQU0KVuw2/peHbuHxbF0kFchjU4tBlGQOHx4HQk8GQd5K+3Aw kW/AzMvaXL0uwUgXpGtkB8djcQztftjxuuf0xXwrWIKdZvE64LKcFcs6392f24wYXCvhvCt0fipz s7JdH35dknuS0nFWwoDZVQUbfj3Wutwjui25d7oOpYgst7x9fJunLgHm005omdMl3e2sRQc13MUc OSUJB0tyUdX6Zt5NbTeM482Hwjjp2bN/sK/B6YIQxmyrzeA7MnGPwa583uqutJiQE4bv/oX05JWP BuejY/EVkcTUVbB5g/dLVKRQ56MUFnvioSWRF46tIIXmiXa9Vw6IAJ5AitZT33oqtk8eNy1Ry9aA ksREdhKnxsgIeEm7wbs1wKJOMYiOoVNJpy12G+tzu28+MBFJpat56PyP2FfOAQT/UFPGtOUZ3qTP KGbJuJ9LvUr6fFo/egU+/Fy7gHW9A5qzPhxI+14jPkXiHdTwxnGCb6qrcxgTMFvU7ZihmKse0geV YtSJEeE20tqYxcEhuexipyEn+9lftJHvuLCFaYlowKk72X42Nr1hK7O27pEtSsfWUBgxRp7CNR52 O5HZDDtnhATzKqkM0BzUbeHJuqi8cSZFjMyFFb+meolOxuj1+1HTrRLQArEdgoddjhTXdR918AsG GHC2+m9Lh7nuOZfH29Yl2aM9yGVQZDELU8fNjUUb2GA5or63niiiH3zTpuFcA8TC5P2C3110PGAM 1LCxGIzAlLn1/8PuN30WkOnSMbrpScvySSlXQjYouBhpD6bgGA1STnFPSKDkjK1F7Qvh0B3lYbX7 Ubn5yGZjIuqN0nLERpuHkg3ZZ+CEBanT+PZxCU+yhnCxfbBe3Hr42aF2+f931GctUy0cJCBscGbg zQOTRaJ9AkNaQy8Dq/1pqc6pTH8aAxxtJ5MVIqAwbo6L9WbEro8YbOOkZrnGxLF6whN5YDDvvhGd Onr443IAmEzeVxLOMMl992ekJHYRTTFGjqRgsdpLRzl+R80Ykat0PnOc0IddzO6bob39kQ9bVqX5 NE8Nn3tMlRiLdQZUGyuDvpwBzBFG1o7sMB8UyQs7vs+TylovIShSl3Goh8Fqu1yrxd6UdI8oa+XL OssdN8nz3b8U0faLobbk0sCZm7x3yaQJumFUObjL2gxfxAuxB4hO/GHUVVhGPJzf3pXbYCiacwuW uftSelIsj9SgYS9CqAtgwLDSjSDkhePwnDn4NBNx/8aiz/MThDitHEJo9HSFeXtO+952s2bH3vqK GxrlDSd6pfesHfPji/aIAy6VfEt86MovgZOMGB+19mWCSGNVLPWA5mt5jmRz2/2SHEHNwCfCh0R8 qk/LJav5tUW4G5R3wLc1lNaCmUOsC7uQ4OhFO4jiIcqPdHLB2R/LTVnRU7by4M6u9FBsl71NKcJJ xv4T/SjfyxEfyIKnqwZ1pwPtkvkKOY9yND9P3iqoJW9Xa2i6h9cWvWnXCDjRIJIknl7SWWpCmPwb WHuUibs720qIBsw+KFiHbDCoBc7CTMlufJqW3rEdPG06KvsRMs13CJOyjMYUHVc3Jwu2UYbKQfHD q0TQ2etrNLrYalxWz5jrXIU1xv1KS/c5OEhR6HnHYR4abpbsydREQadReau+qhjKHtjcezleCOQ8 ldbFyzf0WyAP8TQ/tlosmM/MNBpeUpTrKCNrdd44D/pJwuWEmEXpx05+eWwZtXoqVtGFz5sn26Gn /adLl1R6aYkHwWsqZCD3dJ2pZQeuIa77w21Oc4EK8mGsDEz9+6MBWwjcZAqZnPOmAR98pUdJfagF 0ikGfBw7/1TTJDYXzrNYiH3YcoPKWI5AxUXUtWTylMns74F6IEhIx/mgfIaDRsUsEySpq4KJPL+t fuLoWENh8apBNgoV9KltVqf473pyMVxadxvkNVM0Z5Qg/W2G13QNOflHIWqTSxx3IY/ecpy0yCQv sw6X8A3h49rPNsfNuXBB5cRZ7huzYeWE+lmshMeJHDGOVGs5afQpj1S4ABLY3VexK82164IGUSHJ 0v2KYh6oDeqQvpb3xkSEWBVL4/A43idEPFsNRVCe8Bqu8LMJj+i2fJEPfL6V+eH5xzYjfP1ZsaWX hHf32tYHccV1ANfxTTYioGg5CqoEdtYD6VJvahocf3RSteLKZdUxh/YI0gLXm9MtfEbe8Uiq0eK2 /2PfdhI+mqQG2o+Jw+eahGftz7xCrNwn7HmVQZv8Sf5EYmE4n3hk6vwyXGqkII55ma0TvMqTjwyC 5hQ/oyvN+58SNtjMQS08kGKzl3CBwN8Ll7qY1wdrerbieEPc4je/Mwa9zv2LweWdqgu2HS2XHldz rJSbtZC82k/2mNpqVwRzoVoy+Wz8GKZ5+0GA2MGQwyDzu9SyJKF454uEs6Pq1unS5WBrZN6jxPP3 VMhZqn57HgeVcxjulMF/vysL/80p/h8CsC+itGk1lK9A2LNDNmkiYOilVEEE9e/hJe1a81iG+njA uIBdQyGpAx5pk+StDpU66tUQ2fUsuVHQN/la04yeOh8+9KJXnH/tE4FBVa55Bz6mLQV7f96Ohw8l wU+qF6VALIqDN6atXo5HTPx3fofBBRUVE8AGMK0SDa2EYzq5GK7Ifl5ehRNKSTnvxPytbT6DeYRj KT79Exh22lZSVrFGbvVGQvNJ22AfrQK92xB45mOU5Smk2Zi1s/jHw7ZIIv+mW/Gsf55S3ZKZ/t6n 3TDwsaTcaa/RJV23rznIdJIQIHshFBJ8i4U2lDBEPIzWWvYMraD7Nl0b5TD6Lx3dxTbMEF2ig+ls wzUNDBBsV2FazofjfmawvqUdrOe+l+u8q1vJVlPrAjj69Rhw3xhwoF+Lsp/C9tQuJuXbNXQ3wwjx T6bBQWnYJP3id2tnDlUPcPmK3oIQcbdC91Y2pze2cCZBetvC7BdflmKAlVJUPSTq53Noe2oKgO0f 3inhnGaGkafHVNEc1FCvztTJGfASS/JzGDp4vmxOyLTs10VgLUoQ9FEBILP2ogv6Ivt61ynG6fpv 1zh8e/mPROYwtgfhhofiXpnI7b/O63e4NxprFTmd8OMmTvY5yanNOFEMt/6DMOa7mfHMu24nxVZQ hvLTKc69mwlmSFM+f29ptSSVn/Vs8OnJbC0pfLVI2ihJf7uDl89ugiSE2GVdWN0hP4aMkXl0pO8J GpROJ83Tn/KuhLcpIswotcZmkyp3D9B6rx4Qa7h2GUK8rCZwCAHjckn/pZIhVSRuFEQZKWh1FIaP uR8av4wM757jA+6NL/kV5gYU4H2CcHtdWw4aqdEDB9AYEstO2IItF4qaqonIFzdoh6jqOyvj3Ono aoFtHIWzkMNntWVNtihmzMuCGvuqhYtEBSK/CTurhlGy7Qsk5znxD8OO/ECpUnrF1wWBUXgeDaik Ixj4IykdE6+AD0S2pny4xPWst+tBOiKboqsFtDDaIOCTG5tZZdVGvDNQtslCOWdBptGNhyLMFZIp 9MNTshJUe3Fmz/PMIj3rRlfYyDPt5fjBf8MQwfU7vkZZYmpqdJzfrpyCtl4V21SGRkmd2Fq4vs8N QqwTir3i2lyS6DbuTgR0I3585Lo7MzvTbzZ0GpVenbwuFs7caLfCVX/oOvxyx1NCJeP0XnKpWQKr /s/u84uUgfQmXBDPr1MtBzvc+86C4mG8zRDr2h2S1mhxZyqTIhCnLaLP+ArzDOiHh/2xRBfFc0S8 yJkYv2PSJiQ918sar/PJ/D2/SSeAmeUxmw0RzLnBvedhgfsVQQIIQU0nnltvNRj5ee3UG4mBMZHO GLbfW6/VUsNkzHabnzJz85Q03gPGPePbAtTkZm77uz2SosNFFmhQDpJ/NECeCb7A4Xwt/iKpAezc OsUA/YeyeXttWTeA+s3Mhjz6u1Hikebvzqy7NP6Y7caYfTWtVXA8OZHLnekAnEcaH0sss0huVx4H 7Bf/zudCfVyl6M/p5stLdqBrItqvD3P39DXrSx60i2fXPvhlBd91SBjEFjFrrApEdN5EE/1nmeTB WMzWcGPHAHizIHRg2SzW6qQIGn4UmvqA6IFydtVzw/+ZZOrfzST9IGaB19uE5ywY+MRkl+AbdiE6 TCnfwRRijesPSzFv/WcSY++bAsl7S5agfi0P4A2COaPOYdLMTqOmgG2GrfHmRIrEwHRT68LcRzA6 0aWtUfoQrBo1dZkVCBCSr3au5jnUhT2dm9vIQVUK9CHs0E05fQFJGNloyVZE4pMG+cP67k5Q9Gq/ YasDhScDcuObIatMVsfNpkPNBmdhQxQ6g4qdyx5f+7r5eiPms9sCQkeYiZ8c8K943xoahYj+VRyE 6Om3pSxZVexFR42G9a1e3RouPZEOX++z7wF79v1wY0xqmS1hi1w4hzgfWGj0QtVh/+j8/R/4Ajnc R6OYt5onmTiiqICUdbUy3EOe8zrNNdAsg2VBjk0wpERmMPTWU+Ky4FiEGIUQn+D1cJB9HG+FWYHJ W5cpQ9XT7+IB1VtRg2XPhJlyHHpiBc60SKcuYuM9IsDe117Q4hHmmlos1WKyuBFEzbODt9arjw48 XOJ/7ixB5N0PqIHloLNcTCY16RN/D2+yJ4rw0nsNk2Ht0fFAWgeva/2TFA4rYrzhhFmzmFOXsfX8 8NpVBfhn/ylF/1ohf2r8MW6qWs/e0rxVZDpRP6pPeKcMzBBlj2JeAwpdM7dwERhnc06gwGNRWjwa sgy5nRjUyGjw63CdPF/ZwY5vbmI41h3fTh/ucsmZc3nWTO/+wwHQLvFMeOitLWxRWwGbl4VJWSZ6 YNR1UVv3iAUtVrSXj9AS/ajV/JsUldYhpNdf+pMh4oh3KJkwWKuDVaXFNdicSAbbzaC3bqI+mZOp UzsPgDKiJtn+WvR5iqEjM3pnIYL0Lnyx8OHfWPYTgkjkV1wuxYvP4s4/cF8YiGf40Gjp4KKKYc+p OcwkzaVxd8wqV2bZCUh9VPt5afTg0nh8M0+NcxSnD7o4JCKcLys2FxF016ZVFbWW8AMu/poHsZ0+ ijgvSN3W7qAyLl+7tMAzNqovGfahCubd1KFjX0+d6l2KQUiNhh6frMUxUeJ+GwSO7pRInnfiPKmT v45qcnoLj/RBAmAhMs5D9xpfgQfZiaTxoqKcG1fkfk5NiyF3CQWvKGYGtXDIG3kcZyRUatXZB60q +EI64Cu76gXdiXNwnz8sfm8DFLB7zQ9Njvwbr+ucdY+MSy4+ZzOZIlJfAZUtCi+IKc0mtFXcBOyn N4e8tw2xA3+9VjlL9LY2j4Y1aI5RuED8e7bUtkTdFj1BriXt1gVUMSJEKObrrpd5aO7sHCpkd1Xy 0vk7vpBE8I3pvhw68Q03f8ES8tOcLJa4XT+BhmKfGapy6172spY/2YgACT8++TmIOyBHo1ryntks tPFYgeEewsDo9VbP3FiJeuU38Qe8JlP02a3S16T4XvRT/f1lsrxlJgAz9Pgyf6mSoW7P3SnpbPbG 6OFA1vj4EDif8WF9Fgy344d3EF6ujki+gYVo+pgirlJu6WgJLU62mfaSo0ep3y4mh+pTRpHcoKCI /4PMH1OicwHFwcOIgs3etrBwhH3lenOIBPhlkkzysSBJFQao1qVonnekPHlUpKe3SOGVXgETvJ3s NnLn2qKO+TwY6PX88Q35UMDkaBZWCNrq4Aa/HJHM1FsJc8z1FpkXCnAnAX57fKlGEfuQwm1IqoGB q+HUNMShiMySTkTCEjMYY4wfvVUtJsD00SaF/2fwb9wRjMi6OOL9KYDzHoJTE4C0YjFsw0A2T9/6 cAw9hZS8wK628XUAfVZJ2cnSvDH85/gYSZwRCuEW0GZ6wJUjqdhM+SEyk12iCkG+dExRRiwN/tds oDdivQi+zm4pIaO6LBSCJkW0617pYBsLO6zk2KD/czqyAVHJGPh9H7rG2bO5o2mDPyRDXllvk6td PIZlAvcvgRyGNnYRSrQa5Auh/WJ/hnHj3H2nxQmdxkDmZJfX3RFRupPEtStAavQc28KxmqGkNLM7 vP9dFGZa6aEvtRQmwnl2CpqbNKfZmEUi4MfIJOOpPk+tUOpH9joI6gGMYG0xfkaetthA3ZfwRIHU zIfRNhXzneAfcFKLw+hqlvyRyvmE6t46hiSz35EZvs9VWttMc8uzUU2zpAbvK6hAJfOwKrv1+QjR m1/ek9ZOuajRTcka0gb1fhYg6sRIyXV6ZU8KO3oNsd73lFo7TR6kgd6UXmgOV0dEDdBgDocKes2o dr9k+DjWB7et/qbR0fxMtRZLT7pR6R8vv+p3by9qXG20qdW+BmxDypnVda0OkNeHK07Qunf4OHTM 3f1mNXm+XqK8XvPsd+NklgI/U6hXmI2JnRr7YTLIqrj3MtH1LnanameAuTnMx+mxWcQhe3/1c6RF ZZv3oVHBBo85nQ9A/tGazQnDaYiqfHKev+N10Obft7/lfOnMssiH5Qhp5RUmAeEnWq8liMaeLs+9 Z8AAU5bAUGFMMQLTUDRA/hB2MFOXF53zZZ8v3QXOwe9m/95Ru8lZY57cjZSsRN12DbYV//zI/3nO GBZzWl+8dLhp7DW5HVFA9vGZqG6Kc8MnU1uYtH4f/hSxQrlKkrw2o0b9IwgExhO+xISQj5x6s58N y3v5z8scZ95zUYJxF/LX7xDnPjl+wU+Yifq/QPRY5YTqprOQCIH3fzKHIO/F/jd6JBUaAvD/gr43 OzrVigeACIW1wOSbaGCDYLCk6Eam1GTkih73Sm9KUTgrf9x/qkx/Qa5QtTgW9F81axpW1ifnEapm ebijlxROMpmz+1MI4q0bBFjfSt2o3D28VJmiGltEuDMBoNLq3hexTNJty2vm/W5oRfu+4XU0d5cQ 0S44Bn0dpijJ2Brz77ysFd8rULQHaTfRadOaVIoLEI2CPAeqXx+8SG9nzUHU5Kd9ppoF8kwn6vYN ga41iSInJ4BjaU0WYDE0HXmltYIFIGtp0D0a6LcQcJdlPuHJeqVfJNqW00y6QmfsPlwWifg223sX PA4WeTYuUOyWMor3daZspsQu23exyjOQuuiTDjs/7LWL1/V9Tsy2bQ5UU3DC568oyINxSkBVkyGh 1HrrlfPE9oF6rc6JIao0BhuRcUQm01B9cGpqirq1yRmOKxXNLkt51a07WLRSaMjuVZ8FS0ILJNjc TrCwE4tDiFYgLXXa0skJe3xE7udHvU8bsxL6cxdk1AT7ILCfxMbbGsFzywvb8bY01UlDtphK0MNW 4z4qQXX21IiqCwmzIsOjYBiiL+uPD4qfsRk4SR+asTDYLY40eGJOQeUPe3sK7GwUYeRz8TMedM6U 9MJl/IOS7INHLKQivGi7R5T1BST6YpS67+tznuf44+7mIJPP85n0pMqzoNZJXGdCLQZY6JtvdPmh wn2hmDbNB6BJGQVt5RfIt9TkuYQ6XBDk/VktfguwA8CnXjnp2y3of4oxbaY7reKSS0d1MFHjfOQq DhAsLcQ2fm82XChluwLPixHCX5u1ANhyvWFRITDiP33upo6LIU1OiAuPFvueHoy8pOEuI8iNi+rE htbzRGKH0ilGvGkyPTwcaa7YkikdboaQnEHyr8msXt+SBJ46z7AJXLNqy8jqeGUU1JZj2k7A+JgU cm1+nQSbg6vbqpfJN8FpjDHq9/Sp+TJ6rw3WE8WJGFhH5Voa81B6J5sMyfrU/P5Mr869VIer00sV 63fGFVqcDcy0uKWaDsi47XBuorLDD+RkwISnxfQkq9VJmcglsEjC1l0CRbAxAgV7kOrxBnu1A6TL cJ++JIXQyh0uQuXrrkgz3X3yQ/4bpa0wDE8bx/IReAq+2MNlKVJbkF3+cKcpCuWNRkLB4Yg0UFvJ Av4dMdhYZySIw+GkLHjNmyZVP6E6mP/ryf+smA5fUugJJfqxyykU67dAwBZGKlkmL8LV0azxB+pJ /dSNRuiS3jC8vVYG7FxZToLpwF6DWLGUXX+eLzDsmhAPiqWj1ryJ4kkjkrSlwvGMB1VI763jqPW+ xUC0B5xJg+aMBytNeWK5iai4QGhPUo47uqXPvAnTPpde/GSbvWGk5DhB2n1poW9JBQDKXe9wH775 1zsABNgPNRRf7zXeV5/jMc64hIZzyCGXOwoJah/KWCI8Kj85hV5BxKz4cAJR8JjHotMGFiArGVC4 bMVKBprWsbj0vnWCB0Rd/nZJEE6H8DDFiuoPGDqqxxIJCsg+aKwpAQlr1NYr6X0ElraemHpIrxH2 mM8OwLhoGjHp5v9Jld8nDN7H8ijRJnCILvviLV52ObzcWBkZpLNtAm2Cx8q+zbjES/1DX7A7oIoV jKw2AXFcx2dbrvd9nrNoA/ekS9HuT+D4xSwH81nSuhrjpdNQy6B0BmpJ5gGZRvMTum/73UN5UZSM CJ+EFUT3uNSIZ7imhgu0QVs3R72Rzb+titmee2XL9cKjHDuOb5NUrWcXwvSr+EPA2ejOa2reG1G3 QdiHOEGiGUIflC+vLxDqSH1m8HNVS5T0GT7VvnuTsmSSnEICA/wpVzQwVL6PoUXtSk5wltlnOucy H1n+fgFORSpihLs3vco9xkinRtPKjgt2QsIGrEQui6Nj2bK9kTnGCved6EdHRHh0jQeSCgD4GQ2P VZCUl/yu0vxV+Yz7n/4xF8Mt93dfJajLZiUetjvmCuw+GddkaXSxFmxZYyoqpYTV8Z+J9kulrJ10 EF8UuOxRoV0cXxvXy5tch+RHVgkE5ltZe3FB5cRE6vBGdEIf0xNMZugNlfqUM8XPTFrOUl1YE8tJ /Y10IG+XTcQVfLZO6BuByE8+Z0RJGavcahPc7Zqd5oaVrYhqo8Hsd0yK8NVVzyiGKpOVNT+Rr99z ImwYiqQDuu3HLCofaiZKA9DCqWEu2J+EZZ86gwkZUsk1lEt/zoP3OyN1UnHgMIbQ+lseTze1lAWo 2QY+zn/83OQZG4ro8bPo3IBflZYyJ+My9pXB3eygd3CxzvYZynU4eSbf+/JRaeICKPFxwn8L0cxV u0mRiXqKrqTvfJnnC90MHmRAvToRTzQilZA86FrjZaBgN/ul8sc+ZC402TsLqkeUg3ieTpm5O+zQ 9tmjWH9Te+TWyjvn/UnmyeHlAyx3PmLNzjiFhzettVjIP8kPppvk5NFZsMnC4BQvaQ2NS8Kbr522 oRhPoHbk7TfEicmvk3FLcXuXirk7MfPhjm4yWB0f3l86Sh2GVCTC/RqdNB+hEKeq5p0EsVBxMA47 OW3ulgq+KJFu9k35MRTOeHvoxCpGC5d6YoDLmc2KpI3vRmLk9fEaWoufl74KYwnmhPEQTNXZUTE6 vEyMkIuJsAtSD4CHFbwx0nrWgxlQZPXmAypcJrfOYpwvzoGgGsez/3afzbmdki/WrnjenfPg7WOX fhILo9AVa6dOGwGiWxdzseg0bR2xyWl8yMCWQoqTpO24Xqbf9kPhvIoWLEtq3yHrYtckQvRVsK2i p0idLaZgJRarmX2uRuF8NPk+2qb6QS4DQMo76EQjRJoVbn47sHJjefSaEOkFAEdMr4o1P7jwztT4 XgTLuO4TDhC54SMR/mb0+5RFNGBE0rlVvW2XV40+Zugg7iYjT47ScGvMHAg5z1yK2ztuRSf1FQgl 5uJ4s6CRHD5fdmY4iYYE236HgA9Nujk3BA2BTagYWoqylqXNxIfXMRXzpuJ4c28fCL7HIGOzQS79 QMx5Izcs1oTN0Jgjc/VbXTKf8j9vGQAGJW33z59j7EPz69s6agvjCWRH5629NVDQMdCfxvuZAdQQ NJG7ZbGD6PLkXHtl3XBQ2AdNpwnHXC3PqflOLYzILacYMPAcxJNixUpmFn/phETw/OT8AeCL3jhN U2xPBzgG4jB2AKu6kGeTcLjhUJLjVoW3NqhBHj0nUR79tfC49U1AA6HEHQDVEfIK5RK5LKjqE2FH TDr8c165D5eggX6qRP7lI9xUU2YrIq8V2JLjhEwKfBgqb2NLSQVxksE1fGUGeSkNN5I6CDIHMA8v NY5KX81YJHLttfcT/4oJ9+kYdsyGUnmJIqILRtpMPZnlUPUFHfn6qDUtMJoRFmwgUi2vvg74YNGy eZwN6+zIlyorWTPZbTFk2473KKK/sgVq5GLMwS6rXfQ36/+bBvwxjkkjBSxw/XF1qetcXBL2lrJv l5LWIAvy2yADO1SJw/iKeHeHwFocBl3TA5vipV72hOh7VNtuwjprpcnBieO4WPf49+LmF2eFCaDA io0HL1lUJ3YvHLJhVSo9nYMfOpWSmqD6CUAh0Hp4AB7sfwLDO/092OHLnbAmtSB23IJvAhqGgGzU qtkA/pUWTGUZ0iv/bEVhqu/CuFMrnzUniNQ8YVbzDOkeC5BqekrbxfdO6pvx5moeEBxic82aS3M9 5GCswdq6LWz7VCQXFhRo4nn1ot+gC9sGSxEZGrZoXolHzgIWxSjyDWoCvivm9rY8vEKcrxd2nE+H b8W9FjGG97KsukqfE96zDGu9Y4RwH/xmY3Z8iT0ZVZdZvH1FSM3dkRNHxpSNay7t4EL6j/B9fDIy L/x1233hXjE2X0x8c6mn2MHYXjdITho8/KIvUz1rj+lFEKeBjP29illrb0up32zRhWc55TOuzLDl LLUxoUZtWnqufYLk07HAdyhY5y3QQ//rL8yi6iXL4Rwd9tKS77HQMeqezs5loPTbyJ3ow6LxhtTZ CVpePIPR+vZnTn9ek2elmYT1lWTxUevf5lVnKWe5gWgueO4FcQmeNc4QwLq/pS1dhWr7qXaMnL1d GaDV1CGsyXWHwBwZXgTffEQ8cqGP8EByL9u8qIMWplbAYU7Nmill9VFRYtAMLrxOPOSaYFRI51QX 3sJAQn0AiomNCzMZaYUP86f2/rX+46i0tzqg46HyE3loOb+jqz3yTHHrkR0NiUVP4pNiSCC4Q80f Pa/NC1B3TSmc+FohyOVtBjtt50V2Npxj7/hNd36Hs3l8if/x9sQjLdFl39IzFX/YHbYnvm6LU4Fi 0CKgnRNd8H18qDWDylMLCMT1D6wcWNyK7Xq2TYb73gk+BD5WAQIiIomepQGrxuCOuR6JaaVyWMQN 4IPjs5i58a8VVVoBdSnnQzwbdzkcLBd9MaIc5lIMkSskArzriIOC3b2sGF8+L33oESosHb/tE1Dt PAgr5as3lMlRzzUx+UWncOT7dAtrg2mAlSu5d1QfGZGsN9l2Vlg8zOFHwaL6+x38WkODh7XNVkUD USmfxbKLDy0/XCt8DmY7B3iEln+UgwCpr9XEqX1xZeRO5Tkrr3L7yYY8dyVUJxPA3zJzIWXMdC/8 KGbWoXqQlg5oyfxPCDnsVlmMihjRUrlebeYvmF6JtQ7FXW+Q3DS6Xs66w9GUDYBXmajGtGvcNRtQ a3swdWOG3xBNuQpSdPFS6Hg8NPr+reJImAGVlAB8Z4cIKNxXsICgkOnEdXvebUltvFSqgE/jkD7O gDmyQjirw8DQM+fPuTZdbFBIub5xI9eeh7Hwtka2uonf6GnPcz97qknJny8/FW0YLiA4Ym8hn9Jm fzk1V6sRT5k0Jg1FJe96p9vxSlm0KVqP8xhA2A2YXN7x23imfp9iMLg6K5RvRHB2zPHn5J+FBgh6 jTEtkC5WBTubJcXqJpw/6uXXd31slYR9UTkLhc5vRUGJLKP0ZFOpwT3MfXA3VuVyNeg5+gMgtz/S odk4iTAwWE3tH1nlRqmtEUB+EDDe4nCxB1kMHp2gIGLCNdZGEkzLnRBprDNGin8zk0vwYQ91ACN9 6gKVbKs8AWH2qWASMWxOKrEUhRrH/EPk586oq2o/UfZgFsr6eJtNmjERNN+gW/Du5L5fiRH/wrBP UQog8e++4tgWrQ0dWozUU4J5pQMzbqDrM+W43X62TuKIRSaiWiQI0ZrKBgjkGLNuWsXUu4GRyNGM 8nzyXfTivw5KdTtLnTyw/mCSyQ8dt0adviBtTwGJE8nDQ8Sq0hQU466H3oIYUysqgDiFUTGaswzO JLTGWFBcztSVJNONk/RF8W0QJ9BXP7yjSQmTL3mx5fQbNJ0rUoBad3/x6xMDEiDdQ3P85nkO33sd Lr74P+Y/kAq0RIsA+psefAxpKUJyND6ukqSH9RkdeH9k5w3g9700KUaki9/2WiNXPYeg7+ymQJVQ tKydG24Fg2yLxDYc+jTd89tuVnptZcuIjUmgFyTozJHiyExy6cXLzUumDpB0ENYwt0AGGVgseWSN yaBZz9pGZhyompg3AYVjvKCxRKJcYOIJQ7sifaoUWy42XnYdtGNsU7z6Ck9awCkjLrnDiOOWqGqz UFjHjKk3lK8Pd8wqBEXM8KtsZGnWNuX23i0xj1qLRxuxQTVxawcvN72GbloqD/saDtNoBQxi3wg2 6CvLZ+lVRMOjmFRF+tKFKRIhDQhFQ2GYVrysoAp0Qzph00owYcsmXIEqVOHVeHcqrHBsegqOUASg GZBPADk2DYqzqfDM7AachOTmW88zLUJg7CtXaoNpRSus6A0ACBD+9mRmwrn127S0HJTy/Chr0BIQ EVMNiaBFtK2/UF0Ytfq6xcnC6UiCPZWuIefEg2YbAYnaW/7Gqwd2OXbgXQRmx7NsOwOYDEQyYHpn 4gHPoyTGUaL8g5ejG4BD6x4hl5OtPj+P5MsPaec6zn8kL3YLg+b48lxvlLSjSwtyaubSOHG2koNu /BuUiPTcGbz0FN3+fpBMTI0ZA0kvx5JkcVZ1UUG4XloenfQtjaQFeHb+lCj1Ag7JxZcUqFBEl31c HrezByaCPtmvnYGjqKkd21MgKpsf7SjsDLjUZrIa9xuLRcShbgqvg2srO4Br7tcJ0A7aW7y5jff2 e67f4n1UW9937gnos6OCbEERkm6UXJWBt6aiC5OfteTN2biJ+6CYAbtdL+tCDIErxWqKRoywDC2v A8jsSqed7X7DtYKQd7PKu/WB9+5CtuCPuddrhVRzaO0Je8sASL5MM15IGqEqvgtSyN369g9+p9cg ORHufUvrDxOlApwCi6ItdDADAwU4303M+su0ae1baouiABrcHdrf7uvVkVzjkrcE5mDJsAADBOH2 TwQku+CZ7ujwOC+DPVItvtFfu5xrzrITn5OsliwoQMvPX7F3zm9SiD/D8EY79YehxHd6RNr5wxed e8N5nsl9DcL/TwWZ6VBhSREvOzkkgIO4kNK/qIKGg0QPgxWiy+Q1ArTG9Odp46b7H4TqY5MBVR08 XvuZW0/ylnpiyIC5kK+jbtbfP6qq1WQAg1+GmYogu/HkUdzd7XYP99SUZ6Pw4u5scDzw1JdArEUj V7dzgdRJ4iTAU2bI0IEwEVDjjQVLiw9yFU+hcQ6y2lc/JYSsv1GqZj23vUei7r1hlosahWdvugXK tAqZzyAVgLHzxX4uPdeitETbd5AROmZf8AjMMiqkzCt0ZvKi1Oc+A/dXsFQsheBmXsFeFSpR/qMI wYoNaEbFvGAyufZlJrk8PWOzFk5a5I/k3CTIwIDj9fkCYxRNk/n6RL/pqoE3L2n67OdQxbT7cguv 2PxxhaqE44E+dXM9qurE35BCSLp4MA5ngdZ4P9akdaG4a3tbPkV0KQHR7+puIdu8XM03S6REYQsD fWhqEOlv/P6qZJYj4+H2/WLHrHJS+zw97wxlc8OXbozi6qfkc8iq1GxC23Gl+UEFGR5ChdDb85kw aWh/V4540qXGLp72uOJimW90A/hVjWRWeFBI5zezRYGNR+nHV4QLDyrx9DgVJt/Y+AUztprDS/Gc 1ZFQt5Plbn4GE/MYKkhYWYPsg07qJ7aGMGqiYNowP5lzDYVmhFPP6K0or4LeMTLlZwuXrIW6e7mV VzK4RWzjC1EwoYaGFAXjGzTvnT4sjSEV/UrEUq1wg/ph+UK3k9slZLY+AdHd2fQG/mzYUeSq8jV7 WHjsfupa/LQUkauHZ/T1SPUIxPmK+0HgKxjhQimmJKsxxO3OpXNY566+YnItzoAVTknTQJfjRHIU WO+hQuXlIxmCd5BV4SqgressgbB69o5v42tPM0ienCQ82KNSSFxoDc/Qybeu7e6B9gKMhxEJT9iB A44c3PbCJxla1XjTf2EVwoYvKcMKgwmXH6tpopnvpc4zNXjdhpftkyZV92RRmoFITJcYLvMKP7CL 0JESRHRlJGOgwR/orVNt9Q+I/iAOlORB8XyV1wtPNMEwJnGZsneFaPsNUPOctL+jnzFOcbgmw4nc oUXpormwb+nUG0OEWjgXCwoBDOgfWuJwb9ebRYdbtvbdjPR3LsE9nqHKkLYBNRBsIqLC5oyilN2H HZlRSelygd5n018CpEzqAUubUmrBg4JChWPUrjK+Nmy1YpUtfv/ekVZnfF0FX4Z6zEtPA/a2Xh33 HiQhCAeHFArwlfg6Y0eyClhP09xmb4KFl0GWW1kS02kCSpKe695TQxWP4RBICtZyuIF6IMg2UNgv Iqyv4L3vVyjN6deGFSKpfpbadzIEXDW/+Gww5uY/7E8s3nb20AjDa2l716syMawtjiy7wgKjEjWM yvzPXAdzScuNSc2TJl5TqwpjXykLu/oSU8EzaO7wdZXF5XmXJHTkA9kFVfDBWJc11bzeTTu9Q+Md bXA9BYvvA7JVcEQM9JHPhQZtQlF2ENNwsBb+WHAwidB3TA6VQr9Xwj9QEHqbkKE2nHZjt8V8z0ya T3SgsS/QSHClZPpZdXW2oSjNBxFZ2Tvd60/BkFnL87kS1+MO8MJuM4QK32OPhsSwkJ4v8AWXxF0v sClEZwcqXD1wbix7Io5wjbLRpJopJgTZFNi7Hxhm2wtT2GOfyBBK7p78WlCj/JO61Ty8VnIs2kYp gni3pMxFeisuNiTH+2t11EZ2WUZ+g5Smu1NVyNpLaP0PUICWCuPZZYs/9qYA+Iy4WCH/vl8rGB0i bJpXRx+rTr3rNrAZvvbxz5Gsh6yVZ6OEwLBkRg8eNwdq5CV5EoAGhKRQ9JFpBHJS2g1YIQTuw/Zl n0ifQ6Rep3u6PTDf4j2qlDuLEOATaDRrtgIAsibrc0HoRAUBWvcoXKKfKxdJSBHC4pgstRTcf01+ q3sM3fiKKtfEhCD8ogPyWlc7OYxOuJOBQMbE1ABHw0vWPPpMYhxzkde0hTL+G/4aBXuuf7Q+I/8b i8QVPtZDqV2Ubd4Z5guPbTXlKNB/wzBueg5R2p25eTvv8BYPuqtbWmfltwFLwt2w8MUtflkiEOhg Dj59I9KAtrcQ9d71y9fcgcfMtpvLzHvP6nGPuG6Umvx406PScENbGpgZ3dn+vfyCLo5Ioikz5O8h TYS/ycnE3BBsoQpD7p4/v7botSPydFCnECr6VeFzIWluE1icyStEUdOyRnMVgZNtYJGeIUqLyly8 2aNL+XgjK1HX6//TnNCAr6mPy3GXjc16l+L7JtKgkQKxC8MeoWCTIEXYttCo+VIlOQmzvw9/M964 jaqQwqMHnhWu1SEEk/tsR7++B6ASj5hNoUipXNiMwaABYQRdiiXQacfkeptV5mI0qIqygLg7Rfsz uk2kpMLpvY9KXrE57YM1qvWwKnSvmghVb0WWneePdfMmmUxLSiOc5FMpBmeC7kX5zpktPUi6MED8 Te7Mc5LJUS6YVR4QJ8PpW9Olm0v3LogG4vMcmMUlnqIV5wZp+5Mpsbch6bWvfsZcrVJYtxvTTw9k 3vm8MaT1K8NgnoMfskrjWdxoQpgUrHmFVSi7RWoBQAwKMDMalfXMFaRwB7DII5aWwaF5OUg0dZVx 9d96mK7d2wAWyuMKeSZoPXF8HEkgMs6nq2ywdzmslo0xHrsVzKZF9DWQXJiS7q4K2gX+MhIa+liO 8xl3zkI9hP4QVcpERuYfRicnYqzTxjCl5HSy3eyhIhpzxLuuPKnXUS8nLD1otIcAHBw3Q54/y5u6 QNkPUo1dPC71LCQsckyZ5+24xkwWztqOE6IWQV3uG0JoGhd6gx7MoBucYXpl427lNuBFvwYYJE5T sLgzi1Ylw2ZMYzqTvH4lfX4mdT5JGR3/7412QImzhlAxG2fNEkAcfVZWleBVlg3y9ActP1EPrfRv Bm/ABKyLtM1kv4icK+Eved3047UUOSVXvmZwhS2puAvz7beFsrB8h0+C0FoOV2CpoYAZG7ze7RfU Q/b/HHkQaa9X+px7yF/l+TxsPs6RxC8iVMBZk+nGLdVytOG/f0qVXskeqgbWmW0p7q47X/uQaIoS JorMaC/0NPGutyV8clumRh7uDbk/egObg2oRECaorF4hXRH3onyxep51Sg2Mp9faY2ncnN2a+WN9 JiFWbqODA+8+/tytKm3W9LCgPtT5OVQACVxa1jrKZ+DM6VZu6JbfvUTndzmvsKbM1DgOQj0mYTpZ MHAsX2WP4Esn0mHXHFfi1YeHtg4oqOyZ32CVCB9f9RKTTk/Zvc64p8EuHmFMFsnFnKGtFNfUzBzD 3v2QI2zu4ZTtXQozgwbgA5DzUdAqfMiC+NQuJqSz7E66+Z1oezX6bNUUQqpC7fBbebOaVe9hIXSU RabQQrW+2MJUfgpR2NNdiysKRmMUgf3rCczbT6jGhB1UpV6iTUOStsb0826qOqvJU+beSKl0vv58 cODtC6rqesBnNaa3FgG1Iq9lQ3+j9kMrTNskpKve9qQ3TSNyMbmNuGi2XkryO7jLSNi2TFi3+rmq yjDrsJ0QXDGQwmGPlLprZ9z2+22UTK0RtQwUIqf1BmjZ6WbgTGMS+WOmWjGdD2LTnSeNlJi3lb5b jfwmImv3mOQZjAxW+eIFMt47VDVTbv4nnX1SA5zyGFb4lpz8uFWIogbghgVij5pc3HmPwwlpZIcj Op0IULzn6CwOqIkEMvKixjNk2b4NJ2gs6q/TQkzBmeqR+bjdQ4kEeuo0pzftjuYZbOtx8GFgHuVm ibLgrhdeSx+NYmuv2/Oq48zNjf8PEUWBxRYzXCNROw+w1DTO1NXqQIh9YCl6RQJDpWjCQOSxv2tF BN1ddqZAtsD1dHYV7HUwgh+MrrBIih0XWkmUkdqcZ+JHr8szGsaxw3x2M8i1n2A2U2gPpM+eXz8T SvRBx3RIQ6ZMASeTIMoet37bZagwrRBZmX3ioRzGxK4FNjJe+LzAkAM+u0iT1bn4pwprvNVfKiyw iKB0B0nWAfbBv/KiJWdMimBrUwhZSVxQIE31Aynm5KB3LHzMcG5vS8F0I4aG+HtwOgR3QBZ4C7yC U5xCT6M+Ih2urcGNdwVPSOBc5dMRdb+rqKUXQOQ0q+FLyesxOza5IhYXw4RqpwBk0PinaLui0PNR 5UimojDNSvzC+zegzr5wSjW0MHv1LnK28TQADceyaZ3WGIixYmWJQvTP2wAkjnauJECxQMzqM6aT 6Hp3j//nehDvvxueQG0+WEVFDe+pH+h5oYVgXh1Pm5b0c1VAjm8UeAJ2Ye6m5K1LjIvV4cMqt1Fq 8XLWeOTFGfOL/pFYya35P7G4IavdPVuXZPPv12AmB95Hod+Dx7Gt5zkkj/g6mMLtFGo4i0+dyioF 5pMV8ZUJKjz6lJORr+WTlMKgDbgt92ijUc38nMviCqROuwan7HR7QhJVWlVcXGBDIgserMn+6y4C EjKIJsqVt2IqEAw0CFEXBulsA5tTV4fS6PlXPBjLI50a0OFnpayB46yYDYdR4v0ntc9mGgym6dM9 6HZKtSiTc6698zAQsCrSNC0E/PKgtnCcLOE4P6TQcRzSRvTVPyP12jMG+staHn4qq0NuTC9O7172 vRNqXW45+c2HMsOMeZbqZqmCndxBz0M3zLBje7l9VCQBCa7pAg2R4CPaLt9HQwEAB3O5f5b2Eidz /qQLppzMi2aOO0NQlHHxdO/CDpabm16YzA9fMfFJypq6G/hRKeQh+Wisx8wQv2ycbTge9CCDg1qk RFWG7PlxQw9Njs84pylSus5Er0ipyRf+mNmv12yraM+Ah1PgFifvY533LdJN0JCWJY3G8uxMdP/Z jEvzc0l1VcZbfrp6YdcpKMn3olTAkx/8HhEtW78vFs6mdDqMHHefsR6ClVB/GbkW+e7LyMmllA8O XY2lKWlR0XE30M3rYYoQ5FZv5lH83Uh13L1eWxKCLvUs1zCq0x4yXuBZqaSiuWouSAyo3pEK7DmT dAZo8vCa3EhDIS4QsxGlUJh8vdnHWMqjBEyBLCQUrFVCUAc/3KYyeZwOo873pqRh9Jdk+gmDk+v6 CY8aFz0W67GEq5+HvIFIALgiscn1qSmFBKvQBEtkIDgQrsTryDSIfEo/U6TZZD4/Mfd/mXU6YuRm yR1rdmKol7CSutkSqzrt37uHdryryMEy2C31QINBHa8xQ7m6q9hDDV2LlxXlcUGht2Z8xkL/vOW+ swnqRAWG0z2HIaDJ5wFJ+KQN452fVQfBlOshBzgJGuqCZLFVzRYHKlS6nLmFM+l1I4cfvCgT+6Up K54o1WZ98iHVIGMAY0GqxfY9ezq5aoRpDSHaTlSJSvaDC58Ajr+Ta4GiatAYES24L+Zk9MI4EugQ N0FtAOU2k6easJWnGmsj1Aa5upfFkpIcSrEc8D/PuyWWOvV0gR1JQUxQsLRxm9P7a73MQqbm15PC D/QvCSECe++kvpTg0KT7zo7S2e1KLrtkvtvktPnjSfUqORz1VHn86p9v+mGFihc7QTPMGAKjk2yu 3x6zzZX8YWQNS8XFEMm4sF1oQ6duDpylYz8OJz3PasAzSbbZ3mKSTC0qDAcyHyaSxFwrrzS5k3Hh R0zmvqdL/ISDvq+xhGji1gGdtZGiFKPTjwtMzJANoFN1UPuC4/ykGHuMCmaObe2hTc4eRP5Tt/iL JnphvYSziU55tuRv8DYnvA4yM9AtVO7iiUw+fg0jsOhvpDzgpJ87/SWMkfVwsn3z804a2wH9eaUO qgizTj2n6rOcUqxU9rZkgnMNBC7sAPg7l+zjt8WbnJ3n9FOBMqGRMeToOmAj9jXEJpWnvdaWqrKL cTcQ6B9MmCft5S631sCxVP5WtTXCY4p5VhtDThXV6qJVu6xxzkftLhGtRdnwzo6wgA2kYLdmomGi 3d4HhSTE5YQuE7nWeUHwGu0kt1Z7Ir0cf6knGRZAaHqC+xeLdd8fdQr3VKJDzSUeqEs6fa8NO6Ld FCaztD7qkmWadmY8YeY3fhHzRm7kB2GJx+uK974mvmh034Wi+k+etfVuQ1u3srZdrtMntjiyvYUb GC1Tid90fZlLzjNN/ro1/6506Mj60jZpUX+l0zKU9cI/KlURGgNQir/bAa8MNugAVO0APPL9W795 IbaCk1Sm1s0UG7ZUWZ+J7U/h+vFJFTbNNhvvoXZnEPuOrqhZigqqtbFAPuUNXOm8k1Cx/X8c5c0Q d1ltCGDm/B7xtnrmt4brY+S+5+bQhu35Nt899UJFK7jh9zBbUkV0Q5gsiwsYgzJYvj7+xkspnTE1 Af2d1PVyoyoiro0NZRXhDpP620vNictR36R8mQPrGJXqw72vL5LCAoFoH++ogcasC5uM95fVRdGu QcH/5lyILZJ8MOmJUpmOUSN6UaTYT4B14qp5/mYxv60soSsowm2oHNzsj/CC2Tdnqg2pElggTJ8x zUKtNtn6JBrNjfY2xqY48HvM3tbH0GIiUIZ+uSjE4pz4SLWpuJfDohEVkPkm7nFKrkSZZmoJHyFG q12BmPH6I2bqqwoV25OeYFNjzejrXsdi1BXUCyFZ94X2WSMr1IeCg33oNwXP0+TNrp6CuWJ8fvOt Ehb6DviRZxSy9t+n2n/iOQZLpIbG0rDmKSz9Oh0U3robksH7DpcFHZBRRM+DsEVi73coH2jl6AO3 CHcFRx0vAoERaKYqwbkjh/vKsqoGNlL6G+z2dJoH1vSyTJGHsgb9jsCIQstlAbhpIVhkzg7Kp3xo RQnrD0SAJZ/rEmcxG68A3mxnLMPeGGBCVdMRwu9RXwB6RHgYwyQk6C+/WUPiXGIaWeOtkO99zlnS 3sLJTIjKA640A3YV6Oke/1dA5Uaq7dSb+qUdwrN2vhWo5mcaususnen5TLxNk9K6u/mp9kF+0QtS NlQaa5uBgVVgfoeluxUwTWQ6A2SYEpUJA9gF499fIqsmaacUHBg2MsFJagAQ/+mWBBY8t0wdnaLY ljG5x/r3Qh27UTWn5ShmtqQwU+H7p9fLqhIS0BHjtwhQvLYccXBankTrFMJ/MEfq8ForCcrU1mti xqmvp8UqDZGKSll9WbUbzBaJWciO8qTwwhbHF68qaaAeQP8O//EaTe6RGbET83yTvCdXpnOaMzZa o+jzBAalGPXRIEnuqmuv/gF0+J8sg5p8eE9QytL3SO8FpMOL4cn+C0hQeM1KxHbnE67wH3O5cvkN Lc7ac+bYJcYDLNpBZxBF6XYp+CYpkptifHViG7Y8FFmHJTq1QMxCDg4RKFVF2hKk3ftapvbgKmKn KVCFMsZshBcFu9cb5RAT+7Ers69h0FwI3oIOdGPscBUjmFj6BxuyIhdtsswgLsLodhkfxSEALdOJ BH5T22e5c8Trmgqp1D4ZyVq6bsou4cDgk0Py8T1OHcczgz2UAzuZKpLTbGen5yrP5mW48Tq/dKZH bC/vQ98tIpZYc35UZyMgzPRchzkp1lGIzpxowW8YtAzXh270uBgmOLc0lpTHTT8ySzae5pFvEnIm rwgrdJw/zrHKseU7sNS4nXJC6zN4qckfIX3YU11gzVluIOieP+42gL4W4lwKqmdzQByeuno19qla +CPR0McTIBBF6n4AWoqs+O5xfztm7dLbWUmIzbfpJh/C+FSFslz2IhN0Vcfc5aLa20bDyYjuR3WS DzeiiPgeUebdfawFxrQSXJ/JitYp4sGTf3e2X7EAuJLT5kCFRan5yRc4XlnD5QAyuGh0bNYeBHJ/ /FWl3j5bLXztZZo2lgH8CPbkXo+LovShXSpo82VDRsl3jJHmtyEUm4HLPt/JM/H0SrkXdA+NoHX8 qX508s+6qaHXtF8Di8jTjH5Ph8IH4ptkRA/TGdgkZNYJo0FRsEjN0Oa9IelyPKNU4XQUDKyeroR8 cEhaoaPAjY4FltWmRSqWKONUwUQV1T1fg+vy7Qi801xDX+Xi5tD4zd0AK7qR0zupx6hMVfezTiIU uncwS4KHIditlzz7t3ZN/ma5dtWSsRN/4OBesoLlsR8VnUsHFJTWhEDbHvM1N7pohYu0nRPd9pfA ziU+/kg2HDaqgB+Y4YhEexsmgAneJf4Y3YPfUVK1Y+JLGBfMbNetaRdk/wuLr2gGTv2a7x4SOaHJ 4x1CMYltvnDZK3HNJetOCGCdSCK4MrX1QlBqu+QXzz39exVsc4jqCywpEGEmFSOUPN5/ZUXKddyI LrJGlGZMD4XYkXyCR7q+gq3ZZpvNJoI3TEmqmFjdwIMlwgYwY2BB73R2/9WWbfTwiXE2og9BMY5Q aV6RvWWWVlfZbbglS6Z9KYF+cSMcA5BrO150OmNOxcp74G2XktUlisvzTYcCAobdjkjxQf6sQ/dS HBrX2QuPXibnzySP4FEV4DK7rR1HG2UUkh2BVEd3kGVlI2C/fxYTG519BV4Vw4T7r2xb1kY0wNOh ODgKF+SjPgi/QtK/QT9eTKabO3Waj1BluVdNEwjjXGNNNQM0oH0s9zJJZIytFWok6TQP4bl5tjOK 7a7poRXfGDJvDqvy0ZEpH4RJEdw0zp9NG8nBLDIL1id6xCuXBCx1xQyCLfTNbDw/92WMqkAVULNm CZ81+5NhXEzY0b42qlCav04WgdFuZnBm2hZYqSZkQWwj9Wy3Dt+HXfrqSh0wD8smSBwA8UyxRqiB 7x8wrZwliXvsqGhNze8fjNzCcuSXzoXokPbwy9zmBbiZXMRC39aj1kB5Ck6IX0TKqUG8Ax35XTn5 /U6m9Bmvco5Wr6L2+TZpKnav1Ie92aJmZE/DJkfFW+T+EH19t/3I/Ke1x96/cCPDU7JtoFidALaL 6gdDh+9S4SCLMx7QsaB/uMofKSIeLA0Zjeary9erAJdFvi78ChgJrj39A0FzCWYrXxy5+KUpDJQl pbt3+GSh0qxNt3YuTs8BRuPtk+cxKiMODD18MTuWxKfnDffHyF/XuWn+ZNLTCz3BdLwN1w96spD5 d0ozDLr9B+tXlf00SytJuwG9GrKqSzb7Oqq6cOg/Vec1y9teZGX4laRl0Xw1R4QNjPSy3KZyUZDD 0LnxWyHXKzdmwqJ0GgHdH+9utfT3FOWvqJzAjqUyddAs4r99oONseMh+ubQrKAK5uFg5cX6iZBnj xVpSVjsy3pAGZH0jTKvyRtJUqwlBNc/6o0ZMB4dORmN8fr5i3QHIIEVAmmM9uyPSmfeCKz7/4Opq maEZBfKScNb7Et25Kpdgob14AKxAAIzV2gDg2PY1Vi5JB9LbAMs26PBAwaOuwa6qNk0+uZF5d8aF D+Tj98U4qSypi3lAek2yCsrsX4cY/yVb2fHIszqGCwpdU7EPK7JP1WFLgxEOUKvJ3ZRYoXaAut3B BwXElb/3/cdU+NsOhVETZYSzMlwL4YNtO4tUrHbEcVzCsq079nQWhi563WqRcHEUKdWE079q/2xb 0AFHGD7V3IxBSbwnNs7ykb4JYdaEzVUnQwo0KoqBV5KIzrSIvWRbaLyF04ZenRpMPDgx6+QlOOop Oa/4quwUHNyQeQEMB7zG/59bPhtW85RnSrkyPlceZUch3qjWIfRQREHng3lGqrEE3gOiDCVnfPe5 PLTpCs/tEtWICSMae0KDhmKYmmfQVLEDiU4FlSA4U3qooPZwebCr+AF/K1XHluQhBb3rfoYn56Lo tgr9DTUfFzm9kY4QgAaJ0eyR6hEqq8FoiLNiMmgA0t2ozfhRzpx+F3J1uUuJ/duZcitfikQLzYJ3 sTgbuwcTPsp/OgWx8abLLu4MrvdatUMchfDONVs9QePK4YH6Z8irdvpHAlGR0AE6ECNtBocdemvR HmA0sPet1V0khyyzX+Ragf4X+BcrJwuwngsPscQPo6nmN6MUdagWKq+95mJkY0A+j+2qS93FLNPZ XCT36GPpves05cA0HPM2ZuRIUvHsnQjR3VxNjnWVX/q6XbsGxYWGfFk8ldVgLxhEOTwcdtI5DRvA 1U5NJVEQ1gKnQpmrV2eGAk7FA2jsJ3fare3SW0fPnOvXihIX1bHCFvxGs3EEiN+PBd4mSV+gRjxH zrWTuWjcQ1ZZEJAozqormMd5bPgMo/0nOdOvbI2f/mwHwB81qP5vWZ/FELFu8pgsPS68q+DkcDQc 14Rllvm2sUa/yvfWfObt5sKDpQqh4Z4Gc4F4KRjbqOkv1w/eS48+CVAzwJeWaUNq4Q/VkLUvVi4c 7O1iND0eJ37FhJMZ8XNm5i4sHQN/5vQK7hIdfN9tZhjtHgjr2czD1/kBYH0MqcHH+aCWVacnvKv4 6Rqu3dfLy/IA8y9xb/3JW6lCTHRbT8qOo0VlO6HwP6tPoReajc+cNCq+RAEToxINfyGf8JEpNahB OvizEXIxJJwXCniIaE19ZRnO74hGmMqanqi/NGp01WU56k3GwMvzYYJBLAFHti+uDgm6Flh989C4 qYolzZ+03L5o2kb+UizsKZGPhSsCGDVFCQplvVJD+EwcLKNVBprgfT36vH6HZhp5Kv5dDAp7PhSq sSd6MhePbdRGY54vSCWv14VsMc+ozn3aiDpJNQwhTTmI/D0wKcB2bg1YwC5zJbMuQtGfv2zm7+Um E/ZzcnHpstoUy5Zpl0KMZEFFjTMk77QzaH6Glecrd717Bq3YYBL2FhKEaeWbcLv2TvDDXsh36NMU oxf9ZkDfT/GJxNFUOwWGUt0/tA7DCfRBdFXZG+7j9CaMHqp5Z6Eh58A4nAdKl+AzDCfnyZxscKLd o2dmcVsM+6asajwLwbpIX9vA4BteWzDGrOxcNLGlMiYSZHaSp5Wyabi86qIj7b8mhmWvUZbEwt3p 6kjdEta1+KtpZWqczUUrNHksVpLXLEW+EVX2TiktA9uboad8KIYq3DCA5lQFlD2qhLJmO57WDvrB v6sR3XAbh9EAgRakyfFp5LS6hoSdm/uE+Odo9ZYEZ3oyRW/i7JJO3+IXrujkJT7iuIb7XqhWqg+M Qw8MuzGveB1ynE9bMHm4fsfHI61EzcHY6hgbuFJIQ7DPPBZeZPRo3wRTlZIz+eXIA0vyZTNzytWn fc9iSBdHwh5CYoLjzqpERkxN5SoJ3M7BK1ZFWqfM2M4MoDdcyQc+TXyVUPL5 `protect end_protected
gpl-3.0
makestuff/spi-talk
templates/fx2all/vhdl/top_level.vhdl
1
5035
-- -- Copyright (C) 2009-2012 Chris McClelland -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_level is generic ( NUM_DEVS : integer := 1 ); port( -- FX2LP interface --------------------------------------------------------------------------- fx2Clk_in : in std_logic; -- 48MHz clock from FX2LP fx2Addr_out : out std_logic_vector(1 downto 0); -- select FIFO: "00" for EP2OUT, "10" for EP6IN fx2Data_io : inout std_logic_vector(7 downto 0); -- 8-bit data to/from FX2LP -- When EP2OUT selected: fx2Read_out : out std_logic; -- asserted (active-low) when reading from FX2LP fx2OE_out : out std_logic; -- asserted (active-low) to tell FX2LP to drive bus fx2GotData_in : in std_logic; -- asserted (active-high) when FX2LP has data for us -- When EP6IN selected: fx2Write_out : out std_logic; -- asserted (active-low) when writing to FX2LP fx2GotRoom_in : in std_logic; -- asserted (active-high) when FX2LP has room for more data from us fx2PktEnd_out : out std_logic; -- asserted (active-low) when a host read needs to be committed early -- Peripheral interface ---------------------------------------------------------------------- spiClk_out : out std_logic; spiData_out : out std_logic; spiData_in : in std_logic; spiCS_out : out std_logic_vector(NUM_DEVS-1 downto 0) ); end entity; architecture structural of top_level is -- Channel read/write interface ----------------------------------------------------------------- signal chanAddr : std_logic_vector(6 downto 0); -- the selected channel (0-127) -- Host >> FPGA pipe: signal h2fData : std_logic_vector(7 downto 0); -- data lines used when the host writes to a channel signal h2fValid : std_logic; -- '1' means "on the next clock rising edge, please accept the data on h2fData" signal h2fReady : std_logic; -- channel logic can drive this low to say "I'm not ready for more data yet" -- Host << FPGA pipe: signal f2hData : std_logic_vector(7 downto 0); -- data lines used when the host reads from a channel signal f2hValid : std_logic; -- channel logic can drive this low to say "I don't have data ready for you" signal f2hReady : std_logic; -- '1' means "on the next clock rising edge, put your next byte of data on f2hData" -- ---------------------------------------------------------------------------------------------- -- Needed so that the comm_fpga_fx2 module can drive both fx2Read_out and fx2OE_out signal fx2Read : std_logic; -- Reset signal so host can delay startup signal fx2Reset : std_logic; begin -- CommFPGA module fx2Read_out <= fx2Read; fx2OE_out <= fx2Read; fx2Addr_out(0) <= -- So fx2Addr_out(1)='0' selects EP2OUT, fx2Addr_out(1)='1' selects EP6IN '0' when fx2Reset = '0' else 'Z'; comm_fpga_fx2 : entity work.comm_fpga_fx2 port map( clk_in => fx2Clk_in, reset_in => '0', reset_out => fx2Reset, -- FX2LP interface fx2FifoSel_out => fx2Addr_out(1), fx2Data_io => fx2Data_io, fx2Read_out => fx2Read, fx2GotData_in => fx2GotData_in, fx2Write_out => fx2Write_out, fx2GotRoom_in => fx2GotRoom_in, fx2PktEnd_out => fx2PktEnd_out, -- DVR interface -> Connects to application module chanAddr_out => chanAddr, h2fData_out => h2fData, h2fValid_out => h2fValid, h2fReady_in => h2fReady, f2hData_in => f2hData, f2hValid_in => f2hValid, f2hReady_out => f2hReady ); -- Switches & LEDs application spi_talk_app : entity work.spi_talk generic map ( NUM_DEVS => NUM_DEVS ) port map( clk_in => fx2Clk_in, -- DVR interface -> Connects to comm_fpga module chanAddr_in => chanAddr, h2fData_in => h2fData, h2fValid_in => h2fValid, h2fReady_out => h2fReady, f2hData_out => f2hData, f2hValid_out => f2hValid, f2hReady_in => f2hReady, -- Peripheral interface spiClk_out => spiClk_out, spiData_out => spiData_out, spiData_in => spiData_in, spiCS_out => spiCS_out ); end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_3/hdl/ip/feedforward_ap_fmul_2_max_dsp_32.vhd
4
12777
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY feedforward_ap_fmul_2_max_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END feedforward_ap_fmul_2_max_dsp_32; ARCHITECTURE feedforward_ap_fmul_2_max_dsp_32_arch OF feedforward_ap_fmul_2_max_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF feedforward_ap_fmul_2_max_dsp_32_arch : ARCHITECTURE IS "feedforward_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF feedforward_ap_fmul_2_max_dsp_32_arch: ARCHITECTURE IS "feedforward_ap_fmul_2_max_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=2,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 32, C_RESULT_FRACTION_WIDTH => 24, C_COMPARE_OPERATION => 8, C_LATENCY => 2, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 32, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END feedforward_ap_fmul_2_max_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/uc3m/feedforward_v1_4/hdl/vhdl/feedforward_fadd_32ns_32ns_32_5_full_dsp.vhd
4
3380
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_fadd_32ns_32ns_32_5_full_dsp is generic ( ID : integer := 0; NUM_STAGE : integer := 5; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_fadd_32ns_32ns_32_5_full_dsp is --------------------- Component --------------------- component feedforward_ap_fadd_3_full_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_fadd_3_full_dsp_32_u : component feedforward_ap_fadd_3_full_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; b_tvalid <= '1'; b_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/ip/ANN_ap_fpext_0_no_dsp_32.vhd
6
12143
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_1; USE floating_point_v7_1_1.floating_point_v7_1_1; ENTITY ANN_ap_fpext_0_no_dsp_32 IS PORT ( s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END ANN_ap_fpext_0_no_dsp_32; ARCHITECTURE ANN_ap_fpext_0_no_dsp_32_arch OF ANN_ap_fpext_0_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_1,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF ANN_ap_fpext_0_no_dsp_32_arch : ARCHITECTURE IS "ANN_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF ANN_ap_fpext_0_no_dsp_32_arch: ARCHITECTURE IS "ANN_ap_fpext_0_no_dsp_32,floating_point_v7_1_1,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_1 GENERIC MAP ( C_XDEVICEFAMILY => "virtex7", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 1, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 24, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 24, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 24, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 0, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => '0', aclken => '1', aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END ANN_ap_fpext_0_no_dsp_32_arch;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_TEST/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_wr_demux.vhd
13
75458
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_wr_demux.vhd -- -- Description: -- This file implements the DataMover Master Write Strobe De-Multiplexer. -- This is needed when the native data width of the DataMover is narrower -- than the AXI4 Write Data Channel. -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_sg_wr_demux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the select control bus C_MMAP_DWIDTH : Integer range 32 to 1024 := 32; -- Indicates the width of the AXI4 Write Data Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32 -- Indicates the native data width of the DataMover S2MM. If -- S2MM Store and Forward with upsizer is enabled, the width is -- the AXi4 Write Data Channel, else it is the S2MM Stream data width. ); port ( -- AXI MMap Data Channel Input -------------------------------------------- -- wstrb_in : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- -- data input -- ---------------------------------------------------------------------------- -- AXI Master Stream ------------------------------------------------------ -- demux_wstrb_out : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0); -- --De-Mux strb output -- ---------------------------------------------------------------------------- -- Command Calculator Interface -------------------------------------------- -- debeat_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap Data -- -- Width). -- ---------------------------------------------------------------------------- ); end entity axi_sg_wr_demux; architecture implementation of axi_sg_wr_demux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is --when 2 => -- var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; when 64 => var_sel_width := 6; when 128 => var_sel_width := 7; when others => var_sel_width := 1; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (stream_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case stream_width is when 8 => var_sel_ls_index := 0; when 16 => var_sel_ls_index := 1; when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; when 256 => var_sel_ls_index := 5; when 512 => var_sel_ls_index := 6; when others => -- assume 1024 bit width var_sel_ls_index := 7; end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant OMIT_DEMUX : boolean := (C_STREAM_DWIDTH = C_MMAP_DWIDTH); Constant INCLUDE_DEMUX : boolean := not(OMIT_DEMUX); Constant STREAM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/8; Constant NUM_MUX_CHANNELS : integer := MMAP_WSTB_WIDTH/STREAM_WSTB_WIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(C_STREAM_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port demux_wstrb_out <= sig_demux_wstrb_out; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memeory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (OMIT_DEMUX) generate begin sig_demux_wstrb_out <= wstrb_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel demux case -- -- ------------------------------------------------------------ GEN_2XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_DEMUX -- -- Process Description: -- Implement the 2XN DeMux -- ------------------------------------------------------------- DO_2XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when others => -- 1 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; end case; end process DO_2XN_DEMUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel demux case -- -- ------------------------------------------------------------ GEN_4XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_DEMUX -- -- Process Description: -- Implement the 4XN DeMux -- ------------------------------------------------------------- DO_4XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when others => -- 3 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; end case; end process DO_4XN_DEMUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel demux case -- -- ------------------------------------------------------------ GEN_8XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_DEMUX -- -- Process Description: -- Implement the 8XN DeMux -- ------------------------------------------------------------- DO_8XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when others => -- 7 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; end case; end process DO_8XN_DEMUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel demux case -- -- ------------------------------------------------------------ GEN_16XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_DEMUX -- -- Process Description: -- Implement the 16XN DeMux -- ------------------------------------------------------------- DO_16XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when others => -- 15 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; end case; end process DO_16XN_DEMUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel demux case -- -- ------------------------------------------------------------ GEN_32XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_32XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when others => -- 31 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; end case; end process DO_32XN_DEMUX; end generate GEN_32XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64XN -- -- If Generate Description: -- 64 channel demux case -- -- ------------------------------------------------------------ GEN_64XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 64) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_64XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_64XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when 31 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; when 32 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*33)-1 downto STREAM_WSTB_WIDTH*32) <= wstrb_in; when 33 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*34)-1 downto STREAM_WSTB_WIDTH*33) <= wstrb_in; when 34 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*35)-1 downto STREAM_WSTB_WIDTH*34) <= wstrb_in; when 35 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*36)-1 downto STREAM_WSTB_WIDTH*35) <= wstrb_in; when 36 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*37)-1 downto STREAM_WSTB_WIDTH*36) <= wstrb_in; when 37 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*38)-1 downto STREAM_WSTB_WIDTH*37) <= wstrb_in; when 38 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*39)-1 downto STREAM_WSTB_WIDTH*38) <= wstrb_in; when 39 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*40)-1 downto STREAM_WSTB_WIDTH*39) <= wstrb_in; when 40 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*41)-1 downto STREAM_WSTB_WIDTH*40) <= wstrb_in; when 41 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*42)-1 downto STREAM_WSTB_WIDTH*41) <= wstrb_in; when 42 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*43)-1 downto STREAM_WSTB_WIDTH*42) <= wstrb_in; when 43 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*44)-1 downto STREAM_WSTB_WIDTH*43) <= wstrb_in; when 44 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*45)-1 downto STREAM_WSTB_WIDTH*44) <= wstrb_in; when 45 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*46)-1 downto STREAM_WSTB_WIDTH*45) <= wstrb_in; when 46 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*47)-1 downto STREAM_WSTB_WIDTH*46) <= wstrb_in; when 47 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*48)-1 downto STREAM_WSTB_WIDTH*47) <= wstrb_in; when 48 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*49)-1 downto STREAM_WSTB_WIDTH*48) <= wstrb_in; when 49 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*50)-1 downto STREAM_WSTB_WIDTH*49) <= wstrb_in; when 50 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*51)-1 downto STREAM_WSTB_WIDTH*50) <= wstrb_in; when 51 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*52)-1 downto STREAM_WSTB_WIDTH*51) <= wstrb_in; when 52 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*53)-1 downto STREAM_WSTB_WIDTH*52) <= wstrb_in; when 53 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*54)-1 downto STREAM_WSTB_WIDTH*53) <= wstrb_in; when 54 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*55)-1 downto STREAM_WSTB_WIDTH*54) <= wstrb_in; when 55 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*56)-1 downto STREAM_WSTB_WIDTH*55) <= wstrb_in; when 56 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*57)-1 downto STREAM_WSTB_WIDTH*56) <= wstrb_in; when 57 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*58)-1 downto STREAM_WSTB_WIDTH*57) <= wstrb_in; when 58 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*59)-1 downto STREAM_WSTB_WIDTH*58) <= wstrb_in; when 59 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*60)-1 downto STREAM_WSTB_WIDTH*59) <= wstrb_in; when 60 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*61)-1 downto STREAM_WSTB_WIDTH*60) <= wstrb_in; when 61 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*62)-1 downto STREAM_WSTB_WIDTH*61) <= wstrb_in; when 62 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*63)-1 downto STREAM_WSTB_WIDTH*62) <= wstrb_in; when others => -- 63 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*64)-1 downto STREAM_WSTB_WIDTH*63) <= wstrb_in; end case; end process DO_64XN_DEMUX; end generate GEN_64XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128XN -- -- If Generate Description: -- 128 channel demux case -- -- ------------------------------------------------------------ GEN_128XN : if (INCLUDE_DEMUX and NUM_MUX_CHANNELS = 128) generate -- local signals signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_demux_sel_int : integer := 0; signal lsig_demux_sel_int_local : integer := 0; signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens lsig_demux_sel_int_local <= sig_demux_sel_int; sig_demux_wstrb_out <= lsig_demux_wstrb_out; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_128XN_DEMUX -- -- Process Description: -- Implement the 32XN DeMux -- ------------------------------------------------------------- DO_128XN_DEMUX : process (lsig_demux_sel_int_local, wstrb_in) begin -- Set default value lsig_demux_wstrb_out <= (others => '0'); case lsig_demux_sel_int_local is when 0 => lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in; when 1 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in; when 2 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in; when 3 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in; when 4 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in; when 5 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in; when 6 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in; when 7 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in; when 8 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in; when 9 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in; when 10 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in; when 11 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in; when 12 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in; when 13 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in; when 14 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in; when 15 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in; when 16 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in; when 17 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in; when 18 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in; when 19 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in; when 20 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in; when 21 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in; when 22 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in; when 23 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in; when 24 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in; when 25 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in; when 26 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in; when 27 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in; when 28 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in; when 29 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in; when 30 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in; when 31 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in; when 32 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*33)-1 downto STREAM_WSTB_WIDTH*32) <= wstrb_in; when 33 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*34)-1 downto STREAM_WSTB_WIDTH*33) <= wstrb_in; when 34 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*35)-1 downto STREAM_WSTB_WIDTH*34) <= wstrb_in; when 35 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*36)-1 downto STREAM_WSTB_WIDTH*35) <= wstrb_in; when 36 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*37)-1 downto STREAM_WSTB_WIDTH*36) <= wstrb_in; when 37 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*38)-1 downto STREAM_WSTB_WIDTH*37) <= wstrb_in; when 38 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*39)-1 downto STREAM_WSTB_WIDTH*38) <= wstrb_in; when 39 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*40)-1 downto STREAM_WSTB_WIDTH*39) <= wstrb_in; when 40 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*41)-1 downto STREAM_WSTB_WIDTH*40) <= wstrb_in; when 41 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*42)-1 downto STREAM_WSTB_WIDTH*41) <= wstrb_in; when 42 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*43)-1 downto STREAM_WSTB_WIDTH*42) <= wstrb_in; when 43 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*44)-1 downto STREAM_WSTB_WIDTH*43) <= wstrb_in; when 44 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*45)-1 downto STREAM_WSTB_WIDTH*44) <= wstrb_in; when 45 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*46)-1 downto STREAM_WSTB_WIDTH*45) <= wstrb_in; when 46 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*47)-1 downto STREAM_WSTB_WIDTH*46) <= wstrb_in; when 47 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*48)-1 downto STREAM_WSTB_WIDTH*47) <= wstrb_in; when 48 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*49)-1 downto STREAM_WSTB_WIDTH*48) <= wstrb_in; when 49 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*50)-1 downto STREAM_WSTB_WIDTH*49) <= wstrb_in; when 50 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*51)-1 downto STREAM_WSTB_WIDTH*50) <= wstrb_in; when 51 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*52)-1 downto STREAM_WSTB_WIDTH*51) <= wstrb_in; when 52 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*53)-1 downto STREAM_WSTB_WIDTH*52) <= wstrb_in; when 53 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*54)-1 downto STREAM_WSTB_WIDTH*53) <= wstrb_in; when 54 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*55)-1 downto STREAM_WSTB_WIDTH*54) <= wstrb_in; when 55 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*56)-1 downto STREAM_WSTB_WIDTH*55) <= wstrb_in; when 56 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*57)-1 downto STREAM_WSTB_WIDTH*56) <= wstrb_in; when 57 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*58)-1 downto STREAM_WSTB_WIDTH*57) <= wstrb_in; when 58 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*59)-1 downto STREAM_WSTB_WIDTH*58) <= wstrb_in; when 59 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*60)-1 downto STREAM_WSTB_WIDTH*59) <= wstrb_in; when 60 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*61)-1 downto STREAM_WSTB_WIDTH*60) <= wstrb_in; when 61 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*62)-1 downto STREAM_WSTB_WIDTH*61) <= wstrb_in; when 62 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*63)-1 downto STREAM_WSTB_WIDTH*62) <= wstrb_in; when 63 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*64)-1 downto STREAM_WSTB_WIDTH*63) <= wstrb_in; when 64 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*65)-1 downto STREAM_WSTB_WIDTH*64) <= wstrb_in; when 65 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*66)-1 downto STREAM_WSTB_WIDTH*65) <= wstrb_in; when 66 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*67)-1 downto STREAM_WSTB_WIDTH*66) <= wstrb_in; when 67 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*68)-1 downto STREAM_WSTB_WIDTH*67) <= wstrb_in; when 68 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*69)-1 downto STREAM_WSTB_WIDTH*68) <= wstrb_in; when 69 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*70)-1 downto STREAM_WSTB_WIDTH*69) <= wstrb_in; when 70 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*71)-1 downto STREAM_WSTB_WIDTH*70) <= wstrb_in; when 71 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*72)-1 downto STREAM_WSTB_WIDTH*71) <= wstrb_in; when 72 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*73)-1 downto STREAM_WSTB_WIDTH*72) <= wstrb_in; when 73 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*74)-1 downto STREAM_WSTB_WIDTH*73) <= wstrb_in; when 74 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*75)-1 downto STREAM_WSTB_WIDTH*74) <= wstrb_in; when 75 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*76)-1 downto STREAM_WSTB_WIDTH*75) <= wstrb_in; when 76 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*77)-1 downto STREAM_WSTB_WIDTH*76) <= wstrb_in; when 77 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*78)-1 downto STREAM_WSTB_WIDTH*77) <= wstrb_in; when 78 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*79)-1 downto STREAM_WSTB_WIDTH*78) <= wstrb_in; when 79 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*80)-1 downto STREAM_WSTB_WIDTH*79) <= wstrb_in; when 80 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*81)-1 downto STREAM_WSTB_WIDTH*80) <= wstrb_in; when 81 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*82)-1 downto STREAM_WSTB_WIDTH*81) <= wstrb_in; when 82 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*83)-1 downto STREAM_WSTB_WIDTH*82) <= wstrb_in; when 83 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*84)-1 downto STREAM_WSTB_WIDTH*83) <= wstrb_in; when 84 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*85)-1 downto STREAM_WSTB_WIDTH*84) <= wstrb_in; when 85 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*86)-1 downto STREAM_WSTB_WIDTH*85) <= wstrb_in; when 86 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*87)-1 downto STREAM_WSTB_WIDTH*86) <= wstrb_in; when 87 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*88)-1 downto STREAM_WSTB_WIDTH*87) <= wstrb_in; when 88 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*89)-1 downto STREAM_WSTB_WIDTH*88) <= wstrb_in; when 89 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*90)-1 downto STREAM_WSTB_WIDTH*89) <= wstrb_in; when 90 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*91)-1 downto STREAM_WSTB_WIDTH*90) <= wstrb_in; when 91 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*92)-1 downto STREAM_WSTB_WIDTH*91) <= wstrb_in; when 92 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*93)-1 downto STREAM_WSTB_WIDTH*92) <= wstrb_in; when 93 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*94)-1 downto STREAM_WSTB_WIDTH*93) <= wstrb_in; when 94 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*95)-1 downto STREAM_WSTB_WIDTH*94) <= wstrb_in; when 95 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*96)-1 downto STREAM_WSTB_WIDTH*95) <= wstrb_in; when 96 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*97 )-1 downto STREAM_WSTB_WIDTH*96 ) <= wstrb_in; when 97 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*98 )-1 downto STREAM_WSTB_WIDTH*97 ) <= wstrb_in; when 98 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*99 )-1 downto STREAM_WSTB_WIDTH*98 ) <= wstrb_in; when 99 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*100)-1 downto STREAM_WSTB_WIDTH*99 ) <= wstrb_in; when 100 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*101)-1 downto STREAM_WSTB_WIDTH*100) <= wstrb_in; when 101 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*102)-1 downto STREAM_WSTB_WIDTH*101) <= wstrb_in; when 102 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*103)-1 downto STREAM_WSTB_WIDTH*102) <= wstrb_in; when 103 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*104)-1 downto STREAM_WSTB_WIDTH*103) <= wstrb_in; when 104 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*105)-1 downto STREAM_WSTB_WIDTH*104) <= wstrb_in; when 105 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*106)-1 downto STREAM_WSTB_WIDTH*105) <= wstrb_in; when 106 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*107)-1 downto STREAM_WSTB_WIDTH*106) <= wstrb_in; when 107 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*108)-1 downto STREAM_WSTB_WIDTH*107) <= wstrb_in; when 108 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*109)-1 downto STREAM_WSTB_WIDTH*108) <= wstrb_in; when 109 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*110)-1 downto STREAM_WSTB_WIDTH*109) <= wstrb_in; when 110 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*111)-1 downto STREAM_WSTB_WIDTH*110) <= wstrb_in; when 111 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*112)-1 downto STREAM_WSTB_WIDTH*111) <= wstrb_in; when 112 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*113)-1 downto STREAM_WSTB_WIDTH*112) <= wstrb_in; when 113 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*114)-1 downto STREAM_WSTB_WIDTH*113) <= wstrb_in; when 114 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*115)-1 downto STREAM_WSTB_WIDTH*114) <= wstrb_in; when 115 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*116)-1 downto STREAM_WSTB_WIDTH*115) <= wstrb_in; when 116 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*117)-1 downto STREAM_WSTB_WIDTH*116) <= wstrb_in; when 117 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*118)-1 downto STREAM_WSTB_WIDTH*117) <= wstrb_in; when 118 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*119)-1 downto STREAM_WSTB_WIDTH*118) <= wstrb_in; when 119 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*120)-1 downto STREAM_WSTB_WIDTH*119) <= wstrb_in; when 120 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*121)-1 downto STREAM_WSTB_WIDTH*120) <= wstrb_in; when 121 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*122)-1 downto STREAM_WSTB_WIDTH*121) <= wstrb_in; when 122 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*123)-1 downto STREAM_WSTB_WIDTH*122) <= wstrb_in; when 123 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*124)-1 downto STREAM_WSTB_WIDTH*123) <= wstrb_in; when 124 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*125)-1 downto STREAM_WSTB_WIDTH*124) <= wstrb_in; when 125 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*126)-1 downto STREAM_WSTB_WIDTH*125) <= wstrb_in; when 126 => lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*127)-1 downto STREAM_WSTB_WIDTH*126) <= wstrb_in; when others => -- 127 case lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*128)-1 downto STREAM_WSTB_WIDTH*127) <= wstrb_in; end case; end process DO_128XN_DEMUX; end generate GEN_128XN; end implementation;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone_v2/ipshared/uc3m/ann_v2_1/hdl/vhdl/ANN_sitofp_32ns_32_6.vhd
6
2642
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity ANN_sitofp_32ns_32_6 is generic ( ID : integer := 3; NUM_STAGE : integer := 6; din0_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of ANN_sitofp_32ns_32_6 is --------------------- Component --------------------- component ANN_ap_sitofp_4_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- ANN_ap_sitofp_4_no_dsp_32_u : component ANN_ap_sitofp_4_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din0_WIDTH-1 downto 0 => '0') when ((din0_buf1 = ( din0_WIDTH-1 downto 0 => 'X')) or (din0_buf1 = ( din0_WIDTH-1 downto 0 => 'U'))) else din0_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/xbip_utils_v3_0/hdl/xbip_utils_v3_0_vh_rfs.vhd
24
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GK+B9PZwAQG0AijumSfbCugpYhcwULsoxpdEe41kJbdOvZ5J1nq4AhWPTePhNLqLZyBbfYmxsIZl Kzz7NcppbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc9rX2vH3RY42aoriR6ztPTcqZ3ndb7iB1z0rAP/XXc76vu66p6pBS+TY6fgUWjogz4K8V3rQcVk QhbKnNsq4R85/qIZX/owqI2Xbd/dA/PL7WzHovQfQ2Zbv/FYpOTcbk1GlvA4SP0qUPoC9F172fdR bmnSOlCifs0w7zFrmVw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TLARkr6nHml2Oi3n5stw/PPzVB7LbOYkShwuslqxUidwZ+zXMopRNQY5lJiwJLSjHJiRYifmHfrw 1j3pLKHylIJVGwwneKNlQUIEC+wFjTqZ0yAuiOyhJf38AZ+gdgxm2CaJ3fBX7x4vceudOD/tftHy +O8IILkavSBr/DqYddVCvBGT+au3etiWBzsr8SSEyNG/lJTbDK4JA7vFUA0c+/p8kmR1k7gzgea1 LBaUKnLUiV7JGUwFE/NhXwyQOUCGmglBA06YamX7h1THcGtlLA93Az177ZMGd/ySK/UhnBMGCitu M+aRnd+ejseJlC/TV/RRTDxx24ieJfkWvHUodw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SOZcfpI9WzYyQTjPteLe53BWFPZc+91kF34keudF0ftzI9AfaU+XvWb6i7/0j9NFuqQKcqrO1mrT mCJW4XBC6rtaSHo+f93/clBlPzNqgtx36jyVhhwaXJBq8NOhuHgbnb/nCxFVsG94fWluz1T9COXk viw/Cwn+UZigS75GXwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iag7/uHCfg3dlMRP5oC7s3rpNUzCn0pv+HfRxcgf8SAWmyxvCg2B8CDf9KiNCUewbeMkGKMGe3Tb R2WV4d/gItKUaNAw4Uf8kShbJmd79axzwnLiskEgzh0j+CUBLA5R5vsCRJG7/bkZDHI/qNavjSAk CR5yrk9pYg56DPafPJ95uuMckKWjlrj6IWIGVOdp3dHDL4emrILmp4AK+cXS950aFNNLCWzyQKzN +FlCVg2/0I3FhHgIx3xQ2Dnq1sUKOUKp1ixFXKZ4q4xJYeJTLNIPGu46A8oV/Dt+xgcCjQmID8pi iLxuw3lWUwdrRNfmEI5YFE1fjCSObi+pLLVLXg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wo8k9qSNHjnAGR/g/m5L/ddkyNUQ73ZTe5OnVIGlwWehud2ibAyKEn5YmcrbfNYu0YZa7A4HM99Y Og5OjbEZe16RUiTwAS5/DcFT42yfxzDUFjxNKukT82hs335OEyhTsOjtOrzqBjTumgUGgBJmZRgr mZ1oABh53+odWx0V2EYwQoXALntoYWhr1xxtglpek43rHi8oau5sK4Tms6Gyqfj7c9WpsIKE37YK EHC5D7h7fTHJhmXpQyTEwa/W46hwUcSV/ADv1d297c2FRqOHwlURm8vTbqNcrI70Qst5/7vqf2JM KHfcXGDQ/S5SfZ67IKYlYTnNR7zkgEIdy8goYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block +p6IiOq494xySVwAEUme1GpicgVWFs89D5IanJw/OkkVwMV1NbryRNBWot2FFyqeqxLNnGFA4AWu AU2xDfDvTiXA0hq3ryDXrOqXfjLuxMfeiA9GUc85VQ/zNgRAFQoTR6PGDDq+rLVcCDXil3NseL9i p5jnALSn+dJHRhysloOD6RLtRO54zlUWAHE3wZvxQ7TLQRk4QEx+4nq3gpj+1yhXsywZomn7Ia/k FpOo/nn66pTJ1F3xuRknW7LPd2ejbZiy+80pd6zIfAkAqZ5o2JbJ2DY3CmYFIIGtLQK2vnCoriGB dt4fkeMnIOGu5hV2xVx6q2qjLfX8Biazi55MfB8VFsRGwg0zJ6/s9RYMmESGBvsP18xmtMX5/IEz 45pL5C4+mdp+FG+y3ubozEsyhHJDYfXpwwKIEg4zybXxJWwRCNFxomYkdUqmw7TkBP5Yrohhk+jH WA8/zoYb2bHC3mE77ejM8rTYal9HNMToZa9qsMYF6mSraRYjSnkYVwoYFlLDh7wTBQSafsql7mBD 5Cw2DCy3XQzokSdw+yRaLRdrL20oE83HJyXpns2zQAteH3ExY6aHvcCQpQRadTDxBPqadwy57wrL XsTXXH6HE2XUWqnBRy6NCAQM8a9YpVfwytZODn7zCkvYjaEq4hAjcdkF0XvvhJfIPxdGrmP7OXwa EdBfyqqivYwf52KW8C4IqEhtoFLcdzEptOEx3Eny0U6NElM5Y4jdUNotdwSgkCU1t3xAIkVggDW1 HXA+XA+jdW8ufTCCFCVKtFrdr38TNu1cXwSODRyc1BMl5YhFdpXCyfeidLEA4uDt+FcLzI1ILMsQ yWPKzKZNzgZt0lbObs0vLkn5xcgSOLkFb+ZemSY9lAiICs97jFI9fbMF8CYBFr4FkUOssuxgyaf2 ZSjnyNw1WF9l/gtTxspz1vO+m0cWHX1Te8TcHIVmM2Pxt7/I4FR9+ij4O1J2KU6/XPdZQdDOKLZ4 lf7GOdflI0DA+UK0ONwV3XF4JAA9iGy+A4t0R1c8VpBrfQSZIO7cLSgguFclq5KlwsrolXWnG5Md M0RJD3iYQgfdveFx29CLhfaB+LCJYGYmpxvwFMM6Ltw9kecrKpQ8nxfXD8kJ+BZ6QNrUZdYqLtzt W5Fatdge3riDKkq3RGEKthrsHRlS9dCMQkPx4dDXFRrPFIzuTSj11qIyLAhgws+47oNjjwJ2V7dU SYiZ9090zHk/5mwaJH/mmJ6pjy/Ebmaitn493AjQsOFYqt7LRjTakRDeH+80GU9QzK2Q5q7hFhmy HmwIo9L/QMSqNKqqJhb9iJnSt793PHsn65VCvwDGLxLr4yIZXRdbDUnWYWu8lzeOe1FZszQFTseo 8/UD35VkyJGwYNhTqvazOHtidoHu1Uxj/z+oOa79XTDg29Ez3A4flhZtoWR8Xigv215F0YUSkfTe lt3WuzVShqpBMvgZqKbI4GEvlYEa4f1N8hRgA8d4Sf5yOl25Kfa5yr61p4o92J+jCPNgSMeHAa7X HEr5EQjqwEfLZSSty09HeiNKrkZQn+8DO85q5vdYXZ2PjWSXCyeo73UME4l1LCyOFkDPwGvX092K IBlyxF77zeYdLUenRd0M6Uw/WXY3iioYxxWLzlu3N1493V6lZgYpR4cju2H53PSRjHxxIx9/kF0/ SPyl00EqQU1v50LG9jGPlhnRgrYIM7jMPB6gpxtuHDaMr3YfmIdFWgO4AU5JMYMCFWqltHxBbjpH w7GiH60UkPmAzK1/NhQjxYfJKBKUVDezLWifHTv7LD/QmMPsLuLPp81i371WPkBEGDSuAAdMmssv xAQ1dn+OifJbxbw4r8CQ6Kfj5hl+CPN6571eYg8yuzsEcqm2ZJEz/z2dC5OIbGGPyh377ppgB+KJ YsUGWOOCOMlP6efNOdZNuwqRn6k+dAtnTsCn1ChPJdDWlDTcK8TV/I+jbjZ5QHpw1PoMqUXZdy7m zYpmNbQsMn+ZW3Mczd6d4onIDLJ/4SIR3FY61x7wUi5pr5m1LDIj7j+d8CnZzMOEi5fYqKdn2JCg fiGG300wfAE/W/gVXKERiBvAIcotA+Jf5g7HV158RoPrJQczLuvBbgm5/L09wxFLA6VZGLfBUFdq 8xk9lKNtkf0aJzg5U1i/mlO9YCKPg6bsqE2jxNWy+9wJyp2YnPBq9FTTU5dNSXzcam3DswYDE49z uUtvizeZcJ4rJomxjRQUW14lm2mYrlV7ltbkELtvUbjBU+jFgJDh9oNB1BfeJ6ysriXH+x37Nf/m P78suE+a+hZqPeU13D+YUA4ilr7G0Ra7xcqlp3Uav+rbzMcyPhGNH7nlUiKxKJviqwpcdX9BOVJ9 NJQOHG94WGbr1f12kbi+HEIT4yuDV4KARvKQ+MtjLrjIcxyitYROFgomB+Gw1POPg82FwjSTjwt4 95yT2dIUVzyO/h0/S7AiR+OvhT0MD237OfkFnhKbDU3IiCKgv/12Ak8hp8YGUJVoeDz87T2flJ7u cO4Qrdi/ghkn1gjTrEKwTM+2DxV+lHI/BXPpExoEf7Cnfkay0+o5TMUkxFQH4dZYiKMM3nUpMkeR 35OT9znj7QUX+iYoas6NoNlFR7aOr5A3haG/2nsY7ZuujUKD8lvUKw08JJ2MjbLCDnRs754bweFV UcV0f7b57EDFvgs05FFJox/oE4SAbTifJ97LcvrHzCcFjRX/Lv6JaJs+tgjiY+5fBuUOPFnTAH8Z FiQdGd2mg5wakhyIDDz2cNmxBxZbXTaAA8MQ2OApEjusfN3Hz/vsxM3S4rntr+V5ffKOrBU2yla3 x/DQ2FNgZFRXUchpQdq9kY0LYjPii4WdUBU/QPC2Kfakhgvn/5eJmOwGHLkTeVlBwB0KUmoDHjun lXWSWiFEtJz0kZDG54SSWNP9IHHzqrvNzo6eeE+T8kwKzxNM7z98ksK9yx4t772p8fJGmu3tfJxy Z2UXIORDUuyLzzbpAgEDMhFMUsCzP26Yh5M9ly5EJlKFgvEq7qty5pnlA+r3eO+NxC8FC5Xwx3Sw VvIXeMHJLik30yrU+m9sxhO+3CF13SjmGoIp6luw2Ynvipsob8EX/TDtzx8ebDFB/dhheL1OvR9I UOn81nv+yhnrNg/P0yWXD4b9HGb3F+et+Jq8dRZCvqxICnOa4R+poMH9gVkTohE03c/yzF4dbHcL /MNqm5j7EgXZtwWDi0ZICzZJ1dCckzq35UjhPvmEaPTt2KvYRrs5R8zeddX/CN81PfZQHyCPQ6ch Xl5ygE7/RLR9tp92UpbLJAPgQIc0qoV4ph2DvJsgZcDdDsw8xrifYVG0yHFG4DL2cAA8ulQC5kdY 2WCdaSdRvTMvfR3wAzSgHH6nycvRyNyikz21aZ7cOqzLG/b0HfIvDPiSodqwN9JvsXIDem27keFW oi+a38ADF6InPtueG4zHlGODc6gYOt5wMsu0vZvfTs30hlF6VlQsLsx92qy6wh3PUQvN3u55nDWL HTaQ+rxTuMwHblFqoNp0jX4dCkA/jqSSKVfDlAMKY9EeMzyCBykbL8Nx3A348fhg0gd/Iva6TSzX 0RMXExSAuwZLwjrYlb6UEAJqKGFfL7N3j4sHlEFB5HSuG86Jh2h1GAOjmE3fv7eHT/G+qgUiavUB wO9yxGuL3YwjyfXX968F30RRTTFcPCiDrsXly9DhRTdP3kfMjJTikHXNtTOL3jJGE8Q5eE/2aWsh oKFdWMO55NhiHxiHUv+QfYy74tldIINpw6nuxHqSZlmJrf7sk0gh5Mdj/b1Hm8aoS+1iUwz5wF3E aPgJpd8AIbcEot35Ig6168NHQIc+FpcePMfzpaSnc+RTMi2gVI0vh6BIdOrOJwlvNlp99WgC1Uw0 pR4enqdsfjgK0Arf+6NRMTDw1q2P6dJOTZ4Dbh10JAyVOAibv3msx3e/lDuz9o7kKncPw6up69K0 qHHizSO7TJg2X1SfZY3JmJCEzxr23HjaczN0bl4yhBQpi//VH0nO8gX61wvEIdYMqRMgOeZnb3BO xtqwXp5L9pEzcjibg9nllWotpAVqTMbju49jAHMOVcTFyNXlYusV5jC9ICExSBx8fk57+oTVOuhY 5sCZ+kx+CMIQsmRcOfqEzopKFGH9Dbki+AjPPeX35VX9fzKtB8S2/jXeDYWESug0UunpJTG23Z3S t+EVJv1fzHY/tK0YRv8kOtxKybSNjPGzf/qhW5dwRkxXBIax9MbcHGe5ryXdPeynn1GBIIK5VlRL SKwwbxFu0VRWeW/vMgq/2gLogkNiYXCaPjV9rMdvlYAYc/12Jq45GJUqBJzZfQEsRqjggTzcvKeP eiudACR3/z+D/5Ay4f/3+LqzOKUTObJVF4fikmSAbIXj2eONa+ZZE4VDc7qU4or2MA3HtSv/+8dx x2M/aXA3Vd2OWwknDtG4N1CtaJrJVUtHYQnevlc9nLxDBTb+pR2rMG1bvdi07u/pC05vxhynp9yU V0X+bVF1PlZo8SKJNVBky2JLdkHvscKjylAXUVMf7gmE69Sr289jJrf8YXcIeSyi0U/ur66Cp95q UzH4keTjPJNcpnjV/0/5zgk9hg11rIyhTF7ot+ggm2WjFeP732Fxp0lIh6lCa0p9Lf/A7b995I9A GQj/pEtX+5vztIfthIWMQGTlzu7GvRLLRDlX8tfPE+5WTBMGc7IlBIEHDv1i979OYqPv6cViCddH qeMegIwRavPXaVt0Hakb6t5M7PSr+bhlMYUMuS3UrecVD/7CUOirYcAzEo8k4u2HNcYOJo7c+Xsq QFYXlUVXBETgzLLSC2pB9wLgzONXwXmIs1Nmr+6YME1S28JpUn80ftFhItTi4bVSX58WtK5Sv6a/ ebdH7zIBT1A/vEKr0bexjwl39keID8AY9trZRZG0qyQcJeNJxM22gGM+shJjO95rgCMmNQ6XveY6 8uzzD9yXh5G96IvZCg6yW9j+UwGTYWHtmoCShniRYIjDHx/tbcglykW0qMqLOivoKJPezTfZrV4X UzQaJCmy3EO9k/NC28Ggc5jhKnzwpI9TbAEvAHi+WsRA94isU7OylYT8n8WuHEtwA3DpMIQs0rkb NOWztgvFr+61INSLC2Xsv82K8qtLmyYqLnWXS+XbYPnNr9BwG/b9Ge+2BN8m8Hn53hPB71S854g6 3iyI7egb8YEqf6SUO905XY2u2EBbe/HXeZkoZWQRmKyrIE7V90/NHIAfkYUZkbcEtFxHNHzSIn/X QsFvP3ByHr21AnxilABKJ5kBdP8WayAPp9caRxfTmImgpfQiwlrhpYqZOWmQMzPUQNKTUE2xMpzj Dx9rHJIaW1++AuX+clrzhPKCscRjFCm8p+yAvxs1rZWCO1n6CCxYfaL2k75gY+tsjQh/9+jgf72P L8ngBknoTPs9dFOK99vtpTWOjwcHZ+vJcprhwFpX3zPxC2fT3M70z3US7ppOv4VUCVC2+JlQ/E0r B7zaB5A0TyFTyJAGjlUMSGIetlcyOxdJTRuodO/UOcEJ2zqdi4UJNvs3t4J8DYz5HaOVji3T2v8d KttP5Re5oLPrD7rzKRRobyMpwaMUd66u02h219oLTJoORfWIflT7DEfhyiKeHLzTIAkvuQ9KWPqM RKKmJoMpzPapxIX9VwI+iP9NzZ3rOoIs6GK7V3a/zCh5eTWcA2fFG/YRU0vD022zeB4HM+CP4lsD vFdN8CLIbDxaKtxt9vqS97ZN/CyKRlGKOpTRdNajV3voIc2exgl1GsVhwnGwxkwrNR43iEN8j51X 9P6NT9qg7n177HfJsmOEpUlpCp892ps0+PfZV7speF4fTZxcAb8513nTY0KiQ1pgWbPuggHBOELr koEWBj2fkrE6ybOlIEiuo47UA2qQmajhmYjSjM2VsQ4CDHyK+ZvFrdNM9XvJ/1KFcrV5Lg8dvKak fSaPEVVyVLEt6N81cMhnd7Ho5vl2UdTc3Kqj7+3g4ysVoGVApGlJbWKbJYjSsWtgW2draTtHma9J CvquAAU8c8AY5MmhSitGuwa7BWwpr0PTj30OKjjaqvfuBeTi5UkFTJU7VVYgXlOJR9OBoQi6gqg6 /Rh79qqW/wJ5uGSfcRLu/gk7mUc660XTXLzJ9U6zXWejOPoLM0eAu2V/CSmxasgx6fI4S9PwOcqG qISt0L4RI8ImI38/Mq705nymHxGU+85EjtI1pZa8HiTmZ2poKnAtr4h+rOi6AI896XLBslBU6rBK T8GEj24iv3zdwXv1K9L6JczBcG5GpNcgcBXZCMbfQEixmHafE10iyQ2iurUEP9I5i7xUBXvUF7ZI bRAXftc6NdSb26WGRapXVRyQDCMAkxLxOoBnb/cL18qiTZ9WwFDK6AN4we/dJrhrbhEos490ZccU jmrOQy+VS2hkymj93FAE6OLWpeFMbI4VMSmvb4RhvCBgIYF1JRm1QvbMiqlpYZPNFYNElecYIvpK bnt88snO72Vvh6FSptN/oVScKj1ohawzK/uXbsRUyWb//72K3SQ/puDPmaLiBPE9RpvD2mqdlYo1 ggERP17KCMxIb9G2j7J/xvXSSA1p7vy8+CpRHT3+4/i4d8uCs7dodNFKH+2V63ED0HhiiSwCa5CR UY6zMnjZWiC/T/V3jVgSORaVM47FIqEIKGuC4FIwNfE9bi3Y9eE0BAG6y7xeLpG8ZKLPwBrDz4nE 5Qv+6TNwpXVrhNxk2ZGba6UQQ+rNERt3Ab8D/HPsc930WcGom7cUyiyuThczdzE8xCk1vSw/KMCM yiVjjJsdtwm7h+tQTnV7/c6BZ9jhaW9tcLUhItg4n/kOctqtwbMeZfZvB8MpXvqO1gET4/BiAscF FsVYBozpFIdDud8QSfAeDVXPVIASP4Sp7vKovcGx+IzAIt9s0rqxoP0Fz3lSZ/uWzjmEBVNcgK25 /y7O5QveQFwsbLXSMEVh62aNpNl43Uh1CRew5saqJZSryylsV5J+RazgfFGEW4cYujMdVIf6K3hC pz0DItgseRd3jhGsAqIJgsH6mOUsxdOI0DpJ3ODhAcB9bK2Oqa5YcYmsL0aGaA5TsM15GaPkHtnR 0Uh/D1QHgKA936FzolWJeZFwOyTrDxzJTxCeoXb4k0SJm0+MtGjUxJrrCjs0uS+SZnkCKvIifh+C Th4hYJQoFco2bA7g8KNkC/6eOZjWfcHR/D6+QMgvoULScJOo/0rLmYMb+MfXjsk7UvzYH0meOm3n PtaBp1X/OZEosSg2VShrePoyVf6UrXJOBsOBYAKW/uO7tp9yE7VKaquFJPUmF+JaP+MMwGw+SdnH cLCEuM35es4/kTmQZULY6eLK5sy614dt6obA/EPfwwVr/OeQTtfn4m+PGHIrV517WUktv2F+1yY9 jDWqCfBNFbxuChbx/O0JqrNsAnwNoqJvxZg0XkaaPW7t+AvAM6oF13gF/NwizQcZf0st2dyu0m4f kagLN1+3KDHUwO+z7QXZ9/ZnuiWBrIYMI+kV+DXOCepQ5gAVXJ4+N1xW17ZEM7ZehUn+nArbmx8l e3C7z4hs6VLjwZg8cQ+JWJG9oYjoYz21lQ/IxviYZT0aWhK77Ov6z6uvsH2/HrCkF9vlQVzNBBVi /Qr5ZZi/DgntNZqp4375V850zhey0d4eoH/nCMq5h0iprIuOtl6zPti1Kh2i7zndCS/OfmVgSDM+ ukXk86dRI2NNtBXg2I9ajZOr8Zpr+4c9TjdoDBw4sT5XCbKIOHw1Bjke1jJm52YCJUH4+nGbBo6F 8SszGKzPnZokmNE78dtX5Tyl4osEGxnUQutk0yhgPRGHKEiavFI3bef7DxDofJTHNrrk76C8D6Oy Xq1pwuj1eqJHpT5WGovIByieDbcr+ik3k9M3eWsZ1CT9TWisXHSZAVa8G2eUkoBYZIN3RAHIAoYS MsQEO14leWhRMj3JVsHlrBav2CoBZpqJfunmjSYYGdEg3Mu7NutVaUD5jKOjVoOKg8U7mqX5nyqo odLu5gs9dn8aElV9TPXXBhMtoVdWm46NXEI60VqxETrBd6aVdXucDjacgt732Lelim5fpA9oudQK qZGDc4hKv+9ue4agedtfFymsDgOuwlaU0W7mONfwsoqZQh1JI5Z8be/iTfLQdoaW6sVkQuYSQTEu k9DrbbW4N+Jv64ZB+olTwLFgbkMYcmD7XNmCKYOqRYdrEZTzm4NwSpKqsh2oTcO+l9GZOExxPWn7 QOJgGUOrht2J79sdLbqNYiCH74olf/AFgaJEJLLfM5ps/WBjPLKFoKVdpLBpQ6BJrQsGXXrSixXh Futaf5no9tJPwQlHnuhuNFdp8j4JzWS5LaGxiWpmcAVO4mcTnNpWNSUReV8iH1WJqHjZQeA72IpG 8BoDEnd+uSOoiVCN5yH0NevRNLlYIDNLr57merCXgP5WL5NofvqgCaKxqewcZXMDeITZdcmOpa9x eECRLRx5G4oa2UqvrX3drlR8qIDayvIOSwr76voJFbnbq6xlrev5C+7z6FRWo6BOzhaXlcN7I9EL QRrXZbbayRX6VIMl1NsOr7u/hwq8u5Y9+WMT4e5B3fTyxBDNQT/siRLBgr20gr2WLJcGYAasD7wv sxacIZAaljmR1nBWwOzpAVXslgzmH9Snjx0b77RDlbJY1Ch3qjRons6BAGZ5LjWbvVrZqTvcxSY8 CwKIk+0UYSX7uay8hyPedPovaxPMO1M/q9j/cMiECbPRSDMTmdhXXctQtZacYu1dbZPqO8Z+uhXH crmTYXjgkwJjHn5IAaBVyPwUqn5p4YK2tEFy+CWCyPzxCXuNypjZOqvnJ0bu0CFriUJvlln9EUwq pEVdofoqgdAyMDJPRYXqV4173uVSxjORAtVJfJc6TOWQiSq2W2E01jAt70zsPwSpv+f197hFcgdY GrHj1juZ4q20xUQ5JT+ewx3AltrGoFOmSgs/DAZb5QhMgEsqhd4LtRXBBWqQp0842fNb6Umv3c1B h2aEWKNln+JquDo3Bs1AzdySo02az+1uZNPs+OwU4N7REtNxAQ3L+dwHTnYDT/UcdvfxJljYmb7W pZkXoOEKEb6eQUTfuOsbBiFdM0pK60bq08d/mZ5aujfjhqWulNVCd/ZowcwolzlbsqhYc8Q5Rpps u1GE1ZsQJPyDGJK1QN2fzeSGmqseheFl7/IIQOQymI0dXKWib/2x0KxtolamRsvT4AzVHTvng9Ik IIlYfrkoz120tvK5zcUHOamqqy5yTi8GePKK0F7aMNIoktGJNp7s8UlSke/ZaqaaAmKMkdcZPxbA UvBTcVXR4hLHSWPGBjjgJIWIbEUYQY7HMtfa6yev25WrGZr/7ADHO3dOiX133dM7Hi7Gi62pE2af cqOGjjJTpY7nihllS3nX0WBay4k6r5zKhYHSrAcOEErdUjCg9yr1FbthslM4apqaF5GcX7+LxF2g ShC2K/i8RxjF0yq8knvdnGlYWT5yBsEW6LMjLFRng3cIhx798P2+T31xpHuHlvK/4rV4x9yk3AnQ gvd0xf4LxpzTi0EPlu07uYPGmbeU5byUHs5HCs2qM3IdYemP8/l2Nf9Cu8JndWGF1hRvz4Yu3gOj QoyjO1q/H0OZhIy9ykzqabiY3WuWw0hxuw1VNxtTQky1527vdio71jAM4hc9NOS2u1OF70CbvFMZ g3XBo71jdoi6K7PhbMReCU03viFBqEtCwCCU018CQhB44Z4DAJpVX7/NTKDGU9YFVCDm+R43BLVf bRo7pmAuOEDDf0shPUyp3XsmIRMEtCtxNljk8ibFDopCm2NbUkcq/kLchy8wJJ6sDh1irwogfZI5 HktXMBLdxzJDsJXtpql0xoTmHOTmv+830YX4DAh9ri4aInJcyGa9rmdyP5t5+b55vvEdbjzNkaXC xWL6PaiygATg8egkCwvNORzkMXgHJuW9C453NDnyoSdM7OdqG3fVLHcRlhyqQsgdD4kqnvnbLpuJ LyWpI7e/gbGJDqVbc2Kaui50iXWJZyHvoraNYt76qrhqEFRlAN5rOQP4MyT21Kyp7U28VratiA0V U7xWalH7Yjj6OLRWMVZ1QJMbN5117YFmIsldsikUvNCLXYGst8u5Y/7VJmxqzFk0pPQyCVai8Oa2 uWIEjmtr/KINxJ9ooUE9GFwpysQE9ezoLehwmuNjJ6gmqZh+axc02C5TWPurEZKOpyA8g9063Qoj 3QW80eaN4L+uP7r56BypV10H0bssvlYIJn4NNPq33YYJfvYb/BxbnkBGJzJVNdbFu3FJZsOxMZi1 4iP+T+T3cSXtxV3ZpbkQjWFyAbNWCkWamr0rAdpR+wBs5/02E/JOVKsFQuXuXwRzFEnvzYF1wIgg Cw9fikA6N4PKO0OpGeFB/+qLp89fVcfXT+tkkPIdJp+2w+2Ud7Z55OWEhQRbVMHHF9k2HRuYko1F ixga1im6Mtukb2zjVPM1PAKKmea9BvKr+lEdccV256KB8QYyJ5P43YWEcXwc/XNnEjUaieJ1UeZl cz9L62TK3spFiCCsyxE6/IkuKypLNS373iNmraRn/A9WZcgnMapT3wj18w53ewgm/SQ88YtkkdlN 7S/CeOeR/B/3Im8xvMvdNv/ZNWeOCQK3InV93Ad4Cpa2Sl1SgHBBw3lxVQCilRG63u4WyoH43KZw nI4oHJDEXTADKmmON7lFrmQ76sHMz+dkhx5zW6qGSw77zF7ElPP/0e53Q50+emOwxchIg61OaBSI eWe+gK2CVe+aR/niISHcJWpEFJ4RfCPLAUcgdd04MhlLfZh/PjhSQUgLOIFPh6hSC2Lgia1bhVNJ K4i9ti0OAkMVJ5ZsQuWIe/P1v7PAguw/iQTIFR89SAwrPofIexR+MfF7j9UrRpR5QRxMvW9pgI1Q VmX0pU6PSJJ8XSk3iaEIr/L81klEB24VVk5n+ox6Fx7MQx80wabRbnnOm4a3kjecJpTOdF2xtAXI ynpJGjzH7PjY8d6g8AITo3q0fkHBJbxbn72hN+ymNU8aMjp5XpmFadegv4YP2RccZ2O5Re1ZloIS zqpzAEQgYST+zS5E9v0y34XPNpBYyXnu6c7dugKPuo02A6ulHkcCkrwfLxCv5nk+JStgQJTCqDVx yWz0yQqNEbjvVZcnkVbgieGj0MB1tvnheXybxcFKiRsYXooIMAGVRCW1kqByf9Q/7ND/L8P/drEI f5GgC5D646HCpHzdAJcdxsudm81vO2lySm0jC+kvRgO2MMBHI/I7SAJBozpPD3HZnIrNnX+AxlTf hDHck1c5n1rzhxfYiKEC8bw8aVzw2ryaBI/OYA4dlm5NulLEcR1jOlDNGFJfDKYE4c02PEPNABoN EHTdKfpXkUn/I7R3WRjL4d6Ax5d/OGshs59o4yoPjT9yzT4Cy9KR+AKsg/jpy0rlnLM2tUEfSqBR s2a8QLpFw+PzOgolfD6vX9caMLW0K5+5RDZTRzR9xQxIVjtoL0e+RdvCXnYvYiNvbzOoHvc2N613 9d3cpooLnR1Mr4pKs+NADZ1zMpNvXyq5LLOJcXtxqIUAFCVGWW8oHTrP/Md4AhZJYfHNzeydlyir cAof2W3LI7peugp5vv9yetf650pdhr6VTcCHwMT566T718JUxW1XPSJlJEGtND8nUZMaLAVg8CZt CEmm68rzI3buHw8t6pjiImlAbaMFFhbGzCFG5s2Hm6iSwtAkR1eJjoGdtByuiHKwV5J5vIUN1N8K x544p7CloJiffYF7iT1RyS2+mNmnQyBsjY4xw/bDhk8air2DGk318QdRHoMvNyXlY19SxOoIpf2g e8PIMjA0A7KzdIO8/t0JITZCq5XlI6RVjpS5nSEI5BDCUHjKRra0BRhe7GhS4v/BuVSEfIgPb4OS EGrWu8CL0DU8ac0VVva/etIsa+GKHLONR1kU6cjNd8pLs+3M+LpFjKXpz6CGx68p+yPkXguwZfMD dqAdCuJgBDp2RGXw5lR1bDn3yGyMDgiKjU0UqUR0jv4CXbYiOhKgdPXL1oAEBNHM0btMjxHcKfgT NU7FXN5BHFK7rvQUhgqFTq6Gv+NIy1s0OytElJzfV4Qh9r6YtiMZMwlFuimByWSRquormEVufGy+ p4RmkFTNJ/JuwATSUQYlq80sJuKkzLOPJziI88ZcP4Z3E4CRTpIFZjPhCEW0MNWeaBiK3+ts0jPI aKcOvnY6lQjZrzcQT5nYq6zNgTJCHXUJZ1CLhlwIMTTns3wkyYmj1J7Gq1gP7gsxFPa5yssRXSf9 x8AaSB8OAL7qAkEIwuC2fuYdgYM2WIhH+mo0/BJ+pn0iEh/HzWma1xCVvK9MmTr1JgoZvGjM98t/ RbtTpPESXuTTmFtgfC8I4wQwmQY/IEDAPsZyiY6q7ILfI7401g0bFinmxjFLUlH7H1sFzZA5WMhM WZFnmQsIsjkk7CCYTPAkqO7BT1EBw/i49oilD0cLAqIVl9f+pio72oW+8FndoGjx/fWhh2D5QTb0 Gb8DQGXDXehOtjXOTmhWMC63a5v324G7yNgZLcfA4dZJh1XE64mbYLlBtYfA3FQq9e5jLZ6+qLkA EFb2mdrGq9FDYG/fSf5+qbm4AYuIUBDQjSlPaGMTaycEQeJ6oEv1trYMbjOCcEd2pQCw62OIiLCs DH5LAO1cw6mn1VGO3K7PIbrcay+p+G2KRPxDTaHeDeshU1rUhy73y/Ixp3NyR7XznnoYL6rX8Dkx 1ifX+HysZ8JfyRZtQ1ML1Hq8gZam5CjYQQ3S4lmIH5wjiKM72s6OD2STrsA/UuBonJsh6KSewJ8f gbA3QA/LVNcWX+pNEJl/LfFlULGQcHsozEW2sYFStlylCQZ2c405UZcqeHQyKMfmVQAkXw3LN8EV BAT8i54O76ex83Op/yfPmQ6YIPJFWHvNOOfqKp4xzKQDAQafRUTh22NQK3GLN7+dsAwhAiW8NsgZ G5vZSEon2XALGX2Oflgxmx7cyuAge3OwGgPExU4fL6wrw4xEL2i3XvQBUb14QfnkldOsh+5fqRry qDmwWySAu5cmDnRsjR0pPu22Xc2dQtR6rcrBVE2X0neVHo0lG/1d3JeNXfSTr8y48xOpmEwxu0bm QlZrb3CbCRPmJL5kXvvJ86VhpX6O8oKettv947TMguBK6z/jL9m9KsdksHrWlFjUMo5DvKnv3dkY H/a3y6DBe8gRhCOrvldj20sphkirlKeSpdwPcYsP9Z9uyPlpZdNOKla4bv0JTPGRWNHod9zzUq3G b7wkFvJhC3fpYc8n3C1uMiTNM1HZEcvN7fLjxUwYNWhEWblkczi73q0DJslnCrbasc8VvIWH98pu 9GcFHioboS2ems5K0JMkS4j8wRsxycSYEI/LaqGPXA9xNu8X9aEdRxlTkTZfEsOE7hew1qGhtcWz xSKnc8kio2u/B5q4AJNfTPqxNgim8vYkagN8jsFYKOxFVDYB6JPuzakJiexnbhPrd+qdL0Ko5+rr W5HT/nurMLxkQxUzkoR5nEHUHkuQbSyZP3cIWbROp2fLnoG1dSOaGvlAR+OO3ptkQMkDuN70Ql3H kkcc6ln+apy95E7T1HSjyPTQlmqlbcqeNt17Im+yiRNCodLgYkuH9J4mMPOFu4rr9nILHOtnijDX 58rfzd0x1Ad2U5bvR5K3RdwlRxlZUy1VfRnT3MdTK9qxv0XT8yXfe/60TVIzxt+9dDlaUUoxQlMw eHyLPzFJizXiSDMw21Zg5l2kx/yHRobDv3Aq/qKJtsAyaetS/NRE7jJlZ/rkIzhZeiItiXl84RbT t6sxozTgvWITtPCnRNHQGYdlelsEmPThsFQvu6blrE8t78U4zdWYNsmCOUrv4OkwGojyBomtb58+ HR4EYg0pbZ30RVYB6zWLg8hdjrirGd8gOgI0i/0ZHCuG/GokcsxCtZk/+yMUscwt5JHUD3WT0MPd a3a3Y7CwDGQDVE0UcXmTGJFL3YvgeI0YwiBJcqovMZLS30UFVjz3ThzIWscrGDvbHbelWGghRgxF JbDR1qxCImRCKKfXXZhie4zmSdZwJh6c4n1TjaZJ7CPiY9vKyehk1AxAkRTW38z6wKbCxD+GGKsw +oq7ajlwd+YxgaexEGVfV9sQfPIKmrBGp+esN4kGetSSzUswgi3PpsLNFdiUeXck+nqfQspkTUqA gdgv8nP6fyivHDwKhRVbQy2N/GfE1F5KrBFFnYFE9k9OrxfpZJyhfnnM/avJ2x1oct9UU0sNySO9 bwEG6Cq7dErZve5AYTRq+5jaQdKxmR/couqA6SMjomd2OLmupsEBeOo+6l3WVg0xMPD/TuHXdLXc fafH/c3DeXpaVKDtz4CUIQ5fZs12rM/S+C3uNCCT5o8E2Rxv3yJqvgSq+Lxa5XPRV+cX9WeQLDD/ xo6YouoTjeIyGcuigE2ZWpQXiK71zJ+mIYfykJcIOkAlQV02yfoewSe0OnjzRxPN90piLStXH9e3 7drbGe0HriHusvwaVdIUSuK8Y04watn4zZUIuS7hgRfJl3fUt6m7Yv6mQiUwKmhmFWKamJKkEPSc GFa0Za9ljBJrPx6kB2MxPHW/H47iKML6KJG9gpeH2Ng5y9rMKWnTyRqYajFTjIGprWDNpUhPps1L gMbchH9q46gYBboVLCB5ZNS4MQo8qbW6r9w3tSBUh6sofu9MoAUpgza/iGsRkDSc3wE07n59jDV6 A4pRWqSGOXbUAGGrTGFObY5SEGLGqwMp0lkdJF+sq5sTq/Kjf43LLMvLoVDqCzY5rSp5AZkI22E6 sQtZ7861JwDRi8zL6gstYAehSej7yHfn1iPG2jJaWcPWeT6H68tQbmn9GfhRExnthz2v6Jt8bXZZ XdXabpJQ/XcGT3oS9IuuQ2tt6WICA+m5KWz0TZ4gwFSN+lcZI9mCdzI0rsXdjxgEcdkRJ64YCWNO SrPAsG8JqfZ6qwpNrGIa2x9NjpfuekJp26oyRbH737CbTEtXlTfScb63/IRn5LgLfWexFS9ZIcmB jqU/FWydZl4z6jL+nzyQvQKkar3thZNlAUnyD67Xe5VouA/nD+vP//LlFmyzMdYF8f+fNQGIy5h4 NcpbIYmoCOCv5IW/Ylnu7Jb8zX2/zrBvMkOv6QnaMNRRxJ4LREg4DOZZV2b9kcFuy1iOLdzAERR5 MXfffobto0yPiDiUcZ/zzUJ9+DkLVcd4g2PKHeFRreD+kGRs7R3Tiu6wIo5KRvg2ySgpT/9OPewd mPxSXCDGt+95htpAndK4NaVVJBVvia6b61G1aV1BouljRfb/KdLCJgU9ljhtN7ug2P8YLYyXn8Y1 Y/k/fweNqzjNbghi87AewxFJ7IZ6CyamyjfAB15I/K7qainzktz4m9IMcXRU6VeInwKeJiLhqsVO bD/ZYTB0IJjtXInbFcg2GYQHpcwkBKH96z3w2iWkVllf/quOJbxS7yfCO8WM/Agc8e7cjkTHxHL+ A/pGbmPM7+ryNzyD2HwNX9IBIv90e5lkXmJDA168l/deXQWB3wogF5py5qPb0hrCs4G6nK431moa Pwu6XLzuMrC0oz906o8TF4CgP9zO/3PZ0mMSUJH7hB+kukrWVViq0cyvruL/nCnml0whsM09rcRb RieGcYiVE5gs7qh0zj2MPdbudfGGUqaWzZ4Xpah1xx/XsAwytPeDtQKlQK2m/S3/3s8MKdJrF9so TxkQ1Qxw0wuS3sv+T5hyQPl2NsBz922Gjm105Z0LW7qFApKqVdayuHpMdFcm2RdbbddE5K5/Ut0b tjpApOwy5qZmXc5QN/SmJSttT2SzCnAEp5l/6jlkywQxKmGETPQRGGaC0QJeekbCAp0AYvpdfRqj ud11aKhNOTP3+Chw8K9ZhGxuFF2gUDVS6VGrA5uFKfVc7H+1nonJk8CC3xkhnpWJwnOCI/s5DpC5 gipR/8TmqjcxK3NKvl6UbcnFenqoNgobKKqwYiaDVG26GanxTcnQ4yxVw1erQeE3I7ZyIiWj69rZ QU/JqDp1MPycB31Bub+gqnz9TwrsvJvKjSZcASsyGdRaWqRvF9Q0Pz4leMdgJ8Bxlpc+sInPq85z ZBClM6faLKDf0SB4Lbpg6ZjYtP/Dmc4q4nkYp0FHKvkWuGhqNOsZ0NPdyrB5XVoNLsjsBriOyD3n E7J5eUsklTEbf8070/qNyGX7TU/kc/EWr3YJXCxHgRMAKrcvy9teeRiX+LCoMaszSiA0Rn/zppCk xk/WP/gHpK3FQJnu1tq4Ka+wKlNNQN2az2XVWMh5bt4muNBxJ7gNPK9CEdzkja/p9DpwUR/s6URn tdAeIODZjZdXkMbCFXN/cLEUJWAT4FDBTKVJz/KazrfsG4XZ8aWSJVvDCZKhP8LDivhE1cWjes/z wOfnruFPbUT9UvGQoRG93hkouFaV2O6jhRFtmMrugSKRE7I6mMHYy74ei/06Lv9VVspFjLYS7pFA YbPxjoVUBhpUxzGDdL49T1ZaS+5vK57Lw7g8aiKSbKF1RJDOefxXrxsESCj5PGX4t3JrQZqavmrD W4iDEHujWnhaDADDvAfUK5nUqIxGLexRyfi99lOWw5RpB/FYVX7UvPghUHkJ8j6jFUzi1fvE6X4q gqmDOnU1S12GpjvofUjKArrXHVYZJDTt3gVuRHHWbREyxVDjZfy2UKhimdz1OMcTkxt++lO31fH1 QFeBn7Zw34Vv/3rQbx9Hx5p+3771xwB7Kwyz/Vrwh4o/iX2sXNfZ+50O7AKOYc5YCO24GVGWl+gZ KPNHp+DTHvz4aF3NhKVXrjX1ugYyWDIVG+yp8CzV8IXHXoXZ3BQMUNNToPzefgzojMmMfskJkS49 csXrzd6+yz+kczb8Mo5U0Af5v2D9ZK3qphG61sfFPFmH1x7holeQDf83qqdcCNUXVrThQBbr/py7 qficlJavhg8I1O7TthYe/0NkRVelp1vxzFQ9VsPjmb7xkwBN/qKzaSTG3kvtTiH73IcgEE54kB4k jiwXiB02UNQnJa/MxkkhNfETE4IIviO8Jm6zbRPESUFTV0IVU4Ea323tnsWwFEmymUI5f3jaD73L wt8imHjUFR7GCQsD0tdDhnzsh+eppWujIO3gOY3KiF0NLWTyoTQYwwvMTnxZQkz6yFRCrc4H5mMQ MDSlciCZZgz73muaSUg1D4zwizYrTZPSRQ+CbKeGwdnedxdEbAhvplVHUytUUbnAnftPCNaqyBZr m+PzbYgPQLTjYjDtMLkUKsTDAsj9wHHNGSE5TQoYcvt37mZ9ircFmVmTF3efLoQo3bX3e7ke5PX1 SzAH4gzNZpxgU+8V25SWaSeFW6evd1ZH//NFUHyGHuxW/YkW/x1zfo7lOVC88YKgmZiX8OER4V9p 05J8hfLbV2pr6yeZjqGKPFnGlSaktX0h9p/U4VL4+b16sC+CL3YBbpSPE9+V1JHSEjm5CONTgJVz uZw3YfradGMipVBoZx5/mCr/BRiIaIrV1h5o11Fl6298srTpyqH4TUM7elVyMF0P+YTo7r401H19 8d72/vdRA1DW3lgTkpF11QdNcT0W8t/B2ATwOyxtTeKYM2Yvvp8j+j0RdH7em1ELHVX2asjRu+3t IpRC4B2kKGxGhrUZW0V562EowGKPCK0B40x9vu0NtHMkjiPuqqdLjvEM7TGx/HMUYmB+kfF2GDKi JKBx6LTFQcEk+xIUsZs+schI+sl2Ogog8Gd5vlgMEKQaGcV7QpXC6dLUolIp/8FnU1GKrvmau6mn v4wX+Y5uMQsZzlgZPWEDQgcbWr3odfJZ8Q5KToI98nsDp04XaFv48ARTEFjJ4q2avgquLwbJ+iVu 59RGk74mPSEm8y9HoCNwQEs6Tyl9OIwzVr6s60imeSaEy8nGRlQSpvGJ9gTrhBHvvAtCWhCO+J9A b2haFdR3qAo0q94cNL6/bsHucH0OTd26Uw6x099oSfyMhsjoNcS1Os5yl4X9bAc6Nmwt3RoYa1Nw LTg03rczmHMmRPBekeWqS0o55y8gbaCRI32yq/HSQn/7U+UUbIBEmYty9pup99iG2EDRkJIdG/UG T+UhNyQrZupTjax+3uj5gSGNlSk33agtqYUzW1Xyi3gByKhqpn6eQ9v9cYK3it9/Zn4YANHgKHjQ xl2J9zDE0jV4Gbw+rX6FD5cpRuZM/BBmrhuSaT1QYhY4O0iIfKies5LgPpivxSreOT3UtXfC1T6h fl4wNOXqUufL/LZdosvpntOd/JP/aQ14gw12vV5wZc9Y5ViGwnxqmDJuoI1XK5FZ/MYnMjpSqjB5 9P6edwa8oYpg6moWsAm/PJ7em+UlWqqksbPmBemwMRLo6q123Ff33381bPEkx7yGFCmTauIr+OU0 ri97I+a05B6Xi2sdjXqEG+VFdf4vhk4Sjkg77rpjdpQx+6DWsf1V8wTFMczwwWlllLXEcBeirPBk wSbtjPbzFlhvY1lXGc2B2GE7hXbgOaTU2XasF1kl0+u2Fa2Ne0nnWGoDbo/LYDx52Cyz2vyliTcr K18hJmpWVTNYxz9y4PDydSpfu6D0fkiocnCcqAfro3qLJm49nzKe/EWIwCGkvLJ+h0DvJUpPu7yp 6NtFHLju6FzWOQgfp4nkVyGvMnNl1dyh4XwM277PKR0CvmRFiz8N8MfOGv6qdI4T1CCW4hoL62Eu tcxPKuUNx0uyjMpo/MKlRJIwkeninO1ZXAFeT0KSuxayria7hlBbxTPi7FOBpeawv7rtXGkPRYEQ +oVyXHKAxqwBIRplvn1f/tCLDxF4UZRXr8BrdhsEQB+oiA1lAU3HhyCeLphjvHwjNJq/d6KzLAnb E0syj9oyx4wTWR30upCVXH0M0XAs/a62v0Fx9FjAbQ8WtK0pZTiqpmFx0juDcLfDWn34r7xyDN6S Iv41SdFZ9ZgeUzM9yK+McKt24vtBoliGNyc1lx3F4FhDtQ7pVnCunF4l3SdaCTCSu8qWss7r9KSq 9jI+cHeVVh0iOZYpEHywwHvj44WntWAoHDiJ/eR4d1jK7Q9FNW3drmEESB5nX0KkCz7sa9uCw7bX ZUj3BH6/HeoN5Um7dt6B5e+3/26SXkynX2ex4bNIQPAPzpZ5cHwlMlob7lV50vlbwEyVYjbU7q+2 xjjiTeAghYisZQFv3tPuO4f+zEQ1KOdvPY23iYnyaftgKUD+oATds3uEif137d4vE2M+gaK59Nj6 sUA9d7XCvOqvYPuIFoRoOVq5AABGfAQe3yIdcdXjpshjihS/qQk7qRAtHkw1flqwDVpRLsS5gFt2 YN1YfhGp5laW2gzBcVEQDjpd4yW3J9aYhd5tRJi3Jc3u0Kh0S+/OagVsILl1G8GEDatLBr3t/yOH A0lEIaS3Yaxog1EPiU/CuRq9SWnGUj7h5c/aIyo0uXpnSq+aC7QZEo4QETKdvUse5Z2LJ6kt6dtJ 39UAGQjy56L0UrZ4KuU8+ibkG1fOBGcEhK/NPnJylxy8PbSczgsfXZYYRk0PKtwC9TGseUGzmkHG SZld2841ff7rbUrqeJzEVjfK1nYiAkkoqW4rHqIRp77p/QUfaG7eqm8r7+sHzKPuNPusJlAgTapF SxaStvvBEqvEyOcm+CF1Wv9Q7/RVhKOt3dOnw1eC07pVDmsGWUSKnPsAnT4F809RlGfc2ZM3ZA2+ 4J+y3+/j+63ERxKG2UwTSXZ5PeKXumpL5i2lgtx2dSLVbMATWzKsPAlYnDBBKtns4YYwyRJjOQrn MjeaK5Yleqbf1iMWtTfogN7BOOm7ePaLQqq9qsG301L8QxivGFAN5Sn8pQgFfeiNbZ+mXBZEmTrN migq11z/IQyX9VmUgSsNbHVjBWv46zrISsvO6/0wVVSnDTlOvSggKKdPWStxe/juH6Y+PSkaHAvD FY+BGx38PAABUz12asmV/N03B4HLT930/LDsqScOhpdkv5hPDg0D1Qu3x4ZTccxR7NQCa6bKlGmR kzCTNN8BNWHB/WOE2TC16MPFN2+bGZT3WZcioCAEYgqWsrGeQCw7socLxf1vNkEQSTJtDJ0Mj+73 la5maiiViV6hpqGm9tPGoWFxbaBaIiGlrG0DS/GAHQB4Zn6rYrxkTnwma5IlTKUaEUQkVx1+z8YG oNRpuzK62HwXc7jOR5aCYc0wOIVuICnsXKeY81FxDjRjmAXMKuPMQyaK+GYroJbe6zfMRJqEmsE4 V/xQgAn73j93DmykEfVKGM4aUmMiWnLkTlOb5rHhj6rbrPZJsOVyKqjVM7X+R/9/scxSVjoiPT8/ kjP5FKp7lIekwBPa2GXdYdAjHJaHTZZQ40S3bl8CeDUXBNPjGow7n0LWY6UnxLNLZ7d51MeH6zHl /9eClstRgC3hjA+fIOVE37SWMHJ30nhs3EXFfB/k/IxMGyB/N0W0KSicRmWffe6QZ5FPKmE6J0nA 36ZgHC6SBzuWkHroaGocz/7LhNvBe3bt2zbDYp4PHAyLUax8MjGjdFZHCgHoV4orvQboGkhNfwt9 gVujDsP8d3KZx7I0srPFAFJ9LWJwrORSCOjjSsVe5LuxDRGrLXjE0z/i0PEw3k3nmn8Sj/jEvCUj CSCbwuJxOz6tLP1a4NxrgtHKu71KmwCPY0VST+6dR+1/t7fSH0PWIKKWLtNEFcYIKbfsRfJh5zaS NE02d2txxuRGk7KmSHniaP5pJMgHs2bb/+Ba++zktX/56B4XkSEABg9oYHxcEesQMXFdQR5yVtjk DM1gwt7GtmCd/SuNUK85w5YH08K51NORSw+bwpX+8PO4H1utQRxaQ9JN1bZcqKtVvn8PwSVohmJb SbFu6Wa22t4d00LVcP7GEqd9Dh5e44fanxipOW4rnE9jvo+308O2rC2w1kumxGPKOURA2qlhOmOu m/tBeC1EbM4remnKqrKBx5A1/PyhYXPyRmBUs6IraAop4BEqsvNLKOSXWaMbfm523XHd5hDeHRpL MLr34xG22v3JxtfjTvN8FlL6ViLWgsZu5XL8/h5xeo8qCVUIg0NXbtAKogD6CPLeM4mkecngxfgC 6JkNw54wplaEu5YcFXDupTCFK8GOTmV1GB126oNRYgN5vhR6Q1FJJaSXF69QyR/g85yX1RLqjQrw D0MTmVjkhKSEJeC76FlKdgyt01lBHFrLS67PRwMYOpOa3J8Bv50xwlOKDLbiSoB3Z2PtNgrXBr6M +8wsjyQGysiTpfBKPsiKKaHykBZkGHJYcrq0PjxfckQ5+4FtdLjhtxTgL1iK/HrK7PGMtVMnLxsF bdn4/xqNvZ6QPjj6kVyaqe5KGPaBl/JRXAmrAYMX5Hcuy4pBbc4z69NWmMZvODgWv8Vvq5c8dT/0 9YsSiw8jVjd1/tHS9DJgaR5nsGqBfPPIz7nnVu9QPOEL9LoIspIFGUnNMANl26upNcww5cwClGlw h3dJtXX1Zq5UzpN2NB53Z3s2YKKqaQZV9n/y2niQDS7+jWjTe4fJtSbEZJNGicIt41IzIWgri+kC YhmdtFvKC/fEbbOziLwx/VREIigJv6LgRP5o4qAo8R3HvxBgGHY6AQVtWnAY6ZUvXdGVDI8ZeN+9 bm6I5RYdr+5/2PNv/EUlaLP4FUv/jd1eNj4t165y4aTRGoY9NLnAPFtDzoRjNeUoA7mv36kn3NTB YDBW6oa37+FUiM+yoLkZgjTBx+8Y09tloUnH/F9AqZKuYmPXsT0QAav+xisQLLZ0jI1B1ufJx9fT dy8yfiFWHcvs2sVmAo9eghkwsotARwMqFlPlr5AN7qE/b2yfJk+Vc0HjQ5LYTm1hitOuOOOhrnMN i//mI/wpMYGb+60yPSDlKMGw5bV417bT+cPP8Ugosw/5ubCVE7veKJ++s74hHbCx26MdPtC1ZX6H wgTYJdFZbJ0fKipPEt/0lyXOtJh2V3l2uQY95aOAieKFNzsfwKKvwUCeJjGBY39lE+yoGJuOT9Jc 1CLVJ6ieWO3B1wQ4utqFpvndfwGbwta4RZfR+/JLqPdug1mnuxREoviB8pflAuNWj4HsG6ITNkBz zBTxBX2fEUpEoMygmRUZtcCFl87ncqmYLxwE85wEtppOJsrp8F/IW9TJ9WbuLNhlVCk1yTFbsWj7 Y5YJbLqTEpZuRK3mStO9H0e3gCfJYygQL2PFOHL7adQQjOtM2o8wR06gx7PGF2AqFQfS3jmXreeU ei+CnUA5QOWrwrphZP+95Jc5zj51NqQ/R50Bv20/vpBgcadxyLaW0uLyWBR/Jt/rRsImiBPbaw9m wGThCRr8AobNU2ReJaCsCeB+nn2IMzg2i7Ge3xB1EeX3CPtsTGtdezDkqQQqFqPUsKaubUtOonMl LjB1M41+dtgcqRW8U4u8RpaiwD4584hxxKWoZLxjMrpjSdYN2y5Fn026kqEGNrJ7pQG719qL20D1 im1HJa/qyt4dw7a7N9M0YrHszWlA/8i07EzkQoU1/aDWPpd3mbLiZBI5803xrq8VxLFoD2WPItWX kVCGeUSdfDKmKQIRYaiI+EP+aVTUseFf/2hGiSRtS37nVxD7ZDegDkbMRbGTJx8jIRdua9AwR4C6 Ve6TIwBrwqYlxckqTXa81JNsjWRoAmpd6Uzs13KVLrC2mftBqfxnSaX+zHsxoCoMBFDuYdUxg562 K+43tf9fBYW4qNBRaz/J3J+yplaaFeg7Fnjbg+GjDiGeTK3nk8cyjxvjIzsRx3bMoicQdWib1SoP 7sHT9SseCJbmoF2fqdzYhwuAZuYOKytjNwm6ch8cgPil6ROnlm02kKPBtH2FwP5cP9mGIxkpf9qU JxA9120+2F8s3gUM8niUJacIxuE43ZDjbEvpfE0x4u2Eq+Su5MTc5676TzZhyeI1bV/bgzsSivuC H8kHWW/rlnXFSTUz8Pt36QqHLv/vsi+jk2Ss/vCAugU8i4fxZLHB3wJMIAUZOaSycKt7P7uvLL5H fnzkrBbayZVRtr6i0U2iF6Rl6VkFC2kjRaeWiGI2R7mUunmxzxYIwyzLegJdX25f56L2HUF1Yv93 Mt8gVM3490qUnS7vm9zQizIKRdTEt7ywB4Ka/Ei/xylqp8gYAWJwiebbzsGPNBpSOYVVQL9WVq8L 0t+iJaIaSxO53sB17tVwGvC/kioo3FD4ExIdK8crZM7LZXxvK3nhg4zNByfzX6yeaGlWIW5XK2y/ HmkH/DSEZrjyqwa8toXRnUYOb2aZxDNLNNM6+hZmi25ZnR/SUigzsaGN/ArNXYerDY9bi/sUBwqh XrLorkI6I1xCjr+SV6FnQRm6RQFBEKhsn2emzhxEFAq4s3LlYKZNUU/YKUzTM3SS9Tu3LRo1Zuc8 +n1SW/qoAZwPpeez4v1mv1lWCKHW78ux78Ou8loqi30khVr0aza8nFNMmuzR/X49r9ITiMJoHBz1 B62MO3KFuZbzSDA+qbTa5k0FUR39+zUKqPXr/DYE0kP27ISIUqCV8eI+s4QwUL9N8tNpxNFJyj97 fwSXqrnkAbGOuJtbeOECIpgFF8TqY0mk+bfu/uXwggxU8bMyzPUf8Av0SmyCElkGF2kEvuSLEG8u TpL5t2ooyRPhTTBg1uV7ADoFRyHLQ+wc8ePQsZByZ/HUY6VEiHHHzS4amvalt34xgQq2/tIUz+3S KBcc5UvcOlW/2wJgTj2WYP0TzxvRKT2lWSCqHRJMpN8itGgSTP9hNLzzqI4+XhmKD8dpsNlpDXg4 HDTkwxnZsELFHkMKhcyg4DyGlXr/phI2tD5n5IL/z8OFqUvw2LgjDHJKpGJiNsHgfh6jkOVTPB/j 5vkjMFPqdcrAwZIySGnnQKANUYQM7wH8XMVtLJ7Nc6PF/hXcY64j85TzYEgzaMrXRnfL7O4DbGes YzzfnvP8o+2OEbot3wNsTk5Z321N2Zew9q/tDfDswvgp/ZvC+hzK9FHA2SG3YGXUP/JuJ1QsFsSc 13KggnMVEDiqbWu2RqA1VyCzNUqfC8rdBrFm4WZe+RPI657C6m+ZKF57IIaIQxmSazUXqYQp5J0n GrOQF501GWCuJowJx4hg49wx9plb4zB+fpE7sdWqr17RJLwdURlXZzsuI0NOVsJ+QmZwF76qbZpj TJV9G94VfO13S2IikVuSWH6nVmEKVF7/mL8gD4z6SxtpWMqSh5RthpM5fJ5vUg3RrBVYVNRlNm9R Zxe9G34BFf6wnif30IatVHwgp4D+AAh4DfUDyGEbhicbQ2eKOdepZ0OjsIfkrwD4R4/lZNfApjD1 CKx3NZXCdeJzZVlyYL2jOPU9YrwjE7sB8YmennsQZQ/xQoyvQkF36ShlTjFNKPhM3n/1vDo5b2Oq C3TTGrXdDqzc11GJGTtj4Kh9AjvL/dSNq+kgcJ0jQWaH18H23g8JEuR7tWhveaGs7VxWlzuCe+IH wqUUlu2dkg62rndVyEmEDo+rebiht6WKPo9BdRk1RSNDQ4g9VK4ui6+p7SLswSAfpEfhUOkz+tzn oOhrPx+sVjXMSXSFRnjkLsiUAmCG000WFvMyBtv2jsBvdD58GxqliYKfEx6A3+eQra3CNpqZZHkd rgrOFxvroj7xgnYFbfB3fMY3HF+WQql6JLZHITmuu1R+lRPEgfJ1zZE72YNOgR+4A51vobOiz08T 2qUU+jXYnFIQ7idcrojgrR4jg2O9UdhZFlKhiahQVUn73BhQTbbvuFkeBzCwKBOzo3Or9a2uEAqG RbdZQ5Jyutm37j5YLgcjMv/wiBFv3VXxVbzCbjvcZE6kq+xqqnaqjLPosvsTwRPlLCb3/Ma1rJcP T4VWvH79kvd7NIcjA7DriBNcp4PfNBrhxWQebJ7vheVE2Mcq+e8S7qK7ic4je+64O44Sd+B4x1Ep EZ8A0MKSOn1Who2sZ1avRk+SiaLi/Z7PLm4tbpInY0Neo9RhD4E/cSj+f48oMZBocNTTNj35f9YV uoPcDcYg5LGniweHMvZ+DDOcox9EJID4aFfO3mnDLQ5taBREwMcfsSLPPi/nXaFs3e5MW8ILd8dO 6BtJGacM0yJcl3oynB5b/d+TD9NMcdDnWK40IS88lqLf/W3sKHSHxWRoXPemh92cKgkdduk3wwoI G/sMCAddv6+hvYkrnJ38MZotHUKA3s3l3ZRC4kkIw7I9wHjvY6HWgXRdbZzQlXX9KmKDNPUbYydZ LAhpRgeX9x5Ej8wd7yyT6q7odblXplA4eQXXwECmYxnghkWSX+bTtrzN1/FH/LgZh6R9hL7cYJhQ KJ04PYNV+Tw2LX6R/xqdwCdknKR3pXPxt1rqR2hH9mOLuDtcdrlYS/rDdpJVp7Ysv31QAu36BeDI idnh7mfjc9eOlZw+iBJxKTwTmFk8WFselzsE8ln4/q7seJj5MB/RAOViN+IatninNKHtRfywJzJo ZSaL7I83JJOdJCw8vqjLwcpVCibLz03N3nhup4HWqcvToG4SuuARnZqnF6VRQvlO6deqW9iRbUha XFuiXVnkI2FSH2ZJsAX9IbhQVJUC1xWm5Atc6rNWYjrv+A/Uitwdlr7vz7u2gC0TsM6UpkYorMFt kYCQikkmrChoLFXf55M3K3EAb6txcHk6ExSIoyOdFhSSI+HqnBTFPGix/l6dYaqf7HIN55ihbKSe 66moaNrT3yB9jxzEMuLfTZexxOXmjU4LCn2V/fmkNSGfP5nBKO4th9DpaiD+9vFPFBzL1JtV1K9T FCZg6CfR1TcEhc9XwxxQjSJH+vixPH7FDmFLrEuVYcoeUH6572Qid24id5a9oVcKdYecM2+gIX4w fjhzsFmj5kR2X9YwLdYscqB7RTTFZSDn5isFJ09glc/1qha+IGj99X6NDRZP6lkPq+9/M38i9Nhn PYAR+9fuvTclPXk/yWIkHN9XTycP354Ubj0DNy4ReoOmpHyMAFZSnprMUKB2HqHsF7Gi8bt0FYcl BT9wj6nQy3oWVL/yBwSoXlgg0ff2fgD+IjXmW2xAj4guDhtX7U58LWzal7mR76xdTTM/6Uw1KeuI 0Fgf0vd7/opRNCB95lFirXaxFOpfNv1FsLiamt/VcMsTPaX1Sgxq6tRs83s95RdEqgh6VAtWGyYA fGe/+D8ojmKaLmLvDUOTu3XkF/U8Gd1O4vMQpYjROemB1XrcC01PHyJVPAA3Y7yF39pWuo58mjaN gvzjCkgZBJoipOvW6hiBiNDbSxJJLqowmiKIdgj65uVNhJk2fj30JG84XxnYKolV/gVkYb7F2wK/ LwqzxEfDAY06u2DGkyGvE3kIMzpWSz5kG3IEMsmI8Y9f1xqjZjiCTGXeaipqj/ji0ebLa0DpQ7b0 hyxCfwF/CZcAh/UOpF7qdPW68N/tNOcjeULLh5OeXVk+kYlIqczenG4fuXe76MR3ixf7gUcKoKP7 Ta6AC0Vqf5LdjH6F+Q5eB7j4y6Sn0QovydMe6Zv+yQW84bSGkDZrS1c3F703MqYsd060x7W0X8io DEnIeWLHG5yDMMUXz7Mhk+SsTLYNOfs5ctuIyUNZD8QC2IayxDfgPMlToLtrlvNbSbEr4cmxgtcQ QYET0fXgq+nRk7IBGHj+4jnly7shGihGyQvwFdtYWW1fhV632XuZZoKH+hhs2giLcVq+76AcNCdy mj9xsIzUrjM7dB3DzHOCKTFLSlwMmYD1Avb6y59i6f/Jz+j5W4bLNtUDZyjBniwQkcsy4dxYTcJe J9Y5vo6mF4M1rgLDPJkL6XKaFPEnlXu6WuIOvDu8nhL1palmC/4LfNOz5tDEL9NgYpZj+Qs43zvH ST/VFSqtdg3mmyTaqZlISOaoTprRPIAUVPjJSpeODphc6bh7UsVHD6lRHH4zYHPD3TackV5Sr8nL dwjbAvH5yTeKEtul5DiDgyLRIQHpyLUndPfUHbbhRH0fbzHZN11g2DzXjxQYte1VdGw4nQ82qp2z tP8JSK8InRnnjvRRnaUK21F9Z1vZ4yKMZDLoZ6i7YLRmLBdRl7C1sVdlcjUfu1whYps9KhECk0VX 5b119BBx8GmxbBwI/gLuh6PwK45yJHLykCJfuzcnHcoUaFlFv31OLucTxemvq/bznQ5TDp4IhRLb 4zF1eDbUW2Bh4d5tUAp63BTTwHg8S8QfFy5yrc3Y7qaoC5lNiFjN9oDSjLtjRbnhDYTcjyOmv10g UdF2saimeGDSWXzy33+VMuCe/jcCDW+ogMkxhqac1S9cEY4/5BTfOTNwfZUMmuY9iq49MimkceU3 /3M9BaunFboF2dINEhwtx6syJ1F9+7DdDqzM8FIgNd3FuEOy8JdN/DNQqyvuSxfNfOQgwxbeDGPr B8wIcAZzhlk60cxqXaNuddXMf5I+3kHRvd+FMNMY+SoFJ5sn1708Yo+FJZJeln2ifTZ0HQG7eUIQ LqAT0EH881/z5gsObhgvhEeJ3jLLA58BzABgVs8VYw5ZLws/sJ7HIsuO7jhc/BYv5gzqLoZSe3WD iDAABKx7ND4uMkzK+O4ff/hJE9ZlhfRJeS4Hcl+xT9Ly9NWzNwN0xY8RMd9uJTGlqTuAr9yQjpX/ EKtSAONSxwlNtlBXNdbz1cSt5eo2uGO2W46BlQu57qjUo5s/RPj7VXpHsH98OUghVfh5boyBBvJp 2ROyD77HLsPJV6/mbl+8IhKn4hCZgdN53OrKTFrUM/Ge2ifznrazJ4+ZG2uU4LH4o5iADfbfc9k0 NUnP2uJWmhzAEnSoQeDO5EklqEU4nBtOr3Zw+OBpiiY0PQsOyG1i0FLMO4KFG7AjmP7TYkJtpDrv XD0whEb4PiMwtuqGHBnU3ib3Mzqfmm5SDB8640Pewo+EL6jBXP8IkcOZRCCnKlMF9k1lEInlZKvW 0HXi1fNjJ0NZjh2oLX+xI4nm4rRvZVxmnDMn6SVN6rnXLV0m2kMKMLJ0cxAboM5IqWJ0GZiDjaRM x/p15bufn++VRaJoMz9QgKErVkpUGo6c0HDoof3ifnJs+/l0+qjFkX/EZFmhsvdzNYPHidVpl8ko 71R9BEOReiT/sBKcA3wiepBcBLbhuwGPp3geOnHeNLjtbb2cXdkAC0Gc0ICsPmOh7UrtQCgs9QmJ ps0O7fFQheJmhkGGlScdNI/AKuQxilpD+65OA6+3W66Hkiav7p9aJ+CtM+EBss2GarhagMeJ4gth NXdaRy/gEvJltUqloKdQZULLxHx08F5hhh7J9H5dUkWvMGEen2mOkjaq4IyzWl1TY4KEg9CdWlgf pdfAyOi59ZLVOJImFy81f9ofqqSGCnatr+j8gRW/K2SVHOkMktvGsAn/87UE0pmuqV2FQzvfyNHS iLg4xD42DkLQjNVEwU1/y4Ujzyfvsd3a/gW4FehGWnBSDsbtSMIT6i6TtdFAXMQyE57RBlJrU9nz ZcWOTUrsWzCZHPBuz4c6ElW18rIRViDNZ2dgR+3mz5Zt4VRTEvzE7PytoS54B2UqPYNd0aak4+vX CW37cP+/7MKJM0eOHDNXlbiYB+6fAfvO0w86qhEoTm0YIH+uJp+Z0NdlNPomeLLZbmSTUPtiqI32 rEUjEGbFfKLslQdJxBJwdTVEwpuc6vSMaaI1SL+C6zxVYATjlnbrXbGrCoJ7wke2i4LUmHAlEvDQ mA3E3oGhbRGERSCved6yYzTpVq9lUQHidFH5WsiQReABK23Y6TIHZ33NP6LzWpT8+0t4XgEc+iXD IN88F8zyGAJYAeZYC/C2iRBMiBFRhVVLvqW0OpegOzZwFy3Ruy0FfgJgiLOtIkN4ndVQRP33lYXS 6aIyuSrYOGYqPY1vfcwrTzPLuZZFB1IyijBM9tTsRjaHu9GifA3H7vb80/Z5CnWTUjpLw2MWSdbp LITrqOKxvcXVLUbqlxHo0l26vUj4fRaSHxDRpBvBhDTQ70coXRHQNLOHR7NV48bu3lIoCR9h+Fpj K3CDxXIyvaiemkELl46jgilA7vT1IbseI7oKa0ON32SHLB84q4OrmnWPMnsawgVIqbtLwSfbqM/1 tqQFXflTESMUAC4T0WXeGCON8wh2UbduQ2SeZqcQRAh2JenM6g4E5l240gnqZN0jefuH1gAO1iFF hF2mV1k5UAnuTx+XHrflrsMCxp2Sh54QHowDKHwbUiUixpoopTrLN/pTHfLYzOmx7XDQ1Af4hjc/ MfWlAo5yjqzsCx/Dn55dEGzHjru5eeTsqEkAuyDTNXlPPg5LZ2djyf5EwDJdRkhWqQTjdM3TuJX/ TRN0XXzOlmt3qsmxmM7e44C9FLe0wyM9Zb9qPcnZSdGxr9Uroqep2SGtWJqWBhP2KAk5n+J2eDbr xXgsHTL8b6NRleoCgbq2BDiMzR1RL5t461ZYtX4prAdGQO/HcPqUZvLnDfZB81LFDTVo7Es9MLG7 enK9AZOiy1+DJ0TeE3mwpF3zW3wu17RI1DZ/j0f3XPftw45mq/af8OzyUbZDKOzauoqvCaASZjlA lZ9PMGBJaaXdKFUiw7OcTLYvKHPC4vW08lMXDwdlqXjilrbrLAje2NLl2qlyVm8t4M4Giftj/y/M VXQ2EclzUKxJ5ZMshD9hbHzZib02IdpCSCfXK4ANhn2f4Gmkooa49la/KsHmBklHONXh2AlaKQCO 0D9KSBILw9Ek4jFQwK+lBBOvRCylvyaskpwTcK5WL7LvUxntVVT2LXoCYjeeANsnW2PkVlu+R5nn HqT2/hI8Ok4Vey+SNldm8Rg/D2hTFNREvPl+YIsVo87VWtMebifKFaX4/Pnmm9fvPUhMiYwhYr36 /WiyyqYNgeOYK0W0P8FKGA10GAHD7+sIpvvfaNZZFpH2CrPo2nGV5YXwFuHri7C1aTdiOyus9tVG r1l0o4KP6MNJGplQ0gCI7Qy+u9Yn+BDGmi24VdDU5uS1tmS1837HtBbzAjLyiAOYt9/j+WHE5wTm krWiw1DElFBA7W7EwfBLKlbfY93hatSfkt38w5R9/BOTOotiGhXGJNcXiilz0HlSFpw91JdKHPz3 BDzUwAM99EwRkFQvQmHcm72OL94Q/qfN13zTL3FsfQx+BbOroyKLf9E3NwiWiUN1dMmdkWhSrdGO Uk0ewgc+Z+rjXrURfm5maNVm4oSlXu4zIhHYVlF8LXyOFD0nHy08fibrcg8ZoB073cRiBkJinxuk jkK7/aO6QEizj4KJApz1Pplwq+PZ57adXl4zwG8jkCq8QC11gzy8U25+7RB5a7M9n1tG8w0VaPFY 9ExZbGAtlJ+VHihfoueQZQGWQqgR2wcQMa4WzVFMw9b4kx8bYC8mLkq2i1tOecx5zX2obSZpmEt0 qh0tFkM/qx40fNlIRO7gl/a87fr8nZpws0qcdbB1ZU2TfJg66s2mW43hexP/J6IUHf4tI9I8inO0 WqOxQsH+K+xgPw+dSdbr+1HT6aG4X96eHjfLl2tJ/mJogXbpapK8RwK0LrzzI5UODrtHcSq27zFI K6K3JvGxaQCExCLxmWck5aw2jXREADYXrXPjwVGQoRDPzCTxt6n/LZdGvMW/9GFdpE0NeQ6EA5R0 k4X+jcqBdGWu6yVKGeF8/+4bDgxp4pZj6kwvH2UCLBacPLUMX45wNDH2vydSGsoF8hvg0wfaattN ahY8xXGokK89huJPnB4FIfCa3eMsGDhMDHijhBNrUL5mRqVJ3yuVAdlcM2iXQE5Kufaqr9Qyw47M +SJax7fCsiLz7uL0qYofBmbvOTfp16rOdigDMlO6Y2dylamYNIE6heRL5fpENfIWjme/4iN/g3Of J7h3VgX0FLnNOF+fZ335pP6YLqPLWomvfq2av2dbLiQ6GPtVDpUd5UV54RXQLnSDtqyswzbHI0cx hq2eaQLIpCDuob4HVCmOU3+tWu1l6wAo5DKuoky+5KNzRez3S3Ro0vF2RTkpF/q9Dc2iMO1sCzqH TXM2sw+8vdG4WppYWROEiVQzHyNgkL7BqB4/0RRH+yoKFlmaQ7+tnKf4LQY5dqtHm7KoKGo6p+vr xwvfA/4GDqJoJbeurXwdlSFBOquh704b1RbrN49Vmi0LODos2rtuKDfQoiYB/LWWzodpG1UieRVp LpTzfqkt6j98P6wlySNcDQTj2xaH6ak3VQeiVVc2rGFW61YoifaSW270CyesSc29OQIvC9hqrH4E E8CBpDxdK/yC968iriYaVBTUG3/JMy3M20/5Fzh073uVrsI55YEEigfX9tYB3VT3IxYjRmuhk1eA Py9AGaHin+8qoc+MNqpb7hP7UD5/WL2tycSRIz0KFuyFsoZ7KKq3yQpMM373OG6a/t4DdabOLtOv 6KO9ICrvu3Dz98Sd68+DunY9eORB1/fGmNfuaIeLdGcco9dylftgx4R/g5p2VGglbY6oYj3rjT9n gCW/BW/tvbPdaAAc3WERPlyBB12g4EgYRraAjGNvTZRtB8dDJwI4bPa+GR351i7sMiToAtrBUADR rRICYK3UlCHAuw3XBG26cLUFQpUvT07hxJiW+Ps0vYE+BT1RQNK/101+uo6n/vZQ2CmveqYP7O8X LrYbq4OHS62qkURsIOiY9Z3w/g8CTU21caSKhAIgaMCL2UGG41bYCNRJRDH2MjN9eqKF/6UwBv2B EtLsPYKgmxJmHrj+jKVrDzzcAH5ZEkN91qSLf5TMcZiC4lUPAqJxhn5L3usF6uDsyGx+srq3A8HS WVLaFfA3kD12CP8VEZIIUqelCtr/sfUSrv177jzq7/c8VZ0VP3VQqWsv+Zmz9Qe2YEbpQEmqEme+ LUHg2vOrOV8kf6e6o0mr1ZydKXseAnZYE91HRVIJEOVzxXUpHnyFDlKLvUMLqx5QPoaZeHgZhArh /EAI7C5pnhKbKQyspPNZ5P+J2xAgQWihAmrmUBpFzXoDAy7B9jWZ52u3kciRvRlr7tnblsgQpgjq FWsOebyzOPrlSfFjKyDtibctNZi27ZDyRiiaZxwihd7kKUGzK0Dh92tbgoznqgTNcz+z/WL7hvNv 4df0GtxnE444efxAisPEhVGD73cO8mh3xMxHupGVctR3UuIMXe7Ri4uC1CdM2jEvbbyf7xZsJmmR o0fohh3zaEOTOSHlIxgMn9H9b1TM/mEHRrnBKEu4/K6EVGJ17xzp+uKrFvECa0gdA2O/GLJWIcBX L8RJXX2l1B8n3t3cs658PiNt3Mu2VHytMT8XGQHavJeitD9HcbDDpfVk2QpWYukVmhnJVJPTcLiv 6bpys+p/oKAHuCE1qHMiVYd0dFOklD3EtKEao3CsgaaiQ2mPDDLFTrcxZwwT0B6ppZw8u8U9JOuN xyDLyxKuV3vPtp+sgPg44kAvSk7fW61miFBmjzMSE2ImPT9XuupVzOPAjaOZS7lcPzrYdflu4OX0 nyt3A22DdLoe+8TUME7+Qnkn2cJu5++t5wh31oYGE37nK1w8qvtbatI8UsI3xHn3SzgNhHaOaUxN Wyhk9dGFncIUudNNFaaabaQOhphsW7F5GQb4T/5tUNWywx76zeXcSRKDUvN1iJir56wZW9yZ4WVd R5eFWp+o0iF49rAzn7f9JTM9ZXmOL0LEPvv4P6zVpSLssx/5PmvFZSuA85BOmo4zU9bfZ/KLzrYI /Gya8Faixn6Y5Zj7hPtMwPc9+C2BRcXIONREAjaoiIu5DIFQhN6lTe0+I2CON6lymhQQBoh4KteE 3+bdgFfRIPeAnca0UgVBBfRU1MrXOGsRxTTkN5Sr1hsjh3Y603rUpKOLdGxw7AKuA8AHFNrvL5oD Ixqy/7Il5uRcRHu4oGaVG5wkxJTAk2QXpnHVyt1iA20y174pnOKQr/A8bI/+NEMifTdpHn6Webtf vBAxXCcFmJclMe9UI0479jH8wnVhoeMA/DvcM+66A653bxoCZjkxP7ilLtEeWr0Ew6PJzNJgxdbn WmBPiEh07CL1mgFl0hI1s0bjDJWohiMWEUWhUgfA5jYE6egyy6v5gryu8RLPYjVu/I+sgFFFTSXP AM+CUgiUDlHPm6Ja/8ZujPljds/2R5HX4uHzkUuB8sEDrsmLb83hICyU4V2iKPzVYln1bengguwx 6W0xzYMJPwxZrvnT3Xh1StmYTHHkHeQtbTDZ5PfrB2HXtJsruTWXLuKrOk1T1NbR0mdGgwCRKo2V xN2ITjryF5ingJ0ma9N5HbZ0vEnSa6cykjV1Bfpr43QipOsLy4xbzORvXikemfCVwEbHV+rvZYet zPqX7pOj+E7oPl822SVqdjeU5Y96f0Id8Ah88S+jv5L+WeRLRqkrJpTLwA6679uCQ9iUaHI9FpOq XGIaVYmew9k3Q7C8OUGDRxnW28smHE/5R9EUO2uHDH4R0cBjIFpE3fpipoJBRukbAgvGLt8lZTyy 3mZ4TCK6AcyZPIwz2/pauV809vowHXgd42N2Zxv9ndpVIB4seYMrS8ZHvPqsNXBNqu5eTuWoPmxp 8McVpc2j8CCl0Da5OPvO87j+HCGkn6UHpEliZ2btp23q4mq5UocHXvq2eRBlpZRQe4xnmDxpCTiF o5cn46FEv/LK229fxynb0+kwmV1jAHS3GSVRskPxCUScPrqHD+t02CCj5J2UHrwNwYi4AzWHOg0g 883uYxlur9PhR/oNBn6HP3wSA8yD2F2fUm6aVXxpwKbwFo3fE2FSHj/QhC42HF0aPnKXoMl1gGUu RsrUNmIn/FdbhKUceOOX/0r9C8YkYhoApPglItDxed6CS6kE2kgGNrwGtRktKJEVV4bFEwdA8KJT tOIwO2c5IyTgETTc9z8WcxIMPZKxCvndwj+1i7Df/eob/dxo+t1/d83bjAGhhMtQhOsnK8bIWq0c AzGLeWnBH0PJ1fNuAjG3lFyH77tWqZT6S5yXw0DXMpsAVbK94X8MHuOaCO1VGcOSQ1WZ1zaEBs2i vmKSJSNsQvuYSvV3Gfk9AKTsVzZcybkM5uE9BgnqJ8r+Bp/JT4b7I7oHKXoIqFmYWf52BC1wugZo sY72q8UPPXNoU8eoxBJAS6D+AKJzBJe5tH2X69xAlob3e2ay/Oil5Jy9HzIQFwAbOn5SPeCYsXBc GM0JBU40VuErDB7Sy10UWAp3ZI8+RlOWHZpJe1t7pCi9BFFq03WUND8o3Q4omcgVPlxt+7DpR/9t Q/RgARbB04h60Od2r39LmXvNUvRil+6oE7p5JxJ/BQnGxeKYgmjwsSOY6bcPA4TnkBnfUcMMMeYr DBPEtPjX0iZ0lg0T8cO6W+Rc/OBqvzPFvgYmgq6xPZ4zEKHpJafuw2o2RABuS7eltOkgXnRuBxkD KspP5SBY+KdeQ+yQuV1T7WxFKoBNtQsDTyB7u7GB3t4NJuDGCgf0I3HsD/1ZnEvh+hTZx5I9tLkN bBVgsrdlrHoUP4Mq+TqtEiSnXOJTcjjW6oOmYe6Kxkb2KDdluAEfV+90XSwGgU3dO4scjSNRwMVU G6dtynNwbQuySnRfJolvigj+yQmzxDmv/qynlsnJBSfHSFOE0uOVUwLm5wxpaFV8wtokh7T7Xz2G 3AOc3tT5gvPLjcZ0S7Zq2ZLFPAWxoQCzaa5T/IKbJFvSorUHyRkx8h0AjHRGsV+Zb/Qmv7hb4zXt /X0FE2x14QiOnX0s1g9HG5DXee9gdpkSSWNDnAcrG3mra6HRPXyoHEwOq5yvHynn9KDMRe0G0SRq F+aBc1gWfeWZrsqqhZjxvvnkYge6+srRd/Q8VGJSY+ZoXSqq8G8QkW5AUQPLvH9HFcFkI1H3VDK/ DOzccl3iqTFuhXt8+pCFZoFA35P96ld7lukyMJmTM59JDgsPIkn4OrlDexe+lgjlFX1TSMYk2Gp/ UL5eFF6GW7xpFPCMr0VeBHC65MBrjaIngJG5Q6XGgsJ+VbIWCzUGQ/8dQV1Erqf0TYN5Qzsf3ac9 LwgDZBqkGUQbuRxe8RckEhOXiWeztEPGG+Bchv0yAdndMK0Ws8x8TB6c030i5h36c3/k5ufPCfuA mxqyl+1PzFPJVj10C8EEGUVV0HN9SzFVPNzVVOl5A0zKMBUbfF427/W6ppWGBxVT+Ts6/vTmPXEk HiWt5s4azYx3a0zS4nJpafTKJP/w0QUww9EHJkP2z9xImNqMfaQ3ErwDAlaSMPIwLhIRVo/Ei8Xt 2NRgDGTiSiKyAi0MEAf2eQR5UxpslWXTZEXzJNG8gZQB9bkulX9jWeeKeqEOgDYnl/DcWQ9xssXe /rClRxDdD9UvZhPTu8fAuSs4KVV8g5YBhsE7QuoKq8kD+jQ5hk4aAfzLg+akxlhyhH0lgwLkz7gu cytLaOHQXp8F0UWNd0w9vzTZ1oU0YbDZteAuAzgMZqn8wlfQcAeJap2WLLZ8Gx+1uygItz3wfXyt YxR5dUSPWE2P0M6puHt9qAxzBy1DTvZdPu8B2FhKzvUvfXL5p34/WX3i9Gsi8OXUD2N44oMUk79Z oHVWyYIXkJZYn1IBfEU5krFojx5cfWo9GHM9xpgfYPZhVzEp+yYoVS2/8D59HnJGpe91UcISSQJW 8rGfH6GgJDhvYfe+mQK5qOnZ76zTJtndXnFcXD+P9xZqGmDjQyxwR99Dvuy0WOZ8DX+p23dDEFcN gdUGvMmIgAmSzO5MEuT0f6cQktgupiUWdlKo3o8YjWrwSV+bxrS4a6quaKLwvQT1LGYh8Q4Y4lek 5tQWiKiZeaiMSEMQnSS+HaePp7WV3KlrH8f9jBLKI5XR88t6h96YCvDlU2JxMylCWbJOBKklk5L2 yK0vvLQLL3nWFyhEhIA2qj8WaCLm5kokxiq41nSuRM2L8OCDsvR2HYdU46LrDYNvZIPYw6zICqx1 nwyePlz3I74nl1verBJ028eWEyBG03noT3NI20ChdprdFq39ZjVvIY3Fj+doBgDghl7EXU6rZznu nAht/n+uo08on/7vDMsybFF77cgNtcBVomJz1CZO7j9bOP2Q59nOuybFyFbWKFSD51iyMeuDQcP/ ss7cP5ATr9PbXvU+w+/5uIe1JL8w6yqHmFrdrTYr3JWHGvDRDVRHQ//xb6A7F2RDfydzxfffaxDE mKxAYxaORtyR6KzUyQBGv7OQmFssTrXPJRPiZ+ZWFLMoWW6fdPggyzUz/ulP3XUrye70XQQafMXG 6XJeylq9c4hW4VRSjFHsl31X+OLX28u9iJhF6kQ6C5wxh606WziNMNqaDRCg/04gO2LYPBvnCH2w kGqpPvIvt1IitVKfgrUE1UFLh3l/WOIScik1fpDAdqrl+PhpdIf8GCCEamfU/Pzautju5RcKNS1M Ebh3lSBYXj4Lt4hORw3AhrDZ8IDP+jGF7W/9kh5yMmCsZ+dyRcMY1Kae4Qs3+S6SN+ON5+MWznh/ luasldonrzw2IUMJno89S79519dzKzmKTx1jnb+f53yToASdKuAa0KxXVPnaLn+UdByNNMMBW0J5 9H1+1FhcPz0eEcEz2tVZy772r77TMlnAubxpqdOOxAeVjNPHmrGPtpECDO6aA6TsfnRY+6BAAyXx 9mFezY1R2e1ZDNDxxNlRpgPwzk9YWFquuZE2ICTVVTtFx7FQqhKeZ1SITp7a1iwfNoQ/aVFVd66M gkPiwsQo5tfdaO6wOiteBLQSbsr7ndks8hEp9AUYugDEbiedNSvMm1UslaNzFLaq4HLc0sJX30MR HZ5rE6JCl2/WzjoU+Mw/y5B4/mEj75Baqr1eVp+WbT9OpQC8Tm5hRgHl+NREhxIgIzJ+Vz0BFUQj l6GNwKdDeOJ+iROg2/tVH9z4csR5y7wK+tJ6LaDwPH/JKl5MixkqI4teC3JI8fZnIguHsQqJhph3 b1uDMbKrjRpE740odcid0LbfMGcsDgPLCUBTOeoUe1XlCEYD6K48jRHxOqVr/Zolg8me0ZW/5uS9 DMxo7ie7qPpVFyjBqvlzJOEV9LeDuv4r7fvSTv9MtViMcOErdIouceUhjwxEUEBKfbB4R0OMY7zO 1tqgbKJVQ02wADdMkrKOjj4QPVnq2KbP1Sj+uM0QfMveI2t3roG2U5GTORXVxSGQQ4a1zdj/1CqW FlpeN/wK9ikV+1b4J7FsEpT/Lu8ibn1RpkXPpTvOU4TAyaIYWY8H6AOWhrCHhAaBo+0txYGgN8AU IbOEF6EcDvpizgrHWG+FpXbUqZlKUBXHCpll8FTsQ/yD7Dlbn5VzkkUlOmZlBifhvYPN7psEMmq8 xYgkavz1+lRjlOw+bRoepI8oInmQ0+ozKAwSzkuyu173H0D9/fTe9vX75YTZEh2sa9EAfuj36PUL 8zP+E5lRUs9Rlo1GayWHcQHggNLTa/37YlH+WypaG1sExpuA/Mut+6GBsSrUlCmrkz34KUhtdUEK Z9TDPgn4IGdaEDxXwzc9tXeM4BuGQyAKCZVKG0DkKpa8o6Dwo1CfcsCoiUDZ/VemD6KivJ33aGcy X9LmNRIOtjGao1fLxnyQhur0kJzw8ldqYqN068WzIOhfsPYKjICYhLoFSf1D8FRkkdm4Ajyf/l38 7KC2Tkv6TvgqCz4DH29TEX/MjujO4cpTJifCsOtEAVxlVJnpAbZHlYjkkf2W/EQJPRD3vbx+P706 sbZOGBGUNciYuXAb55lvtdkllDZQoYHfoOQZJ1/mQEjoLeSBDMBd7EmpRKNFHrhKAHC5zxmjszo+ 8Ufhcu484veN32fUpfQhAcX/4ocvRIjwM8cKMM7udrVLYSdJrmxg3S+SC/JpdEq+5pkkonyS4DQw utQdFAqOV5l7RzMDkSo3kWF4oRZZD7ReTf9V4GK+dIgOq94k2yUbBo43cfixKjKow+FCZTDA7J66 6MwkE6ZNaKyGmDxTQKtXa05wOto3R4nAkmsEE+w5qVAUYYzp2n+Ka1xSTCa6BwEAMWQBf86uKgn5 UK4q1r2iXeUrjKn1Ss6FLQoIaxBslqWUpu4NYYgnjl8lWyIeVpLBPFDWfoutlUcP3UnJxamcLdUP 5HMyhm9g2lQfHaAfbhMLGOOwfXRNtRr0O1YcOqS4PkR35scHH9Ctzra2tnGEA1j013TLTpi6LBQQ n/V7NPGXQPKbPj+14+D519obMSqxz3NRmUlklRgZMIQG9xwBuk0+xPCdlFetkNnLUvDhDr6qYaEa W/544Bkog4LnjqtaCpyw0QIJf7sx7eYXOHsqbazny9nM551Brqtxz8m9wxRSxhYg7l48Nuic4Q7Y uXUzJDijlYrVbuZQ/jbEmDxX/NsGFmcFz4pGfRSgcmr+X7YKnfT21HjxTJ20YE7lFoclrWV+xObE 1NO92Vu7D9xWQUL+F1+h6mZP8YjhsdTTwxSOBQS/ptvxymxhLP845w5xIHkXwLqIu3u7yHyg4+dz Gvxl7qUpGS7hve6IFR8MATgAPJ3xyKwml2QWPPaPr+99PvlF6ky4zeyYC1sM0BS02srAvXRyK9y5 e/vuzY3vBqs6lYT2UWOaf2u5xAqAIdYOiN+6YTgWlk/lyWDw7Mqr+TPLFQ7wiMquoJBmWA8ygjUf lNF5wMJ4+WD4SULLX1LkGd7F62IEC4Mo+84qtMVLzabOyjhWZvgmoFnARLkiB/xPPYov1B6oI+UO lKKUjTN8K/cSCbPEBcGY0EFSJ7kPmYbZWAoz17H4IABwRZQEHvvDDxwnqERqfPjBWZAZofUNM1hS mIfQRY6A4L1AGzLNxnuKrxRmYgA4t5Sdf7vYAXteXoEDmGGI7FLdbRaZTn4PuJOxNL5YIRL/ZC64 oujGHgbQkbuVcKHeGq6Lbz7t8PoLLpb0vPTusW4y2RuFUdJrCaAjpvFxoW4GTSMB5nuiEwDiXouy JX4xLi6FeUdkOMb3Y2dwWCPFlTvuNkBDYa+xcjCza7S6ssz+2mdkJAnX+YKJ1N3ToYmXTFTN08pW yopz2YkSMb0C5ypR+PxIBijWMvZrFRF2DcJl5gxpYEQwN24TZ11ny77XUE/fRq6lz27wMtHPS385 FvGdwDqei81IUxeGNM2Vzx9USO51XNule3npOclS5q7SKLx242xhCH30DEVEQ/4QA73x90ffmyEP n9CHfIPVO1k3ye0N+PWxuS/qyw24QwF+QaO+id2qsaHeZJjE12CowmnlzKQkydOV+164suXm4uwc A8GBQ9cY1ICrpAFP+6KNqE0GtlGhwkclp9qp7VSsG6VWALxMlzUE3N0He3B9XMPsVDahW8/WbNUf MOLaYNid5EVPFT+xBwfqV+VVuyUFrqCKSFoAJDccJNORxDIib8vADF5sDY/Cg7TdoNQ2jOvCSRNy 9tOorX016QZqAb8PNvC445sWUlt0PXXOT/J5SV2ga7V9hdKM+pVEiX8DHcwz+orBiCYRgtCHcNZx ay2HIEurPdGYMofFA7pepWxBD7L8Otm53EsFcRL7Vhe7F9iOOhIWMTyUXxV+LccJBdKOpsURBT20 hcEMCsDX+Lgebo0wClaCPJ8xQPgVE2RGDnAtusbr6MxOPVe9y+22UuTC/zYYU8r4uLTETqQZf4WG JeCeiMu99vx1Mf8yaDMr9PoLSoKKRmeUv7+BIwA/6xEvZbGr9FLdjxu1PgDAFMFVk2lFX0ULRMj4 hdDLUpuWfKfzovPkhfg68ZD92NNKH9gKezt3/RZw5ITyGkDBdeaymXRk6qruzQ/R03uUetlOYB/2 iXUHj4ymqNqw1AnO9vt4I1/Z1EGjW24eyekOWREhEsRp6xlEpWr+snfZOAp4w0Y7aIXqp9ZrP3xB jVXA7YoN8k4ohqN+HjW9uRYYtKrTWBNnQ0JPHt7Yp/oqhadqUoELpg8ypGvkJ60Pz5sZ29VAZP+P WvbylECA+tNdhduMCCDiFvs9V3pDOUElSf32VDvXxbKCkd0b2Z5NGglyqrvfCls2ehwR7F8PZRwm I7ODuwMZ0FScvg/E1BxpJRpQZDJFmOA7FgtO5AiAJxmLzvODuO6hPrh9wU0q4vTl4hcmSo3vIMpp l0Uq9JEMMlQrkQvuGKJsdNtTK5mH1XtiCuuAMqi/Ra0RspWiDnAkSz8+JwMrQ9obHd7dAhIUyCkq QmDJjFMJ+vDYCfy8jQAZgF57iZNHF8CmkT53Pa3Ri2HLjf9wKKsgEPdcFc8Q/jPoFZ0/pVhOEJi+ REDJ6t/mLbUE3obRS7YGaLc1QJ0Sf0pvClddVjS8LD/+aQq7kc3hmWD6JUd+m9BVATUp2ngGZRBV mhHBJDOBVheDQIaOVIhZ2wpySVrbVEBeNw/foPNIcNZsLMdf+MUNJV3n4jmLptuqKctndv8WZsKf cMEeG80d4blNsyTi9hGKtGkScRGT1x08jTIDBravuWFgAIxc5vtrzqPjbt0dQMEY7G8/XTJde91p 7enI7PjqR9So0nqgq0DnnDyD+Zwc3JW89V9doh4jYMLKhW37H4V07ct5bCKbGB0FhgMdvD2iOQ4v wiqrgIaQAtTSq/Jg0ZlnuX7n2iR5HTOFx8Dbuiblbz8esOYtoNr/TPWB5YLJYBg6doIgxF1Q9AI1 NIKR8cV86yPBeZL64DKk2AvwOtr/jZw37/Vj6LhCzi7Zcx/z4E+q7mFnzAzMRvnoop3etpwxSZ/+ DiDAujLPj5S1lhj3dKs06XGmlI2LVZELbCa5VmPNY0LLqiP2TffIUQtcerAQMzrGe9I2x5sQii6X lnrQWG2hpzNHyKKTerZW+Qg3qEdFt+AiiA1YC1Dtt6vLMevNNOKZO8najJ3m9fLkMVKgHYFFqGyQ RyindNVUM6O5ggp95Aqj6FdQqj9V7h9JNktTEpxv6iWIyAFRUbS3QP2rcHk8QzVaS3bg9E1a1rAa c36kYu065JFwExP8YmWTEokxCiLlVCdO9TL5A0ES4cMbZT5zVU0GNoBiRmzO3XdsLXlVusLnpeRz /LobBxD+cYVHtrejQ76YUUKk1B9eSGDNndXGFYre13xeTEI4NooYZehIo971bS1rUwcau6XSAyZ/ ecEAdg+RFzYD6Qg2plDaibbSS+cVlgPjdME0iT1hFY67XPe4YgTRxtYG5FRYvgwSYQYF1OTbPvxF lDczE5kSgE/QTaZu3jEpiz7fUgd/DBGKx+MiQ+76qP9WcPHUzZDQ1ApuZeQ2LmMZbQGg3PSQt9Sy ZlVcTS+SORSZdyhnUAoxl9Pdi+15YQu7BWM06eTFfJqVO1lF6FbYCU1uysHrGmhhCDTSMbalZfcK /s7SrUbcJbBrBqCYv2ufdUV2XJto0xLRcBXpvYr/j4tssh1rvtnUMf577nou3M5XB+AW+8Xsx2Dx diIiZQet2gVHq6hGhDjSx+WTZDi9SSh6daRPecaTDpG3NhLtQBTkq4xvipyr4zsBtTaqSgg6S22j 4SJjKbPHvfeIiJFnMtqDlbOIejNpqqAtXVkjXJ8FZx3j4rnQgNkJQ5bPc7QpROpR+aQBwNsOym6j K+7lI8pA8MNmNMI4NcURWaq4fqK+vu8F0KDblzWA/CLWoeEaxgtuWwSnolapPK+g4rV8/pDV2IYq FaqIj+DXs2hoso2RMca8zdiIllEBolefr+G9WpKCtdNdx+8eiGpQm4Jfg6o9KILTD9wA79pmbarZ iZ3f0r+lixuqe7Gsjxev70tuR4+g1M/dp21Ims2QtazqM1Sx3IJ2DakegIKPf/l1LY36be8v+sz+ 8y7VuRfavE1vSUGSff46KKCwr/K4WEiUv85fUIgv0mCUdDWnyQRYIca98OAKsX0nANF1DtGkF6OA FeIenQLWRnmqkQWjzGtQfgiP82B9vD/QZhHO6eE6XiusYC4mYAKs/c31zs/UycR6pT1WMLSSgo2+ 3AMEx9uwMOuTFac8fAqGumjppr3GWn+1iojunvlpJ/CpjXD7xsWx4rlq6Dp6eDWCD6qk/e6JYb6B MN/2kTsho2OFkRRhY7ZcZFY2/HbfvT14x3Baxi82bYWwzDxtJTBI2q5hIOJrqC0/jd/2JOXRHg1o h2yS3u+2Rn7/Ln+Cx7h/XTPNohh2Nwok8y0hHr/eICZkxKwl5opfYOwKaqSYS3dWS25BTq8A1KI9 n4FE8cF9udM03ZbXlwxC4rmBWZ7RV2a9+i75oy2nvByror8hjp87ckChYFu3PxNDwGqoco0pr38f JhyQnV1IqXYFZb0Y/3T/EpvF8aZ0wxw7bQHfdlsCXEGYHvrselDB04/WlveFYKxpphNrz/YLN+1X EC7U2e6mCjsd0TTJX3NIr4PKLMJwi7sPCcBHnmDth14Ix3Hosd3LBArYZY3T2jXcqZduEugeBqhn HalJeXuhJZo4bHqA5fRicyu8CxKzqFhPKQTCE7j2TQrWjOnMbarQWAkLpe21wfCONKDPg0+BtQd1 84Xj3UhJRqcYvsy69f7U1N3YrjQofMsn704qZLD2hJ3RjkM942V78m5yx8HTPqrlHojttBvMD0vq b8Yg+MCa2R7bqOZ7QHPUNnRZpFEWzNYK9S40AmLln/Co/RU+TB0By1h3uM76uW5Yz1aSPn2Miejg L2e6+q2j84J9zpZ361DGDU8kybYrmFvujkuncQE73PE4NBFGVARemqAVeJb05c5gblfh6EVWdPzf ZOxKdf+qMuMcOUR+unhgihofauyItSwGwdMhYtgZmIZipUbbTmRAu9/LApvwpv4ucSvCbHTGPsvn i3ePvEbnz2bEZx+F9ES3gUDmaowJ7EafSviBs0uvm+/nNvtlZ+IZSrp8LImC7AceeMvH+dg1yxKk WG4+kwNw9AxZKYeiZIA9JDQv76PpXQV31/cCtpIuix+JvTqHWQdLlud2pBh69/PQ+dcywQ/rSYs1 9F2oO2WbRkqzgbr98+BCteKBPndRtrBS+YIPA34iVhl5RpcylHH/W9HR6IJan0tBp/0AE2pi3MfO W5oAoX6Yd0r2fw5mutnNH849SXwwCuyDjIbpYGgKYz5KBHFhxch1H2hmdB80YDH3G6uOHSsyzuPO 66mfBNKKJ5j27gyzwSkChJSCEqDUvXn+NUKJWHCpK+fqbBomsmmgbNCVaZdVXpTXkDPnX+ysXSKb IeYYRIn3j+PwT1ETzBT0w0nK21gq5zU37Gth5INePapGfuh32P6sdg1JGCCiWGtomiaktJJkqbNd Sy48+SVNoAKXF1gL3BY5qGrXmktLjm+QnCFYVspgwUWUVASoSI+Kh4jUtbOLCTtSXC+ZsyaFcIP6 qKXW3pUwvo/s/GFrvVsk4gGYWv1vAJkYu3rSjOqc6HQBqvBEXdiDACR6kFooK3kbbbclDije24Lf IEpu7O0cHoYU/GCi8k6llt3vHKMZ/qb6Ky1q9d2OFddByfm7qOQJjwCD0GgDqR5ULPi3B7zADnjm XTpWFnRH/d/Bk9VJG3TiD9g3ZNPl/JDKc1BmtuHkHRcMUCqAwOCww9tpWD0BbecRnp6JGEsM3ByL OLgECbBjFGaibh1ObRCDXv17+W0tSABFGe2TwhbDnyUiYCnKZkGhAR6mUhbsBXMAwBdPI46pWu20 adMEgezEHt79VP21UNG56qkoEl4+rOgaUWuFhNtyEzNZx1+kc0D9jo1SzicXQ6W0KtfFgjuaqL8M 76LZOS1SJL/izAtF07YobPD0NhR0auLAMAntQOO+WkmkM/Ral0CJb235TonQVckIAJQoZUSnm+Mb OEY8aaVMzEdu0Lm0janCbniFBjVHIEdp4gcNdEQlfVVkrxuTSKqDkFVy5BMGCs0iZGncNiEthHXK mFxQ+Tsyy0KqtLG6I/6Tt0MygC5nCBLUjrkU3D9VknZDf2/+rtAw77FsS40WvhdPP20jATdAo9YQ xVioYbYttIU8u8r/bQoOt+IEurt6d3g7NVypeJmR6MgbcBsJeljy+FHz8R1Xho0m9LubUnqf9awc ci2Oblnrd22fRR6gIz3hoovQ+40Ra2aMGaA6LZPv2VId+9qveBK3QJfr9PruBKn73PkjsP/oxZvk v4FIiCCD02ThgHSMVSEqdhnbpVBILWRscmoyTrXSrao4NmWBcM2g27r1IWHHWWU8VldWbtSjF2bM yjuN2sL8pMQJnrne0HdRp4xCHqJKuvdHAHH/5FjUPnneulxxjnQTFXVTesf7z7wX3SJEaRSOc0On GQ+GWaGzJobLTGQi54mM/ZdGnTnaD5XnR45E1H9kcGk3G9PohUd8g8zGw7hL2V1SRr8l4q9Yk1Ix 1TXSpWKNRvVvrFk3Pl0llW6FWl2kc8Vx2h2AYqa80jdLI9bGyquPiQoDnoeAniwNgpLtVbmPKeLe xMDxr+QWnpDgfJ6XNs9j/6QC6kxaYcZaa3oatytGUtjVLPAsrO39qUgETGbPXHSBxsA2u4T5GLvM 2Os+eLuoWbIEESDAFOZog/tYrNcJk38Y+pSb3HmkrIQhPpPmHWpK/B7FHnty/Txxhr3+GlfjskRQ yu23MMWg0FO8knrmdLfWOpg5C9bWGLKtmxzWSu8VxzQ9dHmqfuBTnDdT2y7hwCsJ6Rw3CpzqjaYg styhyR/WSh/SW0dGBKWyZYj5DjxpsIvJDBlgFpwJR1vuEjmoFoUFwz0S9Gc5s7zqDyGf9UC0wRkC mcKuLhpIcrTcHv+v6aoM7Uj7UlH6ZOOFzepiHexe4lKad/7p5cA5eURU6OzbnTRj7jxnYZTp5x64 AT6RwG7/aZ4ozAxZNe5r+7jczlFBeuKJ2se0DYq0GjT7FaRNaRW/vsyq4x//xpUvi757W7H2QYnn wi0K2rSYkNxbnjlb84Of4cnblCioEgcU60hMPhOIlKubR0XZ/76gpyJ2uHsOchROeWtH7oCJgud2 TCkwFL1nWeapdp9CCGG+oEdwJT2OtdV1Q1pZUYjlGHiGIyf5TFKz9ljXnf1k6CZq/xgRM+0WItSe 9+qlaHkaN1QdtQqrCZwTVBf8Wt6sFZhp120rFq3MVGPu0McaC7oIfr0Y3RR76t+uVzGYBJl1vyn2 B+eRYNopAAZJX2FCfKRuTt2nd5XD433tCjbdoqpIkMtERYPlL7ogw0Q5trzyLOia+R6rOz8ZNynW TzcAk5TP8AsN/jORSR94RJMnCpVsPXcFwDlfZjZHyMDH98Aih65vo3lWnNiuXLl2Ld+3AyYmQwnd 3SPc9+qDDPWEKe5lhOKgkRpL6FGF7adES3GjRMLsqbKK6UpTwcYFb6bNICwREG30ejgonWh9X3Kv bEGXTJM2yTFcQInf3Ds9OLLS5w3ABpTWG3bq18ocEj8QtUws8GpTBvT5+oVhMzNqZXAOZms0yQly 5IuiSQCFNxKGGwJhjHpgRFHhaROjSzwgkrUqCnzennh6M5blrKWrGp+9CjaFqbsDGRgwYltv+w8T BERlTesafIbUSbdcUNsFmeQawBlh/AbgBNzQPproj9bVF5q5w7UgkW8gL9e4Mnig4ZKiNAIq4YdG A/lj3M53PF0ORE4+SlDT8A98z7W267ncT+FqKxHMZUaTsNc55+1f+3OJjHusGzKBrA9TNj7xJsLf 8GWaClhSFFgiGRT3obHRFO45XOzoSg03VCpvJhdL1ULzUQhhmOudg4H9ebCpfy3DWcucxXT8XlQF Vro/0XstHjAllAUDJ/rO4J1/dSiL0lBzbglK8jZUNuNDOVmZGxDs/pJ1JQFmHs4c0IWO1mOvBm3g A/A93qqAaG3Ng5DXXHoR+po0O+/vR1WL1a/JCaVetYAUjb3/l8gCB9cEPWO9dLxTpE32X5wbw2LG r2qkCprdILMUIZcx2P3/lN7PuQxGngMb+ez8YFkMUUy8UR6ApjprOiKslo7SIA3Fvu96GbPk5Fg7 QNjlKhFKe3RLDdue3KWI4J7hwgaqcQOiR+INb3p/SAaREoReBKNzvRsGRgTNZeM98DhHgNu4JJXT 4kfRfPLf9ArsusXAMU/KlR2qD6WkrBgswMOwynQh2Bw5H+ckMIPLMnwKuFSLz7ElM9hGZSAUFks0 6P+3KlH2qjN4PQOg1+IbCkbu346Ns5lYTyfu2OdXl2J9j1wtzCseNMlC9FMP2fRuIgEZk3XqTnAu wWlgq7Cv/qU7s0eyFRgM8rtaj5ysjbuBQVkOwJ0jxdqWFwkn6Du1YXMYKhyZJwlEUKF27ZsC08sg UMeT5e3dtFsEf3F1fy4PWc2nCGTx+qMn2A70wi1UT3aqiF6e4eNcATyAWeva4tN6+wNjSdIl/QsH 8shZY80rvYOIejQKdpPxDJxKBZCznQKi8dxhCa9wvtMh24wmvnvS9FJwjP22JYfiB1DUbeM1GHDp 7vYzRNhSbyORJtUUkBnJZeSATuj84Zg2KK8t2132gkTMhwuMtyJewFOfhYoKyYjO9/T7DZmzAc/0 f+5mvH6ma0JHNBlXAMcb+fgguG20gBzmHx9JUzsgbgu8CfSPVV2/8u24drWC3/jNnZ2U8B4P4CZr xgJ6Bc1JetQQfWrzA82pfDYuA6G7jeDOPK+Y4xjeoHrnT4uuKbblueH8ZnlUh+8ypR7TiKc/9qmS BN4dZwueH6gIMlSs2LB4cXasGryMoJ9kGuEmf7oLBL5+p5wTkfT0PLocJJNWkwlAA2gM+OVhdQpA bgy3MtNgx3W4x+7gO0d6uHphrLAkjT/ymHM674bV/OSysMqgO166AwKcB3cvo8ZGqKIag2487Qj1 Vuo9laUe+ppWcxt2HL9WJT6KQL8wRMdilyNUFJX7nDSimhMqmbTzvN1hiyjjkDBl0Hwg8CN/FN9a 95hDC7/EB81Ut5IOvrNhADhH3MurLmXyzz4dZ9MY5o90EiXGEPIg37YEAmdMo8fjF4uDgv3Uuav1 v/ijg+uW045rsxhd1RpLTKLwI+f7x4QtJmu80R6hSFHaO51p2/yMm6pKLhFeuyw6SFow2LmD7aI3 DxSmuHkp4ulcpq2gTDKgQXlyFdhY0/q7id9oGt+A/MYCPqY1Wyo+6iWv4+VlCfFl++iWsVFRsoy1 KUJibppbohTZ6MjwIvhSYNOHwlxaE4RbQNuneNDrQLG6LSWDm/MW1Wh2NoOs+lZ1X67WfNUXjW12 RVIlxxNDWNkyQsAPpFCwMIeT506guPh2s0nkr9Pt7PubhNqUE5zsfWCACYjWhzdw9gdjZf6hRHjW EzSSdFeTzcyliAwBHrhW8gg+zsW4ttcQywwaKaLpkRGfTkI2u71vn+6zWPX0gj/5Z4X2fT0QMlIi v4wncWP0BXvg5TkralJsXMtAn2SvzTQOhEvZDMb4/6ftLo0/811BnzEhtP3ejOUxHD6LlfJJol+y qLrTt/TSl7bY+c8lUiIz2VW0vRcyeDZN3TXZWlBgnDThUMXX6AVNENEDguHLGyckE2R+BICDRvUw UG0nVuT4VmJ00/UH9TBcA63bYuevcaiy1abX4vYtRU3/UG2yE1rZCpLKp07UKwLIqR766gCcs2Od AvUcQDt6mLWUxtLslQP84nWFoKnCDKPKAAxO7geDT1DjZ1vL+f3xnNo/JIr8T9L3cJWU4Hlgr5rS cLEDPiIBb+M0x9u0gEN6vNr0jHQHKrw6lynBzTB94cueNkSkvcoAVntya7N/ds31gBLQdZh1T1CS zIEbO/8Tw+mAXB43oUYZWXlrhLChyBO+c9cj7CBJ8vOfQwEszMiPM6qc+3SYKf/eVaYZOCAKlLhe 6qQuBvo+FLxbVsdmj0Y9MLLGMQaRo3USJ0yS8wHOZiIAW9v7tvpBv5BYJzlBlhC0Xh90Ie/T6y1U Ge5tdJfpGhrcvaOx/VD6B1vfGhPMQjHM4ZYh7gR4kl/nEyO08yjRsRcWUx+1UzRg9EwJ487VIet5 y0Z1Gkl6uQCLYVDOI1VT+Nr725Le9qVtA9udTHRPf6vU9MpQPJfnc3dlAgS/UUIQTNrYdGus1ZYc ldM5x7rODF49WznImm8YniNTkKzhvzLTlrzi6UUv0V9Nkk4CsOSnH2r3i6wJfupf16sB1Drq56kD PjbOzhjF5vrZLv6xxr35RQ1Soi5WNwWrd+LeioCcOH/Gcmmry9Zyg0/Tfrt97RlEPXru9Gv9uv2b 6ElZevxsfmMJBOp7ogc3qYXAsROodAOo0BRMyDxAbEM4XGwFHW3EQ0c+ybuRYx7nBlBmQQOxbbmD uIxG/tp2YjUgQlGCL4XMenB5Bsyb4Xtwjw5R3HIwNqPYLLrQHW5yhfqXp46zrLGN/3mZAPh4q6yO 9uSij4UdUQkZ0Wub2SZycp/4hpkBVgql1TEZTt9DVc+r+0H994TocK0vmz7SN+3luIftJsGRSSDr zDD5RJrIFPVHRyAAFW+diTmI+xz2OriuuMCeUGkkGNlKAzdDIzCaXT72+qvpzJ+e8tzTYjBOrXQ+ THTzKpO7Tj7L8NWz8foky4VsYok9ixh2W3X4ci4vSTn6oQoi+le/mTyjz37stZ6tF+hiXbBnpTf2 sWKXI9ogdvkKo+Sxt2f68J7FW/D+g6OJMU+A2sCKe238F4J+qj+ZP9nIQ5vDQk/9vyeGK6PjJtgv +5iNr3+c38rqgq+5QZ7UpJz6YaLGPjVYF71y1VFNRvemG4VWRnNFOETZ5OPkxhCiYQkvcSzVBZUI DEonEyYA2GFtzstpGNsBrbT5vJMS2B+kVJkZYvC+ozy4V/sZ8KouJ0MOaVHipWF5iGeT0LTkG3Co HMEEHlizjTqLNReJLpgq+LLc/vqIlJpgzP6gUnu2puJdeOjbsV99U/+25+6IQciMnX/mQr62vqlc 8dXvwdQjD/NzGUMfeFeeW8qLIF2cyB2/vxXgb1kE/ItoPGPNw38pIB9RLQSP5tOlzhw8bgoIAt6X Aj4AMlh6TwvG7Eh7UlDeKDknuoDZp7wQBexc9fiW0heRgEG4SrxfpuA5waVV07LPz9QYz1K9Pa4c hkNCfx7DoleUfFPz+OytKr0Ne7SQi1C9ii/9dHoUrAvwbf4p3qATvALIvIM+iN0CsZv5zUnSUvTc go6ewj6knlHskPoT28qc9wp+BrMzE7gCCiMu/3d+3qB0oqG6QusU2Gu5iX/nEK3ian/LoT6OZm6D KII6poGQUVAleWdtLetogMvdYMSoyFiLnP5QzAwdyYfbME1PN06sNDDTqakl2ThnDx1dT82bCt0y 5Q3ERXENVW7xFR4QSOtkJcvkiNkvvhOnlnBGh+eOWx2OJihScxVEVxo9xKjs2qg/noYvK6iQ2HQ+ XVMi/t0Ytt3EW2UeNpL6+nhc5ytO5ObB3Irj8XS9DCq5d7GMZTkqOQWF2uG6ApFuFxuuENQPRlPy BWuU9iCaph91meVoc5l/offbhjJCJXPPdLej4r/RYQ6akVcUXgJjm6cxBaOhSEsmEa5/WKSp/dLL Kze7UzKZ9DRK7+2kZWa9qA6+5JCHSs+pVqe4vYAJ+GbZTJmn++3K7y8e+yevHJov0Q+iVa6WEU0N XwK7EWdt0QWMiEWzht2c5+r9VHZfc686hhrJpneELNOtThGfwweQ1lXL7diWcT2EUj+AE1gBksO0 GVOv2lcCkbcqgJEuU0RjCUQDEVq4f7hv7OrPSY8gUc0DonPRbg2H8yIRZcXeDijwpYHYBi1DGMbK p/K2MTO/td+B5ETpZSg1LA54oSABxBrXK8vU1wczHLxl61dG5dasKEZMEQgndQGbRANtSqA2ywjm VuC1SL/qqnRYC92PDtxjLXcRD/XNcKqHz4cdaAtDS/L5TsgSiiRx1oAKQgFOs2QW+qW/OXhlwh3y LXi7Uq5wizaq5iVHr88Ya0ysmJ5mA7uyM+zcjj1udXdF7B+kpdDY7mZ34R2D+jKncELIDYIIc3xK MioD0wjrFDPQO/h0qcHj6fgicbV7RReaTXpepmWdHttDDsOZp6m68ZsL3jubZ1z3iOgaMkPRKMhE ooN0EdqPJqWRyOu28+F2ADiBkgCrzxGfU7uQ/Y4qAZcY6peRkgwuIiiaD886YwzOfuzViPXKdERv eXRA2oyKXNjeLXUVy0yqwNUh3hTN0wBHu3sr1HhGPkXE+4wu+SN0C9ZvsB/HRpJQX4VbvQbsvEwP eoMCvV+pj7aqR3333dJMOV5u9+/j79HSz5gXvzI9tDpDsmBU1sNzYh5mHzIp5LGZ5PzwzXVWvw+w 1BB4NzfLJJ6EYjdxsdtqnlSFyRs1m6YJbafDXWBOKVmWd+SKdxqCkaVVxBPQaTCjoPhPzSQyNx0s Px1Pe9RE0mbcQ5Zkxft42M5g3a6xrn+hbClBjlwoTWkZZ45rBXwlHYw8Xn8/oWyF0GjVvIytHRZX /zKyuVRfB8OYIizpclIYtWQi6KM5dIcJtXQzF5LLeNuDOn42fKrcXkmjUMUJW1iVSckIOGK+NUGA Oy7kVTqi64yp1z5ZgNjmq3nFlfbpyUeN6bBFoaExqnu+Em02IGKWAZj6lukreXxQrsLTVIajUVIn ZkekFeoyvF7X/AJilJ+70LiuT6f3pwUeI5TJ+1od50sxUjfR+SqQz/5zzniPcNm7LWbxanSyWYKA Cml31svrHbVXQInWL2wArgWGERzkd8AEmijKtgCUpt4UF8oedroe6OUgrng57SeE3885hQGfo82i UZJtgnRBMa1izLZS2WuHteUeX2oxDd0H5rOlJvsJDEiJycbnNTphI4eVEEpafzePpF0/RxijHaS3 9Gm3uam7IXQ73Hyc24EapDO27X8h8Gu3af0UNsbiNw9EkNV4voKy+J9oPBLJNKzvMhMO/AxOwRL7 sR/M+v1G0Py+ggmiYiOQ+n8OOUDsnZFWEtoyi4ciRwctbCnEAorD01kJ3axpO0y0YQlZZ3J6MFOj YOQrmifB1emaJs/3J7jzsYd7Kxr8FlTPKQ4fxzhvFD+mXPT4J8Akya6KbaI/v4ZwrwuJc1S5quGG kjoaU6hyD1AOuawiQy29u1uth6XKJRez/EnWCUqg53s/22haKwPEhvW8qgb8XvBpbdqyygRWKL9v UWdB4LsaiUo3wxJfGGTZCtTKKZZOeersZQoyBTGC2pcOu40cDVY7JNoAlnIEL4AjjDUJB+LjRo1I Z5uXpcopbptkWK0maEVOlZXYd+T9BY15g0I2zyj0j++tTvfNIW6/byOBqPfnkaG25f+LAgoE4k1C 6wVe7tZGNI29jsgw98wqZu8r61d4Go96fFTB+8rxBZ1lqSIh3EIb7jablFWQOqwuGE1a7h6uro+t TnhkybgFbj0jWSesYHdObSqxOi75BMhO7HPCAvyxgOkwPs4jngxHH3M8eICny6zw+LLlr6G0Yi2I A2ptnP/5s/paRq6lsPFRDza4xjs8w50u1A4VKCO997Pep4boDa+krHjm2RK7gX7/h1czggnEsWGV pejoVOOK4sYhfBvOuga4Z/ihHTYnK3ZR/l+z4CWdXyLwzFb6UNIVMGL19yuu7SEY5fJsHLNuGazN AvYE1Tsj7B4T763TiisaifK8ET5uONhfY8v9dVLz5sXW7cf/rCSucY8e8UfyKDimNNOWOy8zJbaD zh2+9WauvTbMAsXoEIEzkocpyuL8YCDBO6NCke0BmaeJqbT+0Znb+oQKdEyISrNdm/oSlq3AB735 iyBUAvgBCkve2kzd5mUfwJPMunOa6u5Zd6lD/XqYI+FmF3LdmfMuNGdO/eTwhjvf2iuEYsG+guMR idgIm/aPdX5OZlrpURDxQQ3EW2+DBQbj9l321m2BTvOIYxdpZj7j43fntHpamzNFETtynzFpbq5e PcSXfrJ4beYTb9q1XGSD+kSy6fl9GPBtPGpTBqlsUgZlTxYyfMrBK86fDw3iJClfy5mevAvzCco2 4VcAkvTLsukJ4mlqsufHhbwM8boPQJJwT1VAt9ogTyzRzbLk6mtLPVjCwvxBgIgJ7d5g2ZNzI2mT LtnLmDOWH0ODai2DJ3xYxFGNM4NQegkUesXDT6ou/K+zRUS8MfbrF8//VMD4bcnErTJ4Um3wl5FS 5khUbTAHhKBrA+5DXRbZdqmrKPJFk71nNfRuU/SrWYXhRKtvG0wxkwVgZzV9JzT8h50MsHwZ7J6E JQVOoH1TWAxRo7QhXlWjRBZ+2OwsL0QK2jaz2N8GzP5D6GPj1jrv37CpcDRyTMxCuuhIWpI8ugQm IeuckdaSZ+YhjPSSh+rxuU9iNSenKNcHbkiptOzBD5q0oQSAkIGeuX698dHSXwFW8/JSJZBA5r3w dnkFpeV24aJd34ejBouM1AXtKe77dT71XB8M0oHw8QZ55FXYv0SHeFlz2Gu+toLC9A6OFJK1HFvz 0KYygQo5HlQeiXpWc8+fVOAsoyS/8HsRTKRzHWJ7XDOuvRGc3U2hGqLBzTWIMXd/yRMev/WJt0Yl MyGUe7wUdt9RiOyhPgUOVB6WynC/43dO2Jq5oI2HCukewleM2KGY3VDVmurHCb0KMoc8/GUf/6u2 fbPCz0jzpbt3nM86t4ynBRi9KLHk/rmLS+BEL/mfhvbe2LKQS5ZfTSOgHXo0OAOURm5+rHm6+lGz 6FGckNV2+vIlNrRnF0q19WxHjjwABonGA39gs10a2ubpEJldr2SWb2DuuFJXp17I6JhYswfWrW2O GBYQm51FesSWvRHoDCLesPibSShi5CrD6Fpx7kZ38pVhAxLYLBwNNY/a7H8nUhpFZoMf/Bqny66t KwLrzgwJrgZs0q2N8uvTN+NpzUktUCIYun+KrRaqSj2gk8Swpoem+q/3wNhltfM4ZCadZ0D+YYvs BqdNrtlX2G0w7uflD9FjmT1jLgd9X0OJWJr/8L453SWJMQlJT3NSsWuegN+OcXYT0KB4lvZWJV+G c1ExCsk2kBi3m+ArNjKS5LNKihx+SdfD6pUJzOoP9NWYq/kDJrCOv2Z+yIckOYI2wPJZtTHBl9Se rCecPwycVW0Koxo8Fj3U7bUJeqw9G32ku4ym0WHuxZ2CbQWOp6gDUQdGvHjpi+iTVRPoQCyFyY2P phhYG2Kg4g4Th52FaiWx2tWXT9bC5RQXdyNUKLc8IfQ8ryU8zz4+B0oeGmzt9xqdSeIAuuWCM/Iw dhnFl/ncLHEf5hyHHY1S92xFV6m2LmERIcfGWsdNYZX9D9o3SNnG12JnNpjBGA0vePY+BsfTIli7 gk/jJ6Gwc4lygZ4lVOTtKyjYTBonR7Nx2mRQrLZoT1TiEeJ2s7C+20SKRu1W9W62CJUV6XhsGfrg TGpI9UEfriRx/Wi+a2AzxHtCE0cksbXfmWWuc3P/xF4tpgoLBCLlVm+9iIl9iAqExczlDNZzqvEc WJGGnq8ieUV2K0sShig1xwBdsFnM8d/FIasatAiw7Ic7N4uKzT3VGAVbuB23Emi43hbvF0G8DH3O 4yOaeD3eFgeB/cbzjQB4w+WqCFf5gpUQZ2PKxfEbUs3xaCIgShwJgo1GuaNHi1KDRYclzdP8JqTI D284hGBSriBkgBHbl1kstpS8TnYNyEZacs6gU2ZyPf2ciEMQki0KxLSJICqOJQkIuq7L0mqbqfeT b6PEr3NTr08MbtBhMKYtMosoSEDN2vebrUjxyhH/ITRPC7/9Zmz7eNOxzYlNE6wEL/TcLchTDewZ /dUGKw95kG0jPG/MqZclpWrKCZjPvfyLhwO7noIZkBbcV5hwsC3ZqqZPdiEFUEKmxwx0NFRFqEu8 syirbIW8NevXveI9kQ+Qxg6YCNDJkWyW3ikeujrH5depyBmWBr9/VXBXRCUygx0EmEg0iOSOUmA6 NWVBjGmjEUbbZjsKN21dtkQke9EGx4W1qkyoxtDQns/0q/732dHBJpvQSx42FEtC1SQ+SDXkVgkn XMr075IcmX6DVKKpH3g52+GIUIIn1gPmO1H6POATumnjSNM3uCIuRpvntvulDUyyFTS38JZYMgx3 J6vLf7DwBB1dTUFJgFxdz3wMTxSFGqp9HwOx0nce61kcZrAsOIwF8yYU57fp8bev3q0EehXehc0g PR4wgGIYp1Z2shfj8/G/eaaMHCefBjXffc++As6ve6ZUqWJJPO7ZfdYaYXhXTyzw1BJquoz51G8g h9nc2yYJlMq/v833Cp0lKhfemg1LPrs4VhXI3+Bf7XKdx0jzrapILHlneWlPs1bmu2+psusmImZm j0D0UTwq4QQhlbN0a8hller8HKdQycT3I/aXEHuz1w2RTSYl6IpPtTExnJ+3zH6pUYmv8irViwJb yGNayP9GCvUGnfjxh2SbKRXYjoJUbroHzlxLMllGhIjcVOhZPxTdkOOR1as/iGS8LKUOIhp/DUCo smzf7E0UXQWOjS8VwTqx/4n/GGP1IG1JGZWuTrcumFMbFDHMzDx7g2IfYID7+mNBFtDSC1QNYna5 By1LdcuGvMernoA7jQsnN2QTCaHAdeZqvXb5zbYrdMvjINxkn+vjZaAU43mU/s6p6dDdAbupG8Tq 3pA8mQl0WSsG7DVjSR2Z4QlreWC1kkHMDDQHVcU80A2+YZIuYvM1bVl9u4cdotpaRo9NzIaFhz8V t7vhbU+6CfLspBVjbgInMMqH4MI6cLz0Z+/bqKHY958qiOvxGi1mwut0YDsV9zQLR4EI8aTrM7Yt abTHeJqdhi4Arc8SWXQ2gMye8rOhyd4yAqwjtjcVoUoTHKaxyrbe2IxKwOFuG+zgC/szbn1odNvb 2GNYBzysxYR0ASEh/AEAoqB2UwNSL2rkUjWiDsHfSugUtcWdzOy2U1HUE62GISs50YZ2YCP2bcga I1dViUjlTViDJA5Syu3x9JtrLJVj6ZBf6TEMknHeYxUbEqsm3EsRp9KMpNSZOZcexFPCNEUsFd3j TPELpwAlQjNbUXktA4QZ4WFM+ZQnZvjWkVl6oU8Nv0cty2uEDhDQHNXq5grDTZY/6AqStsrhyYDX j9gXOSE6c0PJ00TmglMvkwIE7WW69bEQJOE0R5zVWeoKDjG1tgJXNKs8q9ZLbbA3Wk1d94Q1dP1G sEQsUa3/HwZgkQi4wP+lTIBFeggw1SrG/b/oXRfkmgF3AoUE5CwSWTFQPNz8EvK6052iVfq/DAIc QsXT7XOGk40eNxEZGrtBawke9ZLH6+9kvf6DlZBFMt49ABkj+Wtbw6ZBiywRUVD6fxZBAJCA9Zhm 8i9FIQpoKopxfw6hB7/seXoWg5sxE2cyS6VBsJv4oP1mbe77WCxBDVx/qudIs2GEkw13Vgiu1+L1 XYqYrT9mp/1Idvm3XUE18LkTUP2Bfv3Kwz+qULKJQON39nSLPsY8OrIEaVu6FrbrP3FRY58wa2WJ AoVqxIqx0Xzi8zlJR39s7nKFdffqbzOl2o8FkVu6/m84YkfBq1ifYyUDb1PPfySdMnwFKskW1GZM /HTjYqZzer00DrxTg5VcSeT2k6R5ilxfX1IWa0hZhj7D+VDxrX/DjBDgcD9K7a3zVtPR3/dasml2 d5gALsqYdHo6uXjk4b22MXmhMploRdM1QGuHgGBo1LNiEVZhyhrFBxrld3R5Pb7MhAwB9DtwkdCx VsfYch8cmZVbGTxYSLlR+VDfg0xPzGIhzITjX8o5qduZIYfbcfx4AFi8vgMi74BARfJyRiDKN4Vf eWZA0hm5kxddseJq2JpJo+MmUiS/W8wLLImXxoMgC6MYXAUeu220q3AyZgvS2++FWvFvVMOMHZTa 4pkAAO2dF4G4EgO4r5zszSCfWgdcK5yTfIydIHfN31ufXkxedJo/XWgbXo7Hf6OCSAatU8N1XA+Q +RK8KlnG1uQX3SBNe4+9Txhx9rvPyJurmGg8ySK4y3TrA3X+MXqEZFnh1hmGUYejEkwGPrIGDePF kerfCgs08iXgVLVsrYlE0CFqe0Vw4lTcSa4VBI1xxVITsoXzYNslSoYhH7cv5Is0MOmVvKrRcdg3 A3v4BWwWidD9lL0IikuZVCRxEdYPSfFKArb2Q5Kw9A2nc6j1jXzX7Bym0q5y2wayyYST1uJNqo2n 5Jkk86+Utb/7qqagrkbET7nk63vVRo686YiFWLgEGQ1Wzwnw4EkGWMukZKUCA0ItTfLBFsMNP13o lFRo0qAJPULWAiuXBAFGkY54uHCOC7U/QYLk7lwGcmOJpqrNwJc5MjP4qTCL7lzTzb2ebJ70liFw Mf4mYwbnbQ2cx1i6J5NfN7IjUbjCRiWEbvv+fiXoXxjC6NEnoR+KXtjfGnYp1kODSX17La70UviA w/PryVo35HnG+W+g1xWVT0M/AQpQnrQCNzPyt1ss7MXvaa3f/yHmr71dgOESS2C4xtbq9GquU1/v BL2ZAN7sQvlVfGiPhk+ZdZzL+1+u03DCShpaMp9ArheZcSXpra8rGeg6e3BysYZxvLJ2BJ4QM48q hN1KSlTVmw2BA7u/BAbF+mP1WINovgBqOYEfezrYcbdl/YIeDFbI9Q4s9cOUfhIhUTqK6VyEZZss dQvOeOcnbT/eJbDx0iKvltEreuWLqoIN169LiyqFfQ53Pyu9vn8wqLwUpYyvMR7U/R6pFYVBkIOn nh4DTfkWNSW+C763cWkZRJfQl0fMITqyYcPlMlQ+ARp7zN0XSTPPNHRoFcmlHyqntWreCEFmjagz 7NlkE4F6R9Dhpjs8jiG2bxT15EnOvnyaSVFpyzuxd7qdy0jdNs16XQtsV/kRoyR4UhKwY4zu8vww V/tKEm8bO/nArf4pEGpG+QcoaSZg+r30MZ5Dx91vtPcMkt7aI1z6BtquA+/o1Ared8PWMH6R8EAb 3lI2wcoys7LpnyDSLMHsKM2JLlmaagGyCg3O8oFeZ4BRRb1g7BwRGMrecXPVUKq8znJE0hFK5vxQ Lj/Zj2gn2xu+bMSEWHGtmnda05Sp8oUpL2J+kPJhamT18Rxmztw6F5PwF02t6jzKLVyLg0sFTkIz n4wQlWWle2V6eN3DWkcGKdwp9xMasxAqxsLvr7Te5DDbHI1WthFX1nzmzqpQLfZZlmvwyiMx1RJ9 1X2Qe+8HjD3av74G6HmOJ0U1QarBcaSmLK3d+kpJJ8ma0yBPZ24IA37SwX91fAKDmURvy5hz0fJW dLasmaC36zN1ELLNxgv65h06OVu8r4ln+DLIENKFTOE5RIjZRbkTe/lMDBabPaXckdxZ5wznyHme CLIE42MHhHpb48zWQw3Cup9KCVdITFOpleJ84rq8gKq+CfcoeTZ2/bVHqbbFZFn2vd8vOVe0KxBg B7FmvpDZ5VAQRAkBXHPVfm+wyq8u6fSFH/pJwyP50NdkI/99hTKVuw7mYDdAmod8FFTmWOXLhVy8 1TcT+eunaFEUdDOzSBZ1R9Hr+6lSmGYSrlgynkqSLKI/0ISSkmcGZHnzb7o0gkUcwZwzyNW7FpNr RWepRjP6Tp1Z/8yInfQSUcAB17LQ++BlBRjRjKQzM+aNOvqnWsdd/1RsLOZmATPK3iQLXvL2RAia DNRGcAB6NH4iycbvLtRMvMzLYRBX7T8N6r+La0Rk2DOEyLP3vl8XXlobKnG2A7Xx25n8XcKpk9vX prCjrX/XZ6alWceczzSofcWYW1Up1Hrtq058C/TN6PXqTCtsoDhUZkIcMoV3yB6WNK2FD2dXwt6A oX3HNahNnEGej2ZncYPlKVnsL4dteI49rrpoTEM8cRLmuzANOMqkl5DQqZUpKf1egREcCLJ8Y8y5 23KelDo/J5AE/2rW5+7ifC+L0yq5aB0jBHueeKj08KpCaegP8JgS2NxJIBgGB4cQ29FFR4iqShR2 IFxVo37wg6KSAu0h+d6m4wSCLTLm4UkFdBDXYsBzJenYd/T4+4yuqyzaqa0bUoB6LCJihEnvOG8A JxHsAk6KpxpYcEryniB6Q8fb6wUynvVc1YFC/wS9qw24JKzXklFGU+NpBivlKDnY+zofdOO3lOxP 2HdM2b20QzMrFsf9ox5VBVg1IziM/HvdfVDsKanmPDvMz4j1JOOWbAwRkNwslDDoRhvlIW9GF4gD 5tMPUrIdYXRDSKdoOpbfCnlahnpxvafDXCWEFr6tBCGrGxXnrH9x9uHsmuJt3Bd35YRaXmHPazvA hlwv0q2ZV1S6LAlAnS+TiJjlbyOB0wJC8rYk/lhRnMqmahTJdVIgjf8hOI9jlbwERwQG5s9BdjFj QQLe1LwY52xekJF8VmSZ6AHA950erxtS7JFe6ygIMi+2s1Ri0nZNoeqdTq5Xg6/AhBPpLkmvYrnC V6bS1i5NklwJrDguPG6cZmpgFZUz/0mYhRcdLzFGo/Civ9Qlei38tvrN8oxdKpAgkShp6evXir/8 FZwkjmLnkOi1PXr/ZDatZYnoVA1uwQSsS2Muc1AenBTV37ec20OwDlTDx2nzWXckWQNV25kFulIX SqyZeX1/YCSot2jDTVuCsFvIrWgM64yPuCIIs88qOhLgnxqSPp68BVCYY8VKLRWmtwTRjy+X5myM GuW1lvkQqP8Ulx48/OlCJ9e7Ih6an8gZ6KHKT5S80DJVE59lCasuCx88FuLv17ODw66SrIg66QD+ Kad4nXNoXUem+zrTDefCFkjpM//YXSpd2fIgh9eXEeJfiVfuEDcP3TfivPoV74TBC6Qg9mXvJLNv tEdJQfbT3pKHnv1udJ17+1WhtgRoTg9/coTqtdzrncoJIJ6eS6GJcbWBQzBfW7RkvHMi7i5DMbHh 4d71ShIr6Z3roBMCdh5aX0F3oXbfi4oZDeKkBbh3i/FPlHLROFrLpFXoafLIKKCWJrMT2pRyYj2R ebjUMS21zIDjkZiPKGnGUnvgdmWsk9pIQVxsIaWc4PvRw8ahQfSx/sZTaltOgHvOIZW3+umvhV8j OhFNm/BT6yJTf7sTFItGssd1VIdCWzsqz+c4lWoGMS/PHP4v08rqYZeAuMHHVmkkfSA1qs+7Nn+d +diperEJrHphCMLGl3G32sWnaaHawSSdrOACYffVKRB2xfpG9TbHVsnVCGD46eKIAkhoPKs3ihcp NpsuvfYyfbkkV/hSJcVGDF/DfyxMaGMCM1DBiqYNuBLX4ncz7UxRjBM+vGE7jsFA5iKcbxoICVkV ps0Is2DkgRW1H/o3uGMAE69+tc/PkMNTXDLKP3s4G8OD79a5JExAcrEHeOYaTfuGO8B+jUZNOvdB b6BqkklflDjmFkqskgR1ut7RJrn7CS0nMPeMrrttKylsZAhl433AupGgt1dFhi51jZrlUDNF0Uef eKQcq15j64UaW/PIn6PZ9jFkiy7TuxA4PmmTIP/Ky8mG9gwu+hNxLfxiNNN2cjXxB3/cSgSKWtpR k3Wvu9fWTltwUxGhCIT82rqy2Mu9Dwc16yEJDuMhQ9hBZm5NTj0hh5mnyO5iEVUggH2Wewj5mD82 eSSK/0kqtXQF+HUHoz/3b0yI2bYG34cNlTfZlUVQ31WrD/+0B6QJSdlpOTgtPOmzHfdtMUJiI2kx 3gbUfHD/9kTmXpF1f5sfkwJT2u8MVSlceXYGqO3FmKElDu9Sgx2vcNHATvnt7O7xDDtgDwXFkGL0 A5xC9Z1/g9teDaqvIOSf6Rvzucz15pBWy8AldkFF6eEYw746ASoaKmX65RMkipBaplivRZBtKaGm wav1gZybrdlRxqLKpkZRwXYT91HLjnP4C3gYe4NEgSgMN9xSBp29NxmmFzdAx15OtZhUvd9X3ZBq hRUtkYLRKvcueuHfDtWY0yW2yIBd383w+ZTRfCAKlAD4sXEGvBsVNx6+Jg395rwHYj0+jeSxOXze mWndtBQmT9s1ObK1co0el8UrxcRzjdhwDUMEor2omJvjobgpJQnBYfVUXtjgWkmvRuYJkvpeyRsK NVIybc70e4lzrhHsg2lisT9/lMyU4dSblI/x0eYXyNLPEvXEPlpARZwOT7XFfhQDhkcTcCqEQqJR HvJDuVPX4m3ABUx332TVey/dY8VBdJJOccGdTBv+89cgugDrIePOoRYQu7XkI2fyDhnuj4jFzxqz CIdHSbF6H3DFBOliW0MYe00IUEHbMMPwL++M5YCkkuyQQCWFLS59NTIyW/Auai18NzVP4tvpA6rr bkTDKTQTCmnia4+ingbX6z2zOpG70v400GvystZgor24Z5be9E3twQ3j2d8L3iIwRR6ha6zWjC0I kFB4eGTmLSW1oThlDtYDaH2m6uvGbCXBZUIqyPsJqCCf69PBG+Hg/dVwFKRNLKEascV8FpU10WvT W0pKzw3v4C7sd+UUECGZCBP/kISWJGUaihOQUZVeqI6KeS5WbpuG3V1YBgz8Y2vG5LKaMdj63ph/ vIhhRU+Nh9pxqLZ0JBckdmuy4Lbt0SOFSTJlAXpd0tosRN9NdckD6RcoURnDXArrsHv7+S1q/stx TRLJknXikmZhCIfqjrGpHKs2Er2ElwaH7NFEJ83/p3F6S2G3Zo80WSmKHPN70/Vi9K07eutguqwJ URWNdXiA+0t0vpArogI44rAKqAgkcXYICW80Hid4yzfHSh3VyWZtqyjoz805pJxrU1cGytkn87F2 Qijnh//4tvElCfru9tpHdKEyBkWenJcfLY+i6BP6oneOlF+chDXvJQr1aaprxvcpbiljSUgwGKhw B2oclKQ+wVszOr8KoqvGnvhArRXtmCJKg2e7uiw9d4jMvwD0sF95Nwq4Dy87ZsiS93/5RkXNtTLq 2nnVepRRrMhIi7uWLaDmcx21Dvie8Bg3QgXCCZkLTKrE+Wf4MIuSQ7Gc6dEVsHFUc2CuGcfCeJiJ eNxD4T5huBZBkx4woOCYDNUWvz6gsQrQvXkhJjsdvGYPoAEd/Ww5oB6lE4oyJ2ZzTL1pJKwojkx4 lvENS6pt8vdbjFaFhC5kYGEmFI4gPoe8pJcWzSPjDt5VrhjrLvMQZ2F0sFwSvK2b2kjiBHe7GWtS FUB2BN81ktjrzGw9vaguUtH7HCOrFMr4JSuU+WLNCPyex1hpIgmRO42NcKnpFyQcUOXrKBvnjok/ 3BqrtnUshFkzLc84yTp+r55TFQDjeY9AxofZ9YEWamQb5gX4L4AUkH50VT88mmV+ZFevncyZ9LqT QElMqMA1+8ld6+0LFQtFCPIKziMKEjIKk+2gJSuNlRnHb7+usGw7qGfB+cslQBfsBp4q4tg/fiWD WbUP7EHvzyMtf41Y6DqoTLjskG5pgJtiyQVKVAkQ3qBgWF1PjuKqjuO276f4iljOlpL74RCHOTnV PDsaTI68TFbcWZUvR6Wv+baeIGoIOgEf9RDgkG/+PptVafAjOvtDU7Fx7ijOQKbL3Z5S8F57DdHO pxp6Rtk9tU7SiJAQADickRxdTnpB0UN2pOGU97w21zbyrLs7HQ0bDwEbDk9oQAT6fu0akXBlTVPu jjXuIFtQqXA9gk7UmKEch2dbSqGEnMRrpAWq/V24Zaz9BJC3KsIPI7DR5XFSKmp3k9rcdFtJAJHP D/gkvtUosbxuKhkkxT5/QlhX03qiySLNk2ey8+QuADyCSrKD/G91xZeqiYbZKve3Z13aw/oscD+d JAKZ4gAgRyIq7ONIUCPlN7JiOQMlIrNNPvO3wB3hxHLqMSPmP5GVVZnO2NMRQVQH4sLeEVwr200e tTdz2lv1TzUg5QHHtx0yEpbPkMqNGQbrlwZh2Obe33aZ7G39mjYZzUOzI8T83VRGeum62IUouuXq e5Ej2aeEdFd9qIYbtN0wCTHWr8OW3ALn5eeFE0lmy9O7JCu7cUDUFUouzXCBSUjoFL+ZYEP67F+m 5MNNizMUpAOIrhBiIs4hN/gBknC5tGTZhEHqiL0fp+v7Sc1XNEWaU6R1VOhyUusmJJOwBiSnoMKD DOrSAYD3HbqIpmLjRpnmRRmEDDwnuFJ/nvKCPXEx+68/0bUkwe6AQj3VFWtXJt0zujmSyhXeCU29 y845AFU1+LUAprTK1P7zX75/Ux9jOjzwxFtjTaMWnx23NEz8Barvk51VF05MozQ/K/8DP+J+GrkX VbANN6VJQs9QsOwY5b9HfVqXztEFQ8sdaPhcFcpKfQI3OD53H83UDyeY3rdKj9a+H3AFgDu/dhpI LRl+oSxo5V/bMWeWBu1qXIUfN4+hEzXuYDjmWq8Jn10KJ2SnpYXu9Kg8bObrcGEEFAAoAkBCYPOB 8xcBCbHXz1uGV7wms/ZwbpJvZVzfA00DiC1W9ufVc7oboAXXNUWVVvIX9dt//peItzlgfjgXN82b OOfMIhX/xFK0e6gs4O4OCtWxDwSK7I47UwZf9qzaspNzISsGouYMpKkPYELKA67vEhLpIvaB9pk7 gp+zc5KcusLhDRqrOcLr8mqP6Gm6aDEvoiMa8NlNh9W3nJlZeDrRzJ3VYROv2xThJ4yW/JF/j+ZB kKHIIWRwDylT/2Jk93rakEJZ8tuSfKaaix3tY6r8aff6gZQwdBej8rDkkWijCb0GXqYQJVEwdcFs bHXYrIL14niS6JlmDPMkVmr2vRfSpAUwbm1PbjG7ieonwswFiLpfiW8qeA3vOJ5CNrdxqGNXkJLR EbyCfp5jv7+lD+1c832V5xD+sIV/d41zra+j4cU3zrZ77iRTmigBSMgqwKUTyc2vNFzEAz5fiKx7 Gzzq9wtRApGBHq85uxfDtb7jcY0Om/bnLtECoaxB8oU6o/IR6lonvX3ry6nzdhPZ1NVb1219Wkw+ 4sEpTSVk+qngk1OXrqjLXRNa8HRYOl/2Jj8bdbObt9IicibuIQsSPktfGMvxWklxBZGH4tHXztAJ OOJVkVDq35pGkFUnrH4uqgW7mscT4Audrd3L8dMgdRLzgysWxV1njo18ZoE+6a860dWcv65SfqoU g4njuDYvQ3fYgLCMjbNo59+z82CiOy2Y/DvrLjYXP9FEU2bYlTmRRB16Zgw8PzhKJtB6NDKEqi+8 D6kktDJKoOGylwTnhPbAfjsplHkPeC5CJ7v3J/gZHevuZGpMP1+l8QUfynjltZA6Iy1/6ahLrt7t 7GrS0+Oj6wwfBuo0O33HYOOrrItb19ObDquDp4kiy3Mr8sxc6qUaKJR1bB1yuQVptWkN0eA7ul6S SQrSWrtYbo34T1BxV1mrMq3BBqu6Mde9OavY2q+FF+Kk/jLXGzKFIzB2jbCnZIXJn05BMfhhxL10 s5QAn3GJWGfw732aQHh2+m1K9m8WHFoE0MwBj+fP0WG/RbMv6/7xx26SAbfeaNQgfPRjsUPtcmFo HdEuFG9mM91hZNHjjKnr7y7Wp2F8vJeP8UEbF+EOZb7RxZP3RGDEHhfnhfhAqxIjszET1cQKK8+K ChJTpEc3rBveDqn2L5KOHxSRZ2ePRwqTn/WLNvWzLIx5POY6wJnJrMx66eLF+hbnd6X4sqkw+akk as6y9Lj6RG2AmZgU9LAoKCi+TWjxxm/h9pOUk+RfIA/ZtSdzhzG9aQnoS1klyTx9qhbf39ms1DhC zuLwvjCCvrOrFJWvLCUqf6/pdOU//XhV51AMX0UfbBolOWCeVT4vVqfjUmYaezkBxqSPrX3XGOQ1 MKJPaQLOkcJfd4/pV2VR4Boi74O9dweHzkMZCvxbDPUXME6cINGeTrmxGfC2+pHax5COiXC9DQGo czQDTBmEg2boz2OY4Jz1RWLrj74m7LjeJGytx8mi//nSGC+OebTISmQDRfNLMaGSqRGrWIv7sl83 AFoHTZAR2gJZteoEN0Z2Eq4VMu4u3dGWqB3saFkl4IhA04JE5k16AWWcdh37yU+6o8tJXoaVPJJd n1cABkfuzsCqq66V6InIRpwCY2Y8M4QMAnU9+UOG6wCMcrrgczVU1EOusEnDqIq9Yt9Gf4Szgx6z iuUjLyGfuVwfwa6OseeIjnJBGUDamxFXPKvGaWfgoDDhQdHvyyjk0Z2Fk9SIrUfYXEfs00yqCBAB Y2fp6PBUCZnqjwZhNGaLKjFRX6gapDi2zLS/FbIa4nY0x6Bnj/Sz7DNskOBRCJRdAxnmGBVxJWl4 OtC/4JRLusMnxnCY27XC6piyjiqPLw4emncfWNwpO2C4uw9FHZoWNhDK7YvEDSrPKPhEpGh1JFMj EAKsZX9xknoZYJ60vCKJm9MVo9KT+XPCe7KTmhON9tBq5pt6f0SL11422qvYRIZJegn0Y+TcwpTn O6oQnodEcpdMguMhNcSsFT5Ql/bl4cggwO9w+18Xy3DrWgkt6gKKZBUwIaeXaTnKGB5JGj3X+31g 2e1i0NMfcqSSlLOPfIwR9b1o2owGTpWbB8ZtW3Qj1MuVSJ3FOVu1CPeeZoHIs00Y1IYNT8oySk8t Yw1Vb7THpt/q+M8M9FVnCd65B424r3LF0tJ55xoWxQkJ9iETjk+ReaMScLi4aWvYfRuYCeOiy6uh uSvUIN3lhP6luaSrvLs+fU/tBRPiqn62n9gVg72zvoXvgdQbl+1r0ncMKm4R00OIVbkQypPI2r4o k3JGKnePgCnNgvzkYx77sDmQ6ugSXjxApRdb+bNVBnzCmNixSNGCsrrOJF8QR6HlXhOYakRsf365 DNaN2aYr0MlMrZsKRUc0GQtlvKRTkPoig7/zlIAIYz7y294WzSvEPpomc9701xnxcW7IjNYuTSvN 4FjeMjq8ErFq6Xz+rvaC1H3C0VnnKY8Ojk+1drwpZhg4/jgMV3h4Z239F9Xp9Ee0srDGn73fFc2H 6BAwDCthjg/7/My9kHXXjywkpTLFoCxodCBxcifI+UqHHTSJqCFI/ae8Mfi6C/EBMMY8DFpURkft /mBQhfag2ivPkULw+/Htw3MREp2juTMAv37usxJUHAyHcGeYY2hkDp61gQ2PGr0/Ox6NPQ8NxlLu EOX/ISrE8Oz8oRj8dZoWt7gCznHV6Ms/AZCokVkKKQiDMmoZhUV0InAesqnD6HKOCgNTxxXEQN7o Ga97sSbWkI5YbBE2yf0ctsblqGoGkvBJuGHk4n/JXrS8+X4ctwkF68ROa+d36GyyeSfrYCshsZHC bqCV3FFXM+sczwvCdfm2KGrZ5RrvirYkjj4kZOww9fRGoMcpCxCsoKxkvkfeZAIRG7vMaebrTBng sVQgWcdQea+fwE+apJS7l5vS1MEwlmRcKVl33ZAUkESGD01zeFA8ofPTzx9XiThpeg1hVNH9v5so opmH/zfrwkl4PnI2f0SLbjE8OxUHQfA5R4FElHbcKjTicqbuejuDg9SNsjNMYTyKHiVTk9WeegGx sR1AxXB4nA5pPAVlH919jbAiWmLgKP5w11ZAuQdxEGCansAffUFO0BQZxX/TrDXLSD0dhC6wUS3y zF0Au0S2WpRrnMim/kq27j1ZKOvnoQZ2guVuqO0Mzx/Av9CQrkGV0/gMlSPzaEgg4iWpifieNfsb wA4BlM1vxNmOxyZrKaAqztaCrww0VRtYGnD7hMqS6n5nc/LBHoc4ccmHgTMG/EXyN9f/OJYua5BP vXIAGzT55X5xSjc7W1XnSXHe42ww5w9a4i7ACumRo4tiMWHCYdeaRHbTgdI3iykizU1HKuHq4vN6 +edDw+YRVNRRbbGwjLmRrYrCeBzExO2DSuVenO28n6oDH0PRPeRD++dU2mI2/BceivwSzpyIKLeB 53tDTPfCirIQwehZtMZoiBZdqODOmYuAm93CD20MHrrjBqw6bLidi5KwrTVRi80PDItJtetJKoQo d/CeRkLBp3crk3YMRHDyZOjcmM23klxhPbOVvYCEOXKMH/0YdejXlo/lhoAT/F++VseuKG2OS077 iwknuNKYAUNK2GPRVi1YUp/2ZNDN2NuqUa2mls+5sBtc2eAcK7P6BmZ4WSGjdwyFgbVwwchoU2b7 E5311+62tLGfTVWQVEHBDqIIPLvYjJWid2Dyw9w+oj0YmF0vVxlI5MeuWLdXoSUFlCqPOhWHdwOg 17pVWqf50oUmAsN+38rItQY6/spzGZXYFSlCTId2QpwvjNL/ybNh1isqTNs4EAWZZT4v63ZOifLp yfKPs1VkX4HrtoRUvF/BwIe7mNqOb/otY0D8SZQWg2PLS1N1f4dH28rIAgN5J4E1cpeh9uhpihuZ m+rtC0aEsS8vlbxtjTyZKRUfLgsa8yrW4sbpCMIf7B8WQGOafBHiokspwN5XslixXt9uI/tELL19 /NOOk6ut6nUjzelIdUtDYu+mW6yP+UQE9fanMvOec9OsleFH0uFuyqkuAiA9C2f5xD/1qJWBUs9V bXob4i8GYa05C3Bl1StOXWR+jXmIMuvbh/hLCOet81+RrngFEKewYIWNSYm1PNR6cQKBfNArOZt7 qxVXs4j6zYGZLTDCqBHv0ZbnQw3imoQXXuZKBJsvVHGoCUZIPeHTE3W5ovKyWSu5uMfNwGLJ0uHb 1eqtNcZDNqml2VEYgZOeDfDMjiUXGi+ix2P0EOxPOtmmCgj8b8EzSNDrErrsmcXP8DtLGn3nMeRc PiudM7xJouenIsJJ9yNv8zN1GtW4keAWleyO+2y9o5ELk7zaAkGUeFKY18mpMQP26wkUqhHDpuil O/X/pWoqcJGgJv8/+D+57x/6ljvVkCHThR8q6u1YG/CzsXqMoONQBEO3iKfWG+57abjTbWjoxmm4 g7YxPRVFsLUpS6OM7IdXijwiQPGItfKHqAiCH8yRbLzmx+bbJXOpc+foEL85egLSFzmhzkxy2lUD 0ZKd8mX9hsTbq78mRosQOBexoehEOUi6hr/ijrBCb95V5TaREeolGNWG1KgsL/LNWzV8VH7Sk+vi vAQPDn1iPawV/lRUvEokeN3RfbYeoQNLlg4BjziivOOz2kT/fUrnCEryQiKkN+8S1clMVkp1Eq8Q az0eC3HGS85UGfHhCg5wj/bEtHcG9KLxSwflf0EDwZhjNNKGKPYfoG16swI7nPTp8TJT4QP0lkLn xg6FyRUmHh1wbi5Jz8i/1zQ3XQkxFwvtNePatu9Xd84kTa9pQIKb4pRxGym+EnFyXqOMM5n2VAFg 6Uj36KZIC9ainb9ZkiyTxYIu7xvy3pacUDObxpF8ZoBOTFendXpCU/bWlHXpgXxLjof/IhCX+Xhp 1PwcPlRnuv0FrE9kJ6KZrIRWOQE5go+pJe2jSBUTGfPn2Yi07VTntQaJhr+64HK78cJQdkW8+e/t bFmxRh/2CRtT3Dd/QIv0azLYk5th7TX8sbs8LnfHG2UZPCYl8uISOqxkJsCvfO6nNpN3ybra12Fn y+sseJ4By6e6WFv3Uk89a63rjS/I64ESnMiOQDRTrtRKVcV/2kABBGUKJpv7EhrOKkgRXcakBoOy Db4y0VuDuuZ0n8ilg8f6vHU7YZaKJ1/zPcorFTxvK1ZDFHAI1V6OhQOXtl8msQ2hzkmKfzEf9SKQ +qbHgiKdH668QJ8jOs87YzTW13hBCZC9OfvtYVpcYAAPv5UOEnqc62GqHnLSmA15yr67W+eSPuD4 izOep+Koge1UL9DbInX86e7pNXKxfnsOgW2NwP48Cl7X+IpXUny119ciCr77Xps7w5aVoIvn6X0S dGZe1nCm49Mf1RhtNOl9EKqodNYPa7rABZiFHeZdkJnNWTKYfS2nc8VXQsgnCL97rRtlV4EA6fjl psuYjKsUIgA0XrMOZduJEKrmAIyvEkLarILJO3zPdsSN37ZkqxqPNuXKMjVEf7j/AzfVRg4pbc45 Oe/5d/rp9FHAvBnmddw9XQzTb+2wKGQterLGphsd39GfsEmTYlbmvBIVXeHomw2GyNk9rzQszTm8 sy12QQGbgSZhERt/LXJ4d6wB8M8Y+UjpRlzeU1+QOEnxyyFD997r3RJ8KKQmqFfEOkJZNRo6i/ic zoTUt1jXic0jLxsVypgQORGVi/XUOcIsVD4ijfy7ikprsM1fNXsi13m6hrAoSZRrmWw6dLk2A0Ui Ed30JzfjCxWhPo72kVacFQFFAQzBtW4TbMsUeQ4QnWa+s/2Br79Q7OUhiaXmSrTgfjc0s4M+2LyZ a43aToiSljYeW0EFF2jc/cPgenNwVjeq1apEO5BiMRAUt/P3BqdI5ArGs/g7VszHpu+PdI28ZOp+ /hddFCU4AavFf6zWwQjtSZJXggXCmZp24H982CN0FTRL+9YnaHDEBXfFW4b+/rKZvGsEvBZLmx55 MVxpEkV2nntlSt2jHLdb3V19TLEFSkgk8OtOHpMaLM2+/9rUfWUoDyEzDUzMwVS9MvAF8IU691Xc 7U+CVrJZBCxFTXvOQGxTAHInv/L8jm0Kd4PCv6QFSrFrc1aS64N2nYGqMgiG5fiN2aJShROJejpY /csf6UAM8N3ApZrBIIOa/BIEtJG+otqs1HN30qF7fKIfJNj5z6tWNDr/klJtr2Y6oAiqB7y/NtAv ktZKmwqMXFWhFxYsj1UzQnufvRt4L80x9L9SzWQUXVXSuFet6l6GSShhJ0yBSJ3fNjte/fiFFGlD raLdWX+rJVcw1g3XsRTllShGxOJkNmHeyzcF35oIzj1igPMsMEjuNjJ2ki0fvNy+X/G9qFIs9vCT zbR6F4PEFEoQV1kv3qrdD5Gq2XX/2xf/9JUPIWx22TsYRJfnzz9yZpzMJp4Th1mHmSaWxTS2FUjY jqrBIeasOH7yduhT606jXklORRiyADoKj/pX4Q6k5sQwwqgyGppBCVUiEzZCnp+DXiOh9Mi+Cv/T 4m2FA0ILvJan//4KbZuZlkUlVdjP6ufJ2ymfRgcll8Oa2WaVx13QVRcnSgf8GpXMqUWJKoQG05ad fVGWDv/3UkDtnATx3kkG9ZZ+dyAr5SNjrUpmyT7Ajg6swMXCWJpXXESZhwbyRBhDzSVdwaThxkeb rBQPVNl4MeiOHGG+Kcxjnj/ZajLhlXG/U10st10oF7Nq6CjQZ/TXMeTQBSqNX8D3mpe5Fx4u3fl6 6BWWeg0/BP+Dbmwi9qCpcplgaoG1d7UXd6/9Bbmv4wE6OHFBdmiX5Zn7tbou/I+NDiSYHvY0vkVU HFIb/qj6BdHc+cpxA2N/MJodqSPY2SYr+aIBUDIVu5jxUxXJP3yFzVWW3xfw+4RNXArP/hOWlgn5 mCnoGszxtBGfCY5/MES2ANqcyY2t3qK+QVOUPgq6Y3tPSexB954MOzWfsgnDANBGMNuJsBKJg6h7 NbNB9EM9uzQAstOkXQqUJdJ7fUtFTZqz0jdgPLPWmDDzrRxQf2L+jA+hpbRKSfSlcHlp2qMzjJmn WDfVeqMgsvEqeZLOrF05h0Bf8GUWddOS7/8Yck3T2NULU7ZKyuiHtsaSfOpfBy5qekcVUL+K+ka/ 0UwOBLdk5QU1wqUxgcZHlWwIMG8SJ3kOQSANYBDnteLq5dzwyO1JUuq6zyST6X4EdwBJsyvMDUtT CIzO+82Qg9TQaSfxSIoroAHN3iB2aJco/voArYcSAYRJhYPa34KweAGMqdH7y7+PC10cxEm6bStI 6uIER0nelMzNcP5X9T71CMiOInw1um5+s9Szcl2u89zvjUwTmLARLZUeamA72PwCOA3bmg4wq+nG 2FpGaxJzo8d83IPiay19eLZ24NgDAXqLyEdjAEwNicMHfilFVpUxOCMFFErVBcwxAgw69/FwLN+E uuKH8+pWy3hhUvmVxWGynShJDZiOR2e4zeEmKsIY+ZZ/9Yc6nWN153OU/T538/Cjz6J0nGo5mMC8 ob8LBiRe88M13FORMy+/v2ZdL/9ylevRjKQeqv2XrHqVZ8JTmNqo1kYAp1qr7oTTp54i/llwJPuX tZeyVvWlKdEy+IVYIcAMtqIQmTOrvIdIX0U7dl5FiOZUUrrAjzybxMLkcwllBeiiAIuoRhV9lIDk luRUkywXumsyAGzR+TN3HuGRt2JlV2EeTUu2LQrVylc6awcXICxYwFXc0DB3Fcs7OqejzFr+oRBA uyFdzxNXo4pE/vF2IYqLAi5UjLOkWbix++ufqKz0A6DAMRnlPxIQva+3C5T8szCTRBd7chopAaES gcznoXTkTh8MSWIpmz4U3TTRlN0W0GDLAg40n+/zvr0KFRFEmPwRcRraP6j6izXXvlZp/qFwbLqD YQNzTKbthm8FrHld/3GgePUdigVWalSOWTRbpN/W3Dog37cvVEIqMEI97BpvI8mri1j2TXpqBvIP HUln6310OaKKgV/tmL29GBzAARUTym1/GPpOlRvY2Eq8OTuNM6q5VDCZjR83GRqJzrASEcGygMeq zTmpDmLdf5BfBHKeHUhUm5iZHslgzOIyvc2b7xeyuyC6MnLFIHNHSp7Vqkr4aZHEmBS69eFuKwL5 25iYK2ZwfRzEM9pNwhNkJmT+i+h4fIwkFrs1ODVhCm1O+37H2hLMDx0nrnlQlHGMd031xtiSyCky Bf3DkMdpIGgwPmUtEM2C8vM5TZcazIiMsCCjcojgKKKDKzZ/w1wiCb5K2MC9KW8PtQdnIUgsZnq+ FyEMRIO6gfPPYFijGJxwTN//c+ENn23/74yofPdGyBmuj5HwPJgogmCaiR9z162s0fo1PMMH7Ltp Q4Kcf92BDElodlACVciOPqYy3OjtrTutBmArJ/cfIFn8sDSPPer9w3AkyB8FrJLBpufJkaCmUdL8 UCuj0mDI0e06Hk3DxHA78L/G3auMxMS7hv/SHgZNf0TMqMyv9TQZGV5JAKNH9aZmZhBiGaBvuFQx GZdQQBGpgDDqRQqOEUVD1aM5bCxQ5ITMSDCB8CKM/hyLquV8KkrAGsoyiChuestYQRlDxpN5EjXy rq1F1XCSIaviyRd7xZScL1cForwijJUF20Fc5IVQDPccTJLgImBNtNSpG1OJsFS8h1BhgVwjM7yT 8941jZosXXO/BqYtf4lTFYnY/JF+81fy3dSnF0qA4WtiriF2mV97VkH9SaCbL32SDWkBlM6F/LwY XLcXz3ZTA1hv7VY/kHnONh/6Cqzi+XhTfWmanD0xequj/daahEY3k6G59isDLyAP9xSqvxpRi1dv pCbsweaFYA1mM7G2lhbQceAn6NDrbuU/qy4iY/J6Lda6B48qC+0vqYas9MTlCRDwa3ClzNcn5H24 hj/HCxxuug6sgeA9LLWF24DRUnYR77bbWW4i+8JbMVJ8w3GCJ8jWtiBl/vy3D65pq6NQZd8Wes9H SuY9ljATNq/rYwXE2NuO/2K+HzbT55cruq6w3VPjwdDrjg58oYP/7IsxEnDwHVM1LLBxO1JCEzJK cj6vytzcsmMBM3WQ5k31qtNi3na5afRaF7R7pcrMzWWl51dbuK/YPpzW8EmaNzNG74DmgAKL87OY 3c9bAhWgQuW51bY5eGokFn5oIwgbyTqOMosEebkBRGVqKwf7Bx3HnQERezeCS+MLTTSGodGsjYOs DNNs3G0wRrYOymDQa6KTOCx5LbfTfG1lmaSP5EKI16cpWE0ajIhtOyKrpCR8x7oQ4A6R3R6nMsaI kSGbTPLEA/GfCjJpykuWaXjFyL+EK5yn1PV/iVBvA8HAd0VrnRvOT6X9QG4DH6vsG1kdPbIZOdzX bo/lUZj3fS5FVtrQPYbZIRHn2typTXMzMy6S92ujJyERtfncrWoYXa92nTDRCURbhwi1COyWJJTw akLY/Nvls/WQa6zQ4KvAp6DSXItGLPmLS2WmJ/bnAsZ6QwCHwe5Wpar5D7vZw28ZL418N9pVDDQy uYf4Eqc+WACeIyoQEv0hnW1SV4zCJ257UnAYQG4sWiquy/R470snf+2Vzj9YcC234DT+rmSe3nUO jPk+b+vh5DbU6/xfy4CEscKxXDLhdfKvjP3MEwxspcx56iY2EOLAF/vz1C9ebmuYNa7PiTfPFKLb 3t7FH1kYhtX79ukEaEG6ep1EB6qWR22jKvYEWK02K226zA+EIQmrIpqMIr1kXSMMKBFVYFAMeB7O a8z4h5FcnGHZsei3XX1Na5uf7gbfKoEAQg9p4ioe2JUTeMkYjco+7yh7zGieyeWAHOUUvRZJ+voH JLyM3X9saQ68fUsop/dRD7jWnnJ9h0AphFJ/+9fGZzx46ggK2q2JOtSJeK9cSRFVFznfu8fHdbO/ Cy+uP8pekQkm3PtyY03ubSoRSy/7X9OCv0SWWPy22fMh1QAkCjiK4ltXrTQ9CZiq6QJ1mY/kJUTi cZKNr0pPXZ3MV3uRRAGS/lztfJsLltRlJE0/YP2G5Alg/8cB/Q51l6of6BGBqqhjACqd7kfjAC1m e4AZ4jwiHbRYzamwfYnzbknBe3nsQxNvpdLa7IHnCw7ByAuaTCXks6BxxQlqr/4s711elQCSgWGr 8uvb4OmPt/ElsHYpybPM4xT5bM78yg9+hsTPwfTEdws7EZvhprmqgkofHnS7CNtztQ6+GYUHG2le yfI77lW73hPMqHug00uvfuBvNHkgdnQK3hZgLt3njUvZUPvfdf5uOZ7fCi8phhdCx39Y+b60HaZS wyjuZYoDiOH5A2AIEtavzGQSxKvIZqllxExXhWqbLOxjjXkvANZwLjC+Pw5JPNrC6Eskv/yLqDXi xh4TrHV5CSbhocFNCu0OU+TuKZkIpcYbS7biktAyNzvv97lAtyepYcs7b0LMwpuwY02ld9oo6zlx 5KJlJEmcZAd2XtxNX4SbIOGUIts5jLAE12uOUTabcz0TxaPidZUtUed0G5vhaiTJ3wkvZbFi+TG9 W9UdgZ7d/uiFUW68e/gr9RXcpwMuBMSSFbeWR/UnPIhiCqGVHsr3BWo+dR6EAwlPU2dh6aiztdfz 36MR/0mPfeCuw1AIht+JlJHLrZ0NcgDj7Sy9LJtCGSKnHAFLOw5iHKTVE118rNQPBGL2CcT3RbYz qc7DHqXCdXfRH3UVv1wfAhM0Yo9pu1VE+5Nrz80KNQR5PX/Vy80lEvwNkQVlnpDT7JrsCoIY8sNP SQAKXsgeujaLFhtadk4GHmmtWyGDDtyNiNhgW5uS6AbwTg9fljNIvT/h/Nf2i4tDJk/M31oW5e6R 3yPyyz3vGXPoDWfZlvHz5g8QwXF0H2o2BQeXI8BRIG41YnSImsLDux6Isicr03e8wAVzkhM32pzX MQWZ5WWqHZCXtGp3AJv1mleimHDHAEuj9ER7KpRVDf0MXxPcgD+E2hCgR98VpWa5pQahJd1wTSd0 8kpq5wyjLQKMdiPxx6asbJlE+ykuvuOBBIw8UzMTS/xmuf8aYH5DyINW3FL1a0LbRzzMJGaArUu2 1NHyBOGEaICBa5fp/cWtl5nqa7JsKO1n33IBufs77AOQKFahaJJMPLgS7aQD9QsLGKNeObRHRvwl m9qz/M03AXIO+pn1JI5I1s5p6EELgEpgEFujVKHaTrglUv2RBkY5ZjNV861LGigkyoWWuMZWAEbK TGM+m1IufBUvsRHTZSIJq2ELUtzdLAYG9fMgg6I305qncFS+fhGQEHJtR35xxTmKmctfBPQMN5mD r3OaU0JLaBUy07Ghd1+rveneToeviYunnW7XlyvpcDDMa3kAByeyKZv3ZadUApSynXBHOY27ckFi 24e+dDvohVEqVIMo6fpDW/DH94A3DxcLPx5+wtnGM/sm+OdfICqQ3LR1IAf5u9h5PywjtLEPPtso 8ziePLRdwB7BH0oy2eJMKRS7G1aiccGgLAABXpOhy4T4GNu/9K+2JsI/zdsggO/FvmlWFRka7EuD jar+6YmLmll5Z392vwoE72LJieZERGFHE81qof6w4lSHuQrgUYMnL0HFM8Ki3YAp3tc3PC56XKaG j/YkOGgY2qHLP/CAxoeZ0EnNj2wawlzvlESk/UksDHAnd6LDFPD+XVG9kqHdOnPu7K3kJpb28t32 Gc4HqmBMeNYaXb0AonCVmL+Luqj9lWa8uyOTfnZGEoCUkq4jN6svccBFSIXaRUI5MtWOY1xTIL7U D2AD/inxidyqYkhIW53zv5d5kH5ySXP/4IijvZCCTwjGkYSOV1SAMlhvn9hKyof2DI7yl2MYEPQZ V7g5IxoHzWlhJvvXIh48zet/MGO/ahjADCgXqMjCQiAZ6owpnoRynL2Df9ybJoA09JvwNersE6+Y w5Sn/CQVokN0G/uV/dU5wuZYmbCQeuQbRluLqtTxGVfgzt9k/ZbmxH+GrUaivi1q1LnU4Aeljw3h 3d4JbJPxFTjs+LMqxMpuDnkeuq2g3tk+7pyjdoLEfxhT3BnRpQgaYvyyK8VEkXQyjIQ9Vck0m0WQ w0YucjgBKAt4Cz6HrRW1da3IikYcKCDoxP/1CWPA9SRQeBvOmYL6QksrWJtu7afS21RUsVKZSoi3 IC9cS7rUHwmvVZa8+MU4m8df6yNyZnaFfBIxpLEftHBNuN6LnYDrHfZcf4KeVoZDODUrQT0w6sUy XXM0eTveONh90pcqmspf4RaUsl9Tel2EAo9tErZbpQIn26pjc+No+M5WfEPRnkUudbiP5MFyaLNL s7LwzlmKuvPYSObbu7koz6t6GKx3dAyTcJ0UnlSfCTK77k2NUElT6F1vlXs+8xHcIG/irts+Hg3g zoYzu9fCGoOghERLnaYxvyJk7LGdZjmp6eIvX3GehLI5OiIVkvQ6Iea8BFN6+yS8xpFgCO0Z+KCR cnMaDBvCVavtt0vqjS8RaGl3+hjk/ZpVHnXaDHK7/AIOfDemrkX6OEVhGliIqWCnehROonV5orga Wav7XDhivbAvLJaBVwDTM+i+QG+9A3fX6jbKBqUC5m5/tlFhgqOGqgRfrJDW/xZHU3JfcwRFZLjU pSGlRNJ44BkBsYXzMZNkMh5Hj3bIY3BZws41mG8eiufN3BksPC545l58wBqLLsmtHgQ6MB/1QIyW MZVrbYKVP3bDsYSop+eFkijc+tHkDMu73Rz3APm3fGjEh4F4zp3SCtf+UQqVemTt87PabzVHF+w7 dAOdvY44b4HjKp/GQBll64MS2vFAxXJAL0Yrxd0hm6P4jF2WSVWPkqVh5zuoIdDNvudb4zyvP3Xk Btpb9RUrIIwkobkP/iGpfkTaxzjj+O4iWvscBqwx7aDBPrhS72fDIIaFNDiAPjobklNPjTTNsZUj Ba0FYCUYZUxRZ3AWofZRrF4FjOPC2/0BtHxzD3CEqVzn84f+iTW5F/XLWAEkrpivGOYfBoOQa4ha lwllscgGenTNnUHVIVYGtEgHUu8OWMSm6CeG7wKacnk37ibT7qdQGJQHQxuA5CpuifLue2cZSDWn gHf2IZW5svGjFZfcFgPA2+oqIUAvIeLuUJfJMj2ayIG8nFr9bKYkQmp0LkcRNHsPYafFzfCGHp0h hBDRwE8Q4OYo7j+38zYe9/+fSwcOYPZm5/834ogKfCpme2vT4Ru3LE4ubcSUiAZEPUSSEsVVyNqs p4+AH8ibxumGTBeBaa0DA3CSKwxWMCF7+o8cIZQt1ktfjlEA4a5HiJRccdTW1+3wemtm0EfEC/YG e+0iC2pocg9lcBAG7ASjt6YgjUt797siiCU+sxRg5shRm7+3ahPBuwzpgI4PEbhrbYLssCyqJUQl HyNon5AMu0mnQNfnHWNF6BE92ARr/QBdW/I3bIklSxmO7+aBFOAWRnoATXudcC1wuaTz1zRJfzVe uqgr7F6FO16fhsPqeB1QhEQJttISCgk84PosQp5LrdBAUSKgu3CNdPqY2d3R9l+q8oLTD84Zz0/b IMp//Nxrv5D3LECFO2ezw9CZXwrJgs13ddl3M/oLUnxl0LZAPeXJITFlU6Hk5Tf7xANYHtPZ//+S 4AYI0mxJvk8FdUSLqkf5jEp92XD107gfdVmvewTONXGbhGjQmy01Ebzlkys0NL4mQRXDj3MIJSeK ojevyPHMvCAFbPVsSZ5FU9B7jDv18xWykzowOn063+D7vlVh2xXPiGkQIKp90W3hxEZxrsiVEzw2 Xyb/HqDkYSIGkTQkeNpWL7ToFg/zglIuAVywDJT14aZ74hlV0shlCle9tEUFA+YgdU7eeW/XU25f TeW53qdf0g7Aut9AUwOsxtzn5ky/EFNGCJmhxAAylnPCSNR7JqEnI9gAF18j/lwsEvUT7FMMSO+8 prDD+sMwxQdR6LfzqwPtJtRQKWQQZpxXcf/+ylhQmRKchoJ6Z+tpCnxoqToXpI1zeD5c6tgRxX+f 91DzM0Ay1wwExK7QpxSxCJ/OgFkwsj1ZYqnJkqhhP2w07kvlblObSU0P4Fo8z7rh1308619Lvkp3 G2RP88+79p2kFBj1T5OZOxxdAW1MBYIZn1nbIqO+LX/mWD0rot+iGgobLgRfsSmTUbIgJjK6aiWy i1rmUXQBjdvM9jtko64VzVHjXOatkW9zyGeXHls+4b2DoqCJ5usTo+IoHlQ05OzHijE/9LDvNURA ov59o6FjhThgQBfkMhDu8TQVO2WZfrpvkrP4+Z40KnAMbCxjUSrKljIYe2vbOwNYOvQKcEJz8GwW 7EohoECnIQKnaNCuIG6ZHTjLr/3FHsh/VeukQUBvnCiqty82+mt53rzps9gmjKFekEsR8BOQOWki efueIl10JA88Roag43XQnJ2SnYRtCkpJjm7k6ux5ZkvXeOyg662NdwtQBlPP+ADmwMAl22gjPIVu zgbhwsJS+2mh7kWwmoWUZ9/89HQxPymitmut6vfxEsYi+cEXysQiNH3huTdhyjm1ds3RuIGkvM4P QLbpMqoKJwTKJzqYmJhnBuEMCj5i0oj2TAO5Nxxp6q9yRBsHrq+KHfnXmZdaa7f242T1oazmcNDX H3QlchUvxAEMyXgSpkBIQzf41kPOleACPjU8ahYMqxX7lPrlJV0bdmYOeXSPxcjrMjlgrjD9jY44 e4IlkK3/wEd5LgEOZpo1tew171RvzSWTBMBbMhpjn35f7PiR3xIXPf3vbTMQuT8fGMHzqD+0m8lO ANLUK6P/cP3hApjQ0Y2wIU0FU5AfBN3LL3F7osfoATzEZIrM8uPfNPyAc2xXtYXSuu/I1GKq8jpC trtYANbrOGrLz1V4gQSsTs/PkBfnWEt+SMZ3YZ6LsI/pKGchsZqDkBt11ilE6+aoQ0Bpyk/uX157 v2eGB8sSWRvMZ5Ag7NE7eAEfw7swxKRZKU5CACjNINc3fUD8kR5hK5bSbTIbqd57t8n/upT4yaco jThrpQyFG3Fni9bLIDnXAkB7aTJDG2DRAruTYrUMkKV0ndREv8QugD+2zffZmf16o0AFKOQtjGAk W2PHLIoUsCsQQaKk07CtZhVI8RJ/tlZMmgUN01/obNBqMjCQ9LGyk5T/efxsW7fZyo68W80yPCXI pcSrRdZD4/7JEW/RuvBhPfdJZqI3DfBq3q6Rmen3GEwX6vePm9XubVKRRU3TXsZM41nfpcbEF2kJ g+wkKY2nA3FBBr6U2VP7Gi9m6S0JxlSPoUDSSI3Jl/RdKd/wmOoZTzgPjuxiL2/xcbaXLMZmh6aO aTbS7HKxjl+hlv3N4rI91BeyLtDFFPuU735aVUbZo7c0wyJJvKuFCu4B6XxiFlBfQvJ+ZRElwTRi A9jhOQhr/NxF9C+0XrtbSIlTXhOj6ckX5jJ72dKMbKWP/jrJN5hiGbk+bGFscu2qMJWGtd7JWXWR 0d5b85aKmgcuo5PpgzQiD+x2S+549QNVGL1Ckc9S4s7xek1NT3//RCb7ngihO1RcH6CbDPdt2vPK JKFrOmU4HqGhdW/2kHUT5HmlWZQ5sFZ9Cr4Ot9zV8AZGnH6CU9jg3t6X3yxMQzRITt7NZ2/b+5qY G9FBYuXG/NI/76NjpR5lg/3HYFmzmNyH+YQgR2zoNa0UM/S5oDFnYQP3GDE45oJPd4sERj7tDac/ vu6F6wVks4GCrp5M6N/j1m0YAyARwA3stXZfdvFMDRAQW9c0H/lWFUmuC1RvopPjH9hpsRIcnigR AgLYkBnRE+Lyx8fOZG6iHMxRdBnx2zAClvGB3gEe/IAkbJZxcHMPKESo72fKzJsdkudFVXd8zCZl DNtIDJUt/A0l3i0rBb1X44BrUwcG4oBlX/pWazfXYMVkgJFTazeArKIx87Uq46UJ6y1lTJziw+PL oiSGumlSDFqelCS7dax4NTajpKOZN0YcK1UoFbnlGD5Z9jFsK5k0ljOsxb+MvalV11ptJoYPm2kO Jir68PzIl3KTeN5FbNyd3BnTUdlJAdTnKTi0T9+zEeCYuQU3RDB2aTFPkfaNh8IoUl+NIa2IcZLo J7MYbssBLILh9eKGIhFdHPJUj64U8FUotuMNc669Fqg0QojCuse1ku3rwGgiJkiu+7ZbjKu7XIzr Ww8xozptsBUUjfpBFN5GeLXLLtfFCtRgvRh3mIhyUmz+0QVC+0IIsI0acuvSvG37TiCfhGtqPnKT bW5f8q3IBLrd6JPMaq2zoyjdOzbJMhxiaql0DCYoVqrkIHzIYUo9+wPY50G9IeocXCymg4uBlyFL CCnoopTP5o8iGHlHtWnxHs/8K4rle4WUPrtF+J/sBhjqGjmCq6gYy9XAne8Gc0NKG1kQqgt2zL7p xcvl2fZcpFWjwIlG4x4czasMUbf3kfRw00yh9KYu63PlQcDxUkrNeMCCf2HLiv5CdBfeqpvaFuFv 8neJuZ7Fv0kXv2qsIc1Enz0lIVdWVMJUdM+WJqz4T/++XrVnxgR+W1OI1FKMb4Xo9XcPNnFTpNPr Ae5/BvYPPpsiCYed7jk1rzWFXaTtcvbEmpiPahQ/nK9e3N5UsQUvwq75iGzexsoxZVvWRQrIzQLH U9CfElsMbA0FSZ+IOwL6wf7srn8iNUNro+nI/a0ia/5OGbpW89qZQQ7vOiy/4ev6tDhkl7k94jl5 QqQQqZsWEJMwqLXUA4M5FWPzKlt2n2LWDWP3JEMg9BcZffiKyD4G8OmsfjQjdvZzb7d6AbG4imdJ GQNDC+kK1aX3ryWX2qgqchzwiCfGz4ws14Jn3iRPQUorUWiea6MIFRmYiTJRyPBX2FxlJAIvK8IR C6J/BBkqyceRwMGbCmgK4LDRZ2CDG4R0+Cs1r9FqjdZaCQTp8A1QI/OBYnimvmxLgyfrBzwq9Zju 4hu62ZoGpc4c4QdxS+FHcBfRKgtmyi0pI5guYnMLnOvLFFt7WLvoCFRUA/1xh1L/zPB9C4pfQcym jfjfP0JoOZKrmnTnuwPrDgFaVW239FpvQz/h8fQAi9UkSzWXCiVmipPlc1s05n1XVwd+2OqsqRuN ubZfKFzZQ+FOb+XYpd5GRdlS9QZ2x8D9fUoKLnOP4/0VIKrl7JKQfHt75rzbNFhqO8uc5agGjZXo L8EMESHwuLKExpcexLUdR71Hr+P14LKDnOBWZOLLnJh/ibgR936h311mVi13vDOA9JdMGXA/Fw2z OWJBeEhecr1C3otxJD45OCQNWPvWoeeGV2IRQp3b9/t/iHMR7qvBIxqtSYP8KfoNerduH6O5v13x L9OBY/x0bihk2mwCy9rdmwvM6a66dfUB4tybM13RfbL1xmb7hmpnEOvdQpjKfM5qRviJ2L3oCcZS NraeIXg2XOdDhzIDQeyrFzUmzXPtuYN1jPSj8b8ZVJeahLM8fiiRxMuVtfDQmlBP5pKPkXUG3thq 8Fp/44i6Hu9z1Htg1Panf2R2U35aNpdh/WsXqnwtEAdHirNJVTT75PWAOnwwvglG8XZjy7mXvJzC PASLhPu26kHKYw3PIcQlLm1f/v3RQSUfB44Zy5AEsR+Ahz/9wewv2Nl+a2wRmTWcfnrLhn+ALAb4 OzC3qHipr31RaMpntWEQSUAO2+Ff3w5mizoX4dVCV1LlYyQ8y1GRoneOqnhDBCzRAW4RBI3v0iW9 qpBq0gm0Nqo0+xkIZXgNKtH06dbTsiublAqNFIhQ/DjaN8QuRF51OzFvB9E+UQXnY+mvTKu02zD/ zWAHJtym7xrYMw4uQupyWrDFSY9Bui+F0xmYajikYsDkJL7KXKpKE6gFzT33MASrtYCVVl0WvkET 9jscfLTpv8+pMCxl1flfG6JDaSuDmjoeP7xXW3G2gZQDp2atamQ18aaLvF3kKLkm+8eA/ZziLruA 7s/ju+KLSv456Hy8/dv/rWo8DRzq072hmYaf9qp6f+dVTQPg5JKyMCMNXqB0kts9YfZcoPQ/CQp6 plMcor9ZmaK4jA60IQEMQUmddmxSLO/FSBxZM21+ElVBJpTJONRcK9gY0JAPVloWsQKwMsR7Tlpg tthtAfq9n9tHjUYMBokbSnhocRY/m94PWChpsLQkSy1z0KZpxWILtQBveSRkF1vE7cZweSX+Xw5x bgg50XsQXskpafDzr+9hV5qBtHqAwdV2lwzEnc0jGmDiiC+k5DP73XC2+vn4L4vv5aavQBtKSL7P Y9cr8ZIeht0sVJ+UvZctd3nzrJZgi1xcZbb+YdALrMWe+UiivaBc/5aTeObuR2VNt6IODpM/SDDq D22J0SxNo3hYngRcefD5bS7INEJw76KIxBDW+af+4/Nml4qCs9ikVj/4tL/UQUxpXIDVIW3I4dsf lkCmTdYbyVbyN1uWoKcqNMHxNpRIxAFAiE9IWkstouupU2TUmWipIwr19SzMpIgcWB5tWtJHqvoZ xQw8xYlJkakx4LiNnHWBytLwnwlJPpPGRzyyDymVGeeSFXLejT3KcxcLdg9dxq8zzvFkoSrh0mh8 E6jdVUHDz2VsZ/qM4OYHJXBNB2nnxjpvFhWXTFjIHHiwZwozlgEdVGwBF8Udvcl62IXUx67JdeXZ pox5DyerYhL7TETAaPRpxSeaA+WjnUGPeGkfaW9Gzkc0yRaLk78eHfPo0veKD6bKeZmht/tbPweK jePdlQdZzHzeqGDroVN0X83kbGhT8FfUL9S631Fk1e3+qDrClCGCb8EHvR9DL2RHtFmEYIpaRWas Bw7GjncTUTDs7/7U5SiACPJPlf6kPj8XFEmTs/xS8zLugkkyxlhED0ElNMYT1YyBYUyW2aCm5P7g EY9VAQ05DB/uichfsgnDdNAJ4vMCT2b5+Iy0tS2bA2dNbzK9dtVPdPEKLg7osptEWS9kO4WbQ+T2 08d54ABe+3HYi6I6eFAzZ/OXoTzXCca+T1bb2APDasZ5SswKv8OWSFt2hwUcX1XZCpVQvjSnvfnE PKeY6IHkHz8WNenJ5flYTxhApasq5qLUa+Bm1Ne89nt1aS3PQQ02MqQ1OSWO6PNf3kUYintPnB7z r6vK1BrFWBP2PIfb7KBksYgmJJJVwvxbVtQnGJnMGU65NofAvTmjbYZGG3VhOuxg5dMvv2WatinG MvaamoiQ+Ra0LxbcFpJ+H/BpPoQSO8zMo9keymTxpibftbz8yGdo4DQAEVO1KgNEf44NXnhtCc2U jjOUxZi/20cGwLsnju6vMiOpuKvNwiJTa8oDNAVAc609lVOcC9SQu3eByY+zHd5SeD8vJtfhk+dj zBdTlys0oKuWxDcX5ytyF+uhR0UyRnSJVF2UPPoFHZcBys7fST6j/xcXOvD5JWykKgETRLV0TZuL 15hz2hx65sQuZLVu9XI8/ctJSvnzP9EI9XtFJyJAXXYdxGXhibgVnZJN6OxmjhPghDn3JefmOLqC tV76+amVu0IqccWtF4ONMl44JYFe7hWFz7Oabam9G6d5mqbq6d6cOVzJ5iF68rtg6oNvtveiglba rRUlJlT3uCgzozaF3hRfPo8M+EjYylJg/O9TN6UHG4UiU5Y7YD3rTBs1pm0J1pC7Tbjz6oUVPQkN tHzBB7EcoLp586ZJfPQ9aWVo6YME63l1W1t8Oy3r8c8kpB9RUVJ1RHTsbfcBhfTMlnAMs0ucphTx IG5w4SjsA7FSbjgApfzjzIfvwk8s9nwJlQnsgXpCTNkqD2GB4vwmQuNZjC1ccTe4gmuI4W/XhK6M 769sub2Cn5gQJWkw/9ZLNooO1taeJC7slBSLeQVeDOahoZBNPCC36EDO86NCrAG59tA22hZfAtSR ep6Mo6gaGf/+d5SdFvpBJQD7znFvYrfC9lujp7G/e0f1O4bfglWafNcKQitw7egFamfWVijp62qG zqcZS1jtY5KR1iWUzjPJktL8HU1B3SdGcbvqhJZgbgwtp0XY0EC9Tht4VPNFjZPyX3fef+Xfr1ge uM5jHLc8ZzW/nceo9bR6R1ruZeN+1O8JUZ6Kf3jImQHnwhbVOsgfOLKjVmmDY3zSjlIM7/cdIhz/ 0QDewFUwXBQ7RevDfIWPJdAj49Rvp2fJN5ZEWFU1bHOxNlvWirRHP+BuAea3sTp8o5/b/d5YIRhV c+s00Df1d0mgpWvydnh5IjDVhZLEfw3CHkM3lKO8xQJFmLK/cskE4X+fA3rh1GXewhjfkvUnkN7Y M9dCgIFgVKD2poySXHcvAVcyDT7yjcXzlaPQLAKZNyatSNVyysg/lyJKwKAmuLj9yvovnh51foTd 9YU9cIwbJMLImFeUrnvXtsIM66Z0XhA7FzqZzKVDwk6keAnZ7XzUCDt5AuN8tSWxMxuF+xv4Ztdi ouc6XSqxgt+C4NSzbVV8zvoQbXuW/tOKXmBFSa0AIedx6EBwl1pFwrioUtvRvFSHkr4UzGK4TCWM kMe+W0bmqKmRDIICgPMmWNbADJBxyu8wHml7slc3I0tRiSvW9nalZLQJu94eohrlyXMEwYOp/++7 s/wEt9vOTv08qkR2Hx/bJdhYvkJFArOHDSpJEiqB9WNMts4QFJrcSI2jThTQpoxMPdu/LXDm6/4u Bzcw487JjfKsaFpfB4rVENbdU1ABGw3L4QJJRZtuWk+PSGbGiTwlsi+G5l5Ibsmu1J55A1zakRIR I1Q9p47PhuCOeznJK0KxryZHxYxAh+vMe2VO8MbOYdvhCZ2dYmfTVNsNkIr8BchsgC5hkc05CUF5 ejWDJaCDseMOTuv4chT05IrUGb2EUxwzMTcCk4TJ+W2J+LTicm96btzB6J1XssfwYQlLEOOXcphT kbL8RyuDVKrajy3rHFTOfA980zclvM2j4wFUO2uaEMPiPtT48xRZjP0clauaY09lvEMN845Yy54r FTQWWKa0emQqeATtjN2y6UB5mv/xMHmjGnLl6GjArp9ehRaAxXaTgk/35WAC5YHGGKZRKwMoK8v5 yBBxn9P9/OwaLptIwaNxacfcG4+GDR37mVebEXxHhvIfYuLDD4dnsGszDC1xjBi1WpTmGmt+A9Fv m9q2fsw3u4RqJ4vGkKi8TjjtGEmYq6b1n3q3rPzPciN3AWnkLTl9oZCtr8Y8eVN2u1LGJkJMavLB nCfG4EOMP6lGy7c7ECTDwYAxf2efzEg7ZE6Ce09hl5CeCcEJ0xFSBBoq3jHvgt6b5BD42sk3fSq4 Z8Nr/pbEij7ExhC2YnH/FLjrvSjH6xJRcZxkZTe86jpGcnsozjhFjtmd96Mi3PdifqPJSmn11EpG Q0VVdnuYR6BsXVDAdU3l8idB8qAAg6f8y0tr1W8YCsmOALfh5Lio31Ff05+VmALgh/+mVZ6gsNfN y+/EL29XJ2dynz0wRHXt4mKl5M1RIEPNMtYCKdJXoU3nASwppWPkbv7j3SKmH1Sy9Y4jkE1TfT3t NTUL7X+jmFobz4iX2EtPsbUEy4Iz84XGSRGEQ4iC3GG+2DO4XdF/NoJc3ZrEcsmAoOUnbZ2CvW4v QomtXoLkKaCCaiKAdlx8DrXJWSjmFjnyy2YUfd8iT7p/Judwl1D42xdDM/Fu7EBfmwuYTHJCuUeR I6JJ/JXb9lE/+ScQuWXZZF1miJErVlo9kqxNJmsmXKDGhFRn6xisbrlGLTFHTqr1Hy0KrbTi5x1d NObd7LRztnriVOfsAFo8CP0VNcHxDwEOWF3rMpW402mTAoRbDsMKpBTjfCaqDpBdNI9gzuic8eVK BBj6DUwNsLDttRdOJvM4mYRgpXWAiArl0Tj/HLpS3HAM9YTHTaaBTxW9KGCoqOLf+enuc2Tvd2o/ puGmJjS6Iata919QNNl9U9sBNY/UH9tqWH9w8UrMItsNamdBLgQW3h+/tlLBV3TPdcxy1O+xN/AS bsJj1Jv52LG9VfFK9UuZMKwXbCbC2bcMDI05/B1y7HcO3YRiarVDKdUvCuYcN5aKkvp+joA6ajCi PhxFg9PJli962GPmbl7IGaOBYSLdxGBtBFfAFRHV5Kd061AlQhTz/XVvf7jR2BctH+jn5yYVO6vd 1ojVnISjl3GTLraiAoahklv0T7XjFWIFbfHWoiTC7wKQOXX4TeeaKlx47Z2pUhi0+OFlqTYrk50r dN4kHfwLSPFewWKBCfZGfmlKci3hGusnl5cNOG16PC0MvMbuoOHxiEpVA6NId3wwfPumD+8l9yiZ 7YHRKRh+y5NsRPCxIGYXoMpO+IB9SUVl5VH/eYNuRwBw0u6trGCrXJIuMJlMiZAPVLtnDNGlStZ7 uC+iVXhwqEaIlCGsXr+59wJNfdD8gEUtijfG+BBSxT5/EdMQV6hI92HXs/6IUj5BW3vdMwvMhgeT /2AuFtEgGtFCksGp9zqc1KW5RB2Wam2ZN151GDO+FC1AvdFTja9JzYFUeJOvUKzK0Rs7vKLuMiRh SlFVasTN0EBLNK2k4hccgHd+8PQuWWeH12x3WleNooC8xFJTzqA+ya8faIQZUb++nI91flxvI7CU 51cAbLZCCv86UYGis2rnuKkDoBGjFe0iMhx8bpD+UpFp8DmjoK0qltzRzB2yo/6uE1W+D68YkZQH 1lJWSjYMWVBgFhzOK/n6idrrWGmk+zkkMOIMG6h0cAziq4weHOTpgh0Gxg5RB8cps/KecfBWgWHo Z/GqkY2k26XNytV23xSQD4CcWj9st06S/pKF/o8P9dzrahTMWgsOUj19YJesqtXCWrgwXkZGWn1z LIDQy/Xrqb3tw5pupTHfSE3bPMwlPbU4E5v5JYb+bZbj6rDajPMxBN/oIg1YH1u96YD+Zm5oGzqd YSD656AxD2qPQFTHk/5pz9bmIWyDoSSptTWcwkO/f4/EJFMHZMkR8pcckxOJy12U/BQsxHzuVXLP rxiXDYV4t7r64baK/od6iivETfAeX0uTa+VzDSC6mjzjz+IkdxL7A9wJ0719SV8EJ3k8X1OexOA0 nqIDWVeZ6KEbOZlarbxt3PmMDapi/4OrSNDK4XLETZADUo+7DtIt8z6tku3llpapU77w+NkCdrN6 eWSLNqoOK9rFfscFtrBn9/JiN2mwZKrkRJ2UlI2kssIQAMI0D+jbl5sbRXcl8k4US5ml/3A+cpMX 4yZxK3tzf4yRhvXsM9leGbQj3GtZqv91cJUgML0lp9PvTnAps1gHSgzxBDRrCI3Y+chOD1/llE5u CQmermvM9FAOT+ZDmayHh44LS9Z1XZX/Fi5hxAm9ts0EP2Yrs86xepgpRNMx0lgUs++EqxRV7rxy 8OWyEktA6Bw6cHRe1Mc57SCJorZ0LT5DS2tId7KFAwjFKyW7/0CIyB/KMBW17XTod0chN8AbNcnF LOvS12WjU3lpIXINByV3mnxnl7NXItOZSX4FBtG7tTZ/qN6u4stRPTynMjIEWKrREz9YHrbfqGN2 Cc7k9GKkKh1IOl54OLHO6EMWDk3IO3xCip//SoVcE8wIlNvbClamxCBAgdoYKRIoa/2yDEEC298f Fu3/uMgFuC5lcu89BIILbOIMhIHqfsdeNffZaHjTr02yZjV0QybnFBUYxVqvgx1ZB/57wzOXI0qf LbUigKdbQX0Y5S/lUU9I16yL61IpwKl9JTUhidnzYjyPZ0H4EeMrrFC2Ee1xk1XTDc6oyrluhMKq 8wgUArgaWyv18V2+E2q/IO2NDbo5OuQXs4XqfRTHDDdyuTrTtu43CUWmUx7Dz8oGWtsXJT2zSowi uuVBaYs5YL+et31s5RSzg2OPuoru5yuHKYtQ6hO5qh7SxBjVgRt5OF0VDtVwfWMNSj68fUXSITxj b66/0DlRankAx69n3OyMIHZ2ult6SwLZYOeDjWS41IMGsEYqy5T4PCL0abTNOQDXhFAQFGTnwVoo T30SbVwyIaaN1bLy5ayeMWviaftBG8gqvnzkNLWqOV7J8Ht+rj49WJQ4y5b9FCZpH3USMWj7DelX QWaHfb6+PMVUYBRkfKPyMxnl5qQeJdH7nwBpx1G6i8/SAZPNZ3nuO6KsZFmbIr7QSxPiPs+bR9IP BTywglqZn78cmG5B++PVigGCozWy4Pl4rNQJhV8tC7usJf3bekglPW23xRxVo3k5ZvPEiEzB+zVu 6T03EGipYK4ngeBWdivXfWd8eAJawaS91TDwE9YNkGGButu6WxMAhvS/av/0KSmytEKOCG6R+4VK tUfpKe6i1YS5k8Ft+0H6dee8wuenzdvaFfy1UrAslUCJ6LrZVdabygHi4ii/QUYfv0UJJSGLmWEn VQfNqOXLa5sxPCXnSfj0hCopR6PVjeky7qEvzOw2j4kXH5g797RHdY5RDq7mm/IyzJgkFRVOWcHB 6yetTlZsnxcZ2QkfTe8dF3CQboMpJcnm6yAPwP2W2LkctHpF3RXOjr0Ny4x5yQZ8npmmcPTFmTwu N7Em5U0uHsIqQAkklFkjFJ55GEg6DBAjq+jHVhxR0R8zlNoSd3cFXinjB26dhtcm/GbqBuH0cBA/ 7T/OVOt0w7K75fwZT2MZk7gXdGefN69yPRX6ftbr8Mb/Wp/dMj/CmtfAeSjfr65Ato11bNgOf5fg fKEjlAcgojNWWaCEKcK/JShhMeMp3CiTmtIVW5rpl5jNef3zC6SOPWp0MgrhuZBcl84eBoITx0Fe hXY41Uv/wKS9O4LboAUDjPiVBlIZYizNNx7hzJ+JBK/el/ThvH0vKeNdBldpIgaL8OsbCH7ZzqQ9 zqgSuiVRQHxfDXaK1Of9i0eqrxheJwQnvSU3FcABjLLmUsz7/9uILsLp4Q4b0tNc2fm7NEkA2/Fw HsHs1BKAcbaj0PB8sf2wUn5GW90WNDbiQOiLUpJEpkpmhyebm+w/K9gDiDpL/Q3IIIyXj33Lq3ob iF5arFERpvIcYs4pP3OrKdAjkTak2t5bxSo0SbQXOTnMDV3SEp5W8heewNmR4MSYj2h9HbsS70s5 Fv4aD5hNOJXcYfQQ921lem56NBzkOrEim74j6dzkYSeLyKVNFkUevlDV0Ym3mSEqI3GOg/HuY1wZ Too1xZ9p2bLHw4JNtkL+MKLhv/UCv/GOHSjelBFlTLVBxoEf43YmlZtQCKrxWGqvjHf2XyXmig3P X7tfCRSflmvhnFmIQLjERrRuuqyMz9szDqICEW5Uv/u9GEBO71lBOvj/Nmqd+ImbBnOgsLLs4Dz2 h7RxIN2Ab1vZvw562WA4TYY3Q3J1PV3GjzLCaGCVllpkBEI7Y7FvrGV+y6Y987eAFxQi4BC1R4KO VUlA4dOELv7BAwJ2Qbv1MLcIuughNT1hegYu6UypVR31tV0ZuI6Vmc7ywWtQ+1j3HzfbSDKcrnsF tKfM+F5iDwKYDs2bVDYXWasYFPp49Zdgbw/T3xWN7CuNVZ6UUhNPKH79RwE01+Fg2nkyMISUIRRo QCgZVfxs/YFs7vGrq7JAkgaM9d6NVDb7TXmracrSFGL1/kdyYzH4uF8CiEZHb6XRW52fnhG/ZS+a BxYTFZNjmKSRhC27wKYMZ+ezdrHxJ1rNlRsvXz0WReZfVKgldYJl8ovEATPyoX6G2byesZij7YSH VJ5TcKhgE5Fqq66Yub1nHLZuwjOZF0+0s0kA5YUwqYpceWXVlMTcAZTQuTIjzojTYY+FvHbpTfL3 E9zNLHFJrHcEsyF6cfXoSFO1otwv5XZinKQSeTmDcchSVjmN6axbLenmoz2UJnI6YZ99mjBjLYZZ +/IO5akvmYBVE7dtaJC5JaKIJn6DMrcDQZTqk5O+4E6z9BjqoiRsoOsMQ45qGxoqmMuSpKdNyob1 rOkys9EAkWIQ3rSx35t0GwaNa0K2Ni81zcWxsHwbEgUNM4wHc+1+PKDSLfSIH8hbUyMNw0akiCFa ZxPsd3UlhpD4SBwDME+8Cv8VrLjtLhYRr171LCdIk6EDng+6jTS+behr9CM3QjzN7xe8E66d9ep1 wcAG3G++KOwmxTmiKoRRPGXEPqAhpLIcI7RCFXej+il1pRxpPVOUQ68utzsqwvJXHarYmIsqqM1d oPQDhmSZuKBhEtJVvIsxMb9x9oH1pFRbVoOsOco5Gog0eXwXmSlny6QxA1mBGZrgSWjfIfNmRslr x8ISv+ovZirTnQQ10r08OiZgv+tV6+9oFTQpxcDYDC57Jp8rA0bOkiWPGjJOVhi9de1nZ/++T/mk ihZpH0mfW3NBxxqPTbD5dvcJhNGgOZ7Yj79e27NGeeXBf4lM/cJNjEJbObJ2Rk8QUiPEhk1ZqhAx D80U9uVs5qzwo7c2Dv7mSsEqhykMekDB531sptstwbITWbSvj+p2FiJq13Ay8hsMroZoe6c1lcFO rDPsIAAzZG055iW2Pkq+MNR8FXFaT+SBQS7LJDDTdZRxKUfnRE7TEetWlVwW6v7P4tYP3cSQDtG/ jv7nSdXR6TwIsXawU14Y0PpI1Ei1ZEoY7Zzm4FnrPL3wMuNqZ4+0Nu5nCTmhYcTHldiu7GDGabvr X0dXbwNSLMXcNx5TGt+SZm18ygu/YhCe9KdLwpfWLL8HAA4PGFeCLlybC+9+bizjl9nEWhvfhcu8 FfakIk80mqwmIZeUhOfcpQeUFuYEl9bqj/qOHU7YEol+y3y3aaYUZv1iQ3Rbp+fC0Me2b87G4NiG lxLcr/3E1yUfOoBiMq/cdKfJJVqH62b+8a47Txn5F89TgAJYOaV6bvVveQiDhaeqdqs0KT/HTBIs VY6ZvSSMirAm8gQe6uFtNHfJMckMJ8f55hIZ/Vr33fqryG19Z6FyHEMAjzlBqWSqWXiYW9xKAN2S p1mY89IKOSUsj5yk4NffQNKm5HTxEaUyE4XcFZzSKQE1p9fF9YJlF8R87k8+FCdBq6Jz8tjGcudD olhkBi7OITmdJ4N0afs8bMddJJhqiytUpuLml7adPobr50l6sMpWr9NSwYLIDQfFH2gb5wSqH8A2 GzioQTEl9fngALpOWTOdQ67fG/NFS2zR5gtk9VHTtia9X+gSYaIjbWo/DrAqzZ4ij87A7+aiCmtJ QzwU5tWDYL2qwhzouN7qkGqt7pmik2607wnYghmgwDtXkIBcpEapRwBak8IVADuJQYR6vLmhy9KY dtOJPPctu6B8LRiP53WRlkLlhLgVyszxwnskR0JZ1bQCKYNybKFScBDQpYqkAXrWjqDNFOpNt1AY 1Wvqv8ZG3puP+LEvc0JukNp/hofrUOm+M2pQpa3A/h7JwmX/bEs7ZCx6LUVjiBI91fbm1ZtvpKyu jR4xB8DaaLdSHKy1s3Lfgxlcmy+gyZjJLPckpLqi/a4QK3i87sSnOh6g9MocRtl61fJEIm6pZkyT 92zD0ZJtOPvSb98/7GOAKIVnlysgKtszyS+cHTvx/orM7+h51rcRV0xbeU3rHhyJfX7TJ/KiSIvn Z0QJURE7Bf5s+ZIaLfRYEdqKrx1Dbwzq4e5VZO0rdPap65f5R5ZJpwH6d5Dfe1jL5t6cw3M3XVTM 6Ed7j0b8WudLO4v0qEOczPdjKmTXIakzHgzbkABCdXku6oMNt9yTCnOSGviCjMtoP1V1JZhdzDX7 MvOWo8DFI6Z92cjF2ttgFyI3Vd5TRv2FB/F2bgQaYOPzpcFQA1jKfcgJEOc/TET+VLw2yg71adQ+ 6q1Xtc4f+GBV4yMcXzZ8Fpze0CH4OFJ7PuPIoL62pUEaoJM7YkL5N/8uxX7sDBnCU105085NCpzq NHzcA/mWp83Lkydlr3jvnxgM5OQ067k6LzqJH8G+Mg4pkDmqI6jfXkMIhG5XumikOfj+RnRbjoQq aIuboidoqkvm8ykVPqAg3drnyyXY+mwq7NYqtTQ906lgY9e4ANYZxpD0YBbsxUx1dNmWQKDYrBps dhI7oDGZQe98620izflB5iGDKShVrssr2mKuVITy6Z5JdxLVhEUWrcP4HA6H0IxgqqUrqfh103X4 ic+E8VQeW22qUVkbBum8mVZluRoZcN5bgIwrsQuu5ixqw7dgh88EBTKctZot65vIwXHg5KX5xWYc SHLS/vjWM4ThVbnX6zvoYSzByCf6Ga5m3C5dWmBLnlsKZo8rO/BDyD0UIo4i6Wig1GmApvu88YZF QT5c4507sqa4lc4ObbSU3JGDzF7jUZwZbtrKW8tzFoXQp2B7DpK4JXFzZHDPRMS9rF1iKVO9uvOj R9NyrZHM2GYE0GHd00jBo1EK601WpqpytRSbsX7dJbTAYf0vA5ZTzH1vk2MCJXuJsQ/QWzyADLR3 bwxWJPlhB1YSV0oGSZv/RFh+iyJ9EbJj+iILc5wo7b2J0T0ef3LLaUTklFNwP+qnvn7Fq/QoV1kV AO4wZqKnn0nslnnDQjKzHWyyNG79d/pe/9zBvRbYQdmJnsVfnqOu/62k+kYG/0u1ph+WGzrKbVUJ iz/l+265+DC+MroW0Enk8uPP6MiTPInT5pvtfd+3hbvm5/omRiwglbiOXHvjETyNZwn4L3ccoopK eZblNE3ovJ+kcLzjWYaQdlnfBzyYV57WIpm6U0kb1pZs4smfTlzKhXwAPtYG9URFEjxUfmV+90zE hIucXPfnc5kYif5I/WlKBkb9gwnAR2f6ft/OabyJpiFiPoGX72ziZcdRaHJKl+y8kBmYvnkpnYtt k2hvAJNacTl61ahm0vgcMqBn44TnuJThTM5PeuixOKJrfIOc11otFWFQbklvsqILJqbe+IuQF3Fo yqyjdKwECHLccgDst3tDFjG/84SOJuz0otqq2c1Oyd39SiK4CoZuqq5kQy8LUSRrqtzCjxh+2iVk uQBrglvZTTAxiy7YFhD27BnY9RVdKXDp23XoPbE6u7Fv/Ia0M+3h4AtdIQSyBF7dFAop0UIhmhT4 CXxMZg/xIg4c4dnLE2tJE33EKVObbE1IncNZrQxLhhtNxcCaB6sG6orooH3rRAwuU/2FxFzxo5R/ NJ0RmfYREnmdM2RyYQVSPgh4OdcSVfWCLZo3r6P9MPIFIdnmZe8WDn1Evxu8eAsZ5/kkZf/W/4eT gGCixzyeli44B1n3nL0h5b1N5yiHfReZ86KCF/4676cZwh0JVIVEgAor7F+19UGqViubd99uN6VL wOmgku5J1QrfDHfvAO4ZfUV7LFHQbBSy7n3UZaWwvTC+n0y1hHarFUwlXAoeIYr74Xh3DV4MDV59 +k9GuGqLaXQlMYgFynVRcRGGHDLAYlUHGBfDxp11LEzNcOaSUSlv8zt4ARjJ4WSUzMWx9+tDJWoG cF8IiY5dumJbM3CWD7izjDLdEnY3Q8AqCW61qONrR+jG1XTwpuAhIne5xCp9jzCiYlbK2xnBUESJ 4WFVhb6cP5mNCOGvAlBrG/YpWDz7u99aJDgDtIP3wDp/z0vavFKz4k1zEzThBfM5x7HpoN6iKTOT ZJmRBBQTYwsxrxXbnwsr92caaXNgej5+/DBJ2kAY954iB1tub4dQIqnPWBVF18Q+7UACAw3dGHnZ sOJRoL7PYtBdWCvlTMP9c8TjDii0XayIgcIr/iAy3nvDuPpRt+molNx4DCI+Do5SA/5qkt5Y2ZgC HmBXq+WA6Ns7qimU5UWgBsdIwHzcgz1dMFOEYyMP3wiOuin8A+5hZc1p/QuPMDcE7C46nTS+/gPG Mar1DIVoEj2C4xJgwOD+xSIDn2DxIL/3oofpGFTNOfqq0n/zSeQlScI3a2iZ8luLBuRafMzNbYwq 5dJ45K3Qnk7NkHdcDLLBHiw+nEhHvHPKig+LSfObPorLuk3KsWsBefghqHXd6ScKPLshF6MXZVsg k4LbnwFB61pjIZG0CHJd4w33iVhFdFd/TfHYsTqA1sfuyYkJaLv6g/YjfbHDMq/V2B5aiO4d9a10 ulhVs9CDxYdNb8JyXnwos8l9/7kWnMnxY/0HN1AjOFojVdNujWSkRD3iMYJaiu3z5IoCv00vjBZW SJys1hyOF+v1FpSopiQQnElnXPsUqZN08ggc+bLAKr92FK0Ixrp1qzCcC4y+6rR68+yw8hMhSthi EIjPA711sUefOVzpHA789UnMMluSzIVo26qeiaS7qUdFiz9DEZL8qk2hPRTu9d1ysoUc+58fDtIc jQCzAaldsxRMb26eKcjUG40TbtS4nDrYVG7q8QQF7zYv0y8I/y/cjBKIc7IJxy3znRxuiDaGPlr4 7SC/xTf/8GBf5jdUm4YceHo2QR7f0ZvgoBqbkCNAyRqYW5zpnJVP4JGekITqNMS/TQcBrC8TO2UJ yycT3oyQLx4PyYVCWVpBfWZacgRsIwSCbESxiehkM9XDVannshQuL4cZuLQxCIPz+kvyDTfHnx+x 6DxyXEb3CpZwjLKVzuWgj0qjiX4qo6+1sNFHFo6gMhmFjKKH5Fux0Bg76Yv4+ZGbv7nf7+DbHZJo JyQ+2JDCmkDZwb5M6aoH08SjOGDMqmESbOIwLRiH+AUy23TwykGDE/fcHwuyiKo7GQJvO8vYwiwW f4oU4W1XcqsOhuEaJ8unuZQr1xldi4PnsIa4OWrBevNjF3UyNgYvun/XXWnEyzQxv0KCKZzi2/Fo pahvJ5+bBC/trHlroXKNLiSB/m18dTQa0VBsAN8j0qLbqHMkEoRCVZALVg+hBkcD8qZQhzF/aCYt EBFOWUinQL7K/bqGPK0GbqqnBE2cHic8QkKSjcuWqbFGSXJM4R1+KKhSYHxjj1gUlfcbWf0Yl95e qzCIAVqE5JPwrQZvWEFqZR9TN2EGdoa4tH9fqmnol4cAkT/ZeByv0+kgKR/W04NUgVCWjx21IB7S C7fLaE5MjqYMaxUIe8gyhQgi66GJsBgjLhG3Cv+iE5D5r315z1jeCVS2x4zvY2Zbm4daGaozblv2 4osWRRWRLQ0/1Jsy1UD3V2uAPDwB0pkwzd744GGQhp1N+CURV/bvy3S0hOeXQzcRgNlL/g7kFGgh rHh0N6kSKD7p74yHK4/8D4cLSAZWpPmjJhwuuzrjAsjQ07BOGYbiMiuA2TZk3bmDa/3mwd4R7f6I 1CDi8JsZv5EsOU1h29tJ1Mmig9K5wQKyJo4e9wIyNxFrGf27JQ5hkfPa8KWrxZnk8auvXipptl+U Qm3dkHbKU54ZduLJIeGN2gLY47DR8jBmV14t7KuhQ2PT9+UYf4g/AWnrP+aH7q3rePiKvsz8dx/T t7eAq1J3nvuIExxY0yVbkyup9a/g5juXo8isryOTPaldZwTFwLPjSoIXiowVnlOB2l7lsgb7eqzs rQvfxoKFrlimauk3ZpOFtNP87GFZWHh+NbURIl6TPIv26UPQfE2plYgeSTj4W8kK1lRPlo39yDao z2dmXKv1d83j8TFqMFiNPMeFcEVxZb24mKJ6S3+cTxHiX+45mGNjvr2z1ryTguyu2xgSAXxXoRoI oPvqA6U5sEICDbwnC3FjCxUDVRKyhLsydmtYh2Wnd7bRAs6ermU6hMsWCDF42/n47k3d1nfMe9DY +tB5SEgNCaEyL8j65DMp5azYubw5xv759eaCdEwhMQvO8Y6LAli9n/dDI2uklHJlizOlsn3dmpeI cys1JBfD1TxyLkgsQwl2c3lKUsyLLc7UhBVVaOZJMhW/LzufY1SQsFECm3emELYAYPIYls6C2sd8 K2C/egZXvSUpvkCg56Ud3NcrtfaD8IIn477blwZwPAQTQuSYhQCCqyX5vhEi2xIoBapjKR2X0xu4 OAw4ACPtLRe9hOoudqf1MjDjSFQ073EgPKvDc37WJUrETh0PaxdzHhwUceByfU0wQlVlDj8wLbqF WwXdC3+aBZ6SGrjny6O2fuE/GtFZNaeOgixrLHy+gORMeSwfLXfpag/v5z3HyzUXINJUniq1MuUT xChEpJwTugHqf33E0g/4danCdz+vFW4EMNy5FbLp7U7S7BTVn3VGDhP5+yDj2UAS/l2OzRYAvNMX JbVPUyomKW0gMDLdU5YXVYw1zpZxzmuvOU52gYDrDrr6LywKtLq8OM2c+0KPmTyDzcE3QL28Wnc+ lNpkDW8YYekG3CF9RyeBSyGyq6bIhEkqdL97VE0nY8VZOMy8ZrfzD/xKco3HXMtyJlw0ohbsAK6A bHMg7Q4ps6T/uDEg8bnv7lQzEslWpGAFMPC8fw9pFTxy/bygt7scHHx5r+1LV/TbezAXprLoZNlq qmq93hG/umIz1q+mjfDYv5Wu+q5ebQVoeP9GP9S+yKQYfUd/pE8fZL+3CfV4ifveFlRPEL1QDdoQ DPc7q6HA9uhHBwlrlWpk/+xJTmL9wVKmdr6dZ+F6DxSmlAQjPYBjraFYIH95XjnaKvG7tCgHSYEu tbBCRJrthsryIbhYLLOe8HIHtLzbaovopUTjjWnJKW49Xd0hdQx9DaTCObYl7mCEUAQlFWfdB3VR 8Fxw2qzcDs0cEyZyHRNK7r/SDA+Y4ncCvEXfpVq/Oe8WHNGjg2sdLZQTHZDIQGdDrgU7alhTwSTF m11eCJUZK6O0znPGdywIK6QfyXMNbLk4En9cXLECLoD6Ri7WtToOmffbojUwat+NTZBA87HNHuEZ JPHza9/my+D08FMtZbLWDX60KmIRVxPySb1sxNIMmKrVG+JBfkGa2wKWXk6LjuFErX8Vv8DqGbiB RyBUDnLYuYHIrUHqlMoGbH7cIcPof6/BD3GucAq3gqfwIwiHfdhO/PeffgG+VzOw67Gu3S51lDos VHyIsIZP2vjAvrg4DtpL2OXs08tW3z/41ultJrUjS93H4MOmXXwEY+KKR9Shlo5X81RiiPnVdYr/ tp4Ev3pN4DWUoEUTqjsX2dopRVUP6rK/0D0k6mGK+qalSnEyRvrNSQLJQK/X1bVRruvmlSBoSnhb 2/gpaEXDxlkm77yLMiqBVFss/ctKwJ85uYTysq9gL9SGfA5E2HgeAvU0UyO3rLZ3bLMruNPghMnm HSXG6Y0u1Cpy1YWKaF+J31JHfA5xbdCn/udjAfkqGKL2ZaWaYr4hlYLDg4plb+PmSzM1y70H/wbJ WP/9WLHBUCaVDx0XG/OIK2LkvDJ57QJj/4dLbMUu6LLC/yNWAeINYSH9rY8VUfex1aijziixkNV2 WhmbugsK2FIJGYupCd1RcAH+QcnFKjdCYQkC1f5iA6oKDKukM6eqRrDweCCqRRtBzvJVzoPe7TKU UgzZmRniC4oqEp/0Qhocs0UMHAAWvdQbLt7GzW6cfUtlo2fDmLZKce9TeAhqBY1UOkfvSc07ibdL Y/btHAG/8bq7bBNw0C2ipwZEqvr8oc1P1BjEuxorm3U8SqyqnEiWOzMrd64Ffgsx+s854cyWg5tO dka0EYM7f/pXUOgCa/LSvbmcAYXa9PQFrqayh7HYLnNEBeDFS+N4lWKZMc8mQan3MbiAV55Le98M rYePyyudK/1ks8znbmOuKBV6lz5CAjil+H6VLydwdAC2JnVfJiELZzZ5+ZHge5E46xryzf4xMtvI rl3YQRPJqu8+fSKjI1NDxSJo3bT+04QOhX4zwMtoYYimtrPMiegoLGHICpqZxlEW+o6rK+y24Qow hc8ECxdvjhFJz8B01I2NVsGU7NIth6dd5de9SDCX/L2MKlz+E4jNBhbquTi/rF5KqjPVQGPekvrD yJZooeUmWexUQAR0U0Wui/rGE4w0CpXazTi1q9y21x68yiI2ndXgGuW4ti0XAuG9bi2KYBqpzRyy jMiHb48lLnQRtX5C74nVlYNZCnJbo6dsCWJHLbpu7aJh0MxJf6XcLjFD6nd7LU6Aus2ttARAWe/X CA+fOBfx0GIO99dsKs5Jurs5j89OgVHx8sBbbtv6K1HL06YEdry7oBP/74yQ3xd5IiDpYosDGcUm RHytdkZw8MH+v8mI+FvVo5Rmp3o4t/991fb0kog9L3gZQAG4nYN3FG4ZEpvwFYX1ZyfXIssnBxJU 0qTCFK1m1mh57+IrSVjTfKvraF+5mzivyKJhtg57OZp7v51Q8O2+bth3Mb0n4BH0VC9bl80GSa4s LnQk4YlC8ezlQFEmxMM8s7KXhogQm81wdGmHotklLitKIGAuN0Y+3Eu14cRhEOUK4FB7y2+0GK7+ Ou8ulzpQGoL/uxfKDgAotB5tZOnIxSikJdVOYz1HZnKFaYMhcUZGBqMhi0DQEww6fIgZjSxI8f6n ZvmhCqr5j2L6sR22KXLemQnC6wIxyheaENK5MxOjyl0iIT+pLIecSdfTWNAqEHtQSo4YrxHKMtnF foGYrGhF/MqnZ3YHkn58nvcZoo/xZ0qDV3xxVSYi4Fush++Mq5JByIypT6M/PyNl/NMYTUlkzkYT 9mWeBHbezHvkIL2RQXBeXrS6mcyH97qCZUDrc9/pNb7UqL8RD7Ydee1DmLS7YifiQXMS6sHXp9ah sHfmpGjv23pzp4KvVrBGwMAjpgP5aXJxSinkPlQWR0d0EQFU1p5I4loSCO1EHcQve/R+xA3haM1Y Qva7Squ06c9LCi/aRfTAAaayQkG5dyQyLmwFmvtsMAPQPsq9hOcPC71tKhEuBqH+PVysAwet3QcM n8qLEDuVoCgk8btFTlAX5BsHnGu4JWCR+IMHpi7RWVqaPU11phEh4cT797RBiVidJU7FuvLC2XnQ 2FrC+3NaPjCvniNqbCNA9gobl4yz1X3XYEzeROANpplNeEXup2gpHp62zHxVG6BuKaPB35fCmcT2 ZWM8C+PoO2Ov3fWSxRqHIrSjQc603IhI3tTKnNoWUdY/G2QkwvbI/1rPiM5U6loW0L/2o+1dWS3H DVrv9Cbiq0QcbYJ1Rq8ilUAP6J/M2t03N/7sJMl7I+f3hUtT/eufn0u/GANvTKRKqMBi5rnkZ6K8 gKyMoHHt9QLz9Mw89EGTclrhwtJHV5UbZ27qE5j3shHwsnTtsh1SgXrhmRlMLoU7z8lOKw13vUXt 7oVaJfrLB+oYhpPckKEvFJqVR4pmWlX2c5U+j7NQwIwucZdgbVxm5jsYc2mXrAa6FvkpqDCZwd7l sTSIWlkBK/bDl2iU/jgnCvm21ENaczFgfGQp4r4flqpDxFf2eB0w1dPsLOLvzDkDY+xXaZfcT+Rm oBeHg/KgyPN7GFZYdeA80FEseJrmufY+lNiWNbY26A/gIcaXehaTbEOD4urkbC2FhLCoCvggxi84 +Kr/f1rTYSfRxE1lnHZvHhmXIXRED9PsJeaoJr8irYZCfyxDDGpGL5IYTMuzStGgfhBsfhtAqkkg WkhD/VveWjN1hyCu1TEGgOTGknyY3RjfZMxl2PTAdm1mRphhXTYBCafUz3ffinK8LZvTr8M0zJCY Z7AHYlflxrrxrcINO9N3xgX5Pxh1mLMdm+sKWCBvINi4eTftJO7TO5kLDyV1KqXTb2o7wTE+E/Mg UUSpTRu/sGlq4vSXWjzUFxaHDr7h8/Owy9YqOjlGtTAQULqYmY9nclum6a6n7xTKZSMDo+NAECHm zLCSfGJcSDw2P+DY7oQ3JCWXLP33Vbu3R0+ziWAhXHrBoqnKNJXyYVdirC8PXj11NIb47Zso1oPM WCy8I/UAu/xYn/Enc+KZ9xmKlbXr1h7XAW6OQMaWnZxS9B6UQdJYMmH4UgY/v94twA93Bi1Ma0xp sbvF6TU5nBksPjKJqrXpMn93gB3di2KYx0qYmzFDjBhHgyzEpMIWlWgTToyfg94hLffui80k4Fyd DTnFQM4FnmJnh7tm7LE4h5tfBvsHlauD6yvfvjHZDY1Ai+DcfScouVqv7j22hrRiEVxbHGMqdtLc CnsDHy4LxNZ9tncCJ+LicjnUgCaNPN2B+uKx7kV8zfeGY2xmp8kfm2pAza7x5w/WKVxf8XrnGnZi mGQ+mKCw7g901A1k876ZHg/aPcE27lb9DE8i4C7958WfXMzg1Bz+Gw+UCXoShXlzRhEO+++Prxil gdF4xFEulks/RKjdV5UaNgOxgbMRn+xHN+tgjgjLNof2/QK9sedgrL+w+yGr4N/6AnMiFE565C5V HGCzswZ256aawSWYJJnnctYeb30vKunGarKhin3ElIjVoFeopapywtjW/rfRSh7D3SmD7wsSt3hB So2AhgzX7dhdT3NFyOmkMwbs6Rf0I+yZlqvqH7EXANb0k9Z71vHZsUO7IK/Ir8OIduFjUcJgFa70 arv2zvtdF//4h3pULkZa0iFF2EpyimaN1vQLVJcCXOUfq+yvT+PVQvpJU/UCGzxqeeyXm+fhJr7I Yrignmmut1+894OJLbzY/0JcuU5BqE11+N9FRqpNnYozVqNRvwS9Es2CAkaMTajF8H+cOLA6BWvY 7zgvZgAtfCS3+hfkQv9VqGGT+Ygk89I4pTS2tSeuYgeY+Nu2RUYW+4Xwss7WeeWSIDHoRNRed7qQ CNd7I+J+WA5988IWBXftxNztOliQUeSItwJ54GZvMvf8IomnEWnLzjudt5GfYs7iB/WVhnsHnEEC sPAWadmbBJwbUl+sBGkEvH7qGw+8tH5CFRzxhYlDjzJOyXJZxfu5SwrZ62FnUjSsg9ZA4gQuqNmK c+SOOMRjJ7jdXPPvtYLCry7nm9RfW7J6zO6LkC4nb10N3gtDvx5OrYjqS/CfeuvVXX7a80Er0YPB yvNR2RcIiGlKiviUwueZ/n4v0vwa6Z02ts/kP5mJi0IOyg+H/trF1su5UAbVYdctX4UCVxaYYBm/ RUhpUFHADAktBpM+rqUDcnJe8YQopCIwAeNNSMalga5jWpiXYPwPJyRx0/AVgnso46I8i/AwTLb+ dUH3obnxIs9cP1RQF5e9vob+fKGv6llLZB6KS8sxTU/rGvw7yeKqGSSe01G85bc2UergtrxaNqH9 oE7ffMd2T6Ga0SvvqQjbc38VWdw4eLl2EZwEHTuILIzjw1dWsZyhcSY8lCUmYHAv8CZHtUvFH2ML CRfjQF0fg7Vae8NhfExTfHLcxG0T7N3yfPii+FaOBLF4qDU7mmuzjH4s806j5Q3YULXnkoNzjInL ItLJi254WqXfTcYUwSKUME6urGpOMht/r189XlCbdL8/ScGO8El2vlaAvd/R7bjNsLX+PnrCOBLQ ezQgKEGNFSsQqOYmnCMwNX7L+gPxFl5ho9TlSPwVSwwQzzUbJiQUGDpmlddJyyRSubtb5r04VDdT DrYQbHUcS0szyOHL+q1eGS3n1lKpqT2um6UqlKpsdiPyeg3huZ405DN7jd2+9HFjbgC7jdwAabCQ 6Yj8ihbMG/qcJ0vw/bNJAKcvtVvmj+dr+OEi2SbRZpa65RWPq6rkZFAbhylMKPxfLBkITICUWhA1 rSHe+oOc8twVxX4uRHxPo7vi0sATxf+qCSTox2gSM/hzPXlNwAcqhEX696x5ll651FMiz9N5KFt/ yOCvPwR4c+Ig4WfOAZHsK40zmth+Z8m9838VkeBPMRQWsxtZVJc9wKatV2xVjP2Op+AIurYSU1Xi SZBokuOlGuKgxPIjWv47cyvejeX8piUsPYywwZ2Xyxbl1PNffo/+Lfv3Y7Afv9McZ89NcbeN4H1o wzFlOUe2qApsQ4rg5YmD7eHT3hZPOOpC20xwTHzJvrBhSbV7j0w4IjHr+bsgmi3hhnBhdylQzOIY xPozdFaiCvvnNH+6+YI3jC6x5RctK2KTI35eSVy2vYuJTCubE433SYbM2bwRnCj9as/qDstg/Kiw g7szCkjW3OJFh1FxJAGqEFVVuEzajtd2TlVO/RdoGEJgNuEsiW94hhvZmeqBUvYpkJsuuMzFtmhY SEMnzEz6YsihJWojUEuGY/XQpjvFo1pa3qnQnnHSNr/6ZoWrDGi2DZJd1sjiaEedAfgZpmK+h4fh rCmkjRg5QIT0IL9KS7dvsZ7MzQglVNgMpFejPOso8XE49k/X2FVMR8+YLN+b0uDeNkBrIbTcGSvG dG/IsQwrqgHDXWgVr00p6Vzb6g0pJn27kbCnR4+24hH+bc3HjuGo5c/Qh2m0Wmo3drb6xXtGV7wC t+dpg1Cavd07AOXeai7iPho6ewSy78V0B1oWVVDq1WbKwYrG+t9tKBZf3v2v4tvl495ybG/sxv4F ohtq05YzeSzcEPQCQiP+VCw3gbVfo0VIUOSgiFfKAeT+VIiXzAHjiDXWO8gnRx82+gLSKQRAzZAC 03swrwNMsBj2zeRuMZOG3Uc6VE+7FXbGFlNMdoHHBUMo/NNFrHqpbAaOz4BLma2Clm0AjEwW/iw+ y7W9JUhFMq+tVw4pAHNHXj2oQvwVxyvg/vvhp6aaT6tPPQ29q7IUttlGXk3pjztB8V23fDYjxlAH 9tpCqTOSYMO18uly2mrvJn5UCqr9HiFcL0h4bVVhcwQ85rVcpZOhTPYGAEVTXPxTE0YBKLz3iPHz Y3zVHKkQYYv2i6Q5jhs8/5/KwAGgwfBRwq3lIwj0rmnyWiIt9Ocx09lDCzIvklRFmdaVLoUVvES4 0W8ecK1XN9BYheDsfJkWR+CfaH7uJcEc2xVT3+nvpDILP2DZwACkkJjld99HmFAU7P4eS+hIXNNF kBMvKc5G3IvY2Ed8tHLMxUom2uQARN9NvE2DAJbzXmbiBI/6XW9i/AtZP+YEz1/XSH1X3WQp4tPs 4JzmjYemEipW/K56T5mGzN0Mcu4AqqkHvpX9es4aF0mVEPqWiAFC/YareNohIzRoxjTCTFXgqwVX a9M96b8erXUuAkJ3+NN5C2tPm4u6ToAk/vel4XgQAei1V6qFwGmhONZy2LtHG5mJ9sKt5lq/LeHf muoe4meMablrh0Yz8zcxODbdxRvXtFP31eCymSc3f112EHMy9p6CWQxwlgf+QgQgukH4F+SqbVWw +evYrs0Uwz6stgPDurwKbYejEySkmkBAi6QL3RzJIWrhUSDIBbJVAgRGQl1DcMr9r+ehUVX2KsAL jpZcNE72yDL7Y0h65mBD6YQHcUApMUfI2+cMpq6EmOxACKTmets7gD+53U4561BpYXC2zQ/Jl+o9 bT4QEf4ItDhu94xj74a+GcG9JbElpuCCDa0tIpsvpbU+tbNSK/r81PxOp1p6jwSiBNN/daNvsb+9 iYW4x9erkW/F3kcMokXszXj875a600e9GlvAnxQWntukAtY9V9gc5uoKAio/mUE1676+R0t8Yzi5 MU/mIfdUSEQ6ooOX203rdrH60aDzMwkq+9iWBxp8jlrwE/tJuxixPqemhH+tfyQXBq20FWCRN1iM YD6awo6u8rzssFMAmoMr01fv+DUhBCLJHGxkXZv/RUgooGKhIWfcCeGMIfoVyen+BBu/5qCIy7gc ld/VmakcCmKrBsNxHaFLUHjtmUSn6DGxzwd+SqT3NYjgTJfWFZyQvaWIYGZPahTKDY8pfn13hR52 0NSY+UoECiBDdDOmrhGE6DvFukzdz9dZbXVh5VFfxomvlRnOqfuNpvNKODY+u1jFRCrKG4MZ/Mh6 Hu0elz0MHnjRiT4osFbsM6NROnZEsntLInwntd0pCjcslyKgf7pKXFjWg48Dgu46bQJlAHjN6kZS sxtkG5BwMvjbV13VTRprOeh6lQaLxjQ5+wGcffODOKtgFM1NtgStr+FKfzgHDFrwVIqVFexrjhPn cLLgZ3jwehNZhVa2hGfvRd2mKxc2DVDeU/XNJuaRBmjx6yJebys5jIbtyHbeZSs/geFM+RxdwW6I WMw4KoYj00MBkycX7VJic47sv/8WYyCNn3ivVNhz4ry9YBEajY9fAjWRFYzgmw2kCGQBGonYhp+O /JIN0QbTlinF3gE/9WjPa3xTK9KViBODTVvuD5BMdPjz3njUlRhpGjMUs9XcB1r+s5ee1z4ECEEM mwYgUcqDzfpZEu3PimmLuafqA9Z6cvCCNJ+ZXEw5tHx0HSnOq8ubg/QNxJgr5+gKQZbNOsqnPzL4 2kTZSz1BsVLFT3wDOSeno2oJ5+oaHNIyOcBuqFXu8L710uVxyLyBce3MwXd7xJ46ewm25fv/y+o5 OhDB9sNapBFxDphnSN8K3PYpt/d0MLwmbn1q9+tCvSKNkFKL45dnadybGcfID4/89OdTQ2eT8XQZ C2uyfvXitb5GdW/miXG0/ZUzuVCAiCF84A1EMw4/tx/pxx87S82oPR4QdVwARrn44E/9lpySM6IZ fBlfPSzBMf5c27xmvbRHw9fxlvik5+Q/UQx2GPUIHRJ+PYGpRalg+sw3J0N4IIVbCPDIfRRYoUOn sopzrP1dBJMOUEXe5ySHgY5QNTioC5jbQKYB2WgX5ZSj4kiqLMIhFrYrhAjLvmX0OpP79F2ZMPxC FY1YkUzB8/GVKfDvPRFXequfyXi0ZX9J8THI6/32zaDXqDZAf3vfjiEeiv0MboOlAq5ZzGRtvn1+ GOHieVpkHJ/ZL2M4bOJY3emRPbm+LeIxIiCN/PJ0MCO5Uqp/M2jukOMXTfBxa0LVT2kFS+zErR0u 3Kt2TOJMAxMSTX1pHWRtMm8OfI7nckxAYpbkISyiknqTxVg2EUpwsCPZUVsGDaTS3L+9+ym2BocF 4pjHLDPJzqZMlEZNT0d+YuqoIHWGQlC9f3I+P0ovSXhRQpUk27P7rLrob0yfBr5awoGMjMq1T+QK LpVddnzLhZqTMa4rPrhfHtYC/gAq47CcwCnXxKW56ZxJeWlctOyeZ+1jKzmV7OGiBzYMbosB58wd lRSTMg+PK4/y0UdevQx01NlRDIhPAl8jiAz+jfW8J7JjGvlHkryAWX3oE7+id8lsZMBUsjPwGuwN FS+dJWv8iqhB97JZln+lA2+kBN/xNjyz9G0u4XfcAazhnhLONX/1V04592yHWSmNBrkCZ2JWSOtF lH59d796TXYMWvu2CcFpNgwGDO0wBkbzfbt5pW73192Sr4+dnNAhleW3rouzbjcgMUAuQYwtkQsQ xV4S5BYRukGN6aMP9XCbsu683UyN5bVh/PAL/G0Ra7CYfQX4/0thRqr2n6BZPNRHW6MHmmOgxZ+/ aI8TyThEResMXWTstT/vAN0D5zEDOinxcwPZ5viLiClbSj5huWeuqzBtak8MrByHDnNTJjnelPS7 XDFEmpxr5xFtooJzZThSPSYOEqP7vjoKP6xdgluPV+D0nI1vV6sjz9E6UizvjAI0TAXHxek6FKiv xIPV5NkwfmPrZsYdEgFcYalALNPfmNcD6zfhEWsB6QqX427OqrMsIgiugJzUlefTjtJ9/f/SR3Qj AbAfW129fhb9T+E/3eRC153Ev2Di18ujE3703yVa94auADPo5w0VB+5JfDcarM+VqZyYPqwjQq4n Pepg/bDY1Z0uDgRmpg3dCxX/ID0LjKG7G+CjfnQDwGCVWbm6Ljd5lSawOmSOloaVTEFnBSnS/l6+ VkyUuWGN9YJ3eN9lHtoUw4EG3AYVx0mSfKN0C0HZEzXEvIeTrs2qQPFa7XZVutByplcluKWk4ogr jdOab3Sj19MkxsYT/p/WUHJPyhAUsP5HIQFQz7mDm4HgA+2JdXph1ld69hJwR34nHhvRUIeV0fhb C8RO3P9ytMqTOL80anvd2aLJxrbbXkNynlKC55G/c0Kwio/F8+yXieyRp74y7/zTOItJWpUlhICN azuivNtvnGnhJo241vdWCHouSBKZlObKlBdvC3u66SaFZvr9rlerPO7Ap2yimMiy+1zTJW3ZtgJ9 XS3YqYIIvVbz8/0XjL1Id99PaBnVIT/SiokH3sK1WxppRlQgb7GsrE7f2eR5DnYEOnDFZZkIhcyP aJ7WYzXHwC1nLQiS5rayXRb/zj3Bf+Qw4N09C0FrBRWFq+DaSivpZDaXMK7blm3YSTm9bjyhU8cb Gndusse1+jvpo07Lv3GaNu19bR90ZjxKvePMbAsq3h4POKIaXum03gUx7VRcQR3S3HUjXXLSPeth YpggH9H/iYW/hFLRIzQSf/dk2cDnCcnP9dr7KQYjwZlTjtHT5Ne0zYzo2xdMYW14bate4YA06UVx FXFfIQw1+rT+84nqe9bO8SCNWe+j0NiAuH/F4j6jin1tXr4j9YLcKwAnrDtBG+CsDYrWs0LQbIwH zOrhs3wniSogjHG82sT1wBcAMlHtwTdFagyyqCfPg2DMqFt7U7ctiP+zBtjWAwnR4QbejwuZzlCZ LNL/KKOHqr9S+/eY8YZ2eqJitCVpa26LKQfLWFUFKl6GxsQ0eLk1hoExuJ16Ul4hAnIa83fveFlT kS1lFQS5Sl0hqCRctGjRCUDwViKdPfLyolk16sUFZKbEUyj6CkPD7vHNepNmrVV1Fv/fuAY8c4fS 4pKpZfCA6wXDCeymQh9Exs/SipELkYOBzBluRQd5R2/srtj817mmT5Z1QxD9p4c9IekMdd3PdriA 7ix7lwI02J+xTkJ2oJnEk152GUEu+zqyut3m9i7bfRl8e47uVLe+8p5d00y4ZDgg5jQDttvU2MQE nvY1yPMr+vUBse8hYgUeG4EEFVKCep3aLZaHgJqcLV2GkSUfL8mUr/p1B0+IWUUw0VRM4RkVbx6m K6O73LulFgoCifzT0iIgtZSP/9HEyhtqfO1vaY2k5XUDQ6dwhmtqKEJ973tiNi2SK6HdgvN58u6j 66xAgF8NETnDW3uQXJryBmh0EnykKbu7TdwiCcK/E3TTmhu3q8q9wsX/n9ZegPRqwni1gakuULz7 WSthMRhZhKd9w0EwBco+KB2pRTwBHSM/nX7sQJLrukKelaagXvM6VNsahd175sZZQSO3fEx3aA1x zY1w989ETOMCfy8/5Z2b/1TOZC5Unv0937UXmx7S43bq8p2zCBv0Nn1keQHG1RIGoQGE99RIelEk uvqkSKD+Qk7o+PGdqUCIbX/iXvr+UijG+ib0n07j91on3dinDigxG+NeX1Q1Pub9XenlFwr7FiMi JQGBu2EUo2idblcTn8ALn8eK7HJbznFVq02sE7oJsAdvgBexvZsYQmKk3nAraU4og74hQjoaD5kP hh1uxVGQlcJuTtfO7Qsfuy2MqYQJLhz9piTVAUUcNvXclFkmcm0zf9wwI5Qo01rzFaScrPnJBaP9 WtFcpHgo2ndR1IfM5YIZmAgvJchUDgk04RogZbfqH/KcpmZ9Ah2RiK4nAPAqzk+droGXNnInqqgR Rsfylt5jkgecfGuItfiWj/FJvx4Xjvk+rzDK2J4Xk41wSjH7JF6+EWAkcHvOnSSw6EAdl+ZJQGMY EnLjMp8GclgWHoU8SqATCtYZ42ErAIpansd77dkMX2rvoly08AWuSC2Kk8Yfj77sH6G8VqaUkuR8 CE5599Mtr7fx26GEdsq7cLh4J44x3BtGPZVFu70OtToerWd0qqsB+i2XFhCEMGlaXS+w0GdgxQAB 6YM+Hc14uJk/E+zkXVi+DYdGQyCvvHMlXObrJ73+f8dee/ECZjUxa0fVYEcIqEtuDNiOTnJiehG3 zMipRgVHIctCIQf2etFQqW5/h5yFkad5fy9c7/s7sRCUEHk8Cxt9kjEkGBUV9f64McDAIc/XZ12L 5LejTbQhyO3KO+2FqgfkbIxjySIZDzDg8dX4i4Tw65p37PrmDpB3IWzORVJ0YuMD43XtpuRMXAFq hs7BIvc3O1HnXduRtSwlFzBEI+AlkgZ7nagoTLw+2x0USopdbfuEXI6LAWXmOjUmggpdbqTrIGzD NouSbo6hytuZGz2JMkVQ1PqLXWkIjNAMjjU5PMC7cmKNiUIqZe0DckzPywxsz/mdSsCEniryO5ex yGrpXw4OKiico0nqZVH8aIJfdNudrdeMtjSJvSM0q6iHoKg/+3RO8rL/nNfrLMmgROe4+6YITo4b xSHTqWF5fg3Z5b4ZdO3EqEp3frnACN9F3nKM0y2VDDiT+RYRXAjcDHIXUn9inw/ZBPH4WTQYavNY abNtYMek4PTctDIWz6Pb/sdaK1UsGu//p5RzidivxkAA6Ml7TaWfu9qiHe0dwn/AIoj/26Uan0cB ks3p8MeVjKdY1EYjsDyw3XPWBVYyj6X2IprPatH4yHwRgpwfEHNx+GHMXPAV34sJtN6g5WoPeqfX lvn7w/LB6W8pXH9r3khXGNi36l2Cg4EfUGUgs/FwYcKfzccKWkOJvq5Dy5w7EYChhi06TMYkGa3j waajyaceu9DSh4uC1pYYRjJUZHiOT6YaP+i11LcCGCuMS8g2lozVtj+LFd7XFsfMesrcGfo9HpZg H3iUKVtVJuYBZV0grhaW+l8KRCoxmSzjzEklIMjuFpzS7CUmoqB5KvvcSRyIOsgZC2vFIN3MaaBm /4ceD35dQsD+dYTHhonak0K8ai6KExfxqrLh4Ge/zSKkRHsQkT44EJGC25SCOjq93hs3w/qpJOV6 Zm5I/943AvFlBIgY13e46PKRqnBVjpAaGq4mI8odgMGrSkRbxO6SJ9spFG46HB+T/ja2hwcP38Yf y23PJIotPXkUOLxnnCJBhzMmSYKZ+5Rl6Tte1UKXEy0HbAuI7SM2LVikQcO7Y0b11K2uEzJGvfcn MlZM9ZE5tGmgFK8Nk+Oo7teN03Dn2JHwXmTXSy5JsOgWbUVRRHyktuT25jnBisE8OLs/rg5bfjGm oGfIEkaWd753tne+FKa89bSmRAuEruxnS0sY4lfxoJqZzWPOkomWVCpsZlQF7sn9GJv2tdb8Kdl3 KLgs9p7VWFfLTzGbef+RVmWxkfRY+d5w3hpf8uSpAWlvR+BGha0ERVWvII6QGu8/0EBfhxmZCwts psh1gVq8KOQguUMasifH6k2KZ2/dPuG2wnDWHGJ+IBUwlHBpfzO7xnz2N7IddbqhuYdxBRJjEhB/ sGj9sfRl67bRc3g8KIffLrKXcFf4ONAwoU2ZWAggegowNhLZedvmTlkMX4F4bnp+Rc2Ft40apONb TTGQ0iypK7S9aRKn40OL5P/ZiFDrT0QV8wzhb3F9GeXK4vKCpFt+QZn4rhuExetG2KzzI0dkWiL2 Mf1segWS+1USBAmcs/hKAxo0XnI7TtBvAlD8lmvo9ablJk7z5nQYWUVmI/FclA3syrSTEVXPWTWz VG+c0zRW+oSn40w2oPjGf2ZixzH3DrCUWagBORu5AIirm755TqEpjBbh+8GG1+ddd74VY8NL9z3Q TNXHpZOIFDyt+S0AKMwsrTwqY29cz9N5lQsts8KbFTRj4Anhi3/UO/lSgRvVaOEkTEVqFuMZgtEf BeJCbi0jlz4xiJGvdtt9eFcQORLk05xPGps8Ub6v3JE+ee8p8PZlOPnxOBElJqWeP2YCLHQf/CzJ GlAy/Ws9Yb4ylD+8h5CF9AZORXxOYQX8B29YolZSbeRpanE3x0X5R10rKEPUB52c7V/pi9e+qr9Y 9e+la8WVQ86c5cEtpvVovg+5YTOk3cyCYBMjfsSO1S47D7L6DowfDAXRaRtQGzKHOSIyVHnXAP20 fxAmQFqtb3UCwMZdyYY0eL7l2GTHSX9Dr3kaX3NWAawzLjYLTfcGrBy2E4ml8KnRN0k2OXU+nuR6 yAoQ4qerZKc+fE6r8U7KHnVJ91k5HGgI5y00qlMGgDEdUqjrspJltlpx4slj9vHaEFZwb85hbpno ABvPQw2H+0MbmLtETZaG5xvjXcJs1mH6vAY8KxlF7ZQCl8/ksFFqzm/sg5Jvg+8GqoXuPqAD8s60 06kOnQpfmYyElvUq9KH3woSn2EVdTvcUaXu0PR4p1DO9VS2/q0aEQT2uKCKnYs5UYwTk6a08LJP1 czX7c09l7L8obu7kkbo325LPiW/+nvujBiGrfOirm0PMEMdeDxDzy7X/+K09SRKdq0mt9G9Ji8/B 9OvlthSVKIVDS8Ldko8zSV06MnvIIKKEbgnsz8mcRwCsL5j49COghROaHE/7qRDVhe2eX6oll5Wl gwZtZUgyQqzYXCPBMGsJyhv0rOJNovqAvMVeHCEUkRxZn6y5BzqQorJqXMZoWmXBhDQhvl4QdZ2m WOmgSz9N6pOtMWoE6VJyHxBRK65ieHOPK4X+NBMYxIGhf/DMfAx6BxnS1FBJULtc5oDn2rcsZs/T tJEV98xsg6w5fU9Yl/EIdXMD8wDCeL09ilg4wPayscVfzMy+xF0GZCBYxG11F/MA1CBlRX0r9qBF MGRVpzWJEMM9qI8CSihBt7XTs5qJIZ2NuG7/1xR9vkKrCNZ/tOr34E4bNhI1sn9XIrG/L0TP8tl9 gVZT4SMcjQKkUqVmFjvGrp7oWPz9UIE0rktNh7VJ63Fi09q4imwCgloKPM+BBIveW3Ne9heNBUt4 +j//blHX9+jVLDvu6l+gNxcFFiOIoNjiCAb3NqNsuc3O54Ewg87t0pKhxyA5uT8EInUimKxzvxEz GHzDqTjH6d9v9efL7MQjwNdEaRM0dJVYirfb4t7qMHcXFGnI4+FmxU0B8lbLIO2WnYJfIIrarCRJ g7KA4930xS2Z/fUGwN+qeuMHzZca6kWnJulT8/npmqBWXNauyw7BLFb2NbZbJJgAH8sOy9eUx7Xq eJ3xPwx8r9BMWcyP35EOd9S5+GbdR4UWW5+uemjEbiWuF4q+c+H3ZewWTqW4M990Pe9o9LSABBsu cQo8bo1aW1MBXD2C28kf9Kfmelj6bZ1ZZ1i3TzgLI2mC6QzJbwdTYuMZ5Yt0v7u/uwGkOJgCeum6 b2dghiFaK0Zn8q618+cA4mQC11BuFA/SwFlQj78G5tZEPnDtuq0ZcbIbr1YpSD6baDZ+zvGc1781 pkeoYwD6iCqVFChsqsrrnR71sUVKPRF1XMGGkSLTQmndlggREsoUyiSVskny6f95HJTUzkNnMWdg wH6TdQhRHK6lCEWxGDMSAh/ZH4Z7PMy5y73LX6Pfj/AZ/XqIzrIDQrhWbuuRFtQPzVPFp3jyCYEE A+D2vpXwGltIt9+qkw1areGuKznyLoV81ux9CE3lHBVhkUFqIqi4eQKpY+51BscP+vZ1EkvZMS+a Z0/cnL3C//3wkDdwkCKnjeexP0m4q9N3sZzxi5lNit66bzOLk+0LROfWeKJjpi9VgxHxgnG+QrLR jkr0znvTWrqb5qZpHVrX+glJhZe0/wEaELpqGRTNA5erPV7c30WEhn+W+QAG0d5FBFPqbuLkRzaU aVDL2yjsoYzSSCiMeMW2wH+pI0j9WhNijwNZY6es5WDUiAL3pGap9gf+o3fr/GEN3p+caTYkEwe0 XnamxYJPo1zYJCEqpiUID8RfiyXDBMs3BZoVyArtagnQ3EZNQKBH8/S4y7BTV+361uUQLI3ha2lb Si/E6zyrNrvYvOUKheIgVxBQ+FYZ3DJPvKqhtma8bTZvRNnRRBs6aWxD8e2jZHuJtaDeWQrYyGtc u3BDc5yf4LsoeIpcKH4nlnKyyh9O7NmLpSkRetA2cBGavR2dSg7Iy7panWCLzeYmOYu3sQe02i4c BqMWCuc0ijK3PdlGo9VAaOxlkjQ8BZCSgq/krGtoVv5GwheN9sWXjACWnvtfUkSQ7gkfRQGcncto 5b9HYYPkZJQV/fH7j7QawMp5A0C/fq4L4KjRFU71YPislWGarQnWK2tzcMqvlVxCBEqeXpsEnVLb Q2V7uvAoX/XdZJ08u1ueOu237wOc8FmQPcK249z7YgLmoJAeg42PAqIlDX3vkkXfDwgM8dhkYpjc pRNdwmu3JgWITP7pGk2aBrd4al+Vq+lTarbtGwAR22N/gL6ZiRYD/Xe6xHV4C3iPDuwr35OcXC5i lRgfhqq5aNldzMR9rA+NEyRMvdElQ/pjTTbYMGYw4jJ7Z6V+o/ui16wB7pkGlxUpgHgGk3YCpfNz MhN/XRAG7zpvTvkq5zd5dSBSxv38MsEH6Kt7S6ukemGwZZ0BfqF0TJmXB+m2YdCkHXo9h0ogo7bb SBWp3RrAuFZKJbOTJ2xCsPfmgaI67fXVpjJMjMOIAh390qucR6szC72tFSnCqLIjTXprLWtDy/0A 5qsxA+gx2A83O+EA8PgVH1xcTSbvYb8+uM1GNmUOteXcP9YxceEZPXTkfHTiKSbdyINlOKBGkMAC R6t4lT1zhdnRoCJClzV+ZCmSKokFeqaUdDnxZlsjdNM8PZ6cBuzeHI8Vp+y4fkHBpjX5EvpU028O eHDocZ5xD6Lk8LYV7acL9Z8+665vOGWFvIen6PBIooP4CbuCNgpTdDw9YNUfkUhx6xIkzipQDD1L LaKgwasdO96YyjfdjZ5oWOUJIADDkGn12dOoRge9qRxcsmYtlh8KunYmix3IbZpqKa6TR6kNlBuF vLaPKLQ2NvQC4ghJlz+KrNdhFhvCYE+4YeUU9kK7yVYJ4A5oTcgEvRQmmhxDi/USoDmcYjFO9F8g FXIx5mQ0zDFN96t3FCU17JPo91Vwcc1vtbclctT58VDrJvWdv3NK/qutfZotJEqbWE7AsQiy4GV/ EgHtz2QURw8EtLEa4et2s98UhU+C+ycGokUrimTOPnV7VzlksIbYdbqYkYpX6JZtdpTPypvCzSMf Hyn4fOS86Mt7yfME18hhFB3Khs6srj5wy+cR4ob6t32Ek5irizOIZs7auKkR5WKHBrC55VtYs+D2 TfA7R7284atsH7QPVBs1JCLcMlIRWlL2L0Z2904WqbBz7/VEDermSAwHOUWFmgKH3lrc4JGcCLqb NS/D8yiD+UpP5fpB3MyeKXtAUHM3ZH5MuzxK481choxLhX1urK/6sF7BzR9zvYYT+fQoF/VBzzIH orGEhajKQDiHT2BwZLZIE2ltJqmy+7ecl9p8HcS74X//5T0rcGmYwvAfkt2l6ZcQ9XIwzzRjLos2 Y1omyH/8QmhOcYdL2tfJRHSE6Niv4Ymj1Groxt817VLitiGlbvi1t8GdVTO14R5xEpRskUQOH+Zt K5FqBUiXtsqZU63PxQnub26YSW0cYZFLLfJpAprZw8EK9A8gc5gxB2iR0XfS16utXvMuIjYTQKpn wCw04t6W6DD3uLQAhQQ0HJotKFfHFja0YYVQ8g4y9xY8aLFNidd8sk8lF5VWrFh8pHA4Y4OKRVrY to/V8XYaZvyr414Wu5LiiZSzh9Qc4wxKe7kNv7h5JOVoW2q2T4xyddod5XeHjSy2W8iay5rSRPh8 L8zAec+bR/b8IW49CbEAN1AnZfiyiBu/S3Yme7n9N8L3ssENnvckXt/EWREaoSg1Jt/LhtduZa3B djx+1HfuXck8EdTmNA6+f+ynksYvJthKE2/kFzWs8crhzZmn4XIcorASC0T5LfXGlXlhqFFI0l7v zXx+bbVHA/TTREVebTzDmsFjA81Sg6I786JfPTPmm9YAnJsxC9jWnnY9dupxHqiDnlk3SSCz7U9R uHW8MN/3XvULZkZ/QFxysYbHUWIOOloJ/HAcpnSAsYTFWu7KMEcdMICyzHEUG4egnIo0qFZZ1pEl D3GeRqV5fegTyARnbeubLaK0eXMR0MConKQwTL1k1AWs/nmiRH6PSqS2+Q7IlbyHpeTha92hGTIf t0enazXB2Dl8MYfx+GU6Ylo7XA9HfwW6xxmBnNYrXPqow5dCXoq6V6tpBOCUvOAvYHhZnEBFjXez YfVDx7CaSVozQhclhoXOMFXDYZFP3+6yu35fBA952Eh2l2Hegon2vqFq2PbKzZlRjbbOnUs1ZFdN MXOkhyJeVBULTAGmP/5mh31N/tmkvP9JoH9ioq9epjlhDKy+e/ZRx4CUoSX5LEuS+8uJ/V9CPi1Y wx+vbufu4bjX/TLnxfgH3GI28GgTdjgMo6nxngORNMRiaykAIuj1yL81v6Psez+tHZxZWrJiDL4b S9zcdQcb/2jGum6o1KY+PyBr38nJdqZnningx8fJvZKnuR4hum5SADDAApPWDX/glRkOA8GPaLzS 9TiU71gSLBW7vLAucm9mZeXsirPX7TsMouaHTXEPzm42S52gJAPdBtUmDGI8QVTLr/54zChVkUWP mI9bV+HxbR5u1rvFZv6NdU4kcy3W3KL5tWziPF2ac3OnHbFKBNFA3uDAG85jFYUABIvlOJV4B6i4 8AQJWhqo9xD3QPaqP7U/dNg/ZVfbh7pvcg4nUfsaw5Lu/yvomrwQvd7ldko+7mk8CwGdW6/uKP9r nFa7CtXSR61ErC2zlFKGbh1zRax5EQEUXJzHyUGwxTmldl227W3a2uDvc+vaUr8KRGgiSpeF0tr8 1vMypTzBFFjAzFrQi5EnYtg7vZns7lcueIEzr1lJ1kbxETYHduaDP6YeLmeZS+TBznCEz8vpPNAC yBwshIk/DyLlRUeE3/lS1nYOBqP9kwag0BH9i+G8WFdj3BkP62kIriu2lq/qG82ZDURqMvDyXcG6 StzLWX0aWmGTvVGdmaJA0IuJOnYeEBZx9iuMzTtZ2QJOtN7OfRwM6icURyDR39V7Qs7yJROPj5xW qgH+bElsO6buVcH3M1LsNSJD6hLlEOzxD7z9ffcpa/VGIh0NMqW2QAAyCZ99H6GG3vxHlZoZdNXE 12pEkjj9NVGAoc6c84vHgVbZ7Qj9aUk73924B0jjrkwnUr/SfVQmSIs2f/GlU28QgFcyAfV0WDQY HqOKu7lN+4KrCrY87sRjcYQBeeXOFoZymggLi7IgWDbnLitiVYbNTllLFzmbwpzv7Nn2Flov2K7Q Y13pqWZxXlbi8p0g1a/QowW8QLlWmLHCczsbJ72Tb+ieaKzycAFx03FWAkxRv/QM4YRRAEGbBhdu ArPyd4nRR310TK6BN/937bt9kk5dZnsEIV8U4YvUQigQRrPNzmmIipxD7V/hvp4CWsmIzgX5r19C y8dd+ladK9YVBp70Z/h9aQ/goF9DXVTmTZe/wabwc3KzzEswX9cL2JwPVCcOIiRb4PvDjYbWcbot KTgBMTQeAwMvR18sjQgtCwR5ETY7hByg9+tJrE/JhNxsFCIncgjcLA9L54f9O59+Q7DI+h1umi6n E4ZkO415yWeb4Qe8Gt8TM547NwcjJzfFzYIcI4OGADvCQ1uyK9X7CDaBdIEGY1BDKuBFmEO1E6gj VA31fvIq49pN5UV9KgvRabcalBMwqvMdOzWn9xysMUQltJunnmOuXlSW2ofmNdntxBOmzWIPoaD6 aQEUJq8Kw7v54mkKNgu1ISgIxu7dQUFZRJ9U7NE7SigOb4uK9aCYcCeBsdjsK9cKuJ1NUaaFho4W 9GfQk6culDqsuwLiG776LJlehjKcXLRgv2Iuwock1bG6cEGB38FbmzytrlpibtXh8ZkahQ91NzoX KRiFA5otb+7DtmbGOmj9HvekmbnO2hPAux7rbivXCC3Rjjmtt/Oj9sU0/ESBDkVUpi2IBZAo2q8l CfTOgpj5kPVOBzhueOiroZAUKqaxS9XMP7bGusIDzFdoiVlSBzm/x7W21u7KyQEST3JPY8k+qfMS 1MEfAajy6Sv9J/ET1bnN9fnsNUkHK1+4uHnbpzBv7cqDwubrCpxa2lzoBFaYcqB2811/7mc/XLpZ Il25sDdKQaMBWc8HlDo0n3yYyyqo/ThhyjwKHgUj1iqis3XvRkBPMGVtUn4JrQZfTVTpaCNXLLat 5ExM0Rtb7/imvNsgQfcMz4VMBrAMmi0jYkJ1jo+2F5ZieutHF9leBV1jUptbW0ZkJaURrjWfbSzN E+XUU/elcWEC/Ulx6sbcbFS0VXE9cIm40BAyH6qkKw30EfTXAyW0GMukrEBwnYwFxkihVc9s7/Uf J4IL5ryGhFf8NtE48RBxs3DOYCAE1I7y0q26GH9ZehcCoknsNuu/kbkVE61/5AGxTcAwrEwKiYco m1PXbmiSFhRw5sG/Kgc2XQvOUsA/ZnZNWL2xZ/Tzr6pi+hh//+QCvgq8q5lXpi1W2WTZTV94GkNc 7InjyhNxllw/WC5PFXi1IZvcvOFGJjokfl6uqOqQY542wlT0/bbcnmBBtAzfPW9s2QKARny2tSk3 Uw+zkD+7ro7oTYoeeRymApGKziJ4NCQYgm9CZ8JaZr1k428hoTlcvsuUfcayP2GxVe7kVeB5CruL 2V+JreoUuUaNrriDg8HbUjkpiA+9+OXVomHrcizXdUflXhqCtRcVGL9pmVLBlL28Zhjnia1Z51tk 1P44hlkLpGJ/bQoTIs58k5FyX36nWQ1xSqiNpTjvV+IwWBIP8RCy1TDAoSwFQx1ZmWvPgTGSwlT6 hjnrStCXTPZBBH58AuubwKeV9uq/fjIzVXz6xrx1xBPjYcnZO9CBjE8d++6JWMpEyxYLwxSsY6Xk 1k4xqQFnsGg4qOS6CBX1T3y5iPgybZVzQPw8Ip2u/1dJxvMrbLt7ixCq2kWgOS9Kupx8S83YjHwl 7MK61+lO6uEJUY1Ef+m+jjL7+87bdqPBARdfi+ht4EZcLsPX80u5M1/Zsq1LIYQRDCmL6C+23itY 107Z+auleDomEgPYIZKC0zDG1sh+EzgR82DLD1CDtRibM/NJSOSWoMDweauGOFNoNovO8lAUucRB MKkdT4wREbrsDyFq6PKBsYdeeXqBFWhC/gILJWOfNMgc0r1l1v65AOllZkT8w5Cakg4k19AjBStK lLjygFyhJenY5b9TxGIBBKHy2V1GSJCKnNSsmoFb+SWyj+AwQC2rjczEyb4P/T8y/cGOvRx+O7jX yvhV085/fjvA4ogvy+RrVPA2VKzDluAtn1xBjaiZ3VPkvH8Sx9iknlp0EqJK3kezjPL63nstiunE 3SgB8oIPzMvcWGxrL99d2F/eu/lOK0Rc4FV5IAqdntSXvIOu6rO8Vj0CyuL4/oBUJOgRgpaMReKo LeFN+wItWZaGNPCqr/MY8/q/QIuuz2eoxEYKkfS3237AQf+5WV3+/Jlc0pXCCBCpJD5f1+N1f9TS RoUWJHsR/5S9BhBWTzD67jPsUKvzb59MwyhMPubKIWKR9Dhvp1ay4rj63qNmvoFr61UTs7Ywh1Fj hz2JL7hqHqNBQjd9a/8wriGDkBSasR/ah17rDIOvLhiiJyzosoyp7dzgoXlQ4s4OymcZqok2as+r e1rntaXf+ZREwA2c3d612+KqzjYjylHkZvzEpbcLdBFhKhRPkNWQ3Sql6lIFIWguMGP/4Q+K94zW WI9GekMpDtBkNni4aMv2CYr2f2iyGa88WPTKdQvdlzQ9VP3At6nu3sTJeCboVLzVhcLX0huPq823 gDHTWOYt/361rsL2VjDW3YjRoo2ilc1sM9fCKryS3NSvgklh6xiGd+eJq0rNtrUjMxDBVMurqZnU IuZtqfns3jq/fTjOcmihev7H0IaClcbSp/45CuvvnEJ+x0Ub2qVByaFOLol9HF2CPDJXLOHHc6Cw 8605ozsV6TtydhWLu8Y3ywr1jVIMHHUYSgingMnfvCR3zSJPWwUOFs1ZNljJ5S/yGiGoFku3mWgp KyyU0KyBOCPSfyjHTbqVVulL04MUnprRch7s8YRzw6S/vtMyGHn6ZP67T8l0vb3Q54LaPfzifkN3 /LPMnysSMGFu+SBQek0JQ8Mfq3Ynfz1xSt0a8tLKvj07syYMiqlJB03h6aRR23an9LH4gnuTiRTT Vl0/cZCM9TQ0zAm7LQPaL2gR8Mynlv5udzidptzq5wufFh1m2JHFXsABfvojRq7HrRhrbZlAb+uZ JtBIGsrsRGsbbtastRKuMT6eLYngRcwC3yAX/F1iM9mW+OmJW4D0iGaO+OXTVFtQzBZG7DCBxsVy 4zvOUexU29y2UgFlE3rxaDhv0GGrk9Rn99dz0HSTsWjkZ7/jHNn/jQ5b1TNpGvAV9S8Y2/x0sfPC QDKkCIh0XaAvLoQIajFp3ZfnMliyXW5HdLzzWfk7KDk/yXEQyuIKgOMaKWqN89KgvTKw89douBb0 5dvkX6hqBjs7flsonVg9nu573XdmmrlQkkGy4AjEN4YzQ2pXn7U7AtCwlW7ZxBHvHqeD/wiB5Kl5 uvTOSyWwYrNmAC31KSszEGZGr0mLbk8GuyBY0Q2b3uHtUZa3+xrY3u+hg2feygrxRMa9mKfeMgob gpBdAo9sEfOKb2GLeVwSWJ5/Ttrv+901yk2EGtnIsfT+n1shz1RqrfCRpukhc+JHKBXmlXlXzPzH wz+9BpEgE+xR06e4/Aqc7lpIOuy8H/INkMUsoZfTPBfDLn0M4HG5EG0vNpsOx+yfxVijX9PhAkKw AIRDLqFKJt4CaMj3/hG6ODGmsjcDqWlR0rqZ7i3L2m8h0AsmoFCAjUQhdtHYjjs8rHE0Iqg01S3K NiUgbDXfKHZGt7FCVMMqjn2c+XRU92HjWlBqMNaKwuK42BzbKVJ8waw9EmlxKC85pDj31VnSw5l9 4/KomaIeH3o6AaDM2zr5ktJAKULF3JHd88S6pbjBE7LOENBQXZqlqTnlTXy1OxO6MGyWqmNTxdog 3ZnuG8shPSc83IxkhsTeIPEG3J7UXUsjkP53fcC2bg+mVADi08XVigdJ4VLiPDvq2/qN3AIJd7fv dUPVfR3hI3diEPonhl81v4Ty+WwIeEhR/Y5qj0yg7ecU0kKDoUQbPG+nJMhnlg2dmbSFSK9+gqKi eFI0dZwD9ZBi4wZ6aqNXOIYBAt4uqbw6ynNPl56jzfLQUNa/0KDQe12LgCy0QAh2kAsH4GMltnTn 1dk1KLsf4t6tA5icYYaol43r8w08z7oyvOreT4kviRFb+G8zSqx1xkRLvPhkZUCm7CAq2+HGVOES KXLXU9yXF4OW/V+FNwii3ApiaKtZhBQkwu5d2Wm3QUA/tEuDc00XD6vGdr7T7+rvh1Rfh6Z4zFMe z1jp9os/SYAJwvJAWYDOAXjth1J0ssIJJqxh6uKFeS4hHPoXZ4LvAXcxiTiSoJvJjOSCe2kDPkxm ORSRMSNFNcUV6yceNAHlYASgw05lyY90QBWu0m3dOCe8kI+YaUT0dg4lWiaBEfegOJIcX9ADURDd gikvgC7d+aDkBZrb1uDiTMfMv6RQCaCiGa7U4NN80e8w8T+zw8OoLlbCtTLLzdh7lJSYaCBBdh92 UQPdFhYa9F6rTfIcDTefd8x5nDzudrhsV/m9Mfql1PFF4p/7EYE1LbOT2h7byUrACNSBK5zFZ0is TZmBxMO6hQYTL+OrI0TO2iNHVgowDsfEf3COot2e3BSefx5rKlPce/KXUfqqY9VL5iKi940g7jFY dcQRMbOTYJ6U2uL8Vc3Vfd2VDz7fSKBYqYyT8R6zSD3KgRYCEPY+RZX1wHP5dl5sXZeqwKTyyEtq knVuSZ2zqNw/9Ejmgj0X1ffkdlz07H0vBC7GJlGuAyUbeQC6e3GmTb0v28FMimEt5G0AO9PMbFdA OGWAzEpps2LYgSjcDKrqGV48oXEmmqGaxfLJNaCinOMaOidVJhU1N6tiA+dV8oUe+lw87Cwm8l4/ m4DZrG/v9JJ6u6np+C7JPntNDpn12KTdqSuXVdpZCUlINwFZYg5yfQaO2bBJY0LZmSpsB/xiDwg7 b1Id0TD/VTSBNVwLnaLDvs6WcStxyVNw04fAtJSywIDKL8bLsSpeS4fAIFF+b+iK5jzggp8C49Qf CBIaKbwdCGDX/QK8k0L4o3MBY/p0xN/IBSPReslWH71yZfmrzT+lhZlYWtk5iHnY5Z4bNx2LObTK NRG8vwYYxva7VxQxdrG5YFnApxsslloO2cjkP6A3KmbAk5LGYcHYJYSaYRE3JKzN5U8HT0+qyDoY 1ABkZZMEj8xnbIkGGaBvMj86YVfhqQ9zhEWBS919jI4q+SdfQyV905revwFHIzOAT7f3UQYxAoo0 LAnKgUdhpg7l+yIkrQ+YJcaod9qKaCq70XGLGP07uH5xtm6hdExwUBdyRa/NmJAb4/QzyzXavw+5 LWVTeSLZqljDkKW8OtcxRvYgPREAbD1j7jy/Laoo8/wfTNvGDFi+Ep6dTbt9Qa4rVoz4yODQZ1NT cLtdUZhlALOEUmXP9di40Xue7kNf8fgitu0ir1B6cG6hSwJZVz+7xwLXk9rcDNKbDm5twQAH8dG8 9q9GCWewLhxWkjoHXsvm/yjdnMdnrpFIGQNYksN/oP93vQkgFqmJWf3/1WEs50hbZwAzC19LJNTd gTWDkha5xPN2EOJ+n6oMmxWw1++vtNaZEBuyR2k9S8Ww2fcWC9Vpdl8jzwHu/iD3ssshNAhNAz/B iYFXvd7WeEGcNtTnef+YqAy1soJ+q8DHKLvYQhajQ1EaZpev4v9JEnww/iRiGrMomEluMTna7Vbp tk0A/+r+8Pv49+IMzkAUKDBYpWwzqiHcl2jboUD6lowd9AsJ88S9WY8zNfEKwc+DxERqXfj1TQ68 qoDQN3g8k1jvlk45YKRXU/nusOGGv/f1/lh7rXs3SdROAg/oDuEcOgsrAiDM5c5/q6Nij10uAvOL PuhM+ShqAOS27R4nQcF91VoSSD7Gg4+nDMdKcVbYMM3D2I5RXF0Ky6TmC+K32MRL0IVvVVZ1kQ9A 61RLc1jTIYi7igdGwDVZzbAoNmYvSPJwn9LWCPT1DFv07Hhv7MSMiUbxE+mDyLMnCdFaO3GzaIGV 2mTpd/rWIG1pRZ0OTKbQNHMYxIHqa4pb8Ggmi95hMdZK6mhYYDPkiU5X4dRsgHHJEsCSI3OLsUBD qRJvHAvEUse/rBntxTza8ZtLjVnrl71L/JX9fQfeENWyl+vu9oHIsD4HED+EfBmYmYIpNd3S2mle OcVIvDwIWyeD2/VivuhCyfHaQ8FCb1B6I2IAlCbKCL+RL4IPPy9drI2dzaB7SrZrTnOU79lQfNXC oekn9cXn2hR19zOvG7szUdlYMp6/3whN0QCJPCTM2oFcaaH+AqcVbs1DTnx1WPOzJ5cIXM7Lf7ic rWEA2jJIa7m0HRCaRpC4KEB+GYd5vzMkyQxVFS/oHcTRAX/qdqzQAI5fxYXF5r4LxPgF4gcf3ooN OosYxPAmVbpwQmUmhcTpR/sJElMrD0oZxQmgxhGofZ2R4TLsdgiPOfk35OBtUMw5KFoEK/hbnZnc eeH9/cjCEBYoUj8pPqdYMK/rxwfLdqbNkf0jE0ZE270S8+7egFSBPNPe80VAuARF0WzMzsr64y5D yQmOcKEp+Ytn/CsMAyRZ9GfBK+MMeDpKgEBNdhMUTlH3dPwJfjSk0Hw92SFhdTbURyEYeEJIBf88 Uwv1D6zVzmJwRtyQiVAiFc4Fg+1YzJ+FDscsMrzYzyA3Pxw5oTc2waKOSwLLqf58gSMfULRgkBZm LD0hgDz38pliMq3NDllKx8srTxlwgWDftpyrETDNxiAR5YZLJ1ojwBnZWCqeI7F6KZS1v7gqGwAU vPtdKiLt7R4iC416Q6ICfm0mK3OO74LwI95DwIEZUmwiKoaoREyaYf2s3e3jIuAc+nE2SYfykf8N IGGd9kkMwxMKCLscAlZX0m4rQU2eFDIe8Axp8PLxOQ7pozgPs2zztyMdWL7ZRfHoMbqFM6qiyBx0 MQUXeRR1U0/f0uUhWF50V0+yipq/6/KbpgBePExz60cunPd2Q//ZQqOrC1JdKZ5IFLPA/Wejem5/ eeUrqlBfBke/WdqGn1zdI4yKwGhxN8BUtOEqbKtME+KwvnEkhkVteH48LroNsPDrsgvdYpKnuMq6 w7DOb8VwHg7Gf61bDS9rJNOACWMxXHFtKdoyVCQKy9sBpLxYH9+Zvq5RmGcT2MBFJYoNoNSLGwIp RKO7VaBc46FE80rXpaYfhpr1dlSyMHFZR3az19wOG87gBaUHfYR2qMXEjWEvX45lQZwfxXB2xnkS nz5xwaDVuEJL8SwbIWuenDIjidiQYxoLWqhVuye6xAMCsTK+weUTCDkGVuGw7Wenf6FVtEEtGxAX 2MziiBmUtfcJc85shfwDDiO2FOzyG2uR6YQIrh1mtktml/Oo/RTncmrUofJe+TzjKOtCGLCpqYsx hahAEryv7485mcwcu3fVv4O8eyxt6Pg0uLJJjRs8CII10gR581l7M0KElCXYbuCQM+wOHe3UbUuE JSzOH9EsG8Lx97HGUo6j4nUj9H1+P4zRQi0fPkoaaO4elSlBAhWNgXIg1YS2KB6U50hQg48/Hv3K efnPLEKoP59YTjh35zAc/rE6Kll6Hnaas4dzjUT5uDzT5+azVuXe6bKBqBhI9IJIsEysxzqx/Kv4 8JY3bO6ZImp9ZCBlCo9sF0boXXYSuuUWiyNhIvaP2F5pyX8NNo/WxFSmPITRyfIueRspmzpKl2T0 p+JE2qIiYNhsjn4hWT6p0UUjxQ95oBmR5e5uEcrUHV8Xw1s9obvDD6Sa6cdHULdRRsZV4vAbGFmd qV8zIrkSPxQI6cAykVv6rVFQCQH4JicamwYezM2tcakZtuw5lSF7dEp3svsXC8dRx5ZSlZf9K56s d30xcJCa9z2Wzye38X8RTpWtCGMlFQXwckQEQBKabye+sbA6jnOtTqgpqfVSnPZbE1IY8DtwCvt8 gxObdKr7m4kkOan5OefCN4g57xhw/dweoxEeB/apeay2lXlu+q9KN7FaMC3oPuwxCqxoExch+9oY Fo4tC+Ysms5FBC92psCRFrmRtxv9mTxL/wsZFwzTqPkisByDdy7LfK+XgIriEmBQpuDA84HujPV5 /YBBsKn+eNt4lE59FDrZ/KDrXYVGIz4oufC9IQvQENRJM/JqrTIICB6bjPbqb4jaqx9YqX0OBgCD kuyqeQdUcOmTu/mQjVYt5x2dXk6ZOnFt1XZe0yn+MAkdIIB1kifVI49Aon0SZFaD9d/omLBIHptw Ifovk0msT1WlNIEL3jwrNK4m0sgv2DCB6D/cN3hO7ZxD7EDD66g3hcmBYyJz/ebZHi4uSOYaVmnv E4AP7DYfn5JszRQ6vtQ2Gl0jXn8RWS3nEwVeu+KYWJWw9f6v6zng7JIsqpDfgVVcvUeyB6xnyNm8 pL8qRyS20fMsym1EzrY1m92/faPoa0ZuBwSU7nnv1qfyuXwU9E/u/QTPNtB/+83U6KdL3vE76n7L SjgKlg0YhxGwpGGL38L4puzoARtJ01i+78LXxm62ed2Kj2eB2/9MevZQECliGojrS7S8HfOmL6QU wqAdvPrJxgw7bjqAetVWswBRgRZiwu+OgoiW4w1uHtvMlpZn9UvMZdTO7EsnQ61tFhATnBsYkfuY 1QVDCdvSYXsoOMtnZZfy5LWjGkcCicOtFQ91jOvEZT80C7d/WZzvR7L1FcCchZVI3SnWonfZHe4j G2eIRVOYkeg69LathTzq6ZbOvmXoNc5JO/5l4xhD+0eFhTEvA4rBoUQwAaRZ9Av/G7FOE6ESG9Oh zejab+Pl0hbzKVOU9ld793hX1BIC1DiibJ/H+n4Nk1+MHSJRGYx5nfEp8Npb+aCA0qw6mjvtAOx0 qzvlms+cH47SaglBq+OOl83uLDCkUO7D1lDrb9n3ld9QAUBVl1G51R1gt9OK4irOBb2joRSC8onn UXdpb5BYDfWwb1Wx//KgxyapGONq+b3idmDRNQ1lMJkLi0/7C+qOU5rT1dP+vs/B5toE2XGE7Fwi vPtrwk5nHLBSQ06glszfBvVk3Q1qS+g7+1ZICIy3+qbXOJBalexgEIuawg71Y4tbc09mowN21Gqm 88+BrDvE+TOofuH/ZTnQiBCW8mH7Aur1+La0asjLgsZZ9ktgnxnXX9ldguBzHnjnBsTwNhsOpD+o A6X1Ql0O3s1U+lXCmCU+z6qKUz0VMNPj2l4MrQRFiNGzPr4WdNaT9SWWhAMQOo5Eq+JZZd5RjPCc Hm30p6ptFvnejsuJ5Erfgv5B7rxv9jXVU9TQhFOil9OIuZuK6+bxgvnsn1sUPGIh+EV8KkzYWFBW 8khVYL3Z3aXI+qyFba1QwbxhwLXxPv8i8YuZkCNdo/HvWADQJsQJtlLRdJ27dERQPJDHmhofY0d4 O7D4NkEh7nEAXzvdGVLQTmgf3pPVNb4pFCEcDMk/IyBTJRalM4FkKkHsSNccE4M3O0ISxogHz3bC uLXjAmxBuXdm2ZY2iT+QGxmbso6+JwNiai7K0cr3UUwa7S98+Vefs+BpaF5gbqrCL86VLJ+Y6HcD XrKNTKfiTWLDjV76JYkpSyvJGKLt/6gM6TvXhEwbVShpEmQNZHz0eKqGynCYBMaHIo/6iRb8SX/r jhahxW35IdNUuyDJujZ+LCEn87nM34YDiDBCnFxLX2eWP295hhArEEanmQyOQP3qOyrqJg2gATEt HhsWmSfK4P/Vq2I8SooDMIxIPa5TPHPR03cvilyuzpt0gg5qtSX32o9WjxbteDotaR7h44/t8kQr tQ4PMLfwUhlRlfc3o8u++9lMYCsSH63X18xRNu4NyDy78owFoMH9Nv72sip2smry2Ter9HAXB5rz qBYKfRN526YF10GAlceCLYOKSvbx968jrFNTn98K4gnohHBQ5dyk3+1hhVdiY+CJura8BKWd2d3M WSpbrIpe21EkPqTnfl70eW8jBsUDynPxaZ2NrnJk42sKmpeyDSTRkLAFWwhUvxn2bu7xIxNCfpUP boSj+yVqom7WmX6ChZFE46ZrqGx2slfBfFxL8MONtxIIF7OG6zAHLcZsTndkRHJjlQC1EFUVjLSE wFyX/nWxs2z1/aWA3Fvj7XoXjVEDtZXLHD4YVVFQrrOWfw+eO+kRCX6hdSPWn/+7er7qwNPWR3Ct Sw+F0t8RxkoaS+arqNIR0LbZUXtax19uMCn+lXcRBlp7iC/zgGFD8IfLtD/8YkKhZtWMxOZofrsK FO7cz8iwJyu7kNKTtG0Gi7PlyXaEN37+aGRz1hs+c88Ny79+erZ0BsD58alIpg6qlRkaqMRQh6Z9 /bdVBn0XGR364EXo853CPy78OGU2iEJvBF8VdEO+LzQeleNdVkRuavj1sEyjqGMT7I15QI/B1O2O OGyedqYyHmdd3jzb0WNAqxOhWEZ3Z+HtI6aBhAc0pHwY+9fDj5I6xkao2Y8jysbBZgJqZgSlpF7G v8Ry53qxqYGU2IjB51+Y31bdsHttWLZuNSBGDJuGfhC/ZudkYxFS+2xc5BF1y4p+jIS7f/AHwBsW MRoJynJSRce/4MQIR54sg3YLJlkTs7gYE7dXmAE1JsWNfLJ9X+6KDs1vq1FXL10cB80Dbe5A7j4B cYzfFzr8rZTt50kIhoVPZhcScDW+zuYoS5mXY/XQHY5FNckNHHR5z5Xz7qGSS6H2QKbdCrQbb8BQ w9xf0sZXm1yjvA5lu/uMMkJLt1dS9VuQ2n+Nj7KXTYQK9dUKj6Rl6HAZByTKtKORiddessCZKCK2 b0Mp2LKJATstBJFm33H/3FfF59qCWnn02lhyy7GbC4BLDqEZMynvfjVFG7cFzBio3m9VGprQdqZk mQ1uinK2DRBpVTpgIwh3Mua1Nhu+zwrIAlqhXV11JPxMRti37/cgh2kKbLldJlchctN8YDay6ZKO QAp9JEjDnOLDL8VWzjreKIqulJMGMXC1rB++GcINwvpX97xHCxZ5LXW9Ix3+UokkricpUkaZ+/W1 beS4tZpzIDasyUrOe97LOx4rLsWCIBWti7tbC+k/m6OljiyndzB5iXOjTGb7R0jX341CRhKhOKCT +Sf8Gxo/gbQmTXbP0xCjZgmB9+CGY17+jxOrw3B9vGQ3ginkdOAcAkA0/OYPc7eH4i5XNbQFpbJf LiohtlUAhhNXpzR3uG5yuxAgQn7YztS+2AcjcQT0QaVNd0X7IFKhWOL6yT81Trf0DASYV0kN4X7M YznZli604MDmsvLbR7eoxt+uUW0zir+YOQQw1eG9Uug454cu210b3MZC7eByHQECze2UHly/RxNy d109D1FCmYwY8HCBpJEudZg4k4EPbKiZZ/d/dybqDnmRUVJB901DuJ9ibSB74o66SgT16XFgL5jw tH13Kx2GiIw4PlkPuTsjUwsaMohHtiJfoNgrAb7U50C9ajl6jYx7arG0d6/OwggL5bBhUXAkDyam oCRifCwWVmw0LXoU4FhlMkKZsWxkVVNy/xNC6yV3zCO+HcE4npNJIQ8OGfAB4DS+5kmtuseWck/x IYt3jXyl/0XO265FWjvqoeg77FCXA1I6OjakIMwANDBAEG9h5eF2lLpzgqfgK2ZCXZawHXBFsmq5 Q+ndtsTEyB5PsXotBcegxPCrtN42d2P3NAwS9+1kET7IFl9mxNumErJnSTi/FYB8VbWbrbOPAKVk 5rgHNtYlLKEJcg4vH2eobi17F2winDRpNltNJOfKIkk2vUQDxelD0OdXxwNntk1SsBo0hzDrYhTL F00K9dW4ynLf8uqkhPLyFJ1Q+O1Zuai3Oda6PszMthksLvmrAf1CDtfBn2kDGooBt+KIx9+qJrS7 fMHzTCAFGKRnPUlK3KHMav7IBG4Mci+vyepj+dIutwznVPKgGzRW1KcXzkjcUNvCgC//zu5KcEKy Fub6trz2zISdoJ3/f1Tar+nXEzADnF9V8aVfRVeWf7MkUK2li3hUFrdcE96AOrcgLfLPZf26Kl43 WxQJpV+5k/U8YZdRLM05mrE9+1/VPSXUP2oyoy8BfiNmFKhc+J+fy4InK2/zn0LHzPrEbV1z5RkZ bFVhmkpjsYu1HqGZ/cpM6sqnxZquLCQRrGuD30nVS2jlfrCXMOtV7a++5HIZx0L/2kFrfO0tvPh+ gFRMjoBxf88KKt0I48hn0+/wZno7WAg4aWcvRM1r0ri2JqG/zR5cvJjd5/4n3W1zeZeNo1ZQwDTb Tv5zza6TTQ3I8aVvwDI87a5obq1+wmFRmrQaC9aEDVmqE0h3SO8mHGQGBlbO8/mlMIIz9mT5F0VV DhXbffYE4+iIulLsT0brUn0B7II8i/Y6AiWmwLtKXJsh02iVdUPiBPTE/fDIDEL3g9R2+X8Rlfzu B+c3K+TNRkxUMlbS/KiKUuCcXpJJ7JYygn01qyXuJPOKLBai2RewS+Et0Z0Nqct97i1YAbrm1pcD eFu7bgPupdiGq6ypW4eHgz15CtWRe9OLmNCy1ZUM404U0YT8/jDo63Gie40uF7MNrqzi3xjq4XVD VpC2Hr7lcuuU481SSRdRmX2VAvav5QbQ06O9fbnLWd4DWQUDxV/9CqNUx49GVvvv09711cICvpaa SOVhWTgeJsPAPX8m8CC4M6zAPlFEA4DTzUGIOoaY+H5g/q9Igosefqezq2zXHBrQw0Yt2n85Lq5L YOmDdaltVH/vGc0R4jtfwaZHfMicZARVS/z9sLcX6VSZT9Ttwm+HmmjBJf6boIjrgfTbcATCoOny QEgVdazs1zKYNZG6+9q35E0OcsF/mO0MQgb7YvkLwdExL5oEjDXpoMpJ+hm6ORh6xeHkq1epZwMU UPHie6VZNVx+zbZyHzjuu4siOuXm/ooI2PFcG0aPfX4yXNbqbrpgu1X8+7hG5RfISz+rJxk/iFod Mo+kgfGxrzwlSr8SvIOdKg5yb5giZtJAhPWMc96sEouz+kIo7q2DgDeUorHG5ix9Q2jK4DwuV/HQ VViC0xTcDigqbVsl+ZBG3S962XXGmxjODhmqwvKIRSSp2mwUSm8yV0kYve5zh3MWYd1H/XZ46t68 Fh9LlCk1c3lLjGKgbUxiqdnZ9ZwwYhDEgUCSOP8TUuLtn7JWT0TjvCrAI4yXhXGFvctpSx84l3FV 524i/e/3KnOKQa3sz9RT2XzoI01o3IgiByXzeL4tsCk0uJjVt3xuDYPtpZl16oVMhp8N9PT2bmdj Hc6H3ijG+pF9XKcCBpGoYndrH4g33QbubG7DCM7lWfPVPdHwMhYC0cyquXCqPztPdFcV5ELXt9Et z+o+A/RIj0JDmo7D8hpGnbhQ09I3lxGjHVqWy3xBVvG/KAQhd78m6K36Ad5NtCqKTiXkyTmlFm4g z4USmxf5dZGd5EvoEY+9oBh8PKMcGipqfjqIRXkiGPcw8Zj+QW6kK1svLLFeVUHvAI+9cPr7AKn3 E5Ef6uSCA76TtKH56KpZWwacTBoRkIIDyBM4OyXW0jTkHwiTKDJYpRK4jm5wmpdTrGlK5HfOHFEz aq0sy54zs5b5iEIJ1MtZGnPTa3Wa+BTco8RvLJEQrc6w99QefmtWCtL8PFEkIPysy3TJas4AR/j7 J76TqD7g1Ujqpi80gHHmM3hC6L0ElwJhvmAwrkCQCxcBCkK3qLfTgMp92WZwgI7OIAveipUHbR9Z iaQ8TjU1lOS5Eu6eWmbl4DHocLj76j6tmODCNfhVo6ra7B/8o3G4d1u88qdo5dAMFW9tUpgBchX/ b5Uq4Irbjxo/GGJPyHsBngfUwYvp1PU06mKPgS5DqzX3liguIZf1CULX4uJHYoW/vlRlSVNQT9VM 7gH6rPr0EXDH0ZEjEb+WvcHxchKU3XMM6/yKU1GPgxT4XH//MaQ4lEkA3UEVRIvK4u8D8HDB7bWx /B5gY4RXYsvZ9A1rgd6mkEHJlVOcEpRXVw6lqFO4cPHTngcMYUiw6Wa+MM8PlebAYmGb+NL4nakz LDnNLqHr/C3e5hdFOnLRH4ab3e6X6gRZuf/akpmCWJk54d4f9VK79Cip4EJW5FjX83VnGxxDOODk FYPkcaavbUegbXWlTKKKeDsu2S4qWEIWRhfn1b78t7vfgLEx26iu2Zlw9L5SuM5G2mIdXR+VduqO 4WG8EZ47C4TizUWyBW3nAc8/EYFqUOFhQeddeJ39bPo1SdUt/KFPsmfTg/xky39Fuc8fFKi/9/4j 0obZqn5SnHPfxlPFaIBp6q3qRVXxw5jmf/Fsc8atFdBJ8Bkst+3Sj8uikk+dQyOIXnTSxxVj4Mih 8ngpmPd0mNLXl6Ys2bQUzvBjL5Kyd8E+3Utrchd50bVlpAEAtLN9gblZeEgEWdkzjthIBi736IeR qMZLsGH+PVjvAatW/sCbF1iwr0REtyDCdqxDiumLIlRsJ45HQKT2XZ9vLChbl1CIhjUvTUlYChRl SQgcDsEMjxk8EY17XrUQwbC5r6bwJuh/HhVD0NVK6T2OD3MqNdA+KJG2HDA4Klwt8GBvyeYPrOlq ry7A/35doiuZl2UriHYj5+Zd5ceydqqGHhVk95KGy6kiEqaxaTw/c4WNj9xv6I7sGpX92P5/hx9y Y9pEaOCcHlhjI9BqoqqaJ4GK1tq0NCYZfMQXUR934P6iAIt/zQzC0U9N1enKScsGR1wTMc40O6xd dKPW2/ciDT8f6tTSvmo4UH2Ah+PJ3E83b7zX0UHLH22T8xb5wVAhOfxnfYYQWrNRzIjKB5MkQZFu 8zDfl/w3ZwGDDo3cJM441unWXG0dyh63mYeBsWJkMGMs5iBgwuNq3KdCsl6OPmdt4WqfWVtv2A1K SsHpSk7ZFsXBEweMelQ06+HgQjgO09pI4jVNusBJvxMu6oKR5ckTlshbv37RtYm/verLGElppcr6 ydNnNaN8+SpDJJ22tgn6C7xlxeqwgpBAG23LzO1EwQqEz8oynSbPpO1jHwX49n1wI279XhXgtsY+ MjpN4YP0GgoLiYl3sb3HH7uOxzmpQBB4NxX36X1jGh0QD4ZDEpcmsC6QaK9c1BT93sbC2SX/iew7 XkCNnzO9gR3C3LOOA8XmHRaNF0LHRkUs8rxfO3S+YNnd7wXOX938JKDwmZUh4yVrB0Muh1SeGwcF l/KslxtREl/9QOHa4AGWHlU2kSp/HOQn7KcpwpNXFNsTHTiGGR/Pjhy2u0IoGVQUp/djS7/at27V YKJDMHhPhvaGk5jQet2RSBQ4SkIkZyMwAx5rNKaWaC3arcpwSxZpIyRVyg8RFMWv5KEoFqu4rHW8 lBo9Ao7Cts4vpnbmAia9Odyv9exWfcj12FV700+ANlTiUj/v8Lkpu8DG534Yn3HpaiIBY+PnZx2C 8aIOSkpKAXlMT1gyheahDLkO2VQCRNcw9EBmN1WYMZk1YunIBxYLiGyCd6sZn7Hlg7ZLY3Y+4CRT pCUX0VEb+6isVeeNQazev2eWWCvOXHKtEfN2USJpYqQ2hinDjdfoxyitqkGKQT1YNryyeqjY1MWB X1XfUxl/HpKnZhXp+AikwiecK8mAlnNNIBrS8zkIMrKn39RGK66tdBcSTWKWb587jmzBZ+Gjosp2 jJdpNsIkmRIzo0VjzuCPp5GtbeqYEV2RPndOXMty2SDdtAak6QGSxwlFJbvwNDdwsmI8h+O/88Ui gpCMtpJO79OeUYPUqChldwHuRLRihXvsbCEDIGKyZnZLvT3lsl98Mkke2YWiXueuqKeHwqjpCqdA xuEipfvW2MFlUGPHRnShZ5q4+kGxz0FfuYIImb5zKgOKiviZaJOwQDmQiMI+j1Bn3AhmU1tb0i3r tQNM+5wreRabixLSVaLJuTat1ZoE00VZjc3I6eCl4/PBQNQfBqsFgGvbAbI3Vc6ty4jlUNTGw+0L 4iKHR5Ma5Con0G6j4fr4zpYxY7ksurbPs2MsQXzIsWHyxDDQoBIuK47IM4V6AyuGphwZ2bipDHo2 aH9FYXOn2vx6NLm/mQRgXvIbuyX6YqgL6DHYv4keWdwjlqWYVzDNIyDynXK9qmxisUgAXFr8wZwE yMAd3qE4vLaKfg1TGlxB1wJYUS4rNSwKjzNQWocZCYnPX3niTrHavC8XEmty8YPXGuG4X0EUl+RK JvTqXIqbiX+noikwsApyFAWraoqlDIqZXC+r24VlQDL96unxRD3ohBcwhEsFjZoFVgNDO3umunFd fBRxE8Mr1PaA3rpJVLT+jIFQHAZhLd4jhNSl+/Qm4sHbYJfN/bI/1W/3Y7AUBLd+uny1mN8GJDas mXqTFzxSWc74KK2SU8E0SSTTtu/e6XDYqd618VCMUUl7UdHOVrCR9nI+Ed8SurfHrfTPSac9odHJ OPt54wLBPOGEDSctmkeRwrDl1s9lsWvmKhQznL81eNEmcFYhvJCxOk1JIYvsShT0K73oXO6hqrM7 lhg19xJubn1D3ymeLGg1d0XDIWSOEMebP1c8tGx47v3lfZJlyDIaot1k4JmPDEmawZ8RuoUHgiyo eeG2HpPj1dfwjSAmI+265qrv4hh0gyP1eWcG+XcVEdYqPPccP5kxkxKTNi/TLktpnx3ve6ju3EzF oaK/MzjmqeZG/m+yyRjF3PYeUC0GRZZ5mYfbOtSJnngAAyOABgWWKkPPwARzwwOfRBXk5azTNKzy ftyHAr6CXf4/MmhWoCbz59pBFt2T+eocI+qQTXpzZXd1h6m00xZOmtcVJ61VQGhKSpmUdVK6gpZG O+FGoCurqIUo9fuxssin5dbXl9PAwzHQ/qVuXo023HSqK/Aa1pSBKIRNi7GzTdZ+v0GLAO+9mxmi TonHG0Ytvxm3T6DIQmlxP0Q6E6cC+61kDbXrBtEgqtiUAjVJoxxwZjKXd5GY+yHjT+rp22NQxLFC T3yFU2V2AWN6DtieAxyQs01uimE2uJzrGga0QaHAH4xCZ/gPJ1CXeAXhTyEbWDA6ddusjdZ7KBuk V64Wpn5z22ZIPkt/JkZh8U1itcsK76DzYK8OQvj+Asx+hqqYTiPYHyBh9G7carfVP0Pd2EvmczQf Sp7MQxph8/qUiSqp0FZz3UZWc8HgLCiYB8zTj0yxYMjzABYn0WCv9idXPmih2xh6BP/miJgYmX14 8RhFl3N8IYwv2fedL4R3wkR8k9n7ciZdiHD6UpqxyitOl6nt4i9b8slHFfhP5pXWr4Jfo6C0L2Nb sdWDiOpM1wCs7XpGvZ8+52eDIs1pajcbdVclzvpVxPY0aYkvFRFxytO4AK6adQzdG3LSqUFxv08R HG0iuMP/6n57mauQgevNNJQt3B30mxCEdkGC/9Q+FJ0wi8Bg9bkOoCpEA/OWKlWLfQgFL6XU2p9o oRnjkFnV448XMnL/pBXtGNKDa0Z+mPKUOazTo6CdIwu8skcXq6EOe6KY7qYk6uuCMGg1tRfmdyyz PRaL9XFJZHrYVxstvxZzGUcPcbFl1UqHlWuxaTAQAFhwJUMUaOyGCIU4TqCA6ksx02HEcQ2nakKn 1GoKex0AE1nnch+XyO1jjeZUvmhfXZigX67my+8IZylpkBwZhV3xF29HQGaGKkiT8j0CtB5fk0Qc 29cA8+9uPZp+AivyAGc9ixIRJeqwXsrVWwkT9qWBe8AB8FlEejXTKP58LGkwh0IFYjqFGdhVkpZ4 qh0tG9OFyA6Q9XSscCAl1LZVDn6TQAOm+wbeTkm7adPlFVk+0kl4SFiUpP4W21IDQs7bZxlToiRa 1ssLR7G62tnrC/os2LZLKdXUPluc0OP8tWhj1ioH2+cjv/cTu6ez4UBpT5nxc7dh7xxZKhVt4m3q aHlZIQiJ0wsd9VajOlAvQmZHWTHAACUmmdUN6PLZtGVX0jIwHdu+a9QNahdu0NpExdoJf0YvFMC3 fkmPzykx6VSsQCrylcYgtatR45hwALSCSzabRMcNSLSzR7ND1XI5dgFGzxHpW9FvY1j/Rv+zwETb xhbg/mRpF5PrOpXnB/aJzJsjGv5dId/Mdwvlg7AV5O04LN0dPgy0PekNUEKdSa2gcz52yUlzz6ri EzobLM8ytkRqBl+CgT5ab6guGJIQkgD6mVDmwjgvvufRoSt/ICSrV7OWxLmZdlIc9Gm0NZCEBf8+ 84Ohav0+OD/B8WNai1IAu1WX8niPnU3ewZyjjyUuIB4jBz0J7V5VzJnDyiZSRbtHrU2eM6MP9H7Q IPLkWlHZjSiS3Jcouv0a9K8Q3JTBy/jXA3XmIjiTAszN5BBRL2rWHPUL1HVxJ0f09je6xmC+k1O4 qivfJznKGsxWMGiBsgUkzNA7ACnavN1RU0u8zYrRSUToCoHjFD8zm1d3ZnrgCjEsMShbGysaekH4 u/TlPuZzl5Wv+mauOkz3U3+E7a8raHeDgmvDnYNtj5OGFJri5xlBSxUXhf9QWRJcJTjRxdgGacSO AIlbkMkPotWDCom6QRB5g5tukwF97BQ5eyWDBPsh9DclB6nfCZa09xB1tsLeCnkJIUhttHChsOI0 0UOGsxjzBkiDDOEEedOmAQ2uDVe1UrCay1ej9Bfydjh/wCH6/5+gX5kVyoh+m8ho9L27XKDmWtFa z3xuHJdVa+yzngRYURIguU0hH3keoBmTCAcUC2MgtRQe1JGUBhuYPWXCNEjQpsL3JMak6tTx9Kz1 Bj0cKiARER5pL0mFFq8u7Pe+SQF7H0J4xZixXmUzcfiJhiivZ3eLbW3IWMKU2pe4LuSmuBd1f8BM s3+R4oEWBaFLDS7ev5QkZlpWC7tFNE0xtXgNoSwvAIFN/erRLfLZRA3QCSWO0yxLuJIaOu1Giwf7 ZdrYwtC7hicW2KfTYZTaH6kvBxv0HzUhugKqsbHXnkDxQcO4UiCByh9xElTRxdrbd0PlY4rmlc1x qOaFdhRaY+cZr0sUqCJn0Ap6Sl0xzrL6VfK5jvFoVVHGNWZn8/shZXxrh8I5Ok6FphxbBD77zwuA 2mSwkLcmCBvX7DPnyMDgj+lnqE+AILsj4N/psKjGGwJhMi3wiNmJq036Gv9d2T3VfOMax1LWGgDJ eQKKrgODR+NyEtGTf28Uigm+hemlFe4uM0ai2CJH7bjwPcbUWJrIT9QPNd7J/bm5dSjxHfNu5s9n IQWRxofD9rYZwgtrNRSAsxCqinHPbMuIDFjR9d5R4Dr2aqHeByPOW/VF4et9H4NCsveaBSajn4vo 65FTlcGvYCIRxTWKNAoLHfBQrZ/TZiJsRN0zdwx8fOcO8HaziycpjrY9xzwLacfc8SIAN7znz2Th isOqjOm54Rlyt17iQxB6wvW8x+iFUG8oMB4D6JlZhIgqto2FARdsgBwwAMJIQXIHThpChdXKbOXV zLsPrX9pXkwxwknRkx3gKPLllHW4mJqjwMo/FkbTjZtw8VG0llyx3kZNwACij46goOrAL/u/e7AA gHSVhJuaJHF27VopStofYkoMLyjBkXFYMJhxa5piUDYUX8mV67arBjf0yc3Q5BU9dAcUTvkshAEy zsJaIR6sVXUqsafF00G+/A2qVj+xxTI1HPFIAxIuYQwMd8SSq6eNJaNDUpInZW5hWejHZ4yl7hrJ Mt1h2n6XYWEq02535yjgJLkVizTGlT2WE3lqZJ6XnuerPp3SmLRvMcn861Hh961HBTdWENHmJ31Q GsgsHAyGmuat4pfdBEWRHLJXQc2l60AxkWt1Re7GNF8wZJFoUjkkLP2TxPJ2yyqN3dbQjDLZeRI7 J8oQ88EgMNcgK4H4Vrf+IFmu+raW6j+oZjAAf74mz6aMSIUfihxOAkKLFHaJutViuRSqSULxvTiL wtquavfNV7lcp4bMZmxobXsxZpKCVg0ojHMaljj6EHwZ41uXUubQWlJQ/AGgiTHksLun/qNgMJ/3 eryNfNedvAR2UGFDiCWLzzdI7IefBGXh/9shVHIUYjOujiqeBgmIQuICm0zJeXENfyP8oAHILlvE DRQDeRuvhPxlS6gm7DOg9ifLLrDgmxrbc7xJhyQeQ+yglkEqvPZUYlZl+yqUsVkWlhH4KsjVP8oJ Ugxq1InZKpaQgeaHvA3pMKNQY60rzBP77+jq5mhTHuCcQoFqF5Sz+a0BLcsqEc3UJ5TPxVnasAY1 paPDu6GoUeW1g1o3K+POJ6P4u03LEAyOgZx9CiThauEZKCMGNWW3Y6XLtpLOghbpxAaIqtjmyItV Nz9kyUDn19M01mQL1g/OhAIj8QDcbz7EML8cI1jGY1ad53yoepFqK2+ph1SQpgPj6IS9XK9pqS7G mIoJFWTpBPMPviD0+4YdQgghD3eQrUtu3tacG9OavKPAKFmsF2itdqmG48xemAlkffNv/z4NA5G+ UzGvfUl8Mbd4D11784T5ZkVI8iKvQvCQ0ynzkEKnpNQMPPuOkblJLylLbnRBomKf/AbRMcVE77wM pzIrdjnTMTLYRCTYkRW7QmE0hwXix3f5nsQzaHUL4RgOSZqDZFjoAtiletQQXmjJzPYIMiSPfBWx iH9cQ+uUafUVuQDb4cZxeqn2z1h822JAHqNO+YLu9vsOB0KmyfBgWbnY+0vA/bn2VGdwwVdzRn0c 8cFBFXfsc9Z93YoVLyqkKITt8DKIZnAPeSxsddqM9skGUYus8SOCwJ3n1qpk/6CrScOmGGhYeaJ+ MpD+FB0iaLqsh5iMZKAkAkfeTtW6bxweWnKwez/OVlWi1jQejE2EUupX22ZMyApmySzb0ly4Og7I EK8cUaFO2SQoGQPdIpPk0WYLkEYyMIBkTnXIiWWgHBj3WtQfHHNcPMR8B5IXESsL0oGf1bsXBF8q adGb671Yp3ueVSc0Y1W9wnK1T4/0t2a8hHANK03MN2lf7C8zg9/JdbaiSN4X7aPqQ1CWqFu/+ES0 WXTVaWkLGEVXWP/oSgX3EMoKmMurOc9sOJelkkaqP7t9hPtJAbaH1gqy5QR4pq9cm6tb8Sh8G2eI 7LI8QzBan1+BMImExfYc+fL/M6gf9BWZSoY/qGU96kGJf9TkjDSWa3wM+1mZXcsVdnlxcfHssfFE KLiP/g0ZiSKJVvtb2tpWslU1k7IOmZhFff+tcg8RJ8hF92d5063ahC2wc0jr9E4yJCWrHaQ32du8 0VVObIBpsMoFxkgBKYXyFuGOJcl1zP+eksNfJbcCD8Coi/1JEQ+jLkvNKEy9x5ERMwwy1/x2efni YKIXHa/JJgDNtqy813syYJrEd/8y9D5n6P5w0UYsqgIytkbWSih+CxvrOZzUPW9zK2X6oSaWa5fq 4HscBlYoeslDU5K+pIUEVybVdV7Z6wFc5sLuVgrNVzAgWf1pt4EhSPdnwRemZYsFQ8oWPGXbOqDf YwXv9+ANDRhUVtUrD1E4idvUp0LFXkuGFTcH92Iz7xiXF0wnDHmfYhi9uNYzANqBgiLZHJYqbonm E6RdXHHSX85RexRLzgNZ4p/k/oGku8Cojm3d7qS5uZr9oT32zRIVg8bRJDsBZawG2aWmc4aK5wgA c2HX5pca+mYcAb8fQ9PAhW0jYVTUZ+xp6PnlrEHoIr0EXjr75s2aBSSXTLBIX+cdYdjOpWA/7Rxc cfV5PjbO1zBmTF98z57CcjOzc2AIW+emO/6DJMOIUDmdWrK18q0L4vxwAGvmiXV76hMayVfGG6Fp I9+DPb5FXemTEWMNfqoPi3xWDT8yeDEO8//OXZVjoe774XXTJf6eDqonapuYxvQhmWW0ZKRkc2t1 h3bHQWdZJzyPBKWoBdvR2SmJ8kHTkRcoCFQN69wrF5ePZROb+GO6dbHmZ41x66xcbiYNcQGEFS4Q xV0ClSqyJxPqj7WEmI1zo7RfswHqU9RDcCFjffEEifpxiGfBW0N+WCoJI0//2NPM5G6344Hhf6S/ XhIiusn7dL3W+R+Med2hO/S1Kfb8ciRSE/YR8OGkHtSpquP85+ZmYdixqv0KlX/TdNZQDeTftK44 sNrvYS+lb8G+yRwLFYTOXjU8B40WWqpd7hzibkyc6i+iuKG1cmyz8PeYakt56jyddYxjd59a+wGT JM1m/J5Pef3nQ1OgBBLkdB1ZvjsxQA+UhsDDNAnrBQ9JRCs8xNRzG+P+gKD6DXOpFmPd8hoFsQMC DHeAroWNUpbSPwkInwMgeRwe2RqwSQ9AnpudxRZ4kh4NVDCw3doVsvehP69BNz5olaLSZsi8rEq2 fq4WBiWGHJEcZgO7g4LdxdU6Kfa9sIvUrMkeMcVd69zuLnM7p7sXzwN2VBn5JY+mPgPLAGEIBaJV t+DyF4Gv/f/ZVIyUOyvYdU38SzQGvjg7XbHnZPFHO/2ZWCz1r9e9F6iD7ND9sLhaarIZRAFzKaa0 wUfijYiWV/jefYm7lrWeZ7UbFV9XnQDWT2S7X7oqRlwg5ZHkhHS1qOmKDzGWQsqgbUuUtBNY32qA nBXM8mpo7rilGZvSi1Hct1LMFZOMRkRUH/jtLGSrsOl9Gd4VFv0RxQ3al3WMvpRYQnYnsPQQwIJt GCouK9jHAoQS+66SPngkYxjbBl5+qDHPOMb0mY+LSV8Qx+2/aPkySvLFcwfUmBFzE9Af40bxw211 Ok1Nabjfvni1S4bb9TkjuaR2N4uDiuxJpqNfnLzof7jd6gCoTibQwZxZbD2w0u+cPeIof5jjhWnz TI0K09trMaz1xYMuSONwEIbaZr2SYuxtz4GVCFEZmc31/Aeq3ykgqmLgx5Od3j28kYlLUtne4vvw FachdVGgFSaQrZcqh7TpW8yq8sqvHFJghKxa9lMWtI9BukGYB0wyd2Ln73D2dyIXlreujzHa3WwA 0Ia0vbzLuUZQoquR4ZF1JnLiOuvzeaCRhBc4NVFyEHMvh9PvC1vS8dT11SpuLlKJ4es5P8R0Xo3g 55u2iAXimL0wuHUAccuE4z3/JMClJjunDa3RxAwjED+nEGtV8JvtbN70RixfjFDC/AY2lkYpLvCo rGnNsXZgXvjnIF/1p/EpdSS7bDY4kDNlH+TtCEby4ZmZWxyurrtEdA5vcscJYA92Uq/rHhNiFQaa il16Sg8AF0rRFMVNPJX1YIgPt4f3qgD60VKHQPwn9wyB2wyRjUdMX8M4/q+Ip+snqswMxp/ujtmU a+xMvYX/5KmNxVXMwEN3dofOmKjCFfFrkYZQpLFrI4W3IQ2VcJS1dg6+cKG5gfgtd2lXWeBsRRt9 xu0uWSGkZd64gqutLj0I3X2WzwiXSHBJrBTifXQ25Vg05vxL7P9VtKXotDnvpWJWiZZZI3prjWpP 9bgd7IwMnE1t2/P0b2JoDdSrV6FsXzMWCH/KL49zK8Es+m4u6h+oBh9DDcONd8Lq6x697mZtz5+I uqK+8BJCZ697wpAT3ndwM4Yl4xEtM7J7YhzVIK44aoT5Tjzh390Vv01JTjKppClNR6pZbk/Kmsef RWVprzdkqULlRQqy/nIjiywVas8GsOcSLHkOeQESMc2pBzRTSDW5kd//sy2vgHaN/jM4bNZQ/HF5 95UVkSsMdEV9piwACq8SRRqS2D8IcTuKZKK3FFCvIeax/ArDlf2Um9A/o/pfD94f9rd0+3DbAiHn wbR5otlk0jnpSvsXJPxneY9VWH6wDc6LnZFRvMZJMQ0yjlHUKH0NszRu0+Auzupu+3k7zGIOdtvq e3ScTEL6uldfeb9/vqQRfMfRTN54wDzi6tSKpPS9AMiBJihtP8Eco2mGAhx6nhq8lqQnex9FG6SI ELVhwyIejL4BrOgEuVlb/KVV9gfiFC9gAEA8aHeWFKeVPUyC45sfCHkVMAIpqjPA8bjzqx2E2na+ 5hPGSHjfhjJtu1gsP9LIC+aZmRTlxGbl+ux4fFs6/disUKysK1KO9ttX7/hBSFUobScsgdgPILhh 3wKhlonWuMNxyI5kvpVJdV3k6U8Se0s75wd1RLRDLlXHEXSFZWvWPVvxZYyW6aKJ+v0RENBr8KXv cXilxlZWvSWpCTvZ3PKKU+w5AhE3iE4NWH0jI5aHkU3n2A0+h+XYNKpRcUEmai3z+vG07Kor5h3d ecoURoSgPRluwXOI8L3wuCsg8808dwM8XBzIFjRHh6zs/u/g++/L1hdsmUnCV636ZDpyb6o+EOPO HUKOAqkQrN3sTmgC73jmmuCG0ukZkjezWkeEBThLCUyPBecxOEYpIFEz7RtlNhdFrbZLkEPUaToq lgIY17h2SYP+c6o2BsGD/CEJAzqGJbFBz2JJWB6VSo3yYoLymldRRKqcsgfeOce7Td6hxxwXxXOP /FjwYCTQ/XEq68LB31tSs7/vM72qsIroDFzVlbCC7KoL0Nazb8+yJ3u9U3+QJQyz8RyYJApam0d1 rYOwcis8yL2HRip+ByPwtG0NnAi9RH9ND+QBccyvZVsUN9a7NGRWm/3pDdLbm/Be9EOoDz5tru+p GyOCL1KCCIoKPDvQ3U3xZm7jX6w5wKIpM7gIpC4/VkZKOvh1Y5x9g9caiXMe76p1XZYsPDO4e0pW 3CBRYww+2WC07bQSjsWfqTRgMUMWa9SeL3ngHE02/01MVhOPyiZOQboN3LcLy8kjF1Tcl6JpDfxs 1S0hJlft+VeuNyaBDk5nJ5achk0Ke7/lhdPYq8q0hRvkOOqzgMaWdXpF8q8tp8ImCdkYIltEPpga 8GeC8TdGh8Nq1y1yrarT52h2XlWvuLf+rVAu5KnYeNo/Tdm1yZVqIwnPSJcdkig3aOgxSZAdfLk4 xkxd9A+G1BxE52g2M2mZsb+BRjwrxryZyoj1yR82MKZZc4G7XlwGs/ZO/vSzb2SjGG8+KiX+29R3 RxZWYP2UBlRFI9oMCQ1/fOk7QkKlfjR8VtuoRVwMGpAL39Z0QgECD9cKVmNm2P5AqTct2i5c952o Jz/kBw2a78sQ8ek5U6RU7aXtRFAC1wYSOGKH43TO723RmhFEdAOvPvZiNiL5KuWVx37JYx1ikkLu nCivUxsEjXLWOfS3pan4rhHT96xp8gKwPkX2psLL4wVU9vJr1X5LGFaMbB/JjiZ6lKJOG/zISEUR UarqEOPblAU2NN90lXhUVfbDRDghpgnzSVbe9XaXL11/be5iLLm5IdxvVDyc7Q4kP8JcWSV3cbtb 4Z8/E/Bmiead8uY3I6ZbuRGbQdkoh0GHQUF4Oxz5MIMv5IU7xqmon7SnVzIs5+z5Ut4rgBOPp+5r yxTEPRRQ3lml/BTwjQNMsiKFsoNpS4xMA1XgEq/CgpWJzU+EBLmpic9asN3HH+7qiu3CETp0lJcg AIhGNW76PfGjXfa/z2DZorN30cMu0+nPnI9Fw/VS8AUoLgORCIO9OMcpmqlkd2bwBsIsGANPEZYJ CwqcalOdCAKPBOVq1fLJIe/tn6F0vL7QNC/YMZXJY9FICcmcw6kbzkfL/Zj3YLaP7QE2a0Bjh8bl KkKvE+T6/cKVpjDC7U+pZBhlUBr2IxWTVXkPk3XWw93k3oEjvas4gYIVuW5Z3Pqc+Wid9/OxfQ/H vqRMTmI+blWLXSyZfkWZV1oYOF+JaRTEcEcSfQs0q8B6tllN7e24p/WOj8k2K50zUGDySgT6i+6W fV3r/uRry5uZ1hn9RSXO5hv9/V+OaENhzlDrOagYoFkBRUMp3Fg/fH0JESA70nazj4ImcuSkpyh2 JxsZ+KiPiKCPEQBlsO7B3HiXjenG2QLlcrf/VMANP2ysaKO2hTvrlutQF+1gtlPo59rgLbygQmke ZqCK+R4BqfVdY2eI9nP5EVTIFFaKVqLCF9WR1Um1AIeN5RdoOj+6Le5C8LJ30p+VCnWZAMnppakB JxBiMQW/1G0PSD4xIJPWOjWWw0e5eO1uxFK864NRrT9enJOhhs5hbK7/fnEeVar4ic8i8pE4APJQ wx5hH9pGXOSVjM/ROpIn6BkJB54w/Tb8x1Ty+wedd8Omw7ncfm+5t9i+4XMCgGX8GraXLUNRZtYG SswVotCBL5qIXFrUjzILRHQwfAIqpZm5yzv6Q0d+es+TOUl3KeNqttEiOXmFwq/PMYmdB5s9pePN SJ+6TtDEhj8aMh4t0HpyhNW39Y2BZw/UTSl+NR1WjAYBFIHfIPqt+D5DC0yu8YuXzQjt1Xdcmuje NWd9CFxnFd5cxHqMlrSMWhAL+eqA+x3IjfGGK7J+pZtPmIcgu/ZRkF4qyIt+3ZJNEzwyH6l0mS1a ie5vYUMideZRS9tEWN9bsyPY7aKRFAG9Zl6/lZsvXasf2XHCNOgJflmGx2nA/OnX6jScx84cZKoP EImlQWfcc4VYjVIOUBGyKLGM+zyywd8p6ZoRvc+F8ame2eCFEUbWbKLiiS79JvqFYbJEFnE0UEJB vgQUkswpM33jzNafhDDExElSkFqc2/gOvEo3qp8EHn8bbZBRpl+qJZJlnAA5WE/+GhUfEjMzr7P4 UA1azgTVF8W2Z/sE50zKV31qLQRzpXh6sL8CRExOiwE0QhMYADpamPUGozPo5Av4fkbxJqlo6eS5 l15IzdYka2yLx0uc79Kwj47SuxPLieDEbHq2i8w6Cg+ScN1YPxwIoZdh/Eec9vFdp8PbUuLfhjAn OgQUG9jMToZBRTmUTys0ppbyoH8rexX/ZQmjSzOzuiT37mGSIvwA2iJhJ+CpBzAmS7qYg7ZeArk7 /LvcfvI2FiYnhUjTipgChmbNWJITZZ0RB9dQYFKf2GroUBiTI0o6R0P0ZXPqeTtCJVCkPBw5jTky e8zxmyRiw0g/xBjCmz9QsoLusF2zJgDJbtPH62DZN5WznKKt/ECdpxnyRjJ+C1vB1oIBUJcwRIpW k/2I4gQ4P1bcd4lAPEH09BhceMw3eqlkYPN1dMaOOGDbn3xsxApLyC0hBH38uZ8holRkH2hn6lj5 bw89+cCRwsRLcRVN85znqyxnP35kQFExdD0hH4xtwY1870Weih7n0nFK7lG9IpFzKzC6pw0Z8br2 ugpEdA/v9sFr4/MG0Ptb3WUBpR/MMvtkp6MCotqnjTgpCTxt2KenaEncfbsHL48W+WZfYwhp/vqs 6coHXflvIp2KjcOzUaBTUbku+UQPdrfOnVjhXt27YWmx42Tw/uWz2XZ4up3C3naczEp2X/8ZDpl1 w8+BBCOvUcjo3bh51r3Rm2qO8G3eHfGSPE9RmfgK1OhgSrkdjgOqHQvhXJ07E1+B3mN7eZNSnKHb 5N4unQiLAOTeGcYV4ET+op3xUy8roOVNcfB1Rr8VWhh52JgEHZnP/qm8iIxG8EUZax/WqD2BLNYb x/bduTI5vkP3J7AQ4JDCPI5fPRbujzkGHQEr9zFrUx/3ImDfvm2Y06ls1VK9nxV++UY200cH4Pa6 yolgGH1C9C8jMosHiWei3n53BjnMcPs3sTgSer1KkuyVzQ+XX5gSRzGMyxqF6gne2akNCqXaC2j9 KV6aOjjIcwjwnh6d49USGmhHZWLjIF4CcKFwONg4+J94ROk3at2ieh5o/TPSbO/gz9B4YwBkITy/ lsbHiYxalGM9/c3mH6oMyBYuSevKWvUQNUge6VpQ+bARcwXWKORRXNbMfLmqa3F/T8hkUT9OhgRZ WH7qzjprgLtcPVyXmAONMC7GEfamfdLh2MOfEYia45lmlCfByy4HLuUvxEu0+bqcOqDOPQaM1IXc uWVpPmMTrcDwJL2Onhc8l9V5z7BVpd6voD3KMHZ2f1Gu+jLZlQwjTb3+TbvOVv2XEwY1bayuSMrW EQWRdJJJ5Tt6xkguf03183eiAmtkunkm0XDQNopLaTBZlAv/adZ+bku/2zKYVBEpQvNGf8Hn2w2S tVK5g2zjvGYA6Ze/PzrfHjNFhb0t4BnNrriL8eZvJL4ij381gWOXhsdkRtJE4JIg7Yz/ML12f1P5 I/7Cixk9DSi+AJry5exN37jclqU6nqE7r0FXX7wgY96YbqV0kqw5ic6zP2/jv5yXtZe2bFBF3cRJ ho60itLpRRFpi5y+TssZ42Wkx1xK3Ttyb8O0VS+rOZexa75BVXPFqmkkAHarLEv+pb2Jz/K7DxWA 2taGNCbIQ638QflaWv3MrTNBJQRNwoiuloSsN9Yg2tQsrD/qtgxcp6Yusvz9EbSZSphCBdn9S+NP zHjkKWUb9w1+5M72dCz+PFtdRyjcReejBSwVltcoSexcpr0czgq/WjUYQAysrxQ486+knYV8wAIK 6fSEJKA9jjZkrWIYtnED6eDFlr9pDvcOPEXyVWA4iddpW0HjT2IrCRB/lpy0z+dmoI0JVTW2CklW zKsHOmo3cpSYO7dLEfXAKbtz+bAJMCwkID8mIEq3k94kphJFaO9mwWHMKcxETCiWDxyJddbsXv1y 32V1xbOzbSFHEzMWGEqEDJbXI8YJR6ysizxs5SLuEs8ZV0Vnkdcq/xYjUbh7ezNu7KhIliipHcfU HYTqSmDDInTXZ+UDX5JTIHuh5/6GEtqv20OQISW+tNv9Z4b9en1jfOipEXFXyDlBDnCSwYIwq+wk 0rjPqofldBE4M6h9151JgIb5Q05jghSe6sPtksRKyQbOw9BF1jR6U2GuTVdlUk3BEsXSbN3eu+ly ocO25CoqlHCA5Fs8Dqm+3SMC/bmgy96ruCwandhV+9Q8+DjFHvxj6TNV9rg108V5eVXw8fG2+D3o 85ai5z8C+U2kr344cOtijr5jdAuZx71GJ5CTqQfpurmKmyHPURbkZt2rGPB3JCwmHR+m0/aL/yYV 6zOzinpTncW+Gqct4npR4uziKoKGXRBewxnmAb1ZzlYqdU66aMd4JiZqOI9I1oRS+0ANT5ZhJ4II 6JKGzEKxEVbueNuCfS39eUTr8HF8oFLcFhZDH1tu76ghHlyfUYRS5TVSLzm74TWFhx4PygN2GG0f Z85V1a6CNHD5K4HKVEy/Ukm0UIQMdy6tRWRDoPjO1qJHu/ob/iA6m0tT3W72lwLHldpYoQYtzQOD LVT1DWLCLqi2IyXDKZT7GgXSdvPwKGoWbXzWy/0OdXZ5z+vSD4xsWPdgq3SA8Z6vYsXXraFTq/QG NjKaIWEJs3O67L+gFyDfYH8EIwCb5Q1Z+yjGkKoFVkwIkRMXJz6VcXj7p/V6/CnuMp3wzClM/t+2 tpUHrRvdFOJ1dkuc6d1yGJMsBx3yeIQv+nu4OaPKDyWrY45afArSXkylnn1P/RLrPix5PUmFy6Ot oVp/QYkfL4/oFkIrltw/YU4V2+TOLB6gPw8tUHSV1CSI5Id7Iy5EO7f6L8XufleaKANf400CBKSo RHkhhqzsLccRIzd+eSzUJuE8zNcr6zpdt8tWqcQXh87v19qi/mktim2/2JEbu3iswAI1yijc7ej0 yFyee5PPJXeZScqArYd5bCV5fa2Ij5eR+GK4d5iKBO6IFOIEVie8V7kdJkd13pAiI7A8pF368uPm oAIN6tJYQawq2Zx3SY0IqctTtQsT9hU2M2kTPb9MqiB15DyVco0JHQJDYNso9fK6/1pEvH8R/kM2 mHwVTRwXDuIVIxR0DntvOU3da6An8lY6tmv4mxNMPo2PLsU+YvTVREVhqAMtC/5ornsJ8bHG5NwD a/pYbBlx6bRrRU5b3Ribf2CF4N4fCmxYCWsoK+H+GE1ga7Hrukw6ICtj56qY8Irw1b/CFDTnPcfK dFUHD4p6d0zHkNV5iFmkzNARu17THRqmXCFkSSx3OBVa61db+kUoSkW80EDXbR9+w7Xw6WxBXmTI ggyjjaB06pUG1t/mc6k9lQr/9tHW17V2Y0Aus5HTUfKv6rCFIQG9St+m6oGurmxmvc9pMHc5oqBj egMTWNt5SmVNj7Fi7MOMe+ABXicuGzl3RLRacaR1jDpj7CG+Miaz6pbSmJsxoMQ2oYllRLJBG3Dq KhwKWShsXCtIirhrgOf3cUNaSHGaZh63qls98bdxYeNKtgPWYn7voeR19KvRiVpKPJ5s5+vDECuf tcTk5j1WUMDqk11m5KGhal3rcqNEG5zdMLAiSeAA2kbJyeRxApyarJukUdgXjvAatjO7ogtSdk3Y 2C3KyfZU1onwvHvFIr4OPeCnc/gx6VvuaqD1VCRFFCHNKiQYsrOxlLUz8BA+LlzQj54oH/TMSALE 2eB/0q8w6yuQV6CNm7E22MqnIDhDw1zLqFTDLqtqZbmhVpziefwI0IrDUnsczEXUYTzsusuG5jTg b9jlQFIudAHEbcW5fLBex0ZlcYO1A82LLdTBHEbPU7fGgq5RNc/tWRE/H04QwKpxkEA8hChuqw6W 4Acd86WTdwFl+LQHZK7A0Lacja+FUHG/MwrlR3wrjT9teTC5CIE208IPsohNfh+oWH/cNKg9wzsO JNe7VGYZkAah4STr9RgJ/IYP7EPQhU8bLyDUXBLYIeWbO0+k79aDUCD//eUsbnbR/7Zb2QyomBK7 2ojzfbgQWhIWYUX8KcP6OFU8qOhoFEVoUcX6WfFVvAY5iJh3kDPbzeef8zNpK2WNcIzaqQA/Lgb8 c6s6JS52gXxOFsFeO3nCEsoY1GPd6pPjJKmz/ZflOqzTNJXY7rtChvZoSMJfFjY125rxAlVJIX/8 DkMAC/YY7kX7nc5aHsEjvSksf54BUtbk66GDFR4nwTT/XGWcnzyAEgqFsLHdHnP9Gf6aSmnTy7XW fOnIhnqi4PLMyxT7tUTqAX2/Yl+RAE+jjin3t7F5qQr9cW1FPpXGpQwUP51c91ACJVtMFr4UW0BN MIB30nEQYW/RS991+vXWMxyFJ6VSbTCdnfmoYxUMdFu6F1EF0JQhIEmpMgdP2T+m4/nWbUit6Wii t6GOGEb7q7YeeJWLBQZJQ+8Y3XuKYmdCIWeBl08MfIzQmjK5OV3Cjdu2MrVL5kOlgu9SPrNr3oyx eNGcMAmiWBAdJnk4eOmQYiMMzo6jSYtomIk0iBmj1xkCm0Sv1BRf36f60rB+vFhHbvVQ4R1pU53d DoSgC3s5x0doB9Ip3F6mHTsvDo2+9GA50TxTToOCrRmYDDSrZFI+Q6iMf25mvdlo+cHTUS4Wtekz AKkec22eoINuo//rG+1QIv0KtE0g7pssGS5kIAdPZgxhunjFo5WROMJBN6L7xgdSnZwZdBafLtYT 7Ss8/hsyiZ5oeBqIFS24HD1yU0lKRb3C5n1ABdL1dlrjD6mHAlHWaffhRltr36ArcXQud1qQ+Wxp 0Te7rnmbTyAn2Nx/O/5B3g6AL1zjWx0dhgy7i+wYPJ/FElfgN0Xj+ILl+ndbGi2RK/x43g5P57dY 6jtFlly5okRKnUk5LcSPpxUdD8UzS5WvL/Dep5TgmM8ryZ4vKyhGou3Ogmt5LL99/mbHnR176brl yCKeFu9+VWPTNdwcU23wdn5KlV2NlAGW6SNk6QzYQoWj9XLwddS7VBZbmTL4ifgio5c9kiuJKzvR AKKhcPxvo5NWwRlU+yW7BLYlBDwiT6TtEji/dhQ+FmuZFKcY8/9tQsqiBu61XOwJmKha9inuHC8O ruMHtXkWHWte1zgs1AQAUSXKgUrQnjG46QyFBus8ByppVKAKBjn8FAtXG0cbOy+rGCapfIi/oU7M DCDybhqrn7VIM7ybpT/LgUlg+KHYKvHMMS+bsmjI9BPHOwySB0h4+tZV2HTbPLGX1KHFRrmLMhYh Ts5s8pt5dziKa5HO7BdhwTO/xxnb9VDov7sXic0xzUx2Jab7HRDRwvL4N3xkLDEjJilITf4mnv/D H0ho2YTv7wOwthnIZz+BUxgiT7B6zNuwSevH2XrRDChIMvZhB+UE/XQeeCEWzvRtpXGe1NCX+NkZ GtVdlg8DeRIu9L9tLEHzgrN5WIXdPB7Z0HbqdX8xLHMnKtUQ58ePjIpf2bpGZGuJhlr+3ZXZy66j ztKduy5sD0oTZ2r+F4QRfTMs6n8TTJ8ZvrABscWzNA2U2Iu6GKRpt5LSnVw/hak+e2pQpIIv0Bh5 uvjGcKslwl2BNyw2OkmRCRG1/rlBuOhocB1HvujvqIxFdS+QFXEZIYCRNaDkxmUJWs+l7qy/fk04 Ibn0elKoQhE+UF8OGYUfUibsRy2G5CEyHFm6Nx1XRcEpyOQ5RSe+W9jWwtWuRVUrhRw1+zOS5Mji UDoT5ZYdxKxz4XriReLlY0r5YsAgznmypMaaQLWVSJuI/A9F16zUThbZ37rkQ/BCK7bJ/3hwvmBL gX+ZLpQ3sF3Nyhb5oU9K5DfbcNvOIiIyhbLNi4hsUJuuDsbd526Atl6rdsif97b16qAiUpPqObtj P9iSIhBYl++iYi4m46BdKwB3qWnSuw4vv8eHYXPnYtZt1ifNIGRHnJZ1b2XKnbSh48jDUO3+8dMU VZMP9063hBc1rtgG0aLpc8rg6G7G2gAC4929+hqc6EIzrfw45TRAGw35nYTYjxXH3uB7RBOOocen wggxx8GTv1RUUGIOZfl1wUH4Ngby5Jmb2DhpnEMuWJ+D/TenrATAYBRhMoYyK1WZ420IRilN4Gaz vHVt6xYe6ty6n2bXn3lhWRcUS2egx9hdYmEVGNzdRRbUXgVoxYFXZF8I8+r23g+vmk4vwKDsDpan jBbIB0xvMJwT0+4MZ+sjVEDKI8lwsIUy2ahkEuojRrywfobvicdvXEXExbOdpU8ur8JGe5TF3qYq lzFZNzh+FSbJx9Qt8eD5neMMbQKjyEUmUOS1qY9OnYPTQi93kMMq8f1iq9mKVX95CZJo5GevRp6k 8qbwjAbPc3tu7WCbqzfjyiFbok0g7qiKpdY66McSLPY0SCC9Ir1/CLojfxrDdEG0nn/ZuR+JEfcq /+9QzXpu8tzI5FpJMyr+L3OjFPgDUIhS6T0n60nFmyJoGSEX0xspHHZCH1OTGnIlAH+Ar2e2Jws/ wDMPxZrriY2nBKjLdqzhmrkFzrcAAtvFdUaOc25C1Cc1xpktV8sl6UnrEYsZhuqcqKiHflggIgNR BSr3EsrvQ1h8BxeLnkv3PWls0nbTsLsBUxaYidCvBVofeGTWLYahBObztg5x6mlL9oJwBCzXK+8F ayMnlp9ort9sxVfRHJoPYS2Vz64KGWNUeSb+xfdnvyjps3ehuzDC0M6EzFK//gS0IWuNw5FRA2DM FPnBMDgS4hEoTcon74+ZCRkXe138lr8Mgwb+TUJ8rd528wMMSI4ucyBe1fGODY3TZsn0VdF2vH7h 7MZRqt/qnf0y97kMXvDmHBYppG1ar8FCfv8RFkDymqywLe8/54HaDbw/h1nLaSSEugUc4qay3x6n 7qOETr7m612nJC5usmureF7KOEgJMIGdLYytw4QjOrfIcAF67J83CdJc+RL/7v0uysrPQwtPGjLx IRyw7ozfFR02ILjZpZ2bjpE+LOO3a2gLAekSHvIBSfNZyoHtCdnF58UIjh9qnTjWo10eshhsdG5u bKzb5lJ2rPuDWOacaWZSvhdIx32BIRdJOYahVC7r0kErmVtzKuh9vn5XFs13DG/46ZL7Qtzl6zPe m0Xp9Dv2eX4/gs1aUyDgBtG5yUUyU4+We11Uk8K8fsojYMJjKL3ltQ5dc4bt7jxr0TZrbIXjary4 vLbJLwwCMay5luZ865Y+AxU4wryCJVhEyBAHH7GFFV3x94RSleS8vsfuMCVX7+fvXArwnR9FIrbm IiR1i9F0C1AY6C/d+kbMxoDzGqRTbZ17sof1A0G6BdQGooTC5vh+ZSmDjSyJjhKDtnBIHlQgFSYu LOLtPs8zB4boFm32MXbEC5wSoho0ooPLLDJ9v/rOKlcbWQKsq7xrKjHI+Njj4q2UvcVh2cV/XuqT OA3aMi7G6FFDeYkBowoKtnIt1JI2+i7ruwJyX6Bxx/JFY5aN12L3YT8q73pQ/AH+1N9SN6V/3Ywm +luCf88CVqlC6jzE2ilSpfavD5MrtiFIdchczKKYNMaz5divjb4snATEaXkAjt9dstl9d0beGAQ4 VDAdCo1a5coTZgjUVhXrvcrABVZ1093bWpGx3PcA1GXXWPjxit56Ix6ilw4TpzNMxgfAOvzcVGo4 C0tDEJMz40jJOggdtmGa1GuI6JOMJHEX4XHUqjxksaHGxa/Hsh6MJn9PIc4FfhoVtXhVFMSmzH3c ksvR2F7WG62g1qP33u1W5aikjRb4/9CWN0Y8lYFgAO/o55s2X0Nc8s34zzYWv5TqZGtn9i86PXuQ dgKgRAtPmCRrFK2//poNP65rWndC2rzGZNRvLzXX2j4kVwdxeIQZDvzpJrKUTckbpAr3RVjUYxcP usuRCMQhprZ9MoiwrDlP6a3Y8NWCcxI6fZD0qoCy6jGAnnqE1KpNS1v/Zqg7cKIkxplD6MOciJ38 GJanGxs5ZLZEw42yx2gRIi9nE3uL+kiGB8XGkZd/moSaD2BPTC6yWAqcTPxA87eWalv6O86XVu3d 8NTsuOT8v7mjONb3rCeUA7bd8q3s0Hgw9Ir04zzQsA6cbu6yCSk4mtQcr0PfrbKxJx2xLksNXven pl/rEDimJuegCZlfODFQqCUa61ZYNYih9vj9p1/Y/kuOBcNOjgPwD85uxdm2NGFV++O1+fWvisDA 8bU80PRMO2eV2paGzgl2eJDulc9AQaKRGM2azDewNd8ETWtv6s7Or53z07EzWwlyw9haD+9NAfvR aithLe36vS7EAbVz4MotVSYT6OwJYSIJIz7qILZOuunKiPF0TjsIjaw3U0Z1PQiUQ3gdmEWARSDD vwR5extiH0s5Nl6hTyaPWONa6D2Y17YrGlz1VUWShP8M6R7JJvSVeKfXyMHNH7eXIoClZWNasvET xcbI6TF1WmVSco5icfpZ0I/u4uppjAALaR3wQzEtiXKFcLcZJXPhQTvF7tFl1GJy7E1ig1Oe7BqR Bu1iYregX2EDTdE/zfiDp3uoxm900qcsTWkr2PaGK/xnKWSKX1TUeYO/llXGohbjVXgjsSdPZgdb Au+nZE2HUozrPYA0FdmZpoo4/lYyZzwx6FlhX6iY/vfd6GPSnz+hEhPG9dDqYh4rco31nG1epDIY 34UvRDoeRYwVPwwF1uHzC9GiU0ih3uOuYrur9NUxtf72CekDnmnsQ54Cly9ULn5j2qi6AnZjdN8Q 318RRKvbIQTwofkHChR2tB8qZy6RhnUzUg3fz4t2iI4EmRwqaEZquJE+KOBeatQm5SzVONZO2QOA MNYSFBRSJStkOUgx4riZ2MIGuvLYckKwdM31qBqfVLPhEvR1Gwkbj2AEhW6zCaq+kj8dX3BLJq3W V+0nbkhTO78Lkxoe7fYG8884SwoMSJv3Nq68WLyQ8qaptIQkMNJjuUmeAzacpu/kPOLHa7qPpXCU eKSBOnc0jtAvfZ8hF5l7SniFY9IqNlQWsNG7u8OJbtMU4iCmHq4xg1S37KvlqJtN2auH/ccqLG13 358Ubag26HalmTt+fcQWDQpr/CT/+oMC0EObicm0FABiiVQedfzsH24qdhVHSPRAzr42hv26EcfE 3qZ1AoJx9tQYN3nGXDuwJhDt7maLZsVWORe5c/FSQQLPb7SxRF5u06lY68yO84sRh763phOh/Hed WIcued9LiKLmcEY1JuRcdJ+fXFzFf74Wz6tBNfBLm/0SnxRGb2OGXk8KSmO8/giBWO5B0xIi0i4u qcNXJ7YnjQP0s+2v8nxWZUKFYu5Nersm3GKUO96UkyrC7jG3dojWdw+Q3ngiqAcjEyHVjOQSNR01 WojZpnMXz0h6hRO9H4xt+SSA5+xQvF+qxzU9++SoOeVLQxoaDWY28ykfCxDZw81DlzGlp9kTBC/e nu3O34E4beyMWzyxi6ZeWDsaq4yucZ6BfUdIDzovqTMnG/wCLcVAruTtC3eCy/yBtCvNkBCAPccC FvCFSXzUALUL3chyqPC+XbSibpEHQIAtqlehhyopePcE4rUBwRnQwtGArepO7R655CFJ0d/RpNJ8 RdgPCGzERn01HevZCdPLiwI5iVDrDkiB1kSlGAakIrIw0onKe0ABGAehFdRSuGBxq2u67xwYjgQK aXoDhQwJNDVhujEPsPoCugcffUBQB8dCPBiWRYmCaMtJgEUO4mKtOTmGSaGwFyb3WCxd0dWR7joJ Vcor/yVgbmKpPITJ8R+3YlbdWJa0Vu1+duD0lSgKYrb9DZ/j7y80Mi7PZaAgutxSsvJdV2Dzo0wM cHt8WfW+ARZQEvdArDsUzPgWD22e8qVxPUSQchVQnlLGO3Un7CD74jWEztn8VZv1b5d0PRN7NLDC feiIehM+8Crx9S7E5QbiC++8PUKaTQWUTezqZGKB0A/JK/Ot/wSd/eDALzy2b7KT+QoQiABvp6Hj HrOvnp5R5Z33q5IHbOdGHNBr40vXUpmzwxjPOaMHf6LZ9X4Xn7xuwIQC4uQ7u3FB3Tafd/17fctD w468bMLp4faNE+gUyUW5d58lKB98RJPHEJcvhFJIYtBq9hfjn+NsWs6+kOqemU1MW8qrezRHmet0 pNXZCLBDjWK16Bj489yALG/B6EMmJWRvblsANakqx8PbCi2Pwh8NMZaBzl/A+6wQWDvpJ6uBY8IT n5Z65EN4D/rE509AGzwL9LYEi1yg8E8WfFdOlL+cz7JniDBDepZsU+eUSV+9tP1vzKk6RSDVc1ol EFN2Nl8Smx88yq7WqCjwm7BYLIxnbw7tcVomETIzl1e37ArtjsPrZl+vqPzWCGY5D7XvzspsiOl0 148/RHtJs60cv42XBQ5Zlc94YXQR7DN9TiOOVRCWp6lEUFWEuvbqJuKnjR5XkgOg7ZIB2qecIJ1v zMFPXVplMR/Hvk2SNf0ulhdmI0wEBAyRi3EBS6s167Zf2uSuOHm9RwbCIJJwnySHaHa/GCWTZC94 du0vySQg79/bjRMS2kFZzK0YGYwkk1aRidwFNqqVng8qcSR1sdHxBzY9EILYpw/yeDkwMVanpuCV 5umCgHU3WPlkVSGHHED6zyXhqvAVaIn8eHyTC2i0s/clNmUVT8JXpwVJhFV1h9+JIT5Xub2lg7SY 88T5sdxojJzuoFFUSPS4Noh3uhabXpsSn01zWl//Behm9fLnwIDIdAXUpsn40Lqevml04ZGqEkvE XHBxf4/ybXFtUe8fUeXyn3ozl9vehxe1G9BbQ8m1OAEHGJ/DCg4dQ6zQbi9crv5+gIkU7bK7Nm5X gW2MO5wRvCv6SOT8EQgkSnGvBnA/kbEFMX5LmBjmGLYoCqwkoKnGfBLk4AY2LMCu7ZZyp/aZLhNn CB96FsZyWRbElQEnV0tMEvH8pd2CLa3tUHqnz9O3QE8N7HQfhCEJ38aFfqZZtkGnPC+ids6THv0d MGwHwwDPOya3zjEDqToaPm+abeE283btVdf5Jcxhijm5UVlRIf66LSAGLwY52WY6EeOYwWt/ftEK auJ8df9yuASvtYuQ22p4nIyfMAWcBNXbwyOFTZEvLJb/xboDfClY37JKh2XkPPZ2leJKT0FMyLqM 8+LCanuwJbsEfqE+LGswH1AP4K8RW/7vafl4hKA2ekhTBf0c8+qLLgAFVh0kOcQizhPKlFK+dMWT 8WySDfTRgEAViHx6DQPJAvvhOi1DuyclF5rXQ8YL5SwEEnoq2maLbuF5EstYTdI/jt8e5ctR0T8z zLzIOYpYZYenZfzOKRQ4mMVz8WazPze9WIUv15z7KhLY4J43AbiSfhIkeGVogzFzslgy2ICGSRzK qvVowHl7vUtjYfbGKL2p4kntJtFmsu2oPlpn4D2W9flor2t03ZtskyxfSTFbJrKHLosW6sj6q0KA pI/E2xJCOmnJHV4YCGXEpz7YAeRDX04dXhikaBjD7bVUa7JZhI3oYrpWGvfr1X0SUNgg8NcVgz0X AgAtttRj1qcXimQnEqju410lGD/zVZt1Ws1/9CV82qHtDxM/oJkWbeHdKu7NbApZQHtof/YW8zNX nVDY2sbjFWAzRRdr10YF3Htaru9nAXPc+6VAU1qxYgGfai3ZQSuDqPFndS1HgJjkJxSdswZFtCLN puRslscPcfTr/YcxeQOaeMlH8uGnMgxjTcAT5qeNp4BcEkEPbgi2QgUvIPaSiiANM6l9lRENmeJK 4Up5yUczL/svMnaPQn9KRlW0QK+Z4NqYDvKcwRhKRwUp/K9cDF0bALtz1LIeejFcbk5JelQVGpNP UM2XI0JZpOhieS6qP0aJIn6Eiq0MYXZ+tf+JNptVXmvWSFj9f9FD6Zc+HONOi3CPMBy88itd6bZK l3Fkne3GOarBsgK1Fsoa0TL7Spj8CimxD2CfNYPlUQDJMpPwNKoCCLKGEm3AvH1PX7XQPnccU2E3 f53VIUuJJEhMiy3PNQSJz5MIuGmkYTBSnQJGxPbr/xN8S0xFti3C6oIu7bE6RAnpA/4179398QKe CZsqA8AiWvKkni8ab+8ZCamUpVKu10PqxnhV+XeLrhnWgSLa+7j90RtqSx05WeBkSS+21WF4gioe 7yvTxmQwDc0CbO9baYybXPhbUDBoNobWc97pqfm8dhRjq6KrJg35gplak4rxnqAjshf3u101MwUx xnpEiDOHo7qe1H5ggEanrK4heuuu/oCAlnJXrzAg4oM9TfyPHbs2PD/to0nNXNxcR+9YnsrHjc3i aF70gRuzA5XyiQJifQ4xChlU+1RYxVe4D/OSla/W/6+SzKovghA9T/MBFlqdwOo8SCgrqY9n+BOB 6BmZ5Eq9dVOvo+p/HJIXVzb7ohHZmzGGlFQDw56bVvNcrPWzHeFOTlqMGvFCJWUQeI9Meswc+LF8 li5bR45aEfZp0A67E9YV2jfV2/dWOeQPBwxO2a/L986k+dNQiVdckweZ/xjth+BKeK7bHlGKICos 0pgchlgp35kZeBpLCMRfTnK84351yOer08Fyeb2qnZ+IQ8CyhClQXJUonNu0Tz3lu1jVG16TN3Aa Xi0HuKKiGa/v+2V84J1MtDhBFe+U+zL9eNa4A5K7FqveL33500wc6CuhIZspezlBbuo437tHvQFk hCYMa9lfLkm7XTM8uyjLjVnf8O7auD98bFRFm6J7f6YGBcCYPRozp8oYaHxm3Q3CwapFH2hiRO77 1QHCFmXfyXoT5IPNtQjt5M8dzMB8fooYDvQ5T1vbq/nt+y5sQZn/qePXyTdbKf8/3Lmd+CBjKr64 yUgxlGsQCt6T/gBd4UfbWAKWJ+ZPExOB5raN00Dh+d/tSPY60GiCO7bsFQEslP/fGw+sICtTad2P /6w8oWXx1efDWTPbMuBV78DML0zQupyvAE/PDVz3dyU7kEtEPZ4/pck2zzLr76DL17sMt/qa+1qB nqkNssygN57ZTbjmVyoRb7mUgeamCga58y/urSMf7ZAjDX8Ne/zsGw5ALgOz64XRBy/RnjvGqHIJ sCqTIeUOvdgVBIyzRh+6DoVovmFvFaFl5ju005mda/45VA0MNw3ydjEngIyztR2c2b1R+LguiL1l 94cfe7zmKIekd7B6mFXLfTwjUVbJ8TigfOVySFepbmB8JbRLojFSErAa2R9q+I8P+zOpuTUfKtxK 2nEkMbahIGI12uw+oFryccyRN7Yvuf7xQt/wxhGkVUkdDcVj8E70PGGpZwlueuvJdb/VP6roa00r MeghzlQ0UR0S7yddPAb5NbkqbppnC+DLJPGV+LeGS90XXgb8phEv5PywAC/75aw3vlMZgDdO5X6X c5AIWyms2ZYyn7rSUy69uM00b1yUZBQzhgCl6LJIxSdk2NWCbjh1lsQdwc0I6EEsRZcma+35Or28 UGeGapGiubh6THjWu4vzMc2Dzu3IKhw8IAKG5Aa15tx41sBnzpVY2t8IF2RggFy/BU6putmCOCmK q0OuBznL+iX1WACU50+wNVKyCDeLb9SPodxZyK1I5AnUL8KFuJahQfJY2ZnLMVE99FkbFeV+99to 1uWLu02z1KKcxfuNRgahExJLgi8QXeoKzcgnXd5X8OYJwUm4+7WGDyfJkKU+dIrL9do2M3Yf72XG sxoC4Dw2khsbQ9oSUbspNsUydWxQU5mfazPvVFKW/S4vNuUhKUyOz9jwHYVeanhNRlUFZkKTv+Dy gRRlAxCwjTNCuiHgxI0rEIkZZFoNvE6XK3AcbI/tQUYeqGIFC8i0yGwIeWHwdb42TU+xo9y7bilt cc42oNdC3KBxKzktk58VQa+F0//7hNnbX3Liwh+4ncX8Y2AFsYMqILbIBl2Ku5iJrICVYR1EqhHR yvFz/5/61Ko3X2NXk01OrhcESRUQYSpz9btTNCfPoSiesMJT6vjJ1BDfGeYL7tiF8kbokq3dmI/w d3AI9t7aHftuIvsy3cR9IAK/9ftnfPc3bntuCvdjAwbeWPF915qSdEMqpjU54Ve+vEV0HRPF4Vmo gNx9Wu+Ilnhp3R7nW4Y5SC65JoTfUmOVO5cS7CzFWOmVTz+sFkSFURqZLB5PFgX4iqpAS634JnKR D6Bh8Jy3pkCboj/AmVbGEFypVi3JVZ/HaiSimQt2KcI/Nzpq1Vc3qd+Mvo0EqqQb4sSfkyqj8FGS hn8b6h+D+312PvEfwX7SwnCfzcpM02+NlzUJQijH36ZCumZKb1yRnOzQOJ4UdKuCWETB9aizdZSV Duk2TqrtbhJReorEgks9yxrFotpF3rWXweVTFhIC61UnrXp9p7/jgneh6bhddBq96kqVbD8y/qAG e5pivrXoKBOE6W5rqViJ1MgMogbBY8ZdpwzeIG5Oob0gv9IJm3ioC9c2yUu6bVKwd/yH+gLdz4zt jHYDKpUBCW/UcUGBF4QybqW8k2y7PtDRLbojBHC2UbHzQ1d8U7nRoBtg+rIAA4VoHmsrzZdnhPzX djAfuAYNWuBXaWKoYko4TU8DNOrJ4RwoehTIBQLiZiNS0Nfl8B9ZzldJfnkG3yh4et3WZ1GQNFGJ PaqiWY+gE1MLUdGnu41gKdO6XZc++BgbhdvTsXaG6BpQDjguWN/5tw7PrQiF0SNz2iidlT+/kmi7 x6NY3aK1idIVwaGfWz+ALzVsVV8dyrBbHU1fJm1NEcjpBJuIGLBl43uH/3/ZtQDRenwBRLbZ8cBh Fw2R8mMkch8xDNr5x1JwdCLg28TGCo9KssUfldS7yZkUuczlRnm6LEXzUFkV1Bv96117BcpXXuHa PdDgfsehbTuYHUfTejyIbPa19qm+XahTogCo4VM0GR/PBIEb2uwn2KP5TABlK6POfYnE6wChd2/n A9b8hdCc3t7afcs2Glpu4sx9aBkxM1FGEJoQdyLxejvQAh8z7D7FEQENlQqoQ2AOz8vpvpKdFTMP tXDb80geuwRfnkR5vNuAQwqUDqQfM3J4mp6i5qP8D99QPd5D/jjuTCRSvGisOHrDeXC5xkTHmLsT f1kWhkaId8r1YSccfXjoWPOn08ZZsWTU45S/7g1yAXwF3rze+QjYFkjbyyxEsZJ2LVcXj+hpCCv5 WLgMwAqhYdtfF/ihUThNCc7SU00V+qa5Xw4CqGVXmsXER1RVsgBpbHyinMPjT6tqVhp7De7MNnmb 37DIFEhThG5F8ETT4iUtx+JnfIkn3k+a/HJ1GmScsy+KwB+LTQ/wBkIoxGFP `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/bd/design_SWandHW_standalone/ipshared/xilinx.com/xbip_utils_v3_0/hdl/xbip_utils_v3_0_vh_rfs.vhd
24
157786
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GK+B9PZwAQG0AijumSfbCugpYhcwULsoxpdEe41kJbdOvZ5J1nq4AhWPTePhNLqLZyBbfYmxsIZl Kzz7NcppbA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kc9rX2vH3RY42aoriR6ztPTcqZ3ndb7iB1z0rAP/XXc76vu66p6pBS+TY6fgUWjogz4K8V3rQcVk QhbKnNsq4R85/qIZX/owqI2Xbd/dA/PL7WzHovQfQ2Zbv/FYpOTcbk1GlvA4SP0qUPoC9F172fdR bmnSOlCifs0w7zFrmVw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TLARkr6nHml2Oi3n5stw/PPzVB7LbOYkShwuslqxUidwZ+zXMopRNQY5lJiwJLSjHJiRYifmHfrw 1j3pLKHylIJVGwwneKNlQUIEC+wFjTqZ0yAuiOyhJf38AZ+gdgxm2CaJ3fBX7x4vceudOD/tftHy +O8IILkavSBr/DqYddVCvBGT+au3etiWBzsr8SSEyNG/lJTbDK4JA7vFUA0c+/p8kmR1k7gzgea1 LBaUKnLUiV7JGUwFE/NhXwyQOUCGmglBA06YamX7h1THcGtlLA93Az177ZMGd/ySK/UhnBMGCitu M+aRnd+ejseJlC/TV/RRTDxx24ieJfkWvHUodw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SOZcfpI9WzYyQTjPteLe53BWFPZc+91kF34keudF0ftzI9AfaU+XvWb6i7/0j9NFuqQKcqrO1mrT mCJW4XBC6rtaSHo+f93/clBlPzNqgtx36jyVhhwaXJBq8NOhuHgbnb/nCxFVsG94fWluz1T9COXk viw/Cwn+UZigS75GXwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iag7/uHCfg3dlMRP5oC7s3rpNUzCn0pv+HfRxcgf8SAWmyxvCg2B8CDf9KiNCUewbeMkGKMGe3Tb R2WV4d/gItKUaNAw4Uf8kShbJmd79axzwnLiskEgzh0j+CUBLA5R5vsCRJG7/bkZDHI/qNavjSAk CR5yrk9pYg56DPafPJ95uuMckKWjlrj6IWIGVOdp3dHDL4emrILmp4AK+cXS950aFNNLCWzyQKzN +FlCVg2/0I3FhHgIx3xQ2Dnq1sUKOUKp1ixFXKZ4q4xJYeJTLNIPGu46A8oV/Dt+xgcCjQmID8pi iLxuw3lWUwdrRNfmEI5YFE1fjCSObi+pLLVLXg== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wo8k9qSNHjnAGR/g/m5L/ddkyNUQ73ZTe5OnVIGlwWehud2ibAyKEn5YmcrbfNYu0YZa7A4HM99Y Og5OjbEZe16RUiTwAS5/DcFT42yfxzDUFjxNKukT82hs335OEyhTsOjtOrzqBjTumgUGgBJmZRgr mZ1oABh53+odWx0V2EYwQoXALntoYWhr1xxtglpek43rHi8oau5sK4Tms6Gyqfj7c9WpsIKE37YK EHC5D7h7fTHJhmXpQyTEwa/W46hwUcSV/ADv1d297c2FRqOHwlURm8vTbqNcrI70Qst5/7vqf2JM KHfcXGDQ/S5SfZ67IKYlYTnNR7zkgEIdy8goYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 114672) `protect data_block +p6IiOq494xySVwAEUme1GpicgVWFs89D5IanJw/OkkVwMV1NbryRNBWot2FFyqeqxLNnGFA4AWu AU2xDfDvTiXA0hq3ryDXrOqXfjLuxMfeiA9GUc85VQ/zNgRAFQoTR6PGDDq+rLVcCDXil3NseL9i p5jnALSn+dJHRhysloOD6RLtRO54zlUWAHE3wZvxQ7TLQRk4QEx+4nq3gpj+1yhXsywZomn7Ia/k FpOo/nn66pTJ1F3xuRknW7LPd2ejbZiy+80pd6zIfAkAqZ5o2JbJ2DY3CmYFIIGtLQK2vnCoriGB dt4fkeMnIOGu5hV2xVx6q2qjLfX8Biazi55MfB8VFsRGwg0zJ6/s9RYMmESGBvsP18xmtMX5/IEz 45pL5C4+mdp+FG+y3ubozEsyhHJDYfXpwwKIEg4zybXxJWwRCNFxomYkdUqmw7TkBP5Yrohhk+jH WA8/zoYb2bHC3mE77ejM8rTYal9HNMToZa9qsMYF6mSraRYjSnkYVwoYFlLDh7wTBQSafsql7mBD 5Cw2DCy3XQzokSdw+yRaLRdrL20oE83HJyXpns2zQAteH3ExY6aHvcCQpQRadTDxBPqadwy57wrL XsTXXH6HE2XUWqnBRy6NCAQM8a9YpVfwytZODn7zCkvYjaEq4hAjcdkF0XvvhJfIPxdGrmP7OXwa EdBfyqqivYwf52KW8C4IqEhtoFLcdzEptOEx3Eny0U6NElM5Y4jdUNotdwSgkCU1t3xAIkVggDW1 HXA+XA+jdW8ufTCCFCVKtFrdr38TNu1cXwSODRyc1BMl5YhFdpXCyfeidLEA4uDt+FcLzI1ILMsQ yWPKzKZNzgZt0lbObs0vLkn5xcgSOLkFb+ZemSY9lAiICs97jFI9fbMF8CYBFr4FkUOssuxgyaf2 ZSjnyNw1WF9l/gtTxspz1vO+m0cWHX1Te8TcHIVmM2Pxt7/I4FR9+ij4O1J2KU6/XPdZQdDOKLZ4 lf7GOdflI0DA+UK0ONwV3XF4JAA9iGy+A4t0R1c8VpBrfQSZIO7cLSgguFclq5KlwsrolXWnG5Md M0RJD3iYQgfdveFx29CLhfaB+LCJYGYmpxvwFMM6Ltw9kecrKpQ8nxfXD8kJ+BZ6QNrUZdYqLtzt W5Fatdge3riDKkq3RGEKthrsHRlS9dCMQkPx4dDXFRrPFIzuTSj11qIyLAhgws+47oNjjwJ2V7dU SYiZ9090zHk/5mwaJH/mmJ6pjy/Ebmaitn493AjQsOFYqt7LRjTakRDeH+80GU9QzK2Q5q7hFhmy HmwIo9L/QMSqNKqqJhb9iJnSt793PHsn65VCvwDGLxLr4yIZXRdbDUnWYWu8lzeOe1FZszQFTseo 8/UD35VkyJGwYNhTqvazOHtidoHu1Uxj/z+oOa79XTDg29Ez3A4flhZtoWR8Xigv215F0YUSkfTe lt3WuzVShqpBMvgZqKbI4GEvlYEa4f1N8hRgA8d4Sf5yOl25Kfa5yr61p4o92J+jCPNgSMeHAa7X HEr5EQjqwEfLZSSty09HeiNKrkZQn+8DO85q5vdYXZ2PjWSXCyeo73UME4l1LCyOFkDPwGvX092K IBlyxF77zeYdLUenRd0M6Uw/WXY3iioYxxWLzlu3N1493V6lZgYpR4cju2H53PSRjHxxIx9/kF0/ SPyl00EqQU1v50LG9jGPlhnRgrYIM7jMPB6gpxtuHDaMr3YfmIdFWgO4AU5JMYMCFWqltHxBbjpH w7GiH60UkPmAzK1/NhQjxYfJKBKUVDezLWifHTv7LD/QmMPsLuLPp81i371WPkBEGDSuAAdMmssv xAQ1dn+OifJbxbw4r8CQ6Kfj5hl+CPN6571eYg8yuzsEcqm2ZJEz/z2dC5OIbGGPyh377ppgB+KJ YsUGWOOCOMlP6efNOdZNuwqRn6k+dAtnTsCn1ChPJdDWlDTcK8TV/I+jbjZ5QHpw1PoMqUXZdy7m zYpmNbQsMn+ZW3Mczd6d4onIDLJ/4SIR3FY61x7wUi5pr5m1LDIj7j+d8CnZzMOEi5fYqKdn2JCg fiGG300wfAE/W/gVXKERiBvAIcotA+Jf5g7HV158RoPrJQczLuvBbgm5/L09wxFLA6VZGLfBUFdq 8xk9lKNtkf0aJzg5U1i/mlO9YCKPg6bsqE2jxNWy+9wJyp2YnPBq9FTTU5dNSXzcam3DswYDE49z uUtvizeZcJ4rJomxjRQUW14lm2mYrlV7ltbkELtvUbjBU+jFgJDh9oNB1BfeJ6ysriXH+x37Nf/m P78suE+a+hZqPeU13D+YUA4ilr7G0Ra7xcqlp3Uav+rbzMcyPhGNH7nlUiKxKJviqwpcdX9BOVJ9 NJQOHG94WGbr1f12kbi+HEIT4yuDV4KARvKQ+MtjLrjIcxyitYROFgomB+Gw1POPg82FwjSTjwt4 95yT2dIUVzyO/h0/S7AiR+OvhT0MD237OfkFnhKbDU3IiCKgv/12Ak8hp8YGUJVoeDz87T2flJ7u cO4Qrdi/ghkn1gjTrEKwTM+2DxV+lHI/BXPpExoEf7Cnfkay0+o5TMUkxFQH4dZYiKMM3nUpMkeR 35OT9znj7QUX+iYoas6NoNlFR7aOr5A3haG/2nsY7ZuujUKD8lvUKw08JJ2MjbLCDnRs754bweFV UcV0f7b57EDFvgs05FFJox/oE4SAbTifJ97LcvrHzCcFjRX/Lv6JaJs+tgjiY+5fBuUOPFnTAH8Z FiQdGd2mg5wakhyIDDz2cNmxBxZbXTaAA8MQ2OApEjusfN3Hz/vsxM3S4rntr+V5ffKOrBU2yla3 x/DQ2FNgZFRXUchpQdq9kY0LYjPii4WdUBU/QPC2Kfakhgvn/5eJmOwGHLkTeVlBwB0KUmoDHjun lXWSWiFEtJz0kZDG54SSWNP9IHHzqrvNzo6eeE+T8kwKzxNM7z98ksK9yx4t772p8fJGmu3tfJxy Z2UXIORDUuyLzzbpAgEDMhFMUsCzP26Yh5M9ly5EJlKFgvEq7qty5pnlA+r3eO+NxC8FC5Xwx3Sw VvIXeMHJLik30yrU+m9sxhO+3CF13SjmGoIp6luw2Ynvipsob8EX/TDtzx8ebDFB/dhheL1OvR9I UOn81nv+yhnrNg/P0yWXD4b9HGb3F+et+Jq8dRZCvqxICnOa4R+poMH9gVkTohE03c/yzF4dbHcL /MNqm5j7EgXZtwWDi0ZICzZJ1dCckzq35UjhPvmEaPTt2KvYRrs5R8zeddX/CN81PfZQHyCPQ6ch Xl5ygE7/RLR9tp92UpbLJAPgQIc0qoV4ph2DvJsgZcDdDsw8xrifYVG0yHFG4DL2cAA8ulQC5kdY 2WCdaSdRvTMvfR3wAzSgHH6nycvRyNyikz21aZ7cOqzLG/b0HfIvDPiSodqwN9JvsXIDem27keFW oi+a38ADF6InPtueG4zHlGODc6gYOt5wMsu0vZvfTs30hlF6VlQsLsx92qy6wh3PUQvN3u55nDWL HTaQ+rxTuMwHblFqoNp0jX4dCkA/jqSSKVfDlAMKY9EeMzyCBykbL8Nx3A348fhg0gd/Iva6TSzX 0RMXExSAuwZLwjrYlb6UEAJqKGFfL7N3j4sHlEFB5HSuG86Jh2h1GAOjmE3fv7eHT/G+qgUiavUB wO9yxGuL3YwjyfXX968F30RRTTFcPCiDrsXly9DhRTdP3kfMjJTikHXNtTOL3jJGE8Q5eE/2aWsh oKFdWMO55NhiHxiHUv+QfYy74tldIINpw6nuxHqSZlmJrf7sk0gh5Mdj/b1Hm8aoS+1iUwz5wF3E aPgJpd8AIbcEot35Ig6168NHQIc+FpcePMfzpaSnc+RTMi2gVI0vh6BIdOrOJwlvNlp99WgC1Uw0 pR4enqdsfjgK0Arf+6NRMTDw1q2P6dJOTZ4Dbh10JAyVOAibv3msx3e/lDuz9o7kKncPw6up69K0 qHHizSO7TJg2X1SfZY3JmJCEzxr23HjaczN0bl4yhBQpi//VH0nO8gX61wvEIdYMqRMgOeZnb3BO xtqwXp5L9pEzcjibg9nllWotpAVqTMbju49jAHMOVcTFyNXlYusV5jC9ICExSBx8fk57+oTVOuhY 5sCZ+kx+CMIQsmRcOfqEzopKFGH9Dbki+AjPPeX35VX9fzKtB8S2/jXeDYWESug0UunpJTG23Z3S t+EVJv1fzHY/tK0YRv8kOtxKybSNjPGzf/qhW5dwRkxXBIax9MbcHGe5ryXdPeynn1GBIIK5VlRL SKwwbxFu0VRWeW/vMgq/2gLogkNiYXCaPjV9rMdvlYAYc/12Jq45GJUqBJzZfQEsRqjggTzcvKeP eiudACR3/z+D/5Ay4f/3+LqzOKUTObJVF4fikmSAbIXj2eONa+ZZE4VDc7qU4or2MA3HtSv/+8dx x2M/aXA3Vd2OWwknDtG4N1CtaJrJVUtHYQnevlc9nLxDBTb+pR2rMG1bvdi07u/pC05vxhynp9yU V0X+bVF1PlZo8SKJNVBky2JLdkHvscKjylAXUVMf7gmE69Sr289jJrf8YXcIeSyi0U/ur66Cp95q UzH4keTjPJNcpnjV/0/5zgk9hg11rIyhTF7ot+ggm2WjFeP732Fxp0lIh6lCa0p9Lf/A7b995I9A GQj/pEtX+5vztIfthIWMQGTlzu7GvRLLRDlX8tfPE+5WTBMGc7IlBIEHDv1i979OYqPv6cViCddH qeMegIwRavPXaVt0Hakb6t5M7PSr+bhlMYUMuS3UrecVD/7CUOirYcAzEo8k4u2HNcYOJo7c+Xsq QFYXlUVXBETgzLLSC2pB9wLgzONXwXmIs1Nmr+6YME1S28JpUn80ftFhItTi4bVSX58WtK5Sv6a/ ebdH7zIBT1A/vEKr0bexjwl39keID8AY9trZRZG0qyQcJeNJxM22gGM+shJjO95rgCMmNQ6XveY6 8uzzD9yXh5G96IvZCg6yW9j+UwGTYWHtmoCShniRYIjDHx/tbcglykW0qMqLOivoKJPezTfZrV4X UzQaJCmy3EO9k/NC28Ggc5jhKnzwpI9TbAEvAHi+WsRA94isU7OylYT8n8WuHEtwA3DpMIQs0rkb NOWztgvFr+61INSLC2Xsv82K8qtLmyYqLnWXS+XbYPnNr9BwG/b9Ge+2BN8m8Hn53hPB71S854g6 3iyI7egb8YEqf6SUO905XY2u2EBbe/HXeZkoZWQRmKyrIE7V90/NHIAfkYUZkbcEtFxHNHzSIn/X QsFvP3ByHr21AnxilABKJ5kBdP8WayAPp9caRxfTmImgpfQiwlrhpYqZOWmQMzPUQNKTUE2xMpzj Dx9rHJIaW1++AuX+clrzhPKCscRjFCm8p+yAvxs1rZWCO1n6CCxYfaL2k75gY+tsjQh/9+jgf72P L8ngBknoTPs9dFOK99vtpTWOjwcHZ+vJcprhwFpX3zPxC2fT3M70z3US7ppOv4VUCVC2+JlQ/E0r B7zaB5A0TyFTyJAGjlUMSGIetlcyOxdJTRuodO/UOcEJ2zqdi4UJNvs3t4J8DYz5HaOVji3T2v8d KttP5Re5oLPrD7rzKRRobyMpwaMUd66u02h219oLTJoORfWIflT7DEfhyiKeHLzTIAkvuQ9KWPqM RKKmJoMpzPapxIX9VwI+iP9NzZ3rOoIs6GK7V3a/zCh5eTWcA2fFG/YRU0vD022zeB4HM+CP4lsD vFdN8CLIbDxaKtxt9vqS97ZN/CyKRlGKOpTRdNajV3voIc2exgl1GsVhwnGwxkwrNR43iEN8j51X 9P6NT9qg7n177HfJsmOEpUlpCp892ps0+PfZV7speF4fTZxcAb8513nTY0KiQ1pgWbPuggHBOELr koEWBj2fkrE6ybOlIEiuo47UA2qQmajhmYjSjM2VsQ4CDHyK+ZvFrdNM9XvJ/1KFcrV5Lg8dvKak fSaPEVVyVLEt6N81cMhnd7Ho5vl2UdTc3Kqj7+3g4ysVoGVApGlJbWKbJYjSsWtgW2draTtHma9J CvquAAU8c8AY5MmhSitGuwa7BWwpr0PTj30OKjjaqvfuBeTi5UkFTJU7VVYgXlOJR9OBoQi6gqg6 /Rh79qqW/wJ5uGSfcRLu/gk7mUc660XTXLzJ9U6zXWejOPoLM0eAu2V/CSmxasgx6fI4S9PwOcqG qISt0L4RI8ImI38/Mq705nymHxGU+85EjtI1pZa8HiTmZ2poKnAtr4h+rOi6AI896XLBslBU6rBK T8GEj24iv3zdwXv1K9L6JczBcG5GpNcgcBXZCMbfQEixmHafE10iyQ2iurUEP9I5i7xUBXvUF7ZI bRAXftc6NdSb26WGRapXVRyQDCMAkxLxOoBnb/cL18qiTZ9WwFDK6AN4we/dJrhrbhEos490ZccU jmrOQy+VS2hkymj93FAE6OLWpeFMbI4VMSmvb4RhvCBgIYF1JRm1QvbMiqlpYZPNFYNElecYIvpK bnt88snO72Vvh6FSptN/oVScKj1ohawzK/uXbsRUyWb//72K3SQ/puDPmaLiBPE9RpvD2mqdlYo1 ggERP17KCMxIb9G2j7J/xvXSSA1p7vy8+CpRHT3+4/i4d8uCs7dodNFKH+2V63ED0HhiiSwCa5CR UY6zMnjZWiC/T/V3jVgSORaVM47FIqEIKGuC4FIwNfE9bi3Y9eE0BAG6y7xeLpG8ZKLPwBrDz4nE 5Qv+6TNwpXVrhNxk2ZGba6UQQ+rNERt3Ab8D/HPsc930WcGom7cUyiyuThczdzE8xCk1vSw/KMCM yiVjjJsdtwm7h+tQTnV7/c6BZ9jhaW9tcLUhItg4n/kOctqtwbMeZfZvB8MpXvqO1gET4/BiAscF FsVYBozpFIdDud8QSfAeDVXPVIASP4Sp7vKovcGx+IzAIt9s0rqxoP0Fz3lSZ/uWzjmEBVNcgK25 /y7O5QveQFwsbLXSMEVh62aNpNl43Uh1CRew5saqJZSryylsV5J+RazgfFGEW4cYujMdVIf6K3hC pz0DItgseRd3jhGsAqIJgsH6mOUsxdOI0DpJ3ODhAcB9bK2Oqa5YcYmsL0aGaA5TsM15GaPkHtnR 0Uh/D1QHgKA936FzolWJeZFwOyTrDxzJTxCeoXb4k0SJm0+MtGjUxJrrCjs0uS+SZnkCKvIifh+C Th4hYJQoFco2bA7g8KNkC/6eOZjWfcHR/D6+QMgvoULScJOo/0rLmYMb+MfXjsk7UvzYH0meOm3n PtaBp1X/OZEosSg2VShrePoyVf6UrXJOBsOBYAKW/uO7tp9yE7VKaquFJPUmF+JaP+MMwGw+SdnH cLCEuM35es4/kTmQZULY6eLK5sy614dt6obA/EPfwwVr/OeQTtfn4m+PGHIrV517WUktv2F+1yY9 jDWqCfBNFbxuChbx/O0JqrNsAnwNoqJvxZg0XkaaPW7t+AvAM6oF13gF/NwizQcZf0st2dyu0m4f kagLN1+3KDHUwO+z7QXZ9/ZnuiWBrIYMI+kV+DXOCepQ5gAVXJ4+N1xW17ZEM7ZehUn+nArbmx8l e3C7z4hs6VLjwZg8cQ+JWJG9oYjoYz21lQ/IxviYZT0aWhK77Ov6z6uvsH2/HrCkF9vlQVzNBBVi /Qr5ZZi/DgntNZqp4375V850zhey0d4eoH/nCMq5h0iprIuOtl6zPti1Kh2i7zndCS/OfmVgSDM+ ukXk86dRI2NNtBXg2I9ajZOr8Zpr+4c9TjdoDBw4sT5XCbKIOHw1Bjke1jJm52YCJUH4+nGbBo6F 8SszGKzPnZokmNE78dtX5Tyl4osEGxnUQutk0yhgPRGHKEiavFI3bef7DxDofJTHNrrk76C8D6Oy Xq1pwuj1eqJHpT5WGovIByieDbcr+ik3k9M3eWsZ1CT9TWisXHSZAVa8G2eUkoBYZIN3RAHIAoYS MsQEO14leWhRMj3JVsHlrBav2CoBZpqJfunmjSYYGdEg3Mu7NutVaUD5jKOjVoOKg8U7mqX5nyqo odLu5gs9dn8aElV9TPXXBhMtoVdWm46NXEI60VqxETrBd6aVdXucDjacgt732Lelim5fpA9oudQK qZGDc4hKv+9ue4agedtfFymsDgOuwlaU0W7mONfwsoqZQh1JI5Z8be/iTfLQdoaW6sVkQuYSQTEu k9DrbbW4N+Jv64ZB+olTwLFgbkMYcmD7XNmCKYOqRYdrEZTzm4NwSpKqsh2oTcO+l9GZOExxPWn7 QOJgGUOrht2J79sdLbqNYiCH74olf/AFgaJEJLLfM5ps/WBjPLKFoKVdpLBpQ6BJrQsGXXrSixXh Futaf5no9tJPwQlHnuhuNFdp8j4JzWS5LaGxiWpmcAVO4mcTnNpWNSUReV8iH1WJqHjZQeA72IpG 8BoDEnd+uSOoiVCN5yH0NevRNLlYIDNLr57merCXgP5WL5NofvqgCaKxqewcZXMDeITZdcmOpa9x eECRLRx5G4oa2UqvrX3drlR8qIDayvIOSwr76voJFbnbq6xlrev5C+7z6FRWo6BOzhaXlcN7I9EL QRrXZbbayRX6VIMl1NsOr7u/hwq8u5Y9+WMT4e5B3fTyxBDNQT/siRLBgr20gr2WLJcGYAasD7wv sxacIZAaljmR1nBWwOzpAVXslgzmH9Snjx0b77RDlbJY1Ch3qjRons6BAGZ5LjWbvVrZqTvcxSY8 CwKIk+0UYSX7uay8hyPedPovaxPMO1M/q9j/cMiECbPRSDMTmdhXXctQtZacYu1dbZPqO8Z+uhXH crmTYXjgkwJjHn5IAaBVyPwUqn5p4YK2tEFy+CWCyPzxCXuNypjZOqvnJ0bu0CFriUJvlln9EUwq pEVdofoqgdAyMDJPRYXqV4173uVSxjORAtVJfJc6TOWQiSq2W2E01jAt70zsPwSpv+f197hFcgdY GrHj1juZ4q20xUQ5JT+ewx3AltrGoFOmSgs/DAZb5QhMgEsqhd4LtRXBBWqQp0842fNb6Umv3c1B h2aEWKNln+JquDo3Bs1AzdySo02az+1uZNPs+OwU4N7REtNxAQ3L+dwHTnYDT/UcdvfxJljYmb7W pZkXoOEKEb6eQUTfuOsbBiFdM0pK60bq08d/mZ5aujfjhqWulNVCd/ZowcwolzlbsqhYc8Q5Rpps u1GE1ZsQJPyDGJK1QN2fzeSGmqseheFl7/IIQOQymI0dXKWib/2x0KxtolamRsvT4AzVHTvng9Ik IIlYfrkoz120tvK5zcUHOamqqy5yTi8GePKK0F7aMNIoktGJNp7s8UlSke/ZaqaaAmKMkdcZPxbA UvBTcVXR4hLHSWPGBjjgJIWIbEUYQY7HMtfa6yev25WrGZr/7ADHO3dOiX133dM7Hi7Gi62pE2af cqOGjjJTpY7nihllS3nX0WBay4k6r5zKhYHSrAcOEErdUjCg9yr1FbthslM4apqaF5GcX7+LxF2g ShC2K/i8RxjF0yq8knvdnGlYWT5yBsEW6LMjLFRng3cIhx798P2+T31xpHuHlvK/4rV4x9yk3AnQ gvd0xf4LxpzTi0EPlu07uYPGmbeU5byUHs5HCs2qM3IdYemP8/l2Nf9Cu8JndWGF1hRvz4Yu3gOj QoyjO1q/H0OZhIy9ykzqabiY3WuWw0hxuw1VNxtTQky1527vdio71jAM4hc9NOS2u1OF70CbvFMZ g3XBo71jdoi6K7PhbMReCU03viFBqEtCwCCU018CQhB44Z4DAJpVX7/NTKDGU9YFVCDm+R43BLVf bRo7pmAuOEDDf0shPUyp3XsmIRMEtCtxNljk8ibFDopCm2NbUkcq/kLchy8wJJ6sDh1irwogfZI5 HktXMBLdxzJDsJXtpql0xoTmHOTmv+830YX4DAh9ri4aInJcyGa9rmdyP5t5+b55vvEdbjzNkaXC xWL6PaiygATg8egkCwvNORzkMXgHJuW9C453NDnyoSdM7OdqG3fVLHcRlhyqQsgdD4kqnvnbLpuJ LyWpI7e/gbGJDqVbc2Kaui50iXWJZyHvoraNYt76qrhqEFRlAN5rOQP4MyT21Kyp7U28VratiA0V U7xWalH7Yjj6OLRWMVZ1QJMbN5117YFmIsldsikUvNCLXYGst8u5Y/7VJmxqzFk0pPQyCVai8Oa2 uWIEjmtr/KINxJ9ooUE9GFwpysQE9ezoLehwmuNjJ6gmqZh+axc02C5TWPurEZKOpyA8g9063Qoj 3QW80eaN4L+uP7r56BypV10H0bssvlYIJn4NNPq33YYJfvYb/BxbnkBGJzJVNdbFu3FJZsOxMZi1 4iP+T+T3cSXtxV3ZpbkQjWFyAbNWCkWamr0rAdpR+wBs5/02E/JOVKsFQuXuXwRzFEnvzYF1wIgg Cw9fikA6N4PKO0OpGeFB/+qLp89fVcfXT+tkkPIdJp+2w+2Ud7Z55OWEhQRbVMHHF9k2HRuYko1F ixga1im6Mtukb2zjVPM1PAKKmea9BvKr+lEdccV256KB8QYyJ5P43YWEcXwc/XNnEjUaieJ1UeZl cz9L62TK3spFiCCsyxE6/IkuKypLNS373iNmraRn/A9WZcgnMapT3wj18w53ewgm/SQ88YtkkdlN 7S/CeOeR/B/3Im8xvMvdNv/ZNWeOCQK3InV93Ad4Cpa2Sl1SgHBBw3lxVQCilRG63u4WyoH43KZw nI4oHJDEXTADKmmON7lFrmQ76sHMz+dkhx5zW6qGSw77zF7ElPP/0e53Q50+emOwxchIg61OaBSI eWe+gK2CVe+aR/niISHcJWpEFJ4RfCPLAUcgdd04MhlLfZh/PjhSQUgLOIFPh6hSC2Lgia1bhVNJ K4i9ti0OAkMVJ5ZsQuWIe/P1v7PAguw/iQTIFR89SAwrPofIexR+MfF7j9UrRpR5QRxMvW9pgI1Q VmX0pU6PSJJ8XSk3iaEIr/L81klEB24VVk5n+ox6Fx7MQx80wabRbnnOm4a3kjecJpTOdF2xtAXI ynpJGjzH7PjY8d6g8AITo3q0fkHBJbxbn72hN+ymNU8aMjp5XpmFadegv4YP2RccZ2O5Re1ZloIS zqpzAEQgYST+zS5E9v0y34XPNpBYyXnu6c7dugKPuo02A6ulHkcCkrwfLxCv5nk+JStgQJTCqDVx yWz0yQqNEbjvVZcnkVbgieGj0MB1tvnheXybxcFKiRsYXooIMAGVRCW1kqByf9Q/7ND/L8P/drEI f5GgC5D646HCpHzdAJcdxsudm81vO2lySm0jC+kvRgO2MMBHI/I7SAJBozpPD3HZnIrNnX+AxlTf hDHck1c5n1rzhxfYiKEC8bw8aVzw2ryaBI/OYA4dlm5NulLEcR1jOlDNGFJfDKYE4c02PEPNABoN EHTdKfpXkUn/I7R3WRjL4d6Ax5d/OGshs59o4yoPjT9yzT4Cy9KR+AKsg/jpy0rlnLM2tUEfSqBR s2a8QLpFw+PzOgolfD6vX9caMLW0K5+5RDZTRzR9xQxIVjtoL0e+RdvCXnYvYiNvbzOoHvc2N613 9d3cpooLnR1Mr4pKs+NADZ1zMpNvXyq5LLOJcXtxqIUAFCVGWW8oHTrP/Md4AhZJYfHNzeydlyir cAof2W3LI7peugp5vv9yetf650pdhr6VTcCHwMT566T718JUxW1XPSJlJEGtND8nUZMaLAVg8CZt CEmm68rzI3buHw8t6pjiImlAbaMFFhbGzCFG5s2Hm6iSwtAkR1eJjoGdtByuiHKwV5J5vIUN1N8K x544p7CloJiffYF7iT1RyS2+mNmnQyBsjY4xw/bDhk8air2DGk318QdRHoMvNyXlY19SxOoIpf2g e8PIMjA0A7KzdIO8/t0JITZCq5XlI6RVjpS5nSEI5BDCUHjKRra0BRhe7GhS4v/BuVSEfIgPb4OS EGrWu8CL0DU8ac0VVva/etIsa+GKHLONR1kU6cjNd8pLs+3M+LpFjKXpz6CGx68p+yPkXguwZfMD dqAdCuJgBDp2RGXw5lR1bDn3yGyMDgiKjU0UqUR0jv4CXbYiOhKgdPXL1oAEBNHM0btMjxHcKfgT NU7FXN5BHFK7rvQUhgqFTq6Gv+NIy1s0OytElJzfV4Qh9r6YtiMZMwlFuimByWSRquormEVufGy+ p4RmkFTNJ/JuwATSUQYlq80sJuKkzLOPJziI88ZcP4Z3E4CRTpIFZjPhCEW0MNWeaBiK3+ts0jPI aKcOvnY6lQjZrzcQT5nYq6zNgTJCHXUJZ1CLhlwIMTTns3wkyYmj1J7Gq1gP7gsxFPa5yssRXSf9 x8AaSB8OAL7qAkEIwuC2fuYdgYM2WIhH+mo0/BJ+pn0iEh/HzWma1xCVvK9MmTr1JgoZvGjM98t/ RbtTpPESXuTTmFtgfC8I4wQwmQY/IEDAPsZyiY6q7ILfI7401g0bFinmxjFLUlH7H1sFzZA5WMhM WZFnmQsIsjkk7CCYTPAkqO7BT1EBw/i49oilD0cLAqIVl9f+pio72oW+8FndoGjx/fWhh2D5QTb0 Gb8DQGXDXehOtjXOTmhWMC63a5v324G7yNgZLcfA4dZJh1XE64mbYLlBtYfA3FQq9e5jLZ6+qLkA EFb2mdrGq9FDYG/fSf5+qbm4AYuIUBDQjSlPaGMTaycEQeJ6oEv1trYMbjOCcEd2pQCw62OIiLCs DH5LAO1cw6mn1VGO3K7PIbrcay+p+G2KRPxDTaHeDeshU1rUhy73y/Ixp3NyR7XznnoYL6rX8Dkx 1ifX+HysZ8JfyRZtQ1ML1Hq8gZam5CjYQQ3S4lmIH5wjiKM72s6OD2STrsA/UuBonJsh6KSewJ8f gbA3QA/LVNcWX+pNEJl/LfFlULGQcHsozEW2sYFStlylCQZ2c405UZcqeHQyKMfmVQAkXw3LN8EV BAT8i54O76ex83Op/yfPmQ6YIPJFWHvNOOfqKp4xzKQDAQafRUTh22NQK3GLN7+dsAwhAiW8NsgZ G5vZSEon2XALGX2Oflgxmx7cyuAge3OwGgPExU4fL6wrw4xEL2i3XvQBUb14QfnkldOsh+5fqRry qDmwWySAu5cmDnRsjR0pPu22Xc2dQtR6rcrBVE2X0neVHo0lG/1d3JeNXfSTr8y48xOpmEwxu0bm QlZrb3CbCRPmJL5kXvvJ86VhpX6O8oKettv947TMguBK6z/jL9m9KsdksHrWlFjUMo5DvKnv3dkY H/a3y6DBe8gRhCOrvldj20sphkirlKeSpdwPcYsP9Z9uyPlpZdNOKla4bv0JTPGRWNHod9zzUq3G b7wkFvJhC3fpYc8n3C1uMiTNM1HZEcvN7fLjxUwYNWhEWblkczi73q0DJslnCrbasc8VvIWH98pu 9GcFHioboS2ems5K0JMkS4j8wRsxycSYEI/LaqGPXA9xNu8X9aEdRxlTkTZfEsOE7hew1qGhtcWz xSKnc8kio2u/B5q4AJNfTPqxNgim8vYkagN8jsFYKOxFVDYB6JPuzakJiexnbhPrd+qdL0Ko5+rr W5HT/nurMLxkQxUzkoR5nEHUHkuQbSyZP3cIWbROp2fLnoG1dSOaGvlAR+OO3ptkQMkDuN70Ql3H kkcc6ln+apy95E7T1HSjyPTQlmqlbcqeNt17Im+yiRNCodLgYkuH9J4mMPOFu4rr9nILHOtnijDX 58rfzd0x1Ad2U5bvR5K3RdwlRxlZUy1VfRnT3MdTK9qxv0XT8yXfe/60TVIzxt+9dDlaUUoxQlMw eHyLPzFJizXiSDMw21Zg5l2kx/yHRobDv3Aq/qKJtsAyaetS/NRE7jJlZ/rkIzhZeiItiXl84RbT t6sxozTgvWITtPCnRNHQGYdlelsEmPThsFQvu6blrE8t78U4zdWYNsmCOUrv4OkwGojyBomtb58+ HR4EYg0pbZ30RVYB6zWLg8hdjrirGd8gOgI0i/0ZHCuG/GokcsxCtZk/+yMUscwt5JHUD3WT0MPd a3a3Y7CwDGQDVE0UcXmTGJFL3YvgeI0YwiBJcqovMZLS30UFVjz3ThzIWscrGDvbHbelWGghRgxF JbDR1qxCImRCKKfXXZhie4zmSdZwJh6c4n1TjaZJ7CPiY9vKyehk1AxAkRTW38z6wKbCxD+GGKsw +oq7ajlwd+YxgaexEGVfV9sQfPIKmrBGp+esN4kGetSSzUswgi3PpsLNFdiUeXck+nqfQspkTUqA gdgv8nP6fyivHDwKhRVbQy2N/GfE1F5KrBFFnYFE9k9OrxfpZJyhfnnM/avJ2x1oct9UU0sNySO9 bwEG6Cq7dErZve5AYTRq+5jaQdKxmR/couqA6SMjomd2OLmupsEBeOo+6l3WVg0xMPD/TuHXdLXc fafH/c3DeXpaVKDtz4CUIQ5fZs12rM/S+C3uNCCT5o8E2Rxv3yJqvgSq+Lxa5XPRV+cX9WeQLDD/ xo6YouoTjeIyGcuigE2ZWpQXiK71zJ+mIYfykJcIOkAlQV02yfoewSe0OnjzRxPN90piLStXH9e3 7drbGe0HriHusvwaVdIUSuK8Y04watn4zZUIuS7hgRfJl3fUt6m7Yv6mQiUwKmhmFWKamJKkEPSc GFa0Za9ljBJrPx6kB2MxPHW/H47iKML6KJG9gpeH2Ng5y9rMKWnTyRqYajFTjIGprWDNpUhPps1L gMbchH9q46gYBboVLCB5ZNS4MQo8qbW6r9w3tSBUh6sofu9MoAUpgza/iGsRkDSc3wE07n59jDV6 A4pRWqSGOXbUAGGrTGFObY5SEGLGqwMp0lkdJF+sq5sTq/Kjf43LLMvLoVDqCzY5rSp5AZkI22E6 sQtZ7861JwDRi8zL6gstYAehSej7yHfn1iPG2jJaWcPWeT6H68tQbmn9GfhRExnthz2v6Jt8bXZZ XdXabpJQ/XcGT3oS9IuuQ2tt6WICA+m5KWz0TZ4gwFSN+lcZI9mCdzI0rsXdjxgEcdkRJ64YCWNO SrPAsG8JqfZ6qwpNrGIa2x9NjpfuekJp26oyRbH737CbTEtXlTfScb63/IRn5LgLfWexFS9ZIcmB jqU/FWydZl4z6jL+nzyQvQKkar3thZNlAUnyD67Xe5VouA/nD+vP//LlFmyzMdYF8f+fNQGIy5h4 NcpbIYmoCOCv5IW/Ylnu7Jb8zX2/zrBvMkOv6QnaMNRRxJ4LREg4DOZZV2b9kcFuy1iOLdzAERR5 MXfffobto0yPiDiUcZ/zzUJ9+DkLVcd4g2PKHeFRreD+kGRs7R3Tiu6wIo5KRvg2ySgpT/9OPewd mPxSXCDGt+95htpAndK4NaVVJBVvia6b61G1aV1BouljRfb/KdLCJgU9ljhtN7ug2P8YLYyXn8Y1 Y/k/fweNqzjNbghi87AewxFJ7IZ6CyamyjfAB15I/K7qainzktz4m9IMcXRU6VeInwKeJiLhqsVO bD/ZYTB0IJjtXInbFcg2GYQHpcwkBKH96z3w2iWkVllf/quOJbxS7yfCO8WM/Agc8e7cjkTHxHL+ A/pGbmPM7+ryNzyD2HwNX9IBIv90e5lkXmJDA168l/deXQWB3wogF5py5qPb0hrCs4G6nK431moa Pwu6XLzuMrC0oz906o8TF4CgP9zO/3PZ0mMSUJH7hB+kukrWVViq0cyvruL/nCnml0whsM09rcRb RieGcYiVE5gs7qh0zj2MPdbudfGGUqaWzZ4Xpah1xx/XsAwytPeDtQKlQK2m/S3/3s8MKdJrF9so TxkQ1Qxw0wuS3sv+T5hyQPl2NsBz922Gjm105Z0LW7qFApKqVdayuHpMdFcm2RdbbddE5K5/Ut0b tjpApOwy5qZmXc5QN/SmJSttT2SzCnAEp5l/6jlkywQxKmGETPQRGGaC0QJeekbCAp0AYvpdfRqj ud11aKhNOTP3+Chw8K9ZhGxuFF2gUDVS6VGrA5uFKfVc7H+1nonJk8CC3xkhnpWJwnOCI/s5DpC5 gipR/8TmqjcxK3NKvl6UbcnFenqoNgobKKqwYiaDVG26GanxTcnQ4yxVw1erQeE3I7ZyIiWj69rZ QU/JqDp1MPycB31Bub+gqnz9TwrsvJvKjSZcASsyGdRaWqRvF9Q0Pz4leMdgJ8Bxlpc+sInPq85z ZBClM6faLKDf0SB4Lbpg6ZjYtP/Dmc4q4nkYp0FHKvkWuGhqNOsZ0NPdyrB5XVoNLsjsBriOyD3n E7J5eUsklTEbf8070/qNyGX7TU/kc/EWr3YJXCxHgRMAKrcvy9teeRiX+LCoMaszSiA0Rn/zppCk xk/WP/gHpK3FQJnu1tq4Ka+wKlNNQN2az2XVWMh5bt4muNBxJ7gNPK9CEdzkja/p9DpwUR/s6URn tdAeIODZjZdXkMbCFXN/cLEUJWAT4FDBTKVJz/KazrfsG4XZ8aWSJVvDCZKhP8LDivhE1cWjes/z wOfnruFPbUT9UvGQoRG93hkouFaV2O6jhRFtmMrugSKRE7I6mMHYy74ei/06Lv9VVspFjLYS7pFA YbPxjoVUBhpUxzGDdL49T1ZaS+5vK57Lw7g8aiKSbKF1RJDOefxXrxsESCj5PGX4t3JrQZqavmrD W4iDEHujWnhaDADDvAfUK5nUqIxGLexRyfi99lOWw5RpB/FYVX7UvPghUHkJ8j6jFUzi1fvE6X4q gqmDOnU1S12GpjvofUjKArrXHVYZJDTt3gVuRHHWbREyxVDjZfy2UKhimdz1OMcTkxt++lO31fH1 QFeBn7Zw34Vv/3rQbx9Hx5p+3771xwB7Kwyz/Vrwh4o/iX2sXNfZ+50O7AKOYc5YCO24GVGWl+gZ KPNHp+DTHvz4aF3NhKVXrjX1ugYyWDIVG+yp8CzV8IXHXoXZ3BQMUNNToPzefgzojMmMfskJkS49 csXrzd6+yz+kczb8Mo5U0Af5v2D9ZK3qphG61sfFPFmH1x7holeQDf83qqdcCNUXVrThQBbr/py7 qficlJavhg8I1O7TthYe/0NkRVelp1vxzFQ9VsPjmb7xkwBN/qKzaSTG3kvtTiH73IcgEE54kB4k jiwXiB02UNQnJa/MxkkhNfETE4IIviO8Jm6zbRPESUFTV0IVU4Ea323tnsWwFEmymUI5f3jaD73L wt8imHjUFR7GCQsD0tdDhnzsh+eppWujIO3gOY3KiF0NLWTyoTQYwwvMTnxZQkz6yFRCrc4H5mMQ MDSlciCZZgz73muaSUg1D4zwizYrTZPSRQ+CbKeGwdnedxdEbAhvplVHUytUUbnAnftPCNaqyBZr m+PzbYgPQLTjYjDtMLkUKsTDAsj9wHHNGSE5TQoYcvt37mZ9ircFmVmTF3efLoQo3bX3e7ke5PX1 SzAH4gzNZpxgU+8V25SWaSeFW6evd1ZH//NFUHyGHuxW/YkW/x1zfo7lOVC88YKgmZiX8OER4V9p 05J8hfLbV2pr6yeZjqGKPFnGlSaktX0h9p/U4VL4+b16sC+CL3YBbpSPE9+V1JHSEjm5CONTgJVz uZw3YfradGMipVBoZx5/mCr/BRiIaIrV1h5o11Fl6298srTpyqH4TUM7elVyMF0P+YTo7r401H19 8d72/vdRA1DW3lgTkpF11QdNcT0W8t/B2ATwOyxtTeKYM2Yvvp8j+j0RdH7em1ELHVX2asjRu+3t IpRC4B2kKGxGhrUZW0V562EowGKPCK0B40x9vu0NtHMkjiPuqqdLjvEM7TGx/HMUYmB+kfF2GDKi JKBx6LTFQcEk+xIUsZs+schI+sl2Ogog8Gd5vlgMEKQaGcV7QpXC6dLUolIp/8FnU1GKrvmau6mn v4wX+Y5uMQsZzlgZPWEDQgcbWr3odfJZ8Q5KToI98nsDp04XaFv48ARTEFjJ4q2avgquLwbJ+iVu 59RGk74mPSEm8y9HoCNwQEs6Tyl9OIwzVr6s60imeSaEy8nGRlQSpvGJ9gTrhBHvvAtCWhCO+J9A b2haFdR3qAo0q94cNL6/bsHucH0OTd26Uw6x099oSfyMhsjoNcS1Os5yl4X9bAc6Nmwt3RoYa1Nw LTg03rczmHMmRPBekeWqS0o55y8gbaCRI32yq/HSQn/7U+UUbIBEmYty9pup99iG2EDRkJIdG/UG T+UhNyQrZupTjax+3uj5gSGNlSk33agtqYUzW1Xyi3gByKhqpn6eQ9v9cYK3it9/Zn4YANHgKHjQ xl2J9zDE0jV4Gbw+rX6FD5cpRuZM/BBmrhuSaT1QYhY4O0iIfKies5LgPpivxSreOT3UtXfC1T6h fl4wNOXqUufL/LZdosvpntOd/JP/aQ14gw12vV5wZc9Y5ViGwnxqmDJuoI1XK5FZ/MYnMjpSqjB5 9P6edwa8oYpg6moWsAm/PJ7em+UlWqqksbPmBemwMRLo6q123Ff33381bPEkx7yGFCmTauIr+OU0 ri97I+a05B6Xi2sdjXqEG+VFdf4vhk4Sjkg77rpjdpQx+6DWsf1V8wTFMczwwWlllLXEcBeirPBk wSbtjPbzFlhvY1lXGc2B2GE7hXbgOaTU2XasF1kl0+u2Fa2Ne0nnWGoDbo/LYDx52Cyz2vyliTcr K18hJmpWVTNYxz9y4PDydSpfu6D0fkiocnCcqAfro3qLJm49nzKe/EWIwCGkvLJ+h0DvJUpPu7yp 6NtFHLju6FzWOQgfp4nkVyGvMnNl1dyh4XwM277PKR0CvmRFiz8N8MfOGv6qdI4T1CCW4hoL62Eu tcxPKuUNx0uyjMpo/MKlRJIwkeninO1ZXAFeT0KSuxayria7hlBbxTPi7FOBpeawv7rtXGkPRYEQ +oVyXHKAxqwBIRplvn1f/tCLDxF4UZRXr8BrdhsEQB+oiA1lAU3HhyCeLphjvHwjNJq/d6KzLAnb E0syj9oyx4wTWR30upCVXH0M0XAs/a62v0Fx9FjAbQ8WtK0pZTiqpmFx0juDcLfDWn34r7xyDN6S Iv41SdFZ9ZgeUzM9yK+McKt24vtBoliGNyc1lx3F4FhDtQ7pVnCunF4l3SdaCTCSu8qWss7r9KSq 9jI+cHeVVh0iOZYpEHywwHvj44WntWAoHDiJ/eR4d1jK7Q9FNW3drmEESB5nX0KkCz7sa9uCw7bX ZUj3BH6/HeoN5Um7dt6B5e+3/26SXkynX2ex4bNIQPAPzpZ5cHwlMlob7lV50vlbwEyVYjbU7q+2 xjjiTeAghYisZQFv3tPuO4f+zEQ1KOdvPY23iYnyaftgKUD+oATds3uEif137d4vE2M+gaK59Nj6 sUA9d7XCvOqvYPuIFoRoOVq5AABGfAQe3yIdcdXjpshjihS/qQk7qRAtHkw1flqwDVpRLsS5gFt2 YN1YfhGp5laW2gzBcVEQDjpd4yW3J9aYhd5tRJi3Jc3u0Kh0S+/OagVsILl1G8GEDatLBr3t/yOH A0lEIaS3Yaxog1EPiU/CuRq9SWnGUj7h5c/aIyo0uXpnSq+aC7QZEo4QETKdvUse5Z2LJ6kt6dtJ 39UAGQjy56L0UrZ4KuU8+ibkG1fOBGcEhK/NPnJylxy8PbSczgsfXZYYRk0PKtwC9TGseUGzmkHG SZld2841ff7rbUrqeJzEVjfK1nYiAkkoqW4rHqIRp77p/QUfaG7eqm8r7+sHzKPuNPusJlAgTapF SxaStvvBEqvEyOcm+CF1Wv9Q7/RVhKOt3dOnw1eC07pVDmsGWUSKnPsAnT4F809RlGfc2ZM3ZA2+ 4J+y3+/j+63ERxKG2UwTSXZ5PeKXumpL5i2lgtx2dSLVbMATWzKsPAlYnDBBKtns4YYwyRJjOQrn MjeaK5Yleqbf1iMWtTfogN7BOOm7ePaLQqq9qsG301L8QxivGFAN5Sn8pQgFfeiNbZ+mXBZEmTrN migq11z/IQyX9VmUgSsNbHVjBWv46zrISsvO6/0wVVSnDTlOvSggKKdPWStxe/juH6Y+PSkaHAvD FY+BGx38PAABUz12asmV/N03B4HLT930/LDsqScOhpdkv5hPDg0D1Qu3x4ZTccxR7NQCa6bKlGmR kzCTNN8BNWHB/WOE2TC16MPFN2+bGZT3WZcioCAEYgqWsrGeQCw7socLxf1vNkEQSTJtDJ0Mj+73 la5maiiViV6hpqGm9tPGoWFxbaBaIiGlrG0DS/GAHQB4Zn6rYrxkTnwma5IlTKUaEUQkVx1+z8YG oNRpuzK62HwXc7jOR5aCYc0wOIVuICnsXKeY81FxDjRjmAXMKuPMQyaK+GYroJbe6zfMRJqEmsE4 V/xQgAn73j93DmykEfVKGM4aUmMiWnLkTlOb5rHhj6rbrPZJsOVyKqjVM7X+R/9/scxSVjoiPT8/ kjP5FKp7lIekwBPa2GXdYdAjHJaHTZZQ40S3bl8CeDUXBNPjGow7n0LWY6UnxLNLZ7d51MeH6zHl /9eClstRgC3hjA+fIOVE37SWMHJ30nhs3EXFfB/k/IxMGyB/N0W0KSicRmWffe6QZ5FPKmE6J0nA 36ZgHC6SBzuWkHroaGocz/7LhNvBe3bt2zbDYp4PHAyLUax8MjGjdFZHCgHoV4orvQboGkhNfwt9 gVujDsP8d3KZx7I0srPFAFJ9LWJwrORSCOjjSsVe5LuxDRGrLXjE0z/i0PEw3k3nmn8Sj/jEvCUj CSCbwuJxOz6tLP1a4NxrgtHKu71KmwCPY0VST+6dR+1/t7fSH0PWIKKWLtNEFcYIKbfsRfJh5zaS NE02d2txxuRGk7KmSHniaP5pJMgHs2bb/+Ba++zktX/56B4XkSEABg9oYHxcEesQMXFdQR5yVtjk DM1gwt7GtmCd/SuNUK85w5YH08K51NORSw+bwpX+8PO4H1utQRxaQ9JN1bZcqKtVvn8PwSVohmJb SbFu6Wa22t4d00LVcP7GEqd9Dh5e44fanxipOW4rnE9jvo+308O2rC2w1kumxGPKOURA2qlhOmOu m/tBeC1EbM4remnKqrKBx5A1/PyhYXPyRmBUs6IraAop4BEqsvNLKOSXWaMbfm523XHd5hDeHRpL MLr34xG22v3JxtfjTvN8FlL6ViLWgsZu5XL8/h5xeo8qCVUIg0NXbtAKogD6CPLeM4mkecngxfgC 6JkNw54wplaEu5YcFXDupTCFK8GOTmV1GB126oNRYgN5vhR6Q1FJJaSXF69QyR/g85yX1RLqjQrw D0MTmVjkhKSEJeC76FlKdgyt01lBHFrLS67PRwMYOpOa3J8Bv50xwlOKDLbiSoB3Z2PtNgrXBr6M +8wsjyQGysiTpfBKPsiKKaHykBZkGHJYcrq0PjxfckQ5+4FtdLjhtxTgL1iK/HrK7PGMtVMnLxsF bdn4/xqNvZ6QPjj6kVyaqe5KGPaBl/JRXAmrAYMX5Hcuy4pBbc4z69NWmMZvODgWv8Vvq5c8dT/0 9YsSiw8jVjd1/tHS9DJgaR5nsGqBfPPIz7nnVu9QPOEL9LoIspIFGUnNMANl26upNcww5cwClGlw h3dJtXX1Zq5UzpN2NB53Z3s2YKKqaQZV9n/y2niQDS7+jWjTe4fJtSbEZJNGicIt41IzIWgri+kC YhmdtFvKC/fEbbOziLwx/VREIigJv6LgRP5o4qAo8R3HvxBgGHY6AQVtWnAY6ZUvXdGVDI8ZeN+9 bm6I5RYdr+5/2PNv/EUlaLP4FUv/jd1eNj4t165y4aTRGoY9NLnAPFtDzoRjNeUoA7mv36kn3NTB YDBW6oa37+FUiM+yoLkZgjTBx+8Y09tloUnH/F9AqZKuYmPXsT0QAav+xisQLLZ0jI1B1ufJx9fT dy8yfiFWHcvs2sVmAo9eghkwsotARwMqFlPlr5AN7qE/b2yfJk+Vc0HjQ5LYTm1hitOuOOOhrnMN i//mI/wpMYGb+60yPSDlKMGw5bV417bT+cPP8Ugosw/5ubCVE7veKJ++s74hHbCx26MdPtC1ZX6H wgTYJdFZbJ0fKipPEt/0lyXOtJh2V3l2uQY95aOAieKFNzsfwKKvwUCeJjGBY39lE+yoGJuOT9Jc 1CLVJ6ieWO3B1wQ4utqFpvndfwGbwta4RZfR+/JLqPdug1mnuxREoviB8pflAuNWj4HsG6ITNkBz zBTxBX2fEUpEoMygmRUZtcCFl87ncqmYLxwE85wEtppOJsrp8F/IW9TJ9WbuLNhlVCk1yTFbsWj7 Y5YJbLqTEpZuRK3mStO9H0e3gCfJYygQL2PFOHL7adQQjOtM2o8wR06gx7PGF2AqFQfS3jmXreeU ei+CnUA5QOWrwrphZP+95Jc5zj51NqQ/R50Bv20/vpBgcadxyLaW0uLyWBR/Jt/rRsImiBPbaw9m wGThCRr8AobNU2ReJaCsCeB+nn2IMzg2i7Ge3xB1EeX3CPtsTGtdezDkqQQqFqPUsKaubUtOonMl LjB1M41+dtgcqRW8U4u8RpaiwD4584hxxKWoZLxjMrpjSdYN2y5Fn026kqEGNrJ7pQG719qL20D1 im1HJa/qyt4dw7a7N9M0YrHszWlA/8i07EzkQoU1/aDWPpd3mbLiZBI5803xrq8VxLFoD2WPItWX kVCGeUSdfDKmKQIRYaiI+EP+aVTUseFf/2hGiSRtS37nVxD7ZDegDkbMRbGTJx8jIRdua9AwR4C6 Ve6TIwBrwqYlxckqTXa81JNsjWRoAmpd6Uzs13KVLrC2mftBqfxnSaX+zHsxoCoMBFDuYdUxg562 K+43tf9fBYW4qNBRaz/J3J+yplaaFeg7Fnjbg+GjDiGeTK3nk8cyjxvjIzsRx3bMoicQdWib1SoP 7sHT9SseCJbmoF2fqdzYhwuAZuYOKytjNwm6ch8cgPil6ROnlm02kKPBtH2FwP5cP9mGIxkpf9qU JxA9120+2F8s3gUM8niUJacIxuE43ZDjbEvpfE0x4u2Eq+Su5MTc5676TzZhyeI1bV/bgzsSivuC H8kHWW/rlnXFSTUz8Pt36QqHLv/vsi+jk2Ss/vCAugU8i4fxZLHB3wJMIAUZOaSycKt7P7uvLL5H fnzkrBbayZVRtr6i0U2iF6Rl6VkFC2kjRaeWiGI2R7mUunmxzxYIwyzLegJdX25f56L2HUF1Yv93 Mt8gVM3490qUnS7vm9zQizIKRdTEt7ywB4Ka/Ei/xylqp8gYAWJwiebbzsGPNBpSOYVVQL9WVq8L 0t+iJaIaSxO53sB17tVwGvC/kioo3FD4ExIdK8crZM7LZXxvK3nhg4zNByfzX6yeaGlWIW5XK2y/ HmkH/DSEZrjyqwa8toXRnUYOb2aZxDNLNNM6+hZmi25ZnR/SUigzsaGN/ArNXYerDY9bi/sUBwqh XrLorkI6I1xCjr+SV6FnQRm6RQFBEKhsn2emzhxEFAq4s3LlYKZNUU/YKUzTM3SS9Tu3LRo1Zuc8 +n1SW/qoAZwPpeez4v1mv1lWCKHW78ux78Ou8loqi30khVr0aza8nFNMmuzR/X49r9ITiMJoHBz1 B62MO3KFuZbzSDA+qbTa5k0FUR39+zUKqPXr/DYE0kP27ISIUqCV8eI+s4QwUL9N8tNpxNFJyj97 fwSXqrnkAbGOuJtbeOECIpgFF8TqY0mk+bfu/uXwggxU8bMyzPUf8Av0SmyCElkGF2kEvuSLEG8u TpL5t2ooyRPhTTBg1uV7ADoFRyHLQ+wc8ePQsZByZ/HUY6VEiHHHzS4amvalt34xgQq2/tIUz+3S KBcc5UvcOlW/2wJgTj2WYP0TzxvRKT2lWSCqHRJMpN8itGgSTP9hNLzzqI4+XhmKD8dpsNlpDXg4 HDTkwxnZsELFHkMKhcyg4DyGlXr/phI2tD5n5IL/z8OFqUvw2LgjDHJKpGJiNsHgfh6jkOVTPB/j 5vkjMFPqdcrAwZIySGnnQKANUYQM7wH8XMVtLJ7Nc6PF/hXcY64j85TzYEgzaMrXRnfL7O4DbGes YzzfnvP8o+2OEbot3wNsTk5Z321N2Zew9q/tDfDswvgp/ZvC+hzK9FHA2SG3YGXUP/JuJ1QsFsSc 13KggnMVEDiqbWu2RqA1VyCzNUqfC8rdBrFm4WZe+RPI657C6m+ZKF57IIaIQxmSazUXqYQp5J0n GrOQF501GWCuJowJx4hg49wx9plb4zB+fpE7sdWqr17RJLwdURlXZzsuI0NOVsJ+QmZwF76qbZpj TJV9G94VfO13S2IikVuSWH6nVmEKVF7/mL8gD4z6SxtpWMqSh5RthpM5fJ5vUg3RrBVYVNRlNm9R Zxe9G34BFf6wnif30IatVHwgp4D+AAh4DfUDyGEbhicbQ2eKOdepZ0OjsIfkrwD4R4/lZNfApjD1 CKx3NZXCdeJzZVlyYL2jOPU9YrwjE7sB8YmennsQZQ/xQoyvQkF36ShlTjFNKPhM3n/1vDo5b2Oq C3TTGrXdDqzc11GJGTtj4Kh9AjvL/dSNq+kgcJ0jQWaH18H23g8JEuR7tWhveaGs7VxWlzuCe+IH wqUUlu2dkg62rndVyEmEDo+rebiht6WKPo9BdRk1RSNDQ4g9VK4ui6+p7SLswSAfpEfhUOkz+tzn oOhrPx+sVjXMSXSFRnjkLsiUAmCG000WFvMyBtv2jsBvdD58GxqliYKfEx6A3+eQra3CNpqZZHkd rgrOFxvroj7xgnYFbfB3fMY3HF+WQql6JLZHITmuu1R+lRPEgfJ1zZE72YNOgR+4A51vobOiz08T 2qUU+jXYnFIQ7idcrojgrR4jg2O9UdhZFlKhiahQVUn73BhQTbbvuFkeBzCwKBOzo3Or9a2uEAqG RbdZQ5Jyutm37j5YLgcjMv/wiBFv3VXxVbzCbjvcZE6kq+xqqnaqjLPosvsTwRPlLCb3/Ma1rJcP T4VWvH79kvd7NIcjA7DriBNcp4PfNBrhxWQebJ7vheVE2Mcq+e8S7qK7ic4je+64O44Sd+B4x1Ep EZ8A0MKSOn1Who2sZ1avRk+SiaLi/Z7PLm4tbpInY0Neo9RhD4E/cSj+f48oMZBocNTTNj35f9YV uoPcDcYg5LGniweHMvZ+DDOcox9EJID4aFfO3mnDLQ5taBREwMcfsSLPPi/nXaFs3e5MW8ILd8dO 6BtJGacM0yJcl3oynB5b/d+TD9NMcdDnWK40IS88lqLf/W3sKHSHxWRoXPemh92cKgkdduk3wwoI G/sMCAddv6+hvYkrnJ38MZotHUKA3s3l3ZRC4kkIw7I9wHjvY6HWgXRdbZzQlXX9KmKDNPUbYydZ LAhpRgeX9x5Ej8wd7yyT6q7odblXplA4eQXXwECmYxnghkWSX+bTtrzN1/FH/LgZh6R9hL7cYJhQ KJ04PYNV+Tw2LX6R/xqdwCdknKR3pXPxt1rqR2hH9mOLuDtcdrlYS/rDdpJVp7Ysv31QAu36BeDI idnh7mfjc9eOlZw+iBJxKTwTmFk8WFselzsE8ln4/q7seJj5MB/RAOViN+IatninNKHtRfywJzJo ZSaL7I83JJOdJCw8vqjLwcpVCibLz03N3nhup4HWqcvToG4SuuARnZqnF6VRQvlO6deqW9iRbUha XFuiXVnkI2FSH2ZJsAX9IbhQVJUC1xWm5Atc6rNWYjrv+A/Uitwdlr7vz7u2gC0TsM6UpkYorMFt kYCQikkmrChoLFXf55M3K3EAb6txcHk6ExSIoyOdFhSSI+HqnBTFPGix/l6dYaqf7HIN55ihbKSe 66moaNrT3yB9jxzEMuLfTZexxOXmjU4LCn2V/fmkNSGfP5nBKO4th9DpaiD+9vFPFBzL1JtV1K9T FCZg6CfR1TcEhc9XwxxQjSJH+vixPH7FDmFLrEuVYcoeUH6572Qid24id5a9oVcKdYecM2+gIX4w fjhzsFmj5kR2X9YwLdYscqB7RTTFZSDn5isFJ09glc/1qha+IGj99X6NDRZP6lkPq+9/M38i9Nhn PYAR+9fuvTclPXk/yWIkHN9XTycP354Ubj0DNy4ReoOmpHyMAFZSnprMUKB2HqHsF7Gi8bt0FYcl BT9wj6nQy3oWVL/yBwSoXlgg0ff2fgD+IjXmW2xAj4guDhtX7U58LWzal7mR76xdTTM/6Uw1KeuI 0Fgf0vd7/opRNCB95lFirXaxFOpfNv1FsLiamt/VcMsTPaX1Sgxq6tRs83s95RdEqgh6VAtWGyYA fGe/+D8ojmKaLmLvDUOTu3XkF/U8Gd1O4vMQpYjROemB1XrcC01PHyJVPAA3Y7yF39pWuo58mjaN gvzjCkgZBJoipOvW6hiBiNDbSxJJLqowmiKIdgj65uVNhJk2fj30JG84XxnYKolV/gVkYb7F2wK/ LwqzxEfDAY06u2DGkyGvE3kIMzpWSz5kG3IEMsmI8Y9f1xqjZjiCTGXeaipqj/ji0ebLa0DpQ7b0 hyxCfwF/CZcAh/UOpF7qdPW68N/tNOcjeULLh5OeXVk+kYlIqczenG4fuXe76MR3ixf7gUcKoKP7 Ta6AC0Vqf5LdjH6F+Q5eB7j4y6Sn0QovydMe6Zv+yQW84bSGkDZrS1c3F703MqYsd060x7W0X8io DEnIeWLHG5yDMMUXz7Mhk+SsTLYNOfs5ctuIyUNZD8QC2IayxDfgPMlToLtrlvNbSbEr4cmxgtcQ QYET0fXgq+nRk7IBGHj+4jnly7shGihGyQvwFdtYWW1fhV632XuZZoKH+hhs2giLcVq+76AcNCdy mj9xsIzUrjM7dB3DzHOCKTFLSlwMmYD1Avb6y59i6f/Jz+j5W4bLNtUDZyjBniwQkcsy4dxYTcJe J9Y5vo6mF4M1rgLDPJkL6XKaFPEnlXu6WuIOvDu8nhL1palmC/4LfNOz5tDEL9NgYpZj+Qs43zvH ST/VFSqtdg3mmyTaqZlISOaoTprRPIAUVPjJSpeODphc6bh7UsVHD6lRHH4zYHPD3TackV5Sr8nL dwjbAvH5yTeKEtul5DiDgyLRIQHpyLUndPfUHbbhRH0fbzHZN11g2DzXjxQYte1VdGw4nQ82qp2z tP8JSK8InRnnjvRRnaUK21F9Z1vZ4yKMZDLoZ6i7YLRmLBdRl7C1sVdlcjUfu1whYps9KhECk0VX 5b119BBx8GmxbBwI/gLuh6PwK45yJHLykCJfuzcnHcoUaFlFv31OLucTxemvq/bznQ5TDp4IhRLb 4zF1eDbUW2Bh4d5tUAp63BTTwHg8S8QfFy5yrc3Y7qaoC5lNiFjN9oDSjLtjRbnhDYTcjyOmv10g UdF2saimeGDSWXzy33+VMuCe/jcCDW+ogMkxhqac1S9cEY4/5BTfOTNwfZUMmuY9iq49MimkceU3 /3M9BaunFboF2dINEhwtx6syJ1F9+7DdDqzM8FIgNd3FuEOy8JdN/DNQqyvuSxfNfOQgwxbeDGPr B8wIcAZzhlk60cxqXaNuddXMf5I+3kHRvd+FMNMY+SoFJ5sn1708Yo+FJZJeln2ifTZ0HQG7eUIQ LqAT0EH881/z5gsObhgvhEeJ3jLLA58BzABgVs8VYw5ZLws/sJ7HIsuO7jhc/BYv5gzqLoZSe3WD iDAABKx7ND4uMkzK+O4ff/hJE9ZlhfRJeS4Hcl+xT9Ly9NWzNwN0xY8RMd9uJTGlqTuAr9yQjpX/ EKtSAONSxwlNtlBXNdbz1cSt5eo2uGO2W46BlQu57qjUo5s/RPj7VXpHsH98OUghVfh5boyBBvJp 2ROyD77HLsPJV6/mbl+8IhKn4hCZgdN53OrKTFrUM/Ge2ifznrazJ4+ZG2uU4LH4o5iADfbfc9k0 NUnP2uJWmhzAEnSoQeDO5EklqEU4nBtOr3Zw+OBpiiY0PQsOyG1i0FLMO4KFG7AjmP7TYkJtpDrv XD0whEb4PiMwtuqGHBnU3ib3Mzqfmm5SDB8640Pewo+EL6jBXP8IkcOZRCCnKlMF9k1lEInlZKvW 0HXi1fNjJ0NZjh2oLX+xI4nm4rRvZVxmnDMn6SVN6rnXLV0m2kMKMLJ0cxAboM5IqWJ0GZiDjaRM x/p15bufn++VRaJoMz9QgKErVkpUGo6c0HDoof3ifnJs+/l0+qjFkX/EZFmhsvdzNYPHidVpl8ko 71R9BEOReiT/sBKcA3wiepBcBLbhuwGPp3geOnHeNLjtbb2cXdkAC0Gc0ICsPmOh7UrtQCgs9QmJ ps0O7fFQheJmhkGGlScdNI/AKuQxilpD+65OA6+3W66Hkiav7p9aJ+CtM+EBss2GarhagMeJ4gth NXdaRy/gEvJltUqloKdQZULLxHx08F5hhh7J9H5dUkWvMGEen2mOkjaq4IyzWl1TY4KEg9CdWlgf pdfAyOi59ZLVOJImFy81f9ofqqSGCnatr+j8gRW/K2SVHOkMktvGsAn/87UE0pmuqV2FQzvfyNHS iLg4xD42DkLQjNVEwU1/y4Ujzyfvsd3a/gW4FehGWnBSDsbtSMIT6i6TtdFAXMQyE57RBlJrU9nz ZcWOTUrsWzCZHPBuz4c6ElW18rIRViDNZ2dgR+3mz5Zt4VRTEvzE7PytoS54B2UqPYNd0aak4+vX CW37cP+/7MKJM0eOHDNXlbiYB+6fAfvO0w86qhEoTm0YIH+uJp+Z0NdlNPomeLLZbmSTUPtiqI32 rEUjEGbFfKLslQdJxBJwdTVEwpuc6vSMaaI1SL+C6zxVYATjlnbrXbGrCoJ7wke2i4LUmHAlEvDQ mA3E3oGhbRGERSCved6yYzTpVq9lUQHidFH5WsiQReABK23Y6TIHZ33NP6LzWpT8+0t4XgEc+iXD IN88F8zyGAJYAeZYC/C2iRBMiBFRhVVLvqW0OpegOzZwFy3Ruy0FfgJgiLOtIkN4ndVQRP33lYXS 6aIyuSrYOGYqPY1vfcwrTzPLuZZFB1IyijBM9tTsRjaHu9GifA3H7vb80/Z5CnWTUjpLw2MWSdbp LITrqOKxvcXVLUbqlxHo0l26vUj4fRaSHxDRpBvBhDTQ70coXRHQNLOHR7NV48bu3lIoCR9h+Fpj K3CDxXIyvaiemkELl46jgilA7vT1IbseI7oKa0ON32SHLB84q4OrmnWPMnsawgVIqbtLwSfbqM/1 tqQFXflTESMUAC4T0WXeGCON8wh2UbduQ2SeZqcQRAh2JenM6g4E5l240gnqZN0jefuH1gAO1iFF hF2mV1k5UAnuTx+XHrflrsMCxp2Sh54QHowDKHwbUiUixpoopTrLN/pTHfLYzOmx7XDQ1Af4hjc/ MfWlAo5yjqzsCx/Dn55dEGzHjru5eeTsqEkAuyDTNXlPPg5LZ2djyf5EwDJdRkhWqQTjdM3TuJX/ TRN0XXzOlmt3qsmxmM7e44C9FLe0wyM9Zb9qPcnZSdGxr9Uroqep2SGtWJqWBhP2KAk5n+J2eDbr xXgsHTL8b6NRleoCgbq2BDiMzR1RL5t461ZYtX4prAdGQO/HcPqUZvLnDfZB81LFDTVo7Es9MLG7 enK9AZOiy1+DJ0TeE3mwpF3zW3wu17RI1DZ/j0f3XPftw45mq/af8OzyUbZDKOzauoqvCaASZjlA lZ9PMGBJaaXdKFUiw7OcTLYvKHPC4vW08lMXDwdlqXjilrbrLAje2NLl2qlyVm8t4M4Giftj/y/M VXQ2EclzUKxJ5ZMshD9hbHzZib02IdpCSCfXK4ANhn2f4Gmkooa49la/KsHmBklHONXh2AlaKQCO 0D9KSBILw9Ek4jFQwK+lBBOvRCylvyaskpwTcK5WL7LvUxntVVT2LXoCYjeeANsnW2PkVlu+R5nn HqT2/hI8Ok4Vey+SNldm8Rg/D2hTFNREvPl+YIsVo87VWtMebifKFaX4/Pnmm9fvPUhMiYwhYr36 /WiyyqYNgeOYK0W0P8FKGA10GAHD7+sIpvvfaNZZFpH2CrPo2nGV5YXwFuHri7C1aTdiOyus9tVG r1l0o4KP6MNJGplQ0gCI7Qy+u9Yn+BDGmi24VdDU5uS1tmS1837HtBbzAjLyiAOYt9/j+WHE5wTm krWiw1DElFBA7W7EwfBLKlbfY93hatSfkt38w5R9/BOTOotiGhXGJNcXiilz0HlSFpw91JdKHPz3 BDzUwAM99EwRkFQvQmHcm72OL94Q/qfN13zTL3FsfQx+BbOroyKLf9E3NwiWiUN1dMmdkWhSrdGO Uk0ewgc+Z+rjXrURfm5maNVm4oSlXu4zIhHYVlF8LXyOFD0nHy08fibrcg8ZoB073cRiBkJinxuk jkK7/aO6QEizj4KJApz1Pplwq+PZ57adXl4zwG8jkCq8QC11gzy8U25+7RB5a7M9n1tG8w0VaPFY 9ExZbGAtlJ+VHihfoueQZQGWQqgR2wcQMa4WzVFMw9b4kx8bYC8mLkq2i1tOecx5zX2obSZpmEt0 qh0tFkM/qx40fNlIRO7gl/a87fr8nZpws0qcdbB1ZU2TfJg66s2mW43hexP/J6IUHf4tI9I8inO0 WqOxQsH+K+xgPw+dSdbr+1HT6aG4X96eHjfLl2tJ/mJogXbpapK8RwK0LrzzI5UODrtHcSq27zFI K6K3JvGxaQCExCLxmWck5aw2jXREADYXrXPjwVGQoRDPzCTxt6n/LZdGvMW/9GFdpE0NeQ6EA5R0 k4X+jcqBdGWu6yVKGeF8/+4bDgxp4pZj6kwvH2UCLBacPLUMX45wNDH2vydSGsoF8hvg0wfaattN ahY8xXGokK89huJPnB4FIfCa3eMsGDhMDHijhBNrUL5mRqVJ3yuVAdlcM2iXQE5Kufaqr9Qyw47M +SJax7fCsiLz7uL0qYofBmbvOTfp16rOdigDMlO6Y2dylamYNIE6heRL5fpENfIWjme/4iN/g3Of J7h3VgX0FLnNOF+fZ335pP6YLqPLWomvfq2av2dbLiQ6GPtVDpUd5UV54RXQLnSDtqyswzbHI0cx hq2eaQLIpCDuob4HVCmOU3+tWu1l6wAo5DKuoky+5KNzRez3S3Ro0vF2RTkpF/q9Dc2iMO1sCzqH TXM2sw+8vdG4WppYWROEiVQzHyNgkL7BqB4/0RRH+yoKFlmaQ7+tnKf4LQY5dqtHm7KoKGo6p+vr xwvfA/4GDqJoJbeurXwdlSFBOquh704b1RbrN49Vmi0LODos2rtuKDfQoiYB/LWWzodpG1UieRVp LpTzfqkt6j98P6wlySNcDQTj2xaH6ak3VQeiVVc2rGFW61YoifaSW270CyesSc29OQIvC9hqrH4E E8CBpDxdK/yC968iriYaVBTUG3/JMy3M20/5Fzh073uVrsI55YEEigfX9tYB3VT3IxYjRmuhk1eA Py9AGaHin+8qoc+MNqpb7hP7UD5/WL2tycSRIz0KFuyFsoZ7KKq3yQpMM373OG6a/t4DdabOLtOv 6KO9ICrvu3Dz98Sd68+DunY9eORB1/fGmNfuaIeLdGcco9dylftgx4R/g5p2VGglbY6oYj3rjT9n gCW/BW/tvbPdaAAc3WERPlyBB12g4EgYRraAjGNvTZRtB8dDJwI4bPa+GR351i7sMiToAtrBUADR rRICYK3UlCHAuw3XBG26cLUFQpUvT07hxJiW+Ps0vYE+BT1RQNK/101+uo6n/vZQ2CmveqYP7O8X LrYbq4OHS62qkURsIOiY9Z3w/g8CTU21caSKhAIgaMCL2UGG41bYCNRJRDH2MjN9eqKF/6UwBv2B EtLsPYKgmxJmHrj+jKVrDzzcAH5ZEkN91qSLf5TMcZiC4lUPAqJxhn5L3usF6uDsyGx+srq3A8HS WVLaFfA3kD12CP8VEZIIUqelCtr/sfUSrv177jzq7/c8VZ0VP3VQqWsv+Zmz9Qe2YEbpQEmqEme+ LUHg2vOrOV8kf6e6o0mr1ZydKXseAnZYE91HRVIJEOVzxXUpHnyFDlKLvUMLqx5QPoaZeHgZhArh /EAI7C5pnhKbKQyspPNZ5P+J2xAgQWihAmrmUBpFzXoDAy7B9jWZ52u3kciRvRlr7tnblsgQpgjq FWsOebyzOPrlSfFjKyDtibctNZi27ZDyRiiaZxwihd7kKUGzK0Dh92tbgoznqgTNcz+z/WL7hvNv 4df0GtxnE444efxAisPEhVGD73cO8mh3xMxHupGVctR3UuIMXe7Ri4uC1CdM2jEvbbyf7xZsJmmR o0fohh3zaEOTOSHlIxgMn9H9b1TM/mEHRrnBKEu4/K6EVGJ17xzp+uKrFvECa0gdA2O/GLJWIcBX L8RJXX2l1B8n3t3cs658PiNt3Mu2VHytMT8XGQHavJeitD9HcbDDpfVk2QpWYukVmhnJVJPTcLiv 6bpys+p/oKAHuCE1qHMiVYd0dFOklD3EtKEao3CsgaaiQ2mPDDLFTrcxZwwT0B6ppZw8u8U9JOuN xyDLyxKuV3vPtp+sgPg44kAvSk7fW61miFBmjzMSE2ImPT9XuupVzOPAjaOZS7lcPzrYdflu4OX0 nyt3A22DdLoe+8TUME7+Qnkn2cJu5++t5wh31oYGE37nK1w8qvtbatI8UsI3xHn3SzgNhHaOaUxN Wyhk9dGFncIUudNNFaaabaQOhphsW7F5GQb4T/5tUNWywx76zeXcSRKDUvN1iJir56wZW9yZ4WVd R5eFWp+o0iF49rAzn7f9JTM9ZXmOL0LEPvv4P6zVpSLssx/5PmvFZSuA85BOmo4zU9bfZ/KLzrYI /Gya8Faixn6Y5Zj7hPtMwPc9+C2BRcXIONREAjaoiIu5DIFQhN6lTe0+I2CON6lymhQQBoh4KteE 3+bdgFfRIPeAnca0UgVBBfRU1MrXOGsRxTTkN5Sr1hsjh3Y603rUpKOLdGxw7AKuA8AHFNrvL5oD Ixqy/7Il5uRcRHu4oGaVG5wkxJTAk2QXpnHVyt1iA20y174pnOKQr/A8bI/+NEMifTdpHn6Webtf vBAxXCcFmJclMe9UI0479jH8wnVhoeMA/DvcM+66A653bxoCZjkxP7ilLtEeWr0Ew6PJzNJgxdbn WmBPiEh07CL1mgFl0hI1s0bjDJWohiMWEUWhUgfA5jYE6egyy6v5gryu8RLPYjVu/I+sgFFFTSXP AM+CUgiUDlHPm6Ja/8ZujPljds/2R5HX4uHzkUuB8sEDrsmLb83hICyU4V2iKPzVYln1bengguwx 6W0xzYMJPwxZrvnT3Xh1StmYTHHkHeQtbTDZ5PfrB2HXtJsruTWXLuKrOk1T1NbR0mdGgwCRKo2V xN2ITjryF5ingJ0ma9N5HbZ0vEnSa6cykjV1Bfpr43QipOsLy4xbzORvXikemfCVwEbHV+rvZYet zPqX7pOj+E7oPl822SVqdjeU5Y96f0Id8Ah88S+jv5L+WeRLRqkrJpTLwA6679uCQ9iUaHI9FpOq XGIaVYmew9k3Q7C8OUGDRxnW28smHE/5R9EUO2uHDH4R0cBjIFpE3fpipoJBRukbAgvGLt8lZTyy 3mZ4TCK6AcyZPIwz2/pauV809vowHXgd42N2Zxv9ndpVIB4seYMrS8ZHvPqsNXBNqu5eTuWoPmxp 8McVpc2j8CCl0Da5OPvO87j+HCGkn6UHpEliZ2btp23q4mq5UocHXvq2eRBlpZRQe4xnmDxpCTiF o5cn46FEv/LK229fxynb0+kwmV1jAHS3GSVRskPxCUScPrqHD+t02CCj5J2UHrwNwYi4AzWHOg0g 883uYxlur9PhR/oNBn6HP3wSA8yD2F2fUm6aVXxpwKbwFo3fE2FSHj/QhC42HF0aPnKXoMl1gGUu RsrUNmIn/FdbhKUceOOX/0r9C8YkYhoApPglItDxed6CS6kE2kgGNrwGtRktKJEVV4bFEwdA8KJT tOIwO2c5IyTgETTc9z8WcxIMPZKxCvndwj+1i7Df/eob/dxo+t1/d83bjAGhhMtQhOsnK8bIWq0c AzGLeWnBH0PJ1fNuAjG3lFyH77tWqZT6S5yXw0DXMpsAVbK94X8MHuOaCO1VGcOSQ1WZ1zaEBs2i vmKSJSNsQvuYSvV3Gfk9AKTsVzZcybkM5uE9BgnqJ8r+Bp/JT4b7I7oHKXoIqFmYWf52BC1wugZo sY72q8UPPXNoU8eoxBJAS6D+AKJzBJe5tH2X69xAlob3e2ay/Oil5Jy9HzIQFwAbOn5SPeCYsXBc GM0JBU40VuErDB7Sy10UWAp3ZI8+RlOWHZpJe1t7pCi9BFFq03WUND8o3Q4omcgVPlxt+7DpR/9t Q/RgARbB04h60Od2r39LmXvNUvRil+6oE7p5JxJ/BQnGxeKYgmjwsSOY6bcPA4TnkBnfUcMMMeYr DBPEtPjX0iZ0lg0T8cO6W+Rc/OBqvzPFvgYmgq6xPZ4zEKHpJafuw2o2RABuS7eltOkgXnRuBxkD KspP5SBY+KdeQ+yQuV1T7WxFKoBNtQsDTyB7u7GB3t4NJuDGCgf0I3HsD/1ZnEvh+hTZx5I9tLkN bBVgsrdlrHoUP4Mq+TqtEiSnXOJTcjjW6oOmYe6Kxkb2KDdluAEfV+90XSwGgU3dO4scjSNRwMVU G6dtynNwbQuySnRfJolvigj+yQmzxDmv/qynlsnJBSfHSFOE0uOVUwLm5wxpaFV8wtokh7T7Xz2G 3AOc3tT5gvPLjcZ0S7Zq2ZLFPAWxoQCzaa5T/IKbJFvSorUHyRkx8h0AjHRGsV+Zb/Qmv7hb4zXt /X0FE2x14QiOnX0s1g9HG5DXee9gdpkSSWNDnAcrG3mra6HRPXyoHEwOq5yvHynn9KDMRe0G0SRq F+aBc1gWfeWZrsqqhZjxvvnkYge6+srRd/Q8VGJSY+ZoXSqq8G8QkW5AUQPLvH9HFcFkI1H3VDK/ DOzccl3iqTFuhXt8+pCFZoFA35P96ld7lukyMJmTM59JDgsPIkn4OrlDexe+lgjlFX1TSMYk2Gp/ UL5eFF6GW7xpFPCMr0VeBHC65MBrjaIngJG5Q6XGgsJ+VbIWCzUGQ/8dQV1Erqf0TYN5Qzsf3ac9 LwgDZBqkGUQbuRxe8RckEhOXiWeztEPGG+Bchv0yAdndMK0Ws8x8TB6c030i5h36c3/k5ufPCfuA mxqyl+1PzFPJVj10C8EEGUVV0HN9SzFVPNzVVOl5A0zKMBUbfF427/W6ppWGBxVT+Ts6/vTmPXEk HiWt5s4azYx3a0zS4nJpafTKJP/w0QUww9EHJkP2z9xImNqMfaQ3ErwDAlaSMPIwLhIRVo/Ei8Xt 2NRgDGTiSiKyAi0MEAf2eQR5UxpslWXTZEXzJNG8gZQB9bkulX9jWeeKeqEOgDYnl/DcWQ9xssXe /rClRxDdD9UvZhPTu8fAuSs4KVV8g5YBhsE7QuoKq8kD+jQ5hk4aAfzLg+akxlhyhH0lgwLkz7gu cytLaOHQXp8F0UWNd0w9vzTZ1oU0YbDZteAuAzgMZqn8wlfQcAeJap2WLLZ8Gx+1uygItz3wfXyt YxR5dUSPWE2P0M6puHt9qAxzBy1DTvZdPu8B2FhKzvUvfXL5p34/WX3i9Gsi8OXUD2N44oMUk79Z oHVWyYIXkJZYn1IBfEU5krFojx5cfWo9GHM9xpgfYPZhVzEp+yYoVS2/8D59HnJGpe91UcISSQJW 8rGfH6GgJDhvYfe+mQK5qOnZ76zTJtndXnFcXD+P9xZqGmDjQyxwR99Dvuy0WOZ8DX+p23dDEFcN gdUGvMmIgAmSzO5MEuT0f6cQktgupiUWdlKo3o8YjWrwSV+bxrS4a6quaKLwvQT1LGYh8Q4Y4lek 5tQWiKiZeaiMSEMQnSS+HaePp7WV3KlrH8f9jBLKI5XR88t6h96YCvDlU2JxMylCWbJOBKklk5L2 yK0vvLQLL3nWFyhEhIA2qj8WaCLm5kokxiq41nSuRM2L8OCDsvR2HYdU46LrDYNvZIPYw6zICqx1 nwyePlz3I74nl1verBJ028eWEyBG03noT3NI20ChdprdFq39ZjVvIY3Fj+doBgDghl7EXU6rZznu nAht/n+uo08on/7vDMsybFF77cgNtcBVomJz1CZO7j9bOP2Q59nOuybFyFbWKFSD51iyMeuDQcP/ ss7cP5ATr9PbXvU+w+/5uIe1JL8w6yqHmFrdrTYr3JWHGvDRDVRHQ//xb6A7F2RDfydzxfffaxDE mKxAYxaORtyR6KzUyQBGv7OQmFssTrXPJRPiZ+ZWFLMoWW6fdPggyzUz/ulP3XUrye70XQQafMXG 6XJeylq9c4hW4VRSjFHsl31X+OLX28u9iJhF6kQ6C5wxh606WziNMNqaDRCg/04gO2LYPBvnCH2w kGqpPvIvt1IitVKfgrUE1UFLh3l/WOIScik1fpDAdqrl+PhpdIf8GCCEamfU/Pzautju5RcKNS1M Ebh3lSBYXj4Lt4hORw3AhrDZ8IDP+jGF7W/9kh5yMmCsZ+dyRcMY1Kae4Qs3+S6SN+ON5+MWznh/ luasldonrzw2IUMJno89S79519dzKzmKTx1jnb+f53yToASdKuAa0KxXVPnaLn+UdByNNMMBW0J5 9H1+1FhcPz0eEcEz2tVZy772r77TMlnAubxpqdOOxAeVjNPHmrGPtpECDO6aA6TsfnRY+6BAAyXx 9mFezY1R2e1ZDNDxxNlRpgPwzk9YWFquuZE2ICTVVTtFx7FQqhKeZ1SITp7a1iwfNoQ/aVFVd66M gkPiwsQo5tfdaO6wOiteBLQSbsr7ndks8hEp9AUYugDEbiedNSvMm1UslaNzFLaq4HLc0sJX30MR HZ5rE6JCl2/WzjoU+Mw/y5B4/mEj75Baqr1eVp+WbT9OpQC8Tm5hRgHl+NREhxIgIzJ+Vz0BFUQj l6GNwKdDeOJ+iROg2/tVH9z4csR5y7wK+tJ6LaDwPH/JKl5MixkqI4teC3JI8fZnIguHsQqJhph3 b1uDMbKrjRpE740odcid0LbfMGcsDgPLCUBTOeoUe1XlCEYD6K48jRHxOqVr/Zolg8me0ZW/5uS9 DMxo7ie7qPpVFyjBqvlzJOEV9LeDuv4r7fvSTv9MtViMcOErdIouceUhjwxEUEBKfbB4R0OMY7zO 1tqgbKJVQ02wADdMkrKOjj4QPVnq2KbP1Sj+uM0QfMveI2t3roG2U5GTORXVxSGQQ4a1zdj/1CqW FlpeN/wK9ikV+1b4J7FsEpT/Lu8ibn1RpkXPpTvOU4TAyaIYWY8H6AOWhrCHhAaBo+0txYGgN8AU IbOEF6EcDvpizgrHWG+FpXbUqZlKUBXHCpll8FTsQ/yD7Dlbn5VzkkUlOmZlBifhvYPN7psEMmq8 xYgkavz1+lRjlOw+bRoepI8oInmQ0+ozKAwSzkuyu173H0D9/fTe9vX75YTZEh2sa9EAfuj36PUL 8zP+E5lRUs9Rlo1GayWHcQHggNLTa/37YlH+WypaG1sExpuA/Mut+6GBsSrUlCmrkz34KUhtdUEK Z9TDPgn4IGdaEDxXwzc9tXeM4BuGQyAKCZVKG0DkKpa8o6Dwo1CfcsCoiUDZ/VemD6KivJ33aGcy X9LmNRIOtjGao1fLxnyQhur0kJzw8ldqYqN068WzIOhfsPYKjICYhLoFSf1D8FRkkdm4Ajyf/l38 7KC2Tkv6TvgqCz4DH29TEX/MjujO4cpTJifCsOtEAVxlVJnpAbZHlYjkkf2W/EQJPRD3vbx+P706 sbZOGBGUNciYuXAb55lvtdkllDZQoYHfoOQZJ1/mQEjoLeSBDMBd7EmpRKNFHrhKAHC5zxmjszo+ 8Ufhcu484veN32fUpfQhAcX/4ocvRIjwM8cKMM7udrVLYSdJrmxg3S+SC/JpdEq+5pkkonyS4DQw utQdFAqOV5l7RzMDkSo3kWF4oRZZD7ReTf9V4GK+dIgOq94k2yUbBo43cfixKjKow+FCZTDA7J66 6MwkE6ZNaKyGmDxTQKtXa05wOto3R4nAkmsEE+w5qVAUYYzp2n+Ka1xSTCa6BwEAMWQBf86uKgn5 UK4q1r2iXeUrjKn1Ss6FLQoIaxBslqWUpu4NYYgnjl8lWyIeVpLBPFDWfoutlUcP3UnJxamcLdUP 5HMyhm9g2lQfHaAfbhMLGOOwfXRNtRr0O1YcOqS4PkR35scHH9Ctzra2tnGEA1j013TLTpi6LBQQ n/V7NPGXQPKbPj+14+D519obMSqxz3NRmUlklRgZMIQG9xwBuk0+xPCdlFetkNnLUvDhDr6qYaEa W/544Bkog4LnjqtaCpyw0QIJf7sx7eYXOHsqbazny9nM551Brqtxz8m9wxRSxhYg7l48Nuic4Q7Y uXUzJDijlYrVbuZQ/jbEmDxX/NsGFmcFz4pGfRSgcmr+X7YKnfT21HjxTJ20YE7lFoclrWV+xObE 1NO92Vu7D9xWQUL+F1+h6mZP8YjhsdTTwxSOBQS/ptvxymxhLP845w5xIHkXwLqIu3u7yHyg4+dz Gvxl7qUpGS7hve6IFR8MATgAPJ3xyKwml2QWPPaPr+99PvlF6ky4zeyYC1sM0BS02srAvXRyK9y5 e/vuzY3vBqs6lYT2UWOaf2u5xAqAIdYOiN+6YTgWlk/lyWDw7Mqr+TPLFQ7wiMquoJBmWA8ygjUf lNF5wMJ4+WD4SULLX1LkGd7F62IEC4Mo+84qtMVLzabOyjhWZvgmoFnARLkiB/xPPYov1B6oI+UO lKKUjTN8K/cSCbPEBcGY0EFSJ7kPmYbZWAoz17H4IABwRZQEHvvDDxwnqERqfPjBWZAZofUNM1hS mIfQRY6A4L1AGzLNxnuKrxRmYgA4t5Sdf7vYAXteXoEDmGGI7FLdbRaZTn4PuJOxNL5YIRL/ZC64 oujGHgbQkbuVcKHeGq6Lbz7t8PoLLpb0vPTusW4y2RuFUdJrCaAjpvFxoW4GTSMB5nuiEwDiXouy JX4xLi6FeUdkOMb3Y2dwWCPFlTvuNkBDYa+xcjCza7S6ssz+2mdkJAnX+YKJ1N3ToYmXTFTN08pW yopz2YkSMb0C5ypR+PxIBijWMvZrFRF2DcJl5gxpYEQwN24TZ11ny77XUE/fRq6lz27wMtHPS385 FvGdwDqei81IUxeGNM2Vzx9USO51XNule3npOclS5q7SKLx242xhCH30DEVEQ/4QA73x90ffmyEP n9CHfIPVO1k3ye0N+PWxuS/qyw24QwF+QaO+id2qsaHeZJjE12CowmnlzKQkydOV+164suXm4uwc A8GBQ9cY1ICrpAFP+6KNqE0GtlGhwkclp9qp7VSsG6VWALxMlzUE3N0He3B9XMPsVDahW8/WbNUf MOLaYNid5EVPFT+xBwfqV+VVuyUFrqCKSFoAJDccJNORxDIib8vADF5sDY/Cg7TdoNQ2jOvCSRNy 9tOorX016QZqAb8PNvC445sWUlt0PXXOT/J5SV2ga7V9hdKM+pVEiX8DHcwz+orBiCYRgtCHcNZx ay2HIEurPdGYMofFA7pepWxBD7L8Otm53EsFcRL7Vhe7F9iOOhIWMTyUXxV+LccJBdKOpsURBT20 hcEMCsDX+Lgebo0wClaCPJ8xQPgVE2RGDnAtusbr6MxOPVe9y+22UuTC/zYYU8r4uLTETqQZf4WG JeCeiMu99vx1Mf8yaDMr9PoLSoKKRmeUv7+BIwA/6xEvZbGr9FLdjxu1PgDAFMFVk2lFX0ULRMj4 hdDLUpuWfKfzovPkhfg68ZD92NNKH9gKezt3/RZw5ITyGkDBdeaymXRk6qruzQ/R03uUetlOYB/2 iXUHj4ymqNqw1AnO9vt4I1/Z1EGjW24eyekOWREhEsRp6xlEpWr+snfZOAp4w0Y7aIXqp9ZrP3xB jVXA7YoN8k4ohqN+HjW9uRYYtKrTWBNnQ0JPHt7Yp/oqhadqUoELpg8ypGvkJ60Pz5sZ29VAZP+P WvbylECA+tNdhduMCCDiFvs9V3pDOUElSf32VDvXxbKCkd0b2Z5NGglyqrvfCls2ehwR7F8PZRwm I7ODuwMZ0FScvg/E1BxpJRpQZDJFmOA7FgtO5AiAJxmLzvODuO6hPrh9wU0q4vTl4hcmSo3vIMpp l0Uq9JEMMlQrkQvuGKJsdNtTK5mH1XtiCuuAMqi/Ra0RspWiDnAkSz8+JwMrQ9obHd7dAhIUyCkq QmDJjFMJ+vDYCfy8jQAZgF57iZNHF8CmkT53Pa3Ri2HLjf9wKKsgEPdcFc8Q/jPoFZ0/pVhOEJi+ REDJ6t/mLbUE3obRS7YGaLc1QJ0Sf0pvClddVjS8LD/+aQq7kc3hmWD6JUd+m9BVATUp2ngGZRBV mhHBJDOBVheDQIaOVIhZ2wpySVrbVEBeNw/foPNIcNZsLMdf+MUNJV3n4jmLptuqKctndv8WZsKf cMEeG80d4blNsyTi9hGKtGkScRGT1x08jTIDBravuWFgAIxc5vtrzqPjbt0dQMEY7G8/XTJde91p 7enI7PjqR9So0nqgq0DnnDyD+Zwc3JW89V9doh4jYMLKhW37H4V07ct5bCKbGB0FhgMdvD2iOQ4v wiqrgIaQAtTSq/Jg0ZlnuX7n2iR5HTOFx8Dbuiblbz8esOYtoNr/TPWB5YLJYBg6doIgxF1Q9AI1 NIKR8cV86yPBeZL64DKk2AvwOtr/jZw37/Vj6LhCzi7Zcx/z4E+q7mFnzAzMRvnoop3etpwxSZ/+ DiDAujLPj5S1lhj3dKs06XGmlI2LVZELbCa5VmPNY0LLqiP2TffIUQtcerAQMzrGe9I2x5sQii6X lnrQWG2hpzNHyKKTerZW+Qg3qEdFt+AiiA1YC1Dtt6vLMevNNOKZO8najJ3m9fLkMVKgHYFFqGyQ RyindNVUM6O5ggp95Aqj6FdQqj9V7h9JNktTEpxv6iWIyAFRUbS3QP2rcHk8QzVaS3bg9E1a1rAa c36kYu065JFwExP8YmWTEokxCiLlVCdO9TL5A0ES4cMbZT5zVU0GNoBiRmzO3XdsLXlVusLnpeRz /LobBxD+cYVHtrejQ76YUUKk1B9eSGDNndXGFYre13xeTEI4NooYZehIo971bS1rUwcau6XSAyZ/ ecEAdg+RFzYD6Qg2plDaibbSS+cVlgPjdME0iT1hFY67XPe4YgTRxtYG5FRYvgwSYQYF1OTbPvxF lDczE5kSgE/QTaZu3jEpiz7fUgd/DBGKx+MiQ+76qP9WcPHUzZDQ1ApuZeQ2LmMZbQGg3PSQt9Sy ZlVcTS+SORSZdyhnUAoxl9Pdi+15YQu7BWM06eTFfJqVO1lF6FbYCU1uysHrGmhhCDTSMbalZfcK /s7SrUbcJbBrBqCYv2ufdUV2XJto0xLRcBXpvYr/j4tssh1rvtnUMf577nou3M5XB+AW+8Xsx2Dx diIiZQet2gVHq6hGhDjSx+WTZDi9SSh6daRPecaTDpG3NhLtQBTkq4xvipyr4zsBtTaqSgg6S22j 4SJjKbPHvfeIiJFnMtqDlbOIejNpqqAtXVkjXJ8FZx3j4rnQgNkJQ5bPc7QpROpR+aQBwNsOym6j K+7lI8pA8MNmNMI4NcURWaq4fqK+vu8F0KDblzWA/CLWoeEaxgtuWwSnolapPK+g4rV8/pDV2IYq FaqIj+DXs2hoso2RMca8zdiIllEBolefr+G9WpKCtdNdx+8eiGpQm4Jfg6o9KILTD9wA79pmbarZ iZ3f0r+lixuqe7Gsjxev70tuR4+g1M/dp21Ims2QtazqM1Sx3IJ2DakegIKPf/l1LY36be8v+sz+ 8y7VuRfavE1vSUGSff46KKCwr/K4WEiUv85fUIgv0mCUdDWnyQRYIca98OAKsX0nANF1DtGkF6OA FeIenQLWRnmqkQWjzGtQfgiP82B9vD/QZhHO6eE6XiusYC4mYAKs/c31zs/UycR6pT1WMLSSgo2+ 3AMEx9uwMOuTFac8fAqGumjppr3GWn+1iojunvlpJ/CpjXD7xsWx4rlq6Dp6eDWCD6qk/e6JYb6B MN/2kTsho2OFkRRhY7ZcZFY2/HbfvT14x3Baxi82bYWwzDxtJTBI2q5hIOJrqC0/jd/2JOXRHg1o h2yS3u+2Rn7/Ln+Cx7h/XTPNohh2Nwok8y0hHr/eICZkxKwl5opfYOwKaqSYS3dWS25BTq8A1KI9 n4FE8cF9udM03ZbXlwxC4rmBWZ7RV2a9+i75oy2nvByror8hjp87ckChYFu3PxNDwGqoco0pr38f JhyQnV1IqXYFZb0Y/3T/EpvF8aZ0wxw7bQHfdlsCXEGYHvrselDB04/WlveFYKxpphNrz/YLN+1X EC7U2e6mCjsd0TTJX3NIr4PKLMJwi7sPCcBHnmDth14Ix3Hosd3LBArYZY3T2jXcqZduEugeBqhn HalJeXuhJZo4bHqA5fRicyu8CxKzqFhPKQTCE7j2TQrWjOnMbarQWAkLpe21wfCONKDPg0+BtQd1 84Xj3UhJRqcYvsy69f7U1N3YrjQofMsn704qZLD2hJ3RjkM942V78m5yx8HTPqrlHojttBvMD0vq b8Yg+MCa2R7bqOZ7QHPUNnRZpFEWzNYK9S40AmLln/Co/RU+TB0By1h3uM76uW5Yz1aSPn2Miejg L2e6+q2j84J9zpZ361DGDU8kybYrmFvujkuncQE73PE4NBFGVARemqAVeJb05c5gblfh6EVWdPzf ZOxKdf+qMuMcOUR+unhgihofauyItSwGwdMhYtgZmIZipUbbTmRAu9/LApvwpv4ucSvCbHTGPsvn i3ePvEbnz2bEZx+F9ES3gUDmaowJ7EafSviBs0uvm+/nNvtlZ+IZSrp8LImC7AceeMvH+dg1yxKk WG4+kwNw9AxZKYeiZIA9JDQv76PpXQV31/cCtpIuix+JvTqHWQdLlud2pBh69/PQ+dcywQ/rSYs1 9F2oO2WbRkqzgbr98+BCteKBPndRtrBS+YIPA34iVhl5RpcylHH/W9HR6IJan0tBp/0AE2pi3MfO W5oAoX6Yd0r2fw5mutnNH849SXwwCuyDjIbpYGgKYz5KBHFhxch1H2hmdB80YDH3G6uOHSsyzuPO 66mfBNKKJ5j27gyzwSkChJSCEqDUvXn+NUKJWHCpK+fqbBomsmmgbNCVaZdVXpTXkDPnX+ysXSKb IeYYRIn3j+PwT1ETzBT0w0nK21gq5zU37Gth5INePapGfuh32P6sdg1JGCCiWGtomiaktJJkqbNd Sy48+SVNoAKXF1gL3BY5qGrXmktLjm+QnCFYVspgwUWUVASoSI+Kh4jUtbOLCTtSXC+ZsyaFcIP6 qKXW3pUwvo/s/GFrvVsk4gGYWv1vAJkYu3rSjOqc6HQBqvBEXdiDACR6kFooK3kbbbclDije24Lf IEpu7O0cHoYU/GCi8k6llt3vHKMZ/qb6Ky1q9d2OFddByfm7qOQJjwCD0GgDqR5ULPi3B7zADnjm XTpWFnRH/d/Bk9VJG3TiD9g3ZNPl/JDKc1BmtuHkHRcMUCqAwOCww9tpWD0BbecRnp6JGEsM3ByL OLgECbBjFGaibh1ObRCDXv17+W0tSABFGe2TwhbDnyUiYCnKZkGhAR6mUhbsBXMAwBdPI46pWu20 adMEgezEHt79VP21UNG56qkoEl4+rOgaUWuFhNtyEzNZx1+kc0D9jo1SzicXQ6W0KtfFgjuaqL8M 76LZOS1SJL/izAtF07YobPD0NhR0auLAMAntQOO+WkmkM/Ral0CJb235TonQVckIAJQoZUSnm+Mb OEY8aaVMzEdu0Lm0janCbniFBjVHIEdp4gcNdEQlfVVkrxuTSKqDkFVy5BMGCs0iZGncNiEthHXK mFxQ+Tsyy0KqtLG6I/6Tt0MygC5nCBLUjrkU3D9VknZDf2/+rtAw77FsS40WvhdPP20jATdAo9YQ xVioYbYttIU8u8r/bQoOt+IEurt6d3g7NVypeJmR6MgbcBsJeljy+FHz8R1Xho0m9LubUnqf9awc ci2Oblnrd22fRR6gIz3hoovQ+40Ra2aMGaA6LZPv2VId+9qveBK3QJfr9PruBKn73PkjsP/oxZvk v4FIiCCD02ThgHSMVSEqdhnbpVBILWRscmoyTrXSrao4NmWBcM2g27r1IWHHWWU8VldWbtSjF2bM yjuN2sL8pMQJnrne0HdRp4xCHqJKuvdHAHH/5FjUPnneulxxjnQTFXVTesf7z7wX3SJEaRSOc0On GQ+GWaGzJobLTGQi54mM/ZdGnTnaD5XnR45E1H9kcGk3G9PohUd8g8zGw7hL2V1SRr8l4q9Yk1Ix 1TXSpWKNRvVvrFk3Pl0llW6FWl2kc8Vx2h2AYqa80jdLI9bGyquPiQoDnoeAniwNgpLtVbmPKeLe xMDxr+QWnpDgfJ6XNs9j/6QC6kxaYcZaa3oatytGUtjVLPAsrO39qUgETGbPXHSBxsA2u4T5GLvM 2Os+eLuoWbIEESDAFOZog/tYrNcJk38Y+pSb3HmkrIQhPpPmHWpK/B7FHnty/Txxhr3+GlfjskRQ yu23MMWg0FO8knrmdLfWOpg5C9bWGLKtmxzWSu8VxzQ9dHmqfuBTnDdT2y7hwCsJ6Rw3CpzqjaYg styhyR/WSh/SW0dGBKWyZYj5DjxpsIvJDBlgFpwJR1vuEjmoFoUFwz0S9Gc5s7zqDyGf9UC0wRkC mcKuLhpIcrTcHv+v6aoM7Uj7UlH6ZOOFzepiHexe4lKad/7p5cA5eURU6OzbnTRj7jxnYZTp5x64 AT6RwG7/aZ4ozAxZNe5r+7jczlFBeuKJ2se0DYq0GjT7FaRNaRW/vsyq4x//xpUvi757W7H2QYnn wi0K2rSYkNxbnjlb84Of4cnblCioEgcU60hMPhOIlKubR0XZ/76gpyJ2uHsOchROeWtH7oCJgud2 TCkwFL1nWeapdp9CCGG+oEdwJT2OtdV1Q1pZUYjlGHiGIyf5TFKz9ljXnf1k6CZq/xgRM+0WItSe 9+qlaHkaN1QdtQqrCZwTVBf8Wt6sFZhp120rFq3MVGPu0McaC7oIfr0Y3RR76t+uVzGYBJl1vyn2 B+eRYNopAAZJX2FCfKRuTt2nd5XD433tCjbdoqpIkMtERYPlL7ogw0Q5trzyLOia+R6rOz8ZNynW TzcAk5TP8AsN/jORSR94RJMnCpVsPXcFwDlfZjZHyMDH98Aih65vo3lWnNiuXLl2Ld+3AyYmQwnd 3SPc9+qDDPWEKe5lhOKgkRpL6FGF7adES3GjRMLsqbKK6UpTwcYFb6bNICwREG30ejgonWh9X3Kv bEGXTJM2yTFcQInf3Ds9OLLS5w3ABpTWG3bq18ocEj8QtUws8GpTBvT5+oVhMzNqZXAOZms0yQly 5IuiSQCFNxKGGwJhjHpgRFHhaROjSzwgkrUqCnzennh6M5blrKWrGp+9CjaFqbsDGRgwYltv+w8T BERlTesafIbUSbdcUNsFmeQawBlh/AbgBNzQPproj9bVF5q5w7UgkW8gL9e4Mnig4ZKiNAIq4YdG A/lj3M53PF0ORE4+SlDT8A98z7W267ncT+FqKxHMZUaTsNc55+1f+3OJjHusGzKBrA9TNj7xJsLf 8GWaClhSFFgiGRT3obHRFO45XOzoSg03VCpvJhdL1ULzUQhhmOudg4H9ebCpfy3DWcucxXT8XlQF Vro/0XstHjAllAUDJ/rO4J1/dSiL0lBzbglK8jZUNuNDOVmZGxDs/pJ1JQFmHs4c0IWO1mOvBm3g A/A93qqAaG3Ng5DXXHoR+po0O+/vR1WL1a/JCaVetYAUjb3/l8gCB9cEPWO9dLxTpE32X5wbw2LG r2qkCprdILMUIZcx2P3/lN7PuQxGngMb+ez8YFkMUUy8UR6ApjprOiKslo7SIA3Fvu96GbPk5Fg7 QNjlKhFKe3RLDdue3KWI4J7hwgaqcQOiR+INb3p/SAaREoReBKNzvRsGRgTNZeM98DhHgNu4JJXT 4kfRfPLf9ArsusXAMU/KlR2qD6WkrBgswMOwynQh2Bw5H+ckMIPLMnwKuFSLz7ElM9hGZSAUFks0 6P+3KlH2qjN4PQOg1+IbCkbu346Ns5lYTyfu2OdXl2J9j1wtzCseNMlC9FMP2fRuIgEZk3XqTnAu wWlgq7Cv/qU7s0eyFRgM8rtaj5ysjbuBQVkOwJ0jxdqWFwkn6Du1YXMYKhyZJwlEUKF27ZsC08sg UMeT5e3dtFsEf3F1fy4PWc2nCGTx+qMn2A70wi1UT3aqiF6e4eNcATyAWeva4tN6+wNjSdIl/QsH 8shZY80rvYOIejQKdpPxDJxKBZCznQKi8dxhCa9wvtMh24wmvnvS9FJwjP22JYfiB1DUbeM1GHDp 7vYzRNhSbyORJtUUkBnJZeSATuj84Zg2KK8t2132gkTMhwuMtyJewFOfhYoKyYjO9/T7DZmzAc/0 f+5mvH6ma0JHNBlXAMcb+fgguG20gBzmHx9JUzsgbgu8CfSPVV2/8u24drWC3/jNnZ2U8B4P4CZr xgJ6Bc1JetQQfWrzA82pfDYuA6G7jeDOPK+Y4xjeoHrnT4uuKbblueH8ZnlUh+8ypR7TiKc/9qmS BN4dZwueH6gIMlSs2LB4cXasGryMoJ9kGuEmf7oLBL5+p5wTkfT0PLocJJNWkwlAA2gM+OVhdQpA bgy3MtNgx3W4x+7gO0d6uHphrLAkjT/ymHM674bV/OSysMqgO166AwKcB3cvo8ZGqKIag2487Qj1 Vuo9laUe+ppWcxt2HL9WJT6KQL8wRMdilyNUFJX7nDSimhMqmbTzvN1hiyjjkDBl0Hwg8CN/FN9a 95hDC7/EB81Ut5IOvrNhADhH3MurLmXyzz4dZ9MY5o90EiXGEPIg37YEAmdMo8fjF4uDgv3Uuav1 v/ijg+uW045rsxhd1RpLTKLwI+f7x4QtJmu80R6hSFHaO51p2/yMm6pKLhFeuyw6SFow2LmD7aI3 DxSmuHkp4ulcpq2gTDKgQXlyFdhY0/q7id9oGt+A/MYCPqY1Wyo+6iWv4+VlCfFl++iWsVFRsoy1 KUJibppbohTZ6MjwIvhSYNOHwlxaE4RbQNuneNDrQLG6LSWDm/MW1Wh2NoOs+lZ1X67WfNUXjW12 RVIlxxNDWNkyQsAPpFCwMIeT506guPh2s0nkr9Pt7PubhNqUE5zsfWCACYjWhzdw9gdjZf6hRHjW EzSSdFeTzcyliAwBHrhW8gg+zsW4ttcQywwaKaLpkRGfTkI2u71vn+6zWPX0gj/5Z4X2fT0QMlIi v4wncWP0BXvg5TkralJsXMtAn2SvzTQOhEvZDMb4/6ftLo0/811BnzEhtP3ejOUxHD6LlfJJol+y qLrTt/TSl7bY+c8lUiIz2VW0vRcyeDZN3TXZWlBgnDThUMXX6AVNENEDguHLGyckE2R+BICDRvUw UG0nVuT4VmJ00/UH9TBcA63bYuevcaiy1abX4vYtRU3/UG2yE1rZCpLKp07UKwLIqR766gCcs2Od AvUcQDt6mLWUxtLslQP84nWFoKnCDKPKAAxO7geDT1DjZ1vL+f3xnNo/JIr8T9L3cJWU4Hlgr5rS cLEDPiIBb+M0x9u0gEN6vNr0jHQHKrw6lynBzTB94cueNkSkvcoAVntya7N/ds31gBLQdZh1T1CS zIEbO/8Tw+mAXB43oUYZWXlrhLChyBO+c9cj7CBJ8vOfQwEszMiPM6qc+3SYKf/eVaYZOCAKlLhe 6qQuBvo+FLxbVsdmj0Y9MLLGMQaRo3USJ0yS8wHOZiIAW9v7tvpBv5BYJzlBlhC0Xh90Ie/T6y1U Ge5tdJfpGhrcvaOx/VD6B1vfGhPMQjHM4ZYh7gR4kl/nEyO08yjRsRcWUx+1UzRg9EwJ487VIet5 y0Z1Gkl6uQCLYVDOI1VT+Nr725Le9qVtA9udTHRPf6vU9MpQPJfnc3dlAgS/UUIQTNrYdGus1ZYc ldM5x7rODF49WznImm8YniNTkKzhvzLTlrzi6UUv0V9Nkk4CsOSnH2r3i6wJfupf16sB1Drq56kD PjbOzhjF5vrZLv6xxr35RQ1Soi5WNwWrd+LeioCcOH/Gcmmry9Zyg0/Tfrt97RlEPXru9Gv9uv2b 6ElZevxsfmMJBOp7ogc3qYXAsROodAOo0BRMyDxAbEM4XGwFHW3EQ0c+ybuRYx7nBlBmQQOxbbmD uIxG/tp2YjUgQlGCL4XMenB5Bsyb4Xtwjw5R3HIwNqPYLLrQHW5yhfqXp46zrLGN/3mZAPh4q6yO 9uSij4UdUQkZ0Wub2SZycp/4hpkBVgql1TEZTt9DVc+r+0H994TocK0vmz7SN+3luIftJsGRSSDr zDD5RJrIFPVHRyAAFW+diTmI+xz2OriuuMCeUGkkGNlKAzdDIzCaXT72+qvpzJ+e8tzTYjBOrXQ+ THTzKpO7Tj7L8NWz8foky4VsYok9ixh2W3X4ci4vSTn6oQoi+le/mTyjz37stZ6tF+hiXbBnpTf2 sWKXI9ogdvkKo+Sxt2f68J7FW/D+g6OJMU+A2sCKe238F4J+qj+ZP9nIQ5vDQk/9vyeGK6PjJtgv +5iNr3+c38rqgq+5QZ7UpJz6YaLGPjVYF71y1VFNRvemG4VWRnNFOETZ5OPkxhCiYQkvcSzVBZUI DEonEyYA2GFtzstpGNsBrbT5vJMS2B+kVJkZYvC+ozy4V/sZ8KouJ0MOaVHipWF5iGeT0LTkG3Co HMEEHlizjTqLNReJLpgq+LLc/vqIlJpgzP6gUnu2puJdeOjbsV99U/+25+6IQciMnX/mQr62vqlc 8dXvwdQjD/NzGUMfeFeeW8qLIF2cyB2/vxXgb1kE/ItoPGPNw38pIB9RLQSP5tOlzhw8bgoIAt6X Aj4AMlh6TwvG7Eh7UlDeKDknuoDZp7wQBexc9fiW0heRgEG4SrxfpuA5waVV07LPz9QYz1K9Pa4c hkNCfx7DoleUfFPz+OytKr0Ne7SQi1C9ii/9dHoUrAvwbf4p3qATvALIvIM+iN0CsZv5zUnSUvTc go6ewj6knlHskPoT28qc9wp+BrMzE7gCCiMu/3d+3qB0oqG6QusU2Gu5iX/nEK3ian/LoT6OZm6D KII6poGQUVAleWdtLetogMvdYMSoyFiLnP5QzAwdyYfbME1PN06sNDDTqakl2ThnDx1dT82bCt0y 5Q3ERXENVW7xFR4QSOtkJcvkiNkvvhOnlnBGh+eOWx2OJihScxVEVxo9xKjs2qg/noYvK6iQ2HQ+ XVMi/t0Ytt3EW2UeNpL6+nhc5ytO5ObB3Irj8XS9DCq5d7GMZTkqOQWF2uG6ApFuFxuuENQPRlPy BWuU9iCaph91meVoc5l/offbhjJCJXPPdLej4r/RYQ6akVcUXgJjm6cxBaOhSEsmEa5/WKSp/dLL Kze7UzKZ9DRK7+2kZWa9qA6+5JCHSs+pVqe4vYAJ+GbZTJmn++3K7y8e+yevHJov0Q+iVa6WEU0N XwK7EWdt0QWMiEWzht2c5+r9VHZfc686hhrJpneELNOtThGfwweQ1lXL7diWcT2EUj+AE1gBksO0 GVOv2lcCkbcqgJEuU0RjCUQDEVq4f7hv7OrPSY8gUc0DonPRbg2H8yIRZcXeDijwpYHYBi1DGMbK p/K2MTO/td+B5ETpZSg1LA54oSABxBrXK8vU1wczHLxl61dG5dasKEZMEQgndQGbRANtSqA2ywjm VuC1SL/qqnRYC92PDtxjLXcRD/XNcKqHz4cdaAtDS/L5TsgSiiRx1oAKQgFOs2QW+qW/OXhlwh3y LXi7Uq5wizaq5iVHr88Ya0ysmJ5mA7uyM+zcjj1udXdF7B+kpdDY7mZ34R2D+jKncELIDYIIc3xK MioD0wjrFDPQO/h0qcHj6fgicbV7RReaTXpepmWdHttDDsOZp6m68ZsL3jubZ1z3iOgaMkPRKMhE ooN0EdqPJqWRyOu28+F2ADiBkgCrzxGfU7uQ/Y4qAZcY6peRkgwuIiiaD886YwzOfuzViPXKdERv eXRA2oyKXNjeLXUVy0yqwNUh3hTN0wBHu3sr1HhGPkXE+4wu+SN0C9ZvsB/HRpJQX4VbvQbsvEwP eoMCvV+pj7aqR3333dJMOV5u9+/j79HSz5gXvzI9tDpDsmBU1sNzYh5mHzIp5LGZ5PzwzXVWvw+w 1BB4NzfLJJ6EYjdxsdtqnlSFyRs1m6YJbafDXWBOKVmWd+SKdxqCkaVVxBPQaTCjoPhPzSQyNx0s Px1Pe9RE0mbcQ5Zkxft42M5g3a6xrn+hbClBjlwoTWkZZ45rBXwlHYw8Xn8/oWyF0GjVvIytHRZX /zKyuVRfB8OYIizpclIYtWQi6KM5dIcJtXQzF5LLeNuDOn42fKrcXkmjUMUJW1iVSckIOGK+NUGA Oy7kVTqi64yp1z5ZgNjmq3nFlfbpyUeN6bBFoaExqnu+Em02IGKWAZj6lukreXxQrsLTVIajUVIn ZkekFeoyvF7X/AJilJ+70LiuT6f3pwUeI5TJ+1od50sxUjfR+SqQz/5zzniPcNm7LWbxanSyWYKA Cml31svrHbVXQInWL2wArgWGERzkd8AEmijKtgCUpt4UF8oedroe6OUgrng57SeE3885hQGfo82i UZJtgnRBMa1izLZS2WuHteUeX2oxDd0H5rOlJvsJDEiJycbnNTphI4eVEEpafzePpF0/RxijHaS3 9Gm3uam7IXQ73Hyc24EapDO27X8h8Gu3af0UNsbiNw9EkNV4voKy+J9oPBLJNKzvMhMO/AxOwRL7 sR/M+v1G0Py+ggmiYiOQ+n8OOUDsnZFWEtoyi4ciRwctbCnEAorD01kJ3axpO0y0YQlZZ3J6MFOj YOQrmifB1emaJs/3J7jzsYd7Kxr8FlTPKQ4fxzhvFD+mXPT4J8Akya6KbaI/v4ZwrwuJc1S5quGG kjoaU6hyD1AOuawiQy29u1uth6XKJRez/EnWCUqg53s/22haKwPEhvW8qgb8XvBpbdqyygRWKL9v UWdB4LsaiUo3wxJfGGTZCtTKKZZOeersZQoyBTGC2pcOu40cDVY7JNoAlnIEL4AjjDUJB+LjRo1I Z5uXpcopbptkWK0maEVOlZXYd+T9BY15g0I2zyj0j++tTvfNIW6/byOBqPfnkaG25f+LAgoE4k1C 6wVe7tZGNI29jsgw98wqZu8r61d4Go96fFTB+8rxBZ1lqSIh3EIb7jablFWQOqwuGE1a7h6uro+t TnhkybgFbj0jWSesYHdObSqxOi75BMhO7HPCAvyxgOkwPs4jngxHH3M8eICny6zw+LLlr6G0Yi2I A2ptnP/5s/paRq6lsPFRDza4xjs8w50u1A4VKCO997Pep4boDa+krHjm2RK7gX7/h1czggnEsWGV pejoVOOK4sYhfBvOuga4Z/ihHTYnK3ZR/l+z4CWdXyLwzFb6UNIVMGL19yuu7SEY5fJsHLNuGazN AvYE1Tsj7B4T763TiisaifK8ET5uONhfY8v9dVLz5sXW7cf/rCSucY8e8UfyKDimNNOWOy8zJbaD zh2+9WauvTbMAsXoEIEzkocpyuL8YCDBO6NCke0BmaeJqbT+0Znb+oQKdEyISrNdm/oSlq3AB735 iyBUAvgBCkve2kzd5mUfwJPMunOa6u5Zd6lD/XqYI+FmF3LdmfMuNGdO/eTwhjvf2iuEYsG+guMR idgIm/aPdX5OZlrpURDxQQ3EW2+DBQbj9l321m2BTvOIYxdpZj7j43fntHpamzNFETtynzFpbq5e PcSXfrJ4beYTb9q1XGSD+kSy6fl9GPBtPGpTBqlsUgZlTxYyfMrBK86fDw3iJClfy5mevAvzCco2 4VcAkvTLsukJ4mlqsufHhbwM8boPQJJwT1VAt9ogTyzRzbLk6mtLPVjCwvxBgIgJ7d5g2ZNzI2mT LtnLmDOWH0ODai2DJ3xYxFGNM4NQegkUesXDT6ou/K+zRUS8MfbrF8//VMD4bcnErTJ4Um3wl5FS 5khUbTAHhKBrA+5DXRbZdqmrKPJFk71nNfRuU/SrWYXhRKtvG0wxkwVgZzV9JzT8h50MsHwZ7J6E JQVOoH1TWAxRo7QhXlWjRBZ+2OwsL0QK2jaz2N8GzP5D6GPj1jrv37CpcDRyTMxCuuhIWpI8ugQm IeuckdaSZ+YhjPSSh+rxuU9iNSenKNcHbkiptOzBD5q0oQSAkIGeuX698dHSXwFW8/JSJZBA5r3w dnkFpeV24aJd34ejBouM1AXtKe77dT71XB8M0oHw8QZ55FXYv0SHeFlz2Gu+toLC9A6OFJK1HFvz 0KYygQo5HlQeiXpWc8+fVOAsoyS/8HsRTKRzHWJ7XDOuvRGc3U2hGqLBzTWIMXd/yRMev/WJt0Yl MyGUe7wUdt9RiOyhPgUOVB6WynC/43dO2Jq5oI2HCukewleM2KGY3VDVmurHCb0KMoc8/GUf/6u2 fbPCz0jzpbt3nM86t4ynBRi9KLHk/rmLS+BEL/mfhvbe2LKQS5ZfTSOgHXo0OAOURm5+rHm6+lGz 6FGckNV2+vIlNrRnF0q19WxHjjwABonGA39gs10a2ubpEJldr2SWb2DuuFJXp17I6JhYswfWrW2O GBYQm51FesSWvRHoDCLesPibSShi5CrD6Fpx7kZ38pVhAxLYLBwNNY/a7H8nUhpFZoMf/Bqny66t KwLrzgwJrgZs0q2N8uvTN+NpzUktUCIYun+KrRaqSj2gk8Swpoem+q/3wNhltfM4ZCadZ0D+YYvs BqdNrtlX2G0w7uflD9FjmT1jLgd9X0OJWJr/8L453SWJMQlJT3NSsWuegN+OcXYT0KB4lvZWJV+G c1ExCsk2kBi3m+ArNjKS5LNKihx+SdfD6pUJzOoP9NWYq/kDJrCOv2Z+yIckOYI2wPJZtTHBl9Se rCecPwycVW0Koxo8Fj3U7bUJeqw9G32ku4ym0WHuxZ2CbQWOp6gDUQdGvHjpi+iTVRPoQCyFyY2P phhYG2Kg4g4Th52FaiWx2tWXT9bC5RQXdyNUKLc8IfQ8ryU8zz4+B0oeGmzt9xqdSeIAuuWCM/Iw dhnFl/ncLHEf5hyHHY1S92xFV6m2LmERIcfGWsdNYZX9D9o3SNnG12JnNpjBGA0vePY+BsfTIli7 gk/jJ6Gwc4lygZ4lVOTtKyjYTBonR7Nx2mRQrLZoT1TiEeJ2s7C+20SKRu1W9W62CJUV6XhsGfrg TGpI9UEfriRx/Wi+a2AzxHtCE0cksbXfmWWuc3P/xF4tpgoLBCLlVm+9iIl9iAqExczlDNZzqvEc WJGGnq8ieUV2K0sShig1xwBdsFnM8d/FIasatAiw7Ic7N4uKzT3VGAVbuB23Emi43hbvF0G8DH3O 4yOaeD3eFgeB/cbzjQB4w+WqCFf5gpUQZ2PKxfEbUs3xaCIgShwJgo1GuaNHi1KDRYclzdP8JqTI D284hGBSriBkgBHbl1kstpS8TnYNyEZacs6gU2ZyPf2ciEMQki0KxLSJICqOJQkIuq7L0mqbqfeT b6PEr3NTr08MbtBhMKYtMosoSEDN2vebrUjxyhH/ITRPC7/9Zmz7eNOxzYlNE6wEL/TcLchTDewZ /dUGKw95kG0jPG/MqZclpWrKCZjPvfyLhwO7noIZkBbcV5hwsC3ZqqZPdiEFUEKmxwx0NFRFqEu8 syirbIW8NevXveI9kQ+Qxg6YCNDJkWyW3ikeujrH5depyBmWBr9/VXBXRCUygx0EmEg0iOSOUmA6 NWVBjGmjEUbbZjsKN21dtkQke9EGx4W1qkyoxtDQns/0q/732dHBJpvQSx42FEtC1SQ+SDXkVgkn XMr075IcmX6DVKKpH3g52+GIUIIn1gPmO1H6POATumnjSNM3uCIuRpvntvulDUyyFTS38JZYMgx3 J6vLf7DwBB1dTUFJgFxdz3wMTxSFGqp9HwOx0nce61kcZrAsOIwF8yYU57fp8bev3q0EehXehc0g PR4wgGIYp1Z2shfj8/G/eaaMHCefBjXffc++As6ve6ZUqWJJPO7ZfdYaYXhXTyzw1BJquoz51G8g h9nc2yYJlMq/v833Cp0lKhfemg1LPrs4VhXI3+Bf7XKdx0jzrapILHlneWlPs1bmu2+psusmImZm j0D0UTwq4QQhlbN0a8hller8HKdQycT3I/aXEHuz1w2RTSYl6IpPtTExnJ+3zH6pUYmv8irViwJb yGNayP9GCvUGnfjxh2SbKRXYjoJUbroHzlxLMllGhIjcVOhZPxTdkOOR1as/iGS8LKUOIhp/DUCo smzf7E0UXQWOjS8VwTqx/4n/GGP1IG1JGZWuTrcumFMbFDHMzDx7g2IfYID7+mNBFtDSC1QNYna5 By1LdcuGvMernoA7jQsnN2QTCaHAdeZqvXb5zbYrdMvjINxkn+vjZaAU43mU/s6p6dDdAbupG8Tq 3pA8mQl0WSsG7DVjSR2Z4QlreWC1kkHMDDQHVcU80A2+YZIuYvM1bVl9u4cdotpaRo9NzIaFhz8V t7vhbU+6CfLspBVjbgInMMqH4MI6cLz0Z+/bqKHY958qiOvxGi1mwut0YDsV9zQLR4EI8aTrM7Yt abTHeJqdhi4Arc8SWXQ2gMye8rOhyd4yAqwjtjcVoUoTHKaxyrbe2IxKwOFuG+zgC/szbn1odNvb 2GNYBzysxYR0ASEh/AEAoqB2UwNSL2rkUjWiDsHfSugUtcWdzOy2U1HUE62GISs50YZ2YCP2bcga I1dViUjlTViDJA5Syu3x9JtrLJVj6ZBf6TEMknHeYxUbEqsm3EsRp9KMpNSZOZcexFPCNEUsFd3j TPELpwAlQjNbUXktA4QZ4WFM+ZQnZvjWkVl6oU8Nv0cty2uEDhDQHNXq5grDTZY/6AqStsrhyYDX j9gXOSE6c0PJ00TmglMvkwIE7WW69bEQJOE0R5zVWeoKDjG1tgJXNKs8q9ZLbbA3Wk1d94Q1dP1G sEQsUa3/HwZgkQi4wP+lTIBFeggw1SrG/b/oXRfkmgF3AoUE5CwSWTFQPNz8EvK6052iVfq/DAIc QsXT7XOGk40eNxEZGrtBawke9ZLH6+9kvf6DlZBFMt49ABkj+Wtbw6ZBiywRUVD6fxZBAJCA9Zhm 8i9FIQpoKopxfw6hB7/seXoWg5sxE2cyS6VBsJv4oP1mbe77WCxBDVx/qudIs2GEkw13Vgiu1+L1 XYqYrT9mp/1Idvm3XUE18LkTUP2Bfv3Kwz+qULKJQON39nSLPsY8OrIEaVu6FrbrP3FRY58wa2WJ AoVqxIqx0Xzi8zlJR39s7nKFdffqbzOl2o8FkVu6/m84YkfBq1ifYyUDb1PPfySdMnwFKskW1GZM /HTjYqZzer00DrxTg5VcSeT2k6R5ilxfX1IWa0hZhj7D+VDxrX/DjBDgcD9K7a3zVtPR3/dasml2 d5gALsqYdHo6uXjk4b22MXmhMploRdM1QGuHgGBo1LNiEVZhyhrFBxrld3R5Pb7MhAwB9DtwkdCx VsfYch8cmZVbGTxYSLlR+VDfg0xPzGIhzITjX8o5qduZIYfbcfx4AFi8vgMi74BARfJyRiDKN4Vf eWZA0hm5kxddseJq2JpJo+MmUiS/W8wLLImXxoMgC6MYXAUeu220q3AyZgvS2++FWvFvVMOMHZTa 4pkAAO2dF4G4EgO4r5zszSCfWgdcK5yTfIydIHfN31ufXkxedJo/XWgbXo7Hf6OCSAatU8N1XA+Q +RK8KlnG1uQX3SBNe4+9Txhx9rvPyJurmGg8ySK4y3TrA3X+MXqEZFnh1hmGUYejEkwGPrIGDePF kerfCgs08iXgVLVsrYlE0CFqe0Vw4lTcSa4VBI1xxVITsoXzYNslSoYhH7cv5Is0MOmVvKrRcdg3 A3v4BWwWidD9lL0IikuZVCRxEdYPSfFKArb2Q5Kw9A2nc6j1jXzX7Bym0q5y2wayyYST1uJNqo2n 5Jkk86+Utb/7qqagrkbET7nk63vVRo686YiFWLgEGQ1Wzwnw4EkGWMukZKUCA0ItTfLBFsMNP13o lFRo0qAJPULWAiuXBAFGkY54uHCOC7U/QYLk7lwGcmOJpqrNwJc5MjP4qTCL7lzTzb2ebJ70liFw Mf4mYwbnbQ2cx1i6J5NfN7IjUbjCRiWEbvv+fiXoXxjC6NEnoR+KXtjfGnYp1kODSX17La70UviA w/PryVo35HnG+W+g1xWVT0M/AQpQnrQCNzPyt1ss7MXvaa3f/yHmr71dgOESS2C4xtbq9GquU1/v BL2ZAN7sQvlVfGiPhk+ZdZzL+1+u03DCShpaMp9ArheZcSXpra8rGeg6e3BysYZxvLJ2BJ4QM48q hN1KSlTVmw2BA7u/BAbF+mP1WINovgBqOYEfezrYcbdl/YIeDFbI9Q4s9cOUfhIhUTqK6VyEZZss dQvOeOcnbT/eJbDx0iKvltEreuWLqoIN169LiyqFfQ53Pyu9vn8wqLwUpYyvMR7U/R6pFYVBkIOn nh4DTfkWNSW+C763cWkZRJfQl0fMITqyYcPlMlQ+ARp7zN0XSTPPNHRoFcmlHyqntWreCEFmjagz 7NlkE4F6R9Dhpjs8jiG2bxT15EnOvnyaSVFpyzuxd7qdy0jdNs16XQtsV/kRoyR4UhKwY4zu8vww V/tKEm8bO/nArf4pEGpG+QcoaSZg+r30MZ5Dx91vtPcMkt7aI1z6BtquA+/o1Ared8PWMH6R8EAb 3lI2wcoys7LpnyDSLMHsKM2JLlmaagGyCg3O8oFeZ4BRRb1g7BwRGMrecXPVUKq8znJE0hFK5vxQ Lj/Zj2gn2xu+bMSEWHGtmnda05Sp8oUpL2J+kPJhamT18Rxmztw6F5PwF02t6jzKLVyLg0sFTkIz n4wQlWWle2V6eN3DWkcGKdwp9xMasxAqxsLvr7Te5DDbHI1WthFX1nzmzqpQLfZZlmvwyiMx1RJ9 1X2Qe+8HjD3av74G6HmOJ0U1QarBcaSmLK3d+kpJJ8ma0yBPZ24IA37SwX91fAKDmURvy5hz0fJW dLasmaC36zN1ELLNxgv65h06OVu8r4ln+DLIENKFTOE5RIjZRbkTe/lMDBabPaXckdxZ5wznyHme CLIE42MHhHpb48zWQw3Cup9KCVdITFOpleJ84rq8gKq+CfcoeTZ2/bVHqbbFZFn2vd8vOVe0KxBg B7FmvpDZ5VAQRAkBXHPVfm+wyq8u6fSFH/pJwyP50NdkI/99hTKVuw7mYDdAmod8FFTmWOXLhVy8 1TcT+eunaFEUdDOzSBZ1R9Hr+6lSmGYSrlgynkqSLKI/0ISSkmcGZHnzb7o0gkUcwZwzyNW7FpNr RWepRjP6Tp1Z/8yInfQSUcAB17LQ++BlBRjRjKQzM+aNOvqnWsdd/1RsLOZmATPK3iQLXvL2RAia DNRGcAB6NH4iycbvLtRMvMzLYRBX7T8N6r+La0Rk2DOEyLP3vl8XXlobKnG2A7Xx25n8XcKpk9vX prCjrX/XZ6alWceczzSofcWYW1Up1Hrtq058C/TN6PXqTCtsoDhUZkIcMoV3yB6WNK2FD2dXwt6A oX3HNahNnEGej2ZncYPlKVnsL4dteI49rrpoTEM8cRLmuzANOMqkl5DQqZUpKf1egREcCLJ8Y8y5 23KelDo/J5AE/2rW5+7ifC+L0yq5aB0jBHueeKj08KpCaegP8JgS2NxJIBgGB4cQ29FFR4iqShR2 IFxVo37wg6KSAu0h+d6m4wSCLTLm4UkFdBDXYsBzJenYd/T4+4yuqyzaqa0bUoB6LCJihEnvOG8A JxHsAk6KpxpYcEryniB6Q8fb6wUynvVc1YFC/wS9qw24JKzXklFGU+NpBivlKDnY+zofdOO3lOxP 2HdM2b20QzMrFsf9ox5VBVg1IziM/HvdfVDsKanmPDvMz4j1JOOWbAwRkNwslDDoRhvlIW9GF4gD 5tMPUrIdYXRDSKdoOpbfCnlahnpxvafDXCWEFr6tBCGrGxXnrH9x9uHsmuJt3Bd35YRaXmHPazvA hlwv0q2ZV1S6LAlAnS+TiJjlbyOB0wJC8rYk/lhRnMqmahTJdVIgjf8hOI9jlbwERwQG5s9BdjFj QQLe1LwY52xekJF8VmSZ6AHA950erxtS7JFe6ygIMi+2s1Ri0nZNoeqdTq5Xg6/AhBPpLkmvYrnC V6bS1i5NklwJrDguPG6cZmpgFZUz/0mYhRcdLzFGo/Civ9Qlei38tvrN8oxdKpAgkShp6evXir/8 FZwkjmLnkOi1PXr/ZDatZYnoVA1uwQSsS2Muc1AenBTV37ec20OwDlTDx2nzWXckWQNV25kFulIX SqyZeX1/YCSot2jDTVuCsFvIrWgM64yPuCIIs88qOhLgnxqSPp68BVCYY8VKLRWmtwTRjy+X5myM GuW1lvkQqP8Ulx48/OlCJ9e7Ih6an8gZ6KHKT5S80DJVE59lCasuCx88FuLv17ODw66SrIg66QD+ Kad4nXNoXUem+zrTDefCFkjpM//YXSpd2fIgh9eXEeJfiVfuEDcP3TfivPoV74TBC6Qg9mXvJLNv tEdJQfbT3pKHnv1udJ17+1WhtgRoTg9/coTqtdzrncoJIJ6eS6GJcbWBQzBfW7RkvHMi7i5DMbHh 4d71ShIr6Z3roBMCdh5aX0F3oXbfi4oZDeKkBbh3i/FPlHLROFrLpFXoafLIKKCWJrMT2pRyYj2R ebjUMS21zIDjkZiPKGnGUnvgdmWsk9pIQVxsIaWc4PvRw8ahQfSx/sZTaltOgHvOIZW3+umvhV8j OhFNm/BT6yJTf7sTFItGssd1VIdCWzsqz+c4lWoGMS/PHP4v08rqYZeAuMHHVmkkfSA1qs+7Nn+d +diperEJrHphCMLGl3G32sWnaaHawSSdrOACYffVKRB2xfpG9TbHVsnVCGD46eKIAkhoPKs3ihcp NpsuvfYyfbkkV/hSJcVGDF/DfyxMaGMCM1DBiqYNuBLX4ncz7UxRjBM+vGE7jsFA5iKcbxoICVkV ps0Is2DkgRW1H/o3uGMAE69+tc/PkMNTXDLKP3s4G8OD79a5JExAcrEHeOYaTfuGO8B+jUZNOvdB b6BqkklflDjmFkqskgR1ut7RJrn7CS0nMPeMrrttKylsZAhl433AupGgt1dFhi51jZrlUDNF0Uef eKQcq15j64UaW/PIn6PZ9jFkiy7TuxA4PmmTIP/Ky8mG9gwu+hNxLfxiNNN2cjXxB3/cSgSKWtpR k3Wvu9fWTltwUxGhCIT82rqy2Mu9Dwc16yEJDuMhQ9hBZm5NTj0hh5mnyO5iEVUggH2Wewj5mD82 eSSK/0kqtXQF+HUHoz/3b0yI2bYG34cNlTfZlUVQ31WrD/+0B6QJSdlpOTgtPOmzHfdtMUJiI2kx 3gbUfHD/9kTmXpF1f5sfkwJT2u8MVSlceXYGqO3FmKElDu9Sgx2vcNHATvnt7O7xDDtgDwXFkGL0 A5xC9Z1/g9teDaqvIOSf6Rvzucz15pBWy8AldkFF6eEYw746ASoaKmX65RMkipBaplivRZBtKaGm wav1gZybrdlRxqLKpkZRwXYT91HLjnP4C3gYe4NEgSgMN9xSBp29NxmmFzdAx15OtZhUvd9X3ZBq hRUtkYLRKvcueuHfDtWY0yW2yIBd383w+ZTRfCAKlAD4sXEGvBsVNx6+Jg395rwHYj0+jeSxOXze mWndtBQmT9s1ObK1co0el8UrxcRzjdhwDUMEor2omJvjobgpJQnBYfVUXtjgWkmvRuYJkvpeyRsK NVIybc70e4lzrhHsg2lisT9/lMyU4dSblI/x0eYXyNLPEvXEPlpARZwOT7XFfhQDhkcTcCqEQqJR HvJDuVPX4m3ABUx332TVey/dY8VBdJJOccGdTBv+89cgugDrIePOoRYQu7XkI2fyDhnuj4jFzxqz CIdHSbF6H3DFBOliW0MYe00IUEHbMMPwL++M5YCkkuyQQCWFLS59NTIyW/Auai18NzVP4tvpA6rr bkTDKTQTCmnia4+ingbX6z2zOpG70v400GvystZgor24Z5be9E3twQ3j2d8L3iIwRR6ha6zWjC0I kFB4eGTmLSW1oThlDtYDaH2m6uvGbCXBZUIqyPsJqCCf69PBG+Hg/dVwFKRNLKEascV8FpU10WvT W0pKzw3v4C7sd+UUECGZCBP/kISWJGUaihOQUZVeqI6KeS5WbpuG3V1YBgz8Y2vG5LKaMdj63ph/ vIhhRU+Nh9pxqLZ0JBckdmuy4Lbt0SOFSTJlAXpd0tosRN9NdckD6RcoURnDXArrsHv7+S1q/stx TRLJknXikmZhCIfqjrGpHKs2Er2ElwaH7NFEJ83/p3F6S2G3Zo80WSmKHPN70/Vi9K07eutguqwJ URWNdXiA+0t0vpArogI44rAKqAgkcXYICW80Hid4yzfHSh3VyWZtqyjoz805pJxrU1cGytkn87F2 Qijnh//4tvElCfru9tpHdKEyBkWenJcfLY+i6BP6oneOlF+chDXvJQr1aaprxvcpbiljSUgwGKhw B2oclKQ+wVszOr8KoqvGnvhArRXtmCJKg2e7uiw9d4jMvwD0sF95Nwq4Dy87ZsiS93/5RkXNtTLq 2nnVepRRrMhIi7uWLaDmcx21Dvie8Bg3QgXCCZkLTKrE+Wf4MIuSQ7Gc6dEVsHFUc2CuGcfCeJiJ eNxD4T5huBZBkx4woOCYDNUWvz6gsQrQvXkhJjsdvGYPoAEd/Ww5oB6lE4oyJ2ZzTL1pJKwojkx4 lvENS6pt8vdbjFaFhC5kYGEmFI4gPoe8pJcWzSPjDt5VrhjrLvMQZ2F0sFwSvK2b2kjiBHe7GWtS FUB2BN81ktjrzGw9vaguUtH7HCOrFMr4JSuU+WLNCPyex1hpIgmRO42NcKnpFyQcUOXrKBvnjok/ 3BqrtnUshFkzLc84yTp+r55TFQDjeY9AxofZ9YEWamQb5gX4L4AUkH50VT88mmV+ZFevncyZ9LqT QElMqMA1+8ld6+0LFQtFCPIKziMKEjIKk+2gJSuNlRnHb7+usGw7qGfB+cslQBfsBp4q4tg/fiWD WbUP7EHvzyMtf41Y6DqoTLjskG5pgJtiyQVKVAkQ3qBgWF1PjuKqjuO276f4iljOlpL74RCHOTnV PDsaTI68TFbcWZUvR6Wv+baeIGoIOgEf9RDgkG/+PptVafAjOvtDU7Fx7ijOQKbL3Z5S8F57DdHO pxp6Rtk9tU7SiJAQADickRxdTnpB0UN2pOGU97w21zbyrLs7HQ0bDwEbDk9oQAT6fu0akXBlTVPu jjXuIFtQqXA9gk7UmKEch2dbSqGEnMRrpAWq/V24Zaz9BJC3KsIPI7DR5XFSKmp3k9rcdFtJAJHP D/gkvtUosbxuKhkkxT5/QlhX03qiySLNk2ey8+QuADyCSrKD/G91xZeqiYbZKve3Z13aw/oscD+d JAKZ4gAgRyIq7ONIUCPlN7JiOQMlIrNNPvO3wB3hxHLqMSPmP5GVVZnO2NMRQVQH4sLeEVwr200e tTdz2lv1TzUg5QHHtx0yEpbPkMqNGQbrlwZh2Obe33aZ7G39mjYZzUOzI8T83VRGeum62IUouuXq e5Ej2aeEdFd9qIYbtN0wCTHWr8OW3ALn5eeFE0lmy9O7JCu7cUDUFUouzXCBSUjoFL+ZYEP67F+m 5MNNizMUpAOIrhBiIs4hN/gBknC5tGTZhEHqiL0fp+v7Sc1XNEWaU6R1VOhyUusmJJOwBiSnoMKD DOrSAYD3HbqIpmLjRpnmRRmEDDwnuFJ/nvKCPXEx+68/0bUkwe6AQj3VFWtXJt0zujmSyhXeCU29 y845AFU1+LUAprTK1P7zX75/Ux9jOjzwxFtjTaMWnx23NEz8Barvk51VF05MozQ/K/8DP+J+GrkX VbANN6VJQs9QsOwY5b9HfVqXztEFQ8sdaPhcFcpKfQI3OD53H83UDyeY3rdKj9a+H3AFgDu/dhpI LRl+oSxo5V/bMWeWBu1qXIUfN4+hEzXuYDjmWq8Jn10KJ2SnpYXu9Kg8bObrcGEEFAAoAkBCYPOB 8xcBCbHXz1uGV7wms/ZwbpJvZVzfA00DiC1W9ufVc7oboAXXNUWVVvIX9dt//peItzlgfjgXN82b OOfMIhX/xFK0e6gs4O4OCtWxDwSK7I47UwZf9qzaspNzISsGouYMpKkPYELKA67vEhLpIvaB9pk7 gp+zc5KcusLhDRqrOcLr8mqP6Gm6aDEvoiMa8NlNh9W3nJlZeDrRzJ3VYROv2xThJ4yW/JF/j+ZB kKHIIWRwDylT/2Jk93rakEJZ8tuSfKaaix3tY6r8aff6gZQwdBej8rDkkWijCb0GXqYQJVEwdcFs bHXYrIL14niS6JlmDPMkVmr2vRfSpAUwbm1PbjG7ieonwswFiLpfiW8qeA3vOJ5CNrdxqGNXkJLR EbyCfp5jv7+lD+1c832V5xD+sIV/d41zra+j4cU3zrZ77iRTmigBSMgqwKUTyc2vNFzEAz5fiKx7 Gzzq9wtRApGBHq85uxfDtb7jcY0Om/bnLtECoaxB8oU6o/IR6lonvX3ry6nzdhPZ1NVb1219Wkw+ 4sEpTSVk+qngk1OXrqjLXRNa8HRYOl/2Jj8bdbObt9IicibuIQsSPktfGMvxWklxBZGH4tHXztAJ OOJVkVDq35pGkFUnrH4uqgW7mscT4Audrd3L8dMgdRLzgysWxV1njo18ZoE+6a860dWcv65SfqoU g4njuDYvQ3fYgLCMjbNo59+z82CiOy2Y/DvrLjYXP9FEU2bYlTmRRB16Zgw8PzhKJtB6NDKEqi+8 D6kktDJKoOGylwTnhPbAfjsplHkPeC5CJ7v3J/gZHevuZGpMP1+l8QUfynjltZA6Iy1/6ahLrt7t 7GrS0+Oj6wwfBuo0O33HYOOrrItb19ObDquDp4kiy3Mr8sxc6qUaKJR1bB1yuQVptWkN0eA7ul6S SQrSWrtYbo34T1BxV1mrMq3BBqu6Mde9OavY2q+FF+Kk/jLXGzKFIzB2jbCnZIXJn05BMfhhxL10 s5QAn3GJWGfw732aQHh2+m1K9m8WHFoE0MwBj+fP0WG/RbMv6/7xx26SAbfeaNQgfPRjsUPtcmFo HdEuFG9mM91hZNHjjKnr7y7Wp2F8vJeP8UEbF+EOZb7RxZP3RGDEHhfnhfhAqxIjszET1cQKK8+K ChJTpEc3rBveDqn2L5KOHxSRZ2ePRwqTn/WLNvWzLIx5POY6wJnJrMx66eLF+hbnd6X4sqkw+akk as6y9Lj6RG2AmZgU9LAoKCi+TWjxxm/h9pOUk+RfIA/ZtSdzhzG9aQnoS1klyTx9qhbf39ms1DhC zuLwvjCCvrOrFJWvLCUqf6/pdOU//XhV51AMX0UfbBolOWCeVT4vVqfjUmYaezkBxqSPrX3XGOQ1 MKJPaQLOkcJfd4/pV2VR4Boi74O9dweHzkMZCvxbDPUXME6cINGeTrmxGfC2+pHax5COiXC9DQGo czQDTBmEg2boz2OY4Jz1RWLrj74m7LjeJGytx8mi//nSGC+OebTISmQDRfNLMaGSqRGrWIv7sl83 AFoHTZAR2gJZteoEN0Z2Eq4VMu4u3dGWqB3saFkl4IhA04JE5k16AWWcdh37yU+6o8tJXoaVPJJd n1cABkfuzsCqq66V6InIRpwCY2Y8M4QMAnU9+UOG6wCMcrrgczVU1EOusEnDqIq9Yt9Gf4Szgx6z iuUjLyGfuVwfwa6OseeIjnJBGUDamxFXPKvGaWfgoDDhQdHvyyjk0Z2Fk9SIrUfYXEfs00yqCBAB Y2fp6PBUCZnqjwZhNGaLKjFRX6gapDi2zLS/FbIa4nY0x6Bnj/Sz7DNskOBRCJRdAxnmGBVxJWl4 OtC/4JRLusMnxnCY27XC6piyjiqPLw4emncfWNwpO2C4uw9FHZoWNhDK7YvEDSrPKPhEpGh1JFMj EAKsZX9xknoZYJ60vCKJm9MVo9KT+XPCe7KTmhON9tBq5pt6f0SL11422qvYRIZJegn0Y+TcwpTn O6oQnodEcpdMguMhNcSsFT5Ql/bl4cggwO9w+18Xy3DrWgkt6gKKZBUwIaeXaTnKGB5JGj3X+31g 2e1i0NMfcqSSlLOPfIwR9b1o2owGTpWbB8ZtW3Qj1MuVSJ3FOVu1CPeeZoHIs00Y1IYNT8oySk8t Yw1Vb7THpt/q+M8M9FVnCd65B424r3LF0tJ55xoWxQkJ9iETjk+ReaMScLi4aWvYfRuYCeOiy6uh uSvUIN3lhP6luaSrvLs+fU/tBRPiqn62n9gVg72zvoXvgdQbl+1r0ncMKm4R00OIVbkQypPI2r4o k3JGKnePgCnNgvzkYx77sDmQ6ugSXjxApRdb+bNVBnzCmNixSNGCsrrOJF8QR6HlXhOYakRsf365 DNaN2aYr0MlMrZsKRUc0GQtlvKRTkPoig7/zlIAIYz7y294WzSvEPpomc9701xnxcW7IjNYuTSvN 4FjeMjq8ErFq6Xz+rvaC1H3C0VnnKY8Ojk+1drwpZhg4/jgMV3h4Z239F9Xp9Ee0srDGn73fFc2H 6BAwDCthjg/7/My9kHXXjywkpTLFoCxodCBxcifI+UqHHTSJqCFI/ae8Mfi6C/EBMMY8DFpURkft /mBQhfag2ivPkULw+/Htw3MREp2juTMAv37usxJUHAyHcGeYY2hkDp61gQ2PGr0/Ox6NPQ8NxlLu EOX/ISrE8Oz8oRj8dZoWt7gCznHV6Ms/AZCokVkKKQiDMmoZhUV0InAesqnD6HKOCgNTxxXEQN7o Ga97sSbWkI5YbBE2yf0ctsblqGoGkvBJuGHk4n/JXrS8+X4ctwkF68ROa+d36GyyeSfrYCshsZHC bqCV3FFXM+sczwvCdfm2KGrZ5RrvirYkjj4kZOww9fRGoMcpCxCsoKxkvkfeZAIRG7vMaebrTBng sVQgWcdQea+fwE+apJS7l5vS1MEwlmRcKVl33ZAUkESGD01zeFA8ofPTzx9XiThpeg1hVNH9v5so opmH/zfrwkl4PnI2f0SLbjE8OxUHQfA5R4FElHbcKjTicqbuejuDg9SNsjNMYTyKHiVTk9WeegGx sR1AxXB4nA5pPAVlH919jbAiWmLgKP5w11ZAuQdxEGCansAffUFO0BQZxX/TrDXLSD0dhC6wUS3y zF0Au0S2WpRrnMim/kq27j1ZKOvnoQZ2guVuqO0Mzx/Av9CQrkGV0/gMlSPzaEgg4iWpifieNfsb wA4BlM1vxNmOxyZrKaAqztaCrww0VRtYGnD7hMqS6n5nc/LBHoc4ccmHgTMG/EXyN9f/OJYua5BP vXIAGzT55X5xSjc7W1XnSXHe42ww5w9a4i7ACumRo4tiMWHCYdeaRHbTgdI3iykizU1HKuHq4vN6 +edDw+YRVNRRbbGwjLmRrYrCeBzExO2DSuVenO28n6oDH0PRPeRD++dU2mI2/BceivwSzpyIKLeB 53tDTPfCirIQwehZtMZoiBZdqODOmYuAm93CD20MHrrjBqw6bLidi5KwrTVRi80PDItJtetJKoQo d/CeRkLBp3crk3YMRHDyZOjcmM23klxhPbOVvYCEOXKMH/0YdejXlo/lhoAT/F++VseuKG2OS077 iwknuNKYAUNK2GPRVi1YUp/2ZNDN2NuqUa2mls+5sBtc2eAcK7P6BmZ4WSGjdwyFgbVwwchoU2b7 E5311+62tLGfTVWQVEHBDqIIPLvYjJWid2Dyw9w+oj0YmF0vVxlI5MeuWLdXoSUFlCqPOhWHdwOg 17pVWqf50oUmAsN+38rItQY6/spzGZXYFSlCTId2QpwvjNL/ybNh1isqTNs4EAWZZT4v63ZOifLp yfKPs1VkX4HrtoRUvF/BwIe7mNqOb/otY0D8SZQWg2PLS1N1f4dH28rIAgN5J4E1cpeh9uhpihuZ m+rtC0aEsS8vlbxtjTyZKRUfLgsa8yrW4sbpCMIf7B8WQGOafBHiokspwN5XslixXt9uI/tELL19 /NOOk6ut6nUjzelIdUtDYu+mW6yP+UQE9fanMvOec9OsleFH0uFuyqkuAiA9C2f5xD/1qJWBUs9V bXob4i8GYa05C3Bl1StOXWR+jXmIMuvbh/hLCOet81+RrngFEKewYIWNSYm1PNR6cQKBfNArOZt7 qxVXs4j6zYGZLTDCqBHv0ZbnQw3imoQXXuZKBJsvVHGoCUZIPeHTE3W5ovKyWSu5uMfNwGLJ0uHb 1eqtNcZDNqml2VEYgZOeDfDMjiUXGi+ix2P0EOxPOtmmCgj8b8EzSNDrErrsmcXP8DtLGn3nMeRc PiudM7xJouenIsJJ9yNv8zN1GtW4keAWleyO+2y9o5ELk7zaAkGUeFKY18mpMQP26wkUqhHDpuil O/X/pWoqcJGgJv8/+D+57x/6ljvVkCHThR8q6u1YG/CzsXqMoONQBEO3iKfWG+57abjTbWjoxmm4 g7YxPRVFsLUpS6OM7IdXijwiQPGItfKHqAiCH8yRbLzmx+bbJXOpc+foEL85egLSFzmhzkxy2lUD 0ZKd8mX9hsTbq78mRosQOBexoehEOUi6hr/ijrBCb95V5TaREeolGNWG1KgsL/LNWzV8VH7Sk+vi vAQPDn1iPawV/lRUvEokeN3RfbYeoQNLlg4BjziivOOz2kT/fUrnCEryQiKkN+8S1clMVkp1Eq8Q az0eC3HGS85UGfHhCg5wj/bEtHcG9KLxSwflf0EDwZhjNNKGKPYfoG16swI7nPTp8TJT4QP0lkLn xg6FyRUmHh1wbi5Jz8i/1zQ3XQkxFwvtNePatu9Xd84kTa9pQIKb4pRxGym+EnFyXqOMM5n2VAFg 6Uj36KZIC9ainb9ZkiyTxYIu7xvy3pacUDObxpF8ZoBOTFendXpCU/bWlHXpgXxLjof/IhCX+Xhp 1PwcPlRnuv0FrE9kJ6KZrIRWOQE5go+pJe2jSBUTGfPn2Yi07VTntQaJhr+64HK78cJQdkW8+e/t bFmxRh/2CRtT3Dd/QIv0azLYk5th7TX8sbs8LnfHG2UZPCYl8uISOqxkJsCvfO6nNpN3ybra12Fn y+sseJ4By6e6WFv3Uk89a63rjS/I64ESnMiOQDRTrtRKVcV/2kABBGUKJpv7EhrOKkgRXcakBoOy Db4y0VuDuuZ0n8ilg8f6vHU7YZaKJ1/zPcorFTxvK1ZDFHAI1V6OhQOXtl8msQ2hzkmKfzEf9SKQ +qbHgiKdH668QJ8jOs87YzTW13hBCZC9OfvtYVpcYAAPv5UOEnqc62GqHnLSmA15yr67W+eSPuD4 izOep+Koge1UL9DbInX86e7pNXKxfnsOgW2NwP48Cl7X+IpXUny119ciCr77Xps7w5aVoIvn6X0S dGZe1nCm49Mf1RhtNOl9EKqodNYPa7rABZiFHeZdkJnNWTKYfS2nc8VXQsgnCL97rRtlV4EA6fjl psuYjKsUIgA0XrMOZduJEKrmAIyvEkLarILJO3zPdsSN37ZkqxqPNuXKMjVEf7j/AzfVRg4pbc45 Oe/5d/rp9FHAvBnmddw9XQzTb+2wKGQterLGphsd39GfsEmTYlbmvBIVXeHomw2GyNk9rzQszTm8 sy12QQGbgSZhERt/LXJ4d6wB8M8Y+UjpRlzeU1+QOEnxyyFD997r3RJ8KKQmqFfEOkJZNRo6i/ic zoTUt1jXic0jLxsVypgQORGVi/XUOcIsVD4ijfy7ikprsM1fNXsi13m6hrAoSZRrmWw6dLk2A0Ui Ed30JzfjCxWhPo72kVacFQFFAQzBtW4TbMsUeQ4QnWa+s/2Br79Q7OUhiaXmSrTgfjc0s4M+2LyZ a43aToiSljYeW0EFF2jc/cPgenNwVjeq1apEO5BiMRAUt/P3BqdI5ArGs/g7VszHpu+PdI28ZOp+ /hddFCU4AavFf6zWwQjtSZJXggXCmZp24H982CN0FTRL+9YnaHDEBXfFW4b+/rKZvGsEvBZLmx55 MVxpEkV2nntlSt2jHLdb3V19TLEFSkgk8OtOHpMaLM2+/9rUfWUoDyEzDUzMwVS9MvAF8IU691Xc 7U+CVrJZBCxFTXvOQGxTAHInv/L8jm0Kd4PCv6QFSrFrc1aS64N2nYGqMgiG5fiN2aJShROJejpY /csf6UAM8N3ApZrBIIOa/BIEtJG+otqs1HN30qF7fKIfJNj5z6tWNDr/klJtr2Y6oAiqB7y/NtAv ktZKmwqMXFWhFxYsj1UzQnufvRt4L80x9L9SzWQUXVXSuFet6l6GSShhJ0yBSJ3fNjte/fiFFGlD raLdWX+rJVcw1g3XsRTllShGxOJkNmHeyzcF35oIzj1igPMsMEjuNjJ2ki0fvNy+X/G9qFIs9vCT zbR6F4PEFEoQV1kv3qrdD5Gq2XX/2xf/9JUPIWx22TsYRJfnzz9yZpzMJp4Th1mHmSaWxTS2FUjY jqrBIeasOH7yduhT606jXklORRiyADoKj/pX4Q6k5sQwwqgyGppBCVUiEzZCnp+DXiOh9Mi+Cv/T 4m2FA0ILvJan//4KbZuZlkUlVdjP6ufJ2ymfRgcll8Oa2WaVx13QVRcnSgf8GpXMqUWJKoQG05ad fVGWDv/3UkDtnATx3kkG9ZZ+dyAr5SNjrUpmyT7Ajg6swMXCWJpXXESZhwbyRBhDzSVdwaThxkeb rBQPVNl4MeiOHGG+Kcxjnj/ZajLhlXG/U10st10oF7Nq6CjQZ/TXMeTQBSqNX8D3mpe5Fx4u3fl6 6BWWeg0/BP+Dbmwi9qCpcplgaoG1d7UXd6/9Bbmv4wE6OHFBdmiX5Zn7tbou/I+NDiSYHvY0vkVU HFIb/qj6BdHc+cpxA2N/MJodqSPY2SYr+aIBUDIVu5jxUxXJP3yFzVWW3xfw+4RNXArP/hOWlgn5 mCnoGszxtBGfCY5/MES2ANqcyY2t3qK+QVOUPgq6Y3tPSexB954MOzWfsgnDANBGMNuJsBKJg6h7 NbNB9EM9uzQAstOkXQqUJdJ7fUtFTZqz0jdgPLPWmDDzrRxQf2L+jA+hpbRKSfSlcHlp2qMzjJmn WDfVeqMgsvEqeZLOrF05h0Bf8GUWddOS7/8Yck3T2NULU7ZKyuiHtsaSfOpfBy5qekcVUL+K+ka/ 0UwOBLdk5QU1wqUxgcZHlWwIMG8SJ3kOQSANYBDnteLq5dzwyO1JUuq6zyST6X4EdwBJsyvMDUtT CIzO+82Qg9TQaSfxSIoroAHN3iB2aJco/voArYcSAYRJhYPa34KweAGMqdH7y7+PC10cxEm6bStI 6uIER0nelMzNcP5X9T71CMiOInw1um5+s9Szcl2u89zvjUwTmLARLZUeamA72PwCOA3bmg4wq+nG 2FpGaxJzo8d83IPiay19eLZ24NgDAXqLyEdjAEwNicMHfilFVpUxOCMFFErVBcwxAgw69/FwLN+E uuKH8+pWy3hhUvmVxWGynShJDZiOR2e4zeEmKsIY+ZZ/9Yc6nWN153OU/T538/Cjz6J0nGo5mMC8 ob8LBiRe88M13FORMy+/v2ZdL/9ylevRjKQeqv2XrHqVZ8JTmNqo1kYAp1qr7oTTp54i/llwJPuX tZeyVvWlKdEy+IVYIcAMtqIQmTOrvIdIX0U7dl5FiOZUUrrAjzybxMLkcwllBeiiAIuoRhV9lIDk luRUkywXumsyAGzR+TN3HuGRt2JlV2EeTUu2LQrVylc6awcXICxYwFXc0DB3Fcs7OqejzFr+oRBA uyFdzxNXo4pE/vF2IYqLAi5UjLOkWbix++ufqKz0A6DAMRnlPxIQva+3C5T8szCTRBd7chopAaES gcznoXTkTh8MSWIpmz4U3TTRlN0W0GDLAg40n+/zvr0KFRFEmPwRcRraP6j6izXXvlZp/qFwbLqD YQNzTKbthm8FrHld/3GgePUdigVWalSOWTRbpN/W3Dog37cvVEIqMEI97BpvI8mri1j2TXpqBvIP HUln6310OaKKgV/tmL29GBzAARUTym1/GPpOlRvY2Eq8OTuNM6q5VDCZjR83GRqJzrASEcGygMeq zTmpDmLdf5BfBHKeHUhUm5iZHslgzOIyvc2b7xeyuyC6MnLFIHNHSp7Vqkr4aZHEmBS69eFuKwL5 25iYK2ZwfRzEM9pNwhNkJmT+i+h4fIwkFrs1ODVhCm1O+37H2hLMDx0nrnlQlHGMd031xtiSyCky Bf3DkMdpIGgwPmUtEM2C8vM5TZcazIiMsCCjcojgKKKDKzZ/w1wiCb5K2MC9KW8PtQdnIUgsZnq+ FyEMRIO6gfPPYFijGJxwTN//c+ENn23/74yofPdGyBmuj5HwPJgogmCaiR9z162s0fo1PMMH7Ltp Q4Kcf92BDElodlACVciOPqYy3OjtrTutBmArJ/cfIFn8sDSPPer9w3AkyB8FrJLBpufJkaCmUdL8 UCuj0mDI0e06Hk3DxHA78L/G3auMxMS7hv/SHgZNf0TMqMyv9TQZGV5JAKNH9aZmZhBiGaBvuFQx GZdQQBGpgDDqRQqOEUVD1aM5bCxQ5ITMSDCB8CKM/hyLquV8KkrAGsoyiChuestYQRlDxpN5EjXy rq1F1XCSIaviyRd7xZScL1cForwijJUF20Fc5IVQDPccTJLgImBNtNSpG1OJsFS8h1BhgVwjM7yT 8941jZosXXO/BqYtf4lTFYnY/JF+81fy3dSnF0qA4WtiriF2mV97VkH9SaCbL32SDWkBlM6F/LwY XLcXz3ZTA1hv7VY/kHnONh/6Cqzi+XhTfWmanD0xequj/daahEY3k6G59isDLyAP9xSqvxpRi1dv pCbsweaFYA1mM7G2lhbQceAn6NDrbuU/qy4iY/J6Lda6B48qC+0vqYas9MTlCRDwa3ClzNcn5H24 hj/HCxxuug6sgeA9LLWF24DRUnYR77bbWW4i+8JbMVJ8w3GCJ8jWtiBl/vy3D65pq6NQZd8Wes9H SuY9ljATNq/rYwXE2NuO/2K+HzbT55cruq6w3VPjwdDrjg58oYP/7IsxEnDwHVM1LLBxO1JCEzJK cj6vytzcsmMBM3WQ5k31qtNi3na5afRaF7R7pcrMzWWl51dbuK/YPpzW8EmaNzNG74DmgAKL87OY 3c9bAhWgQuW51bY5eGokFn5oIwgbyTqOMosEebkBRGVqKwf7Bx3HnQERezeCS+MLTTSGodGsjYOs DNNs3G0wRrYOymDQa6KTOCx5LbfTfG1lmaSP5EKI16cpWE0ajIhtOyKrpCR8x7oQ4A6R3R6nMsaI kSGbTPLEA/GfCjJpykuWaXjFyL+EK5yn1PV/iVBvA8HAd0VrnRvOT6X9QG4DH6vsG1kdPbIZOdzX bo/lUZj3fS5FVtrQPYbZIRHn2typTXMzMy6S92ujJyERtfncrWoYXa92nTDRCURbhwi1COyWJJTw akLY/Nvls/WQa6zQ4KvAp6DSXItGLPmLS2WmJ/bnAsZ6QwCHwe5Wpar5D7vZw28ZL418N9pVDDQy uYf4Eqc+WACeIyoQEv0hnW1SV4zCJ257UnAYQG4sWiquy/R470snf+2Vzj9YcC234DT+rmSe3nUO jPk+b+vh5DbU6/xfy4CEscKxXDLhdfKvjP3MEwxspcx56iY2EOLAF/vz1C9ebmuYNa7PiTfPFKLb 3t7FH1kYhtX79ukEaEG6ep1EB6qWR22jKvYEWK02K226zA+EIQmrIpqMIr1kXSMMKBFVYFAMeB7O a8z4h5FcnGHZsei3XX1Na5uf7gbfKoEAQg9p4ioe2JUTeMkYjco+7yh7zGieyeWAHOUUvRZJ+voH JLyM3X9saQ68fUsop/dRD7jWnnJ9h0AphFJ/+9fGZzx46ggK2q2JOtSJeK9cSRFVFznfu8fHdbO/ Cy+uP8pekQkm3PtyY03ubSoRSy/7X9OCv0SWWPy22fMh1QAkCjiK4ltXrTQ9CZiq6QJ1mY/kJUTi cZKNr0pPXZ3MV3uRRAGS/lztfJsLltRlJE0/YP2G5Alg/8cB/Q51l6of6BGBqqhjACqd7kfjAC1m e4AZ4jwiHbRYzamwfYnzbknBe3nsQxNvpdLa7IHnCw7ByAuaTCXks6BxxQlqr/4s711elQCSgWGr 8uvb4OmPt/ElsHYpybPM4xT5bM78yg9+hsTPwfTEdws7EZvhprmqgkofHnS7CNtztQ6+GYUHG2le yfI77lW73hPMqHug00uvfuBvNHkgdnQK3hZgLt3njUvZUPvfdf5uOZ7fCi8phhdCx39Y+b60HaZS wyjuZYoDiOH5A2AIEtavzGQSxKvIZqllxExXhWqbLOxjjXkvANZwLjC+Pw5JPNrC6Eskv/yLqDXi xh4TrHV5CSbhocFNCu0OU+TuKZkIpcYbS7biktAyNzvv97lAtyepYcs7b0LMwpuwY02ld9oo6zlx 5KJlJEmcZAd2XtxNX4SbIOGUIts5jLAE12uOUTabcz0TxaPidZUtUed0G5vhaiTJ3wkvZbFi+TG9 W9UdgZ7d/uiFUW68e/gr9RXcpwMuBMSSFbeWR/UnPIhiCqGVHsr3BWo+dR6EAwlPU2dh6aiztdfz 36MR/0mPfeCuw1AIht+JlJHLrZ0NcgDj7Sy9LJtCGSKnHAFLOw5iHKTVE118rNQPBGL2CcT3RbYz qc7DHqXCdXfRH3UVv1wfAhM0Yo9pu1VE+5Nrz80KNQR5PX/Vy80lEvwNkQVlnpDT7JrsCoIY8sNP SQAKXsgeujaLFhtadk4GHmmtWyGDDtyNiNhgW5uS6AbwTg9fljNIvT/h/Nf2i4tDJk/M31oW5e6R 3yPyyz3vGXPoDWfZlvHz5g8QwXF0H2o2BQeXI8BRIG41YnSImsLDux6Isicr03e8wAVzkhM32pzX MQWZ5WWqHZCXtGp3AJv1mleimHDHAEuj9ER7KpRVDf0MXxPcgD+E2hCgR98VpWa5pQahJd1wTSd0 8kpq5wyjLQKMdiPxx6asbJlE+ykuvuOBBIw8UzMTS/xmuf8aYH5DyINW3FL1a0LbRzzMJGaArUu2 1NHyBOGEaICBa5fp/cWtl5nqa7JsKO1n33IBufs77AOQKFahaJJMPLgS7aQD9QsLGKNeObRHRvwl m9qz/M03AXIO+pn1JI5I1s5p6EELgEpgEFujVKHaTrglUv2RBkY5ZjNV861LGigkyoWWuMZWAEbK TGM+m1IufBUvsRHTZSIJq2ELUtzdLAYG9fMgg6I305qncFS+fhGQEHJtR35xxTmKmctfBPQMN5mD r3OaU0JLaBUy07Ghd1+rveneToeviYunnW7XlyvpcDDMa3kAByeyKZv3ZadUApSynXBHOY27ckFi 24e+dDvohVEqVIMo6fpDW/DH94A3DxcLPx5+wtnGM/sm+OdfICqQ3LR1IAf5u9h5PywjtLEPPtso 8ziePLRdwB7BH0oy2eJMKRS7G1aiccGgLAABXpOhy4T4GNu/9K+2JsI/zdsggO/FvmlWFRka7EuD jar+6YmLmll5Z392vwoE72LJieZERGFHE81qof6w4lSHuQrgUYMnL0HFM8Ki3YAp3tc3PC56XKaG j/YkOGgY2qHLP/CAxoeZ0EnNj2wawlzvlESk/UksDHAnd6LDFPD+XVG9kqHdOnPu7K3kJpb28t32 Gc4HqmBMeNYaXb0AonCVmL+Luqj9lWa8uyOTfnZGEoCUkq4jN6svccBFSIXaRUI5MtWOY1xTIL7U D2AD/inxidyqYkhIW53zv5d5kH5ySXP/4IijvZCCTwjGkYSOV1SAMlhvn9hKyof2DI7yl2MYEPQZ V7g5IxoHzWlhJvvXIh48zet/MGO/ahjADCgXqMjCQiAZ6owpnoRynL2Df9ybJoA09JvwNersE6+Y w5Sn/CQVokN0G/uV/dU5wuZYmbCQeuQbRluLqtTxGVfgzt9k/ZbmxH+GrUaivi1q1LnU4Aeljw3h 3d4JbJPxFTjs+LMqxMpuDnkeuq2g3tk+7pyjdoLEfxhT3BnRpQgaYvyyK8VEkXQyjIQ9Vck0m0WQ w0YucjgBKAt4Cz6HrRW1da3IikYcKCDoxP/1CWPA9SRQeBvOmYL6QksrWJtu7afS21RUsVKZSoi3 IC9cS7rUHwmvVZa8+MU4m8df6yNyZnaFfBIxpLEftHBNuN6LnYDrHfZcf4KeVoZDODUrQT0w6sUy XXM0eTveONh90pcqmspf4RaUsl9Tel2EAo9tErZbpQIn26pjc+No+M5WfEPRnkUudbiP5MFyaLNL s7LwzlmKuvPYSObbu7koz6t6GKx3dAyTcJ0UnlSfCTK77k2NUElT6F1vlXs+8xHcIG/irts+Hg3g zoYzu9fCGoOghERLnaYxvyJk7LGdZjmp6eIvX3GehLI5OiIVkvQ6Iea8BFN6+yS8xpFgCO0Z+KCR cnMaDBvCVavtt0vqjS8RaGl3+hjk/ZpVHnXaDHK7/AIOfDemrkX6OEVhGliIqWCnehROonV5orga Wav7XDhivbAvLJaBVwDTM+i+QG+9A3fX6jbKBqUC5m5/tlFhgqOGqgRfrJDW/xZHU3JfcwRFZLjU pSGlRNJ44BkBsYXzMZNkMh5Hj3bIY3BZws41mG8eiufN3BksPC545l58wBqLLsmtHgQ6MB/1QIyW MZVrbYKVP3bDsYSop+eFkijc+tHkDMu73Rz3APm3fGjEh4F4zp3SCtf+UQqVemTt87PabzVHF+w7 dAOdvY44b4HjKp/GQBll64MS2vFAxXJAL0Yrxd0hm6P4jF2WSVWPkqVh5zuoIdDNvudb4zyvP3Xk Btpb9RUrIIwkobkP/iGpfkTaxzjj+O4iWvscBqwx7aDBPrhS72fDIIaFNDiAPjobklNPjTTNsZUj Ba0FYCUYZUxRZ3AWofZRrF4FjOPC2/0BtHxzD3CEqVzn84f+iTW5F/XLWAEkrpivGOYfBoOQa4ha lwllscgGenTNnUHVIVYGtEgHUu8OWMSm6CeG7wKacnk37ibT7qdQGJQHQxuA5CpuifLue2cZSDWn gHf2IZW5svGjFZfcFgPA2+oqIUAvIeLuUJfJMj2ayIG8nFr9bKYkQmp0LkcRNHsPYafFzfCGHp0h hBDRwE8Q4OYo7j+38zYe9/+fSwcOYPZm5/834ogKfCpme2vT4Ru3LE4ubcSUiAZEPUSSEsVVyNqs p4+AH8ibxumGTBeBaa0DA3CSKwxWMCF7+o8cIZQt1ktfjlEA4a5HiJRccdTW1+3wemtm0EfEC/YG e+0iC2pocg9lcBAG7ASjt6YgjUt797siiCU+sxRg5shRm7+3ahPBuwzpgI4PEbhrbYLssCyqJUQl HyNon5AMu0mnQNfnHWNF6BE92ARr/QBdW/I3bIklSxmO7+aBFOAWRnoATXudcC1wuaTz1zRJfzVe uqgr7F6FO16fhsPqeB1QhEQJttISCgk84PosQp5LrdBAUSKgu3CNdPqY2d3R9l+q8oLTD84Zz0/b IMp//Nxrv5D3LECFO2ezw9CZXwrJgs13ddl3M/oLUnxl0LZAPeXJITFlU6Hk5Tf7xANYHtPZ//+S 4AYI0mxJvk8FdUSLqkf5jEp92XD107gfdVmvewTONXGbhGjQmy01Ebzlkys0NL4mQRXDj3MIJSeK ojevyPHMvCAFbPVsSZ5FU9B7jDv18xWykzowOn063+D7vlVh2xXPiGkQIKp90W3hxEZxrsiVEzw2 Xyb/HqDkYSIGkTQkeNpWL7ToFg/zglIuAVywDJT14aZ74hlV0shlCle9tEUFA+YgdU7eeW/XU25f TeW53qdf0g7Aut9AUwOsxtzn5ky/EFNGCJmhxAAylnPCSNR7JqEnI9gAF18j/lwsEvUT7FMMSO+8 prDD+sMwxQdR6LfzqwPtJtRQKWQQZpxXcf/+ylhQmRKchoJ6Z+tpCnxoqToXpI1zeD5c6tgRxX+f 91DzM0Ay1wwExK7QpxSxCJ/OgFkwsj1ZYqnJkqhhP2w07kvlblObSU0P4Fo8z7rh1308619Lvkp3 G2RP88+79p2kFBj1T5OZOxxdAW1MBYIZn1nbIqO+LX/mWD0rot+iGgobLgRfsSmTUbIgJjK6aiWy i1rmUXQBjdvM9jtko64VzVHjXOatkW9zyGeXHls+4b2DoqCJ5usTo+IoHlQ05OzHijE/9LDvNURA ov59o6FjhThgQBfkMhDu8TQVO2WZfrpvkrP4+Z40KnAMbCxjUSrKljIYe2vbOwNYOvQKcEJz8GwW 7EohoECnIQKnaNCuIG6ZHTjLr/3FHsh/VeukQUBvnCiqty82+mt53rzps9gmjKFekEsR8BOQOWki efueIl10JA88Roag43XQnJ2SnYRtCkpJjm7k6ux5ZkvXeOyg662NdwtQBlPP+ADmwMAl22gjPIVu zgbhwsJS+2mh7kWwmoWUZ9/89HQxPymitmut6vfxEsYi+cEXysQiNH3huTdhyjm1ds3RuIGkvM4P QLbpMqoKJwTKJzqYmJhnBuEMCj5i0oj2TAO5Nxxp6q9yRBsHrq+KHfnXmZdaa7f242T1oazmcNDX H3QlchUvxAEMyXgSpkBIQzf41kPOleACPjU8ahYMqxX7lPrlJV0bdmYOeXSPxcjrMjlgrjD9jY44 e4IlkK3/wEd5LgEOZpo1tew171RvzSWTBMBbMhpjn35f7PiR3xIXPf3vbTMQuT8fGMHzqD+0m8lO ANLUK6P/cP3hApjQ0Y2wIU0FU5AfBN3LL3F7osfoATzEZIrM8uPfNPyAc2xXtYXSuu/I1GKq8jpC trtYANbrOGrLz1V4gQSsTs/PkBfnWEt+SMZ3YZ6LsI/pKGchsZqDkBt11ilE6+aoQ0Bpyk/uX157 v2eGB8sSWRvMZ5Ag7NE7eAEfw7swxKRZKU5CACjNINc3fUD8kR5hK5bSbTIbqd57t8n/upT4yaco jThrpQyFG3Fni9bLIDnXAkB7aTJDG2DRAruTYrUMkKV0ndREv8QugD+2zffZmf16o0AFKOQtjGAk W2PHLIoUsCsQQaKk07CtZhVI8RJ/tlZMmgUN01/obNBqMjCQ9LGyk5T/efxsW7fZyo68W80yPCXI pcSrRdZD4/7JEW/RuvBhPfdJZqI3DfBq3q6Rmen3GEwX6vePm9XubVKRRU3TXsZM41nfpcbEF2kJ g+wkKY2nA3FBBr6U2VP7Gi9m6S0JxlSPoUDSSI3Jl/RdKd/wmOoZTzgPjuxiL2/xcbaXLMZmh6aO aTbS7HKxjl+hlv3N4rI91BeyLtDFFPuU735aVUbZo7c0wyJJvKuFCu4B6XxiFlBfQvJ+ZRElwTRi A9jhOQhr/NxF9C+0XrtbSIlTXhOj6ckX5jJ72dKMbKWP/jrJN5hiGbk+bGFscu2qMJWGtd7JWXWR 0d5b85aKmgcuo5PpgzQiD+x2S+549QNVGL1Ckc9S4s7xek1NT3//RCb7ngihO1RcH6CbDPdt2vPK JKFrOmU4HqGhdW/2kHUT5HmlWZQ5sFZ9Cr4Ot9zV8AZGnH6CU9jg3t6X3yxMQzRITt7NZ2/b+5qY G9FBYuXG/NI/76NjpR5lg/3HYFmzmNyH+YQgR2zoNa0UM/S5oDFnYQP3GDE45oJPd4sERj7tDac/ vu6F6wVks4GCrp5M6N/j1m0YAyARwA3stXZfdvFMDRAQW9c0H/lWFUmuC1RvopPjH9hpsRIcnigR AgLYkBnRE+Lyx8fOZG6iHMxRdBnx2zAClvGB3gEe/IAkbJZxcHMPKESo72fKzJsdkudFVXd8zCZl DNtIDJUt/A0l3i0rBb1X44BrUwcG4oBlX/pWazfXYMVkgJFTazeArKIx87Uq46UJ6y1lTJziw+PL oiSGumlSDFqelCS7dax4NTajpKOZN0YcK1UoFbnlGD5Z9jFsK5k0ljOsxb+MvalV11ptJoYPm2kO Jir68PzIl3KTeN5FbNyd3BnTUdlJAdTnKTi0T9+zEeCYuQU3RDB2aTFPkfaNh8IoUl+NIa2IcZLo J7MYbssBLILh9eKGIhFdHPJUj64U8FUotuMNc669Fqg0QojCuse1ku3rwGgiJkiu+7ZbjKu7XIzr Ww8xozptsBUUjfpBFN5GeLXLLtfFCtRgvRh3mIhyUmz+0QVC+0IIsI0acuvSvG37TiCfhGtqPnKT bW5f8q3IBLrd6JPMaq2zoyjdOzbJMhxiaql0DCYoVqrkIHzIYUo9+wPY50G9IeocXCymg4uBlyFL CCnoopTP5o8iGHlHtWnxHs/8K4rle4WUPrtF+J/sBhjqGjmCq6gYy9XAne8Gc0NKG1kQqgt2zL7p xcvl2fZcpFWjwIlG4x4czasMUbf3kfRw00yh9KYu63PlQcDxUkrNeMCCf2HLiv5CdBfeqpvaFuFv 8neJuZ7Fv0kXv2qsIc1Enz0lIVdWVMJUdM+WJqz4T/++XrVnxgR+W1OI1FKMb4Xo9XcPNnFTpNPr Ae5/BvYPPpsiCYed7jk1rzWFXaTtcvbEmpiPahQ/nK9e3N5UsQUvwq75iGzexsoxZVvWRQrIzQLH U9CfElsMbA0FSZ+IOwL6wf7srn8iNUNro+nI/a0ia/5OGbpW89qZQQ7vOiy/4ev6tDhkl7k94jl5 QqQQqZsWEJMwqLXUA4M5FWPzKlt2n2LWDWP3JEMg9BcZffiKyD4G8OmsfjQjdvZzb7d6AbG4imdJ GQNDC+kK1aX3ryWX2qgqchzwiCfGz4ws14Jn3iRPQUorUWiea6MIFRmYiTJRyPBX2FxlJAIvK8IR C6J/BBkqyceRwMGbCmgK4LDRZ2CDG4R0+Cs1r9FqjdZaCQTp8A1QI/OBYnimvmxLgyfrBzwq9Zju 4hu62ZoGpc4c4QdxS+FHcBfRKgtmyi0pI5guYnMLnOvLFFt7WLvoCFRUA/1xh1L/zPB9C4pfQcym jfjfP0JoOZKrmnTnuwPrDgFaVW239FpvQz/h8fQAi9UkSzWXCiVmipPlc1s05n1XVwd+2OqsqRuN ubZfKFzZQ+FOb+XYpd5GRdlS9QZ2x8D9fUoKLnOP4/0VIKrl7JKQfHt75rzbNFhqO8uc5agGjZXo L8EMESHwuLKExpcexLUdR71Hr+P14LKDnOBWZOLLnJh/ibgR936h311mVi13vDOA9JdMGXA/Fw2z OWJBeEhecr1C3otxJD45OCQNWPvWoeeGV2IRQp3b9/t/iHMR7qvBIxqtSYP8KfoNerduH6O5v13x L9OBY/x0bihk2mwCy9rdmwvM6a66dfUB4tybM13RfbL1xmb7hmpnEOvdQpjKfM5qRviJ2L3oCcZS NraeIXg2XOdDhzIDQeyrFzUmzXPtuYN1jPSj8b8ZVJeahLM8fiiRxMuVtfDQmlBP5pKPkXUG3thq 8Fp/44i6Hu9z1Htg1Panf2R2U35aNpdh/WsXqnwtEAdHirNJVTT75PWAOnwwvglG8XZjy7mXvJzC PASLhPu26kHKYw3PIcQlLm1f/v3RQSUfB44Zy5AEsR+Ahz/9wewv2Nl+a2wRmTWcfnrLhn+ALAb4 OzC3qHipr31RaMpntWEQSUAO2+Ff3w5mizoX4dVCV1LlYyQ8y1GRoneOqnhDBCzRAW4RBI3v0iW9 qpBq0gm0Nqo0+xkIZXgNKtH06dbTsiublAqNFIhQ/DjaN8QuRF51OzFvB9E+UQXnY+mvTKu02zD/ zWAHJtym7xrYMw4uQupyWrDFSY9Bui+F0xmYajikYsDkJL7KXKpKE6gFzT33MASrtYCVVl0WvkET 9jscfLTpv8+pMCxl1flfG6JDaSuDmjoeP7xXW3G2gZQDp2atamQ18aaLvF3kKLkm+8eA/ZziLruA 7s/ju+KLSv456Hy8/dv/rWo8DRzq072hmYaf9qp6f+dVTQPg5JKyMCMNXqB0kts9YfZcoPQ/CQp6 plMcor9ZmaK4jA60IQEMQUmddmxSLO/FSBxZM21+ElVBJpTJONRcK9gY0JAPVloWsQKwMsR7Tlpg tthtAfq9n9tHjUYMBokbSnhocRY/m94PWChpsLQkSy1z0KZpxWILtQBveSRkF1vE7cZweSX+Xw5x bgg50XsQXskpafDzr+9hV5qBtHqAwdV2lwzEnc0jGmDiiC+k5DP73XC2+vn4L4vv5aavQBtKSL7P Y9cr8ZIeht0sVJ+UvZctd3nzrJZgi1xcZbb+YdALrMWe+UiivaBc/5aTeObuR2VNt6IODpM/SDDq D22J0SxNo3hYngRcefD5bS7INEJw76KIxBDW+af+4/Nml4qCs9ikVj/4tL/UQUxpXIDVIW3I4dsf lkCmTdYbyVbyN1uWoKcqNMHxNpRIxAFAiE9IWkstouupU2TUmWipIwr19SzMpIgcWB5tWtJHqvoZ xQw8xYlJkakx4LiNnHWBytLwnwlJPpPGRzyyDymVGeeSFXLejT3KcxcLdg9dxq8zzvFkoSrh0mh8 E6jdVUHDz2VsZ/qM4OYHJXBNB2nnxjpvFhWXTFjIHHiwZwozlgEdVGwBF8Udvcl62IXUx67JdeXZ pox5DyerYhL7TETAaPRpxSeaA+WjnUGPeGkfaW9Gzkc0yRaLk78eHfPo0veKD6bKeZmht/tbPweK jePdlQdZzHzeqGDroVN0X83kbGhT8FfUL9S631Fk1e3+qDrClCGCb8EHvR9DL2RHtFmEYIpaRWas Bw7GjncTUTDs7/7U5SiACPJPlf6kPj8XFEmTs/xS8zLugkkyxlhED0ElNMYT1YyBYUyW2aCm5P7g EY9VAQ05DB/uichfsgnDdNAJ4vMCT2b5+Iy0tS2bA2dNbzK9dtVPdPEKLg7osptEWS9kO4WbQ+T2 08d54ABe+3HYi6I6eFAzZ/OXoTzXCca+T1bb2APDasZ5SswKv8OWSFt2hwUcX1XZCpVQvjSnvfnE PKeY6IHkHz8WNenJ5flYTxhApasq5qLUa+Bm1Ne89nt1aS3PQQ02MqQ1OSWO6PNf3kUYintPnB7z r6vK1BrFWBP2PIfb7KBksYgmJJJVwvxbVtQnGJnMGU65NofAvTmjbYZGG3VhOuxg5dMvv2WatinG MvaamoiQ+Ra0LxbcFpJ+H/BpPoQSO8zMo9keymTxpibftbz8yGdo4DQAEVO1KgNEf44NXnhtCc2U jjOUxZi/20cGwLsnju6vMiOpuKvNwiJTa8oDNAVAc609lVOcC9SQu3eByY+zHd5SeD8vJtfhk+dj zBdTlys0oKuWxDcX5ytyF+uhR0UyRnSJVF2UPPoFHZcBys7fST6j/xcXOvD5JWykKgETRLV0TZuL 15hz2hx65sQuZLVu9XI8/ctJSvnzP9EI9XtFJyJAXXYdxGXhibgVnZJN6OxmjhPghDn3JefmOLqC tV76+amVu0IqccWtF4ONMl44JYFe7hWFz7Oabam9G6d5mqbq6d6cOVzJ5iF68rtg6oNvtveiglba rRUlJlT3uCgzozaF3hRfPo8M+EjYylJg/O9TN6UHG4UiU5Y7YD3rTBs1pm0J1pC7Tbjz6oUVPQkN tHzBB7EcoLp586ZJfPQ9aWVo6YME63l1W1t8Oy3r8c8kpB9RUVJ1RHTsbfcBhfTMlnAMs0ucphTx IG5w4SjsA7FSbjgApfzjzIfvwk8s9nwJlQnsgXpCTNkqD2GB4vwmQuNZjC1ccTe4gmuI4W/XhK6M 769sub2Cn5gQJWkw/9ZLNooO1taeJC7slBSLeQVeDOahoZBNPCC36EDO86NCrAG59tA22hZfAtSR ep6Mo6gaGf/+d5SdFvpBJQD7znFvYrfC9lujp7G/e0f1O4bfglWafNcKQitw7egFamfWVijp62qG zqcZS1jtY5KR1iWUzjPJktL8HU1B3SdGcbvqhJZgbgwtp0XY0EC9Tht4VPNFjZPyX3fef+Xfr1ge uM5jHLc8ZzW/nceo9bR6R1ruZeN+1O8JUZ6Kf3jImQHnwhbVOsgfOLKjVmmDY3zSjlIM7/cdIhz/ 0QDewFUwXBQ7RevDfIWPJdAj49Rvp2fJN5ZEWFU1bHOxNlvWirRHP+BuAea3sTp8o5/b/d5YIRhV c+s00Df1d0mgpWvydnh5IjDVhZLEfw3CHkM3lKO8xQJFmLK/cskE4X+fA3rh1GXewhjfkvUnkN7Y M9dCgIFgVKD2poySXHcvAVcyDT7yjcXzlaPQLAKZNyatSNVyysg/lyJKwKAmuLj9yvovnh51foTd 9YU9cIwbJMLImFeUrnvXtsIM66Z0XhA7FzqZzKVDwk6keAnZ7XzUCDt5AuN8tSWxMxuF+xv4Ztdi ouc6XSqxgt+C4NSzbVV8zvoQbXuW/tOKXmBFSa0AIedx6EBwl1pFwrioUtvRvFSHkr4UzGK4TCWM kMe+W0bmqKmRDIICgPMmWNbADJBxyu8wHml7slc3I0tRiSvW9nalZLQJu94eohrlyXMEwYOp/++7 s/wEt9vOTv08qkR2Hx/bJdhYvkJFArOHDSpJEiqB9WNMts4QFJrcSI2jThTQpoxMPdu/LXDm6/4u Bzcw487JjfKsaFpfB4rVENbdU1ABGw3L4QJJRZtuWk+PSGbGiTwlsi+G5l5Ibsmu1J55A1zakRIR I1Q9p47PhuCOeznJK0KxryZHxYxAh+vMe2VO8MbOYdvhCZ2dYmfTVNsNkIr8BchsgC5hkc05CUF5 ejWDJaCDseMOTuv4chT05IrUGb2EUxwzMTcCk4TJ+W2J+LTicm96btzB6J1XssfwYQlLEOOXcphT kbL8RyuDVKrajy3rHFTOfA980zclvM2j4wFUO2uaEMPiPtT48xRZjP0clauaY09lvEMN845Yy54r FTQWWKa0emQqeATtjN2y6UB5mv/xMHmjGnLl6GjArp9ehRaAxXaTgk/35WAC5YHGGKZRKwMoK8v5 yBBxn9P9/OwaLptIwaNxacfcG4+GDR37mVebEXxHhvIfYuLDD4dnsGszDC1xjBi1WpTmGmt+A9Fv m9q2fsw3u4RqJ4vGkKi8TjjtGEmYq6b1n3q3rPzPciN3AWnkLTl9oZCtr8Y8eVN2u1LGJkJMavLB nCfG4EOMP6lGy7c7ECTDwYAxf2efzEg7ZE6Ce09hl5CeCcEJ0xFSBBoq3jHvgt6b5BD42sk3fSq4 Z8Nr/pbEij7ExhC2YnH/FLjrvSjH6xJRcZxkZTe86jpGcnsozjhFjtmd96Mi3PdifqPJSmn11EpG Q0VVdnuYR6BsXVDAdU3l8idB8qAAg6f8y0tr1W8YCsmOALfh5Lio31Ff05+VmALgh/+mVZ6gsNfN y+/EL29XJ2dynz0wRHXt4mKl5M1RIEPNMtYCKdJXoU3nASwppWPkbv7j3SKmH1Sy9Y4jkE1TfT3t NTUL7X+jmFobz4iX2EtPsbUEy4Iz84XGSRGEQ4iC3GG+2DO4XdF/NoJc3ZrEcsmAoOUnbZ2CvW4v QomtXoLkKaCCaiKAdlx8DrXJWSjmFjnyy2YUfd8iT7p/Judwl1D42xdDM/Fu7EBfmwuYTHJCuUeR I6JJ/JXb9lE/+ScQuWXZZF1miJErVlo9kqxNJmsmXKDGhFRn6xisbrlGLTFHTqr1Hy0KrbTi5x1d NObd7LRztnriVOfsAFo8CP0VNcHxDwEOWF3rMpW402mTAoRbDsMKpBTjfCaqDpBdNI9gzuic8eVK BBj6DUwNsLDttRdOJvM4mYRgpXWAiArl0Tj/HLpS3HAM9YTHTaaBTxW9KGCoqOLf+enuc2Tvd2o/ puGmJjS6Iata919QNNl9U9sBNY/UH9tqWH9w8UrMItsNamdBLgQW3h+/tlLBV3TPdcxy1O+xN/AS bsJj1Jv52LG9VfFK9UuZMKwXbCbC2bcMDI05/B1y7HcO3YRiarVDKdUvCuYcN5aKkvp+joA6ajCi PhxFg9PJli962GPmbl7IGaOBYSLdxGBtBFfAFRHV5Kd061AlQhTz/XVvf7jR2BctH+jn5yYVO6vd 1ojVnISjl3GTLraiAoahklv0T7XjFWIFbfHWoiTC7wKQOXX4TeeaKlx47Z2pUhi0+OFlqTYrk50r dN4kHfwLSPFewWKBCfZGfmlKci3hGusnl5cNOG16PC0MvMbuoOHxiEpVA6NId3wwfPumD+8l9yiZ 7YHRKRh+y5NsRPCxIGYXoMpO+IB9SUVl5VH/eYNuRwBw0u6trGCrXJIuMJlMiZAPVLtnDNGlStZ7 uC+iVXhwqEaIlCGsXr+59wJNfdD8gEUtijfG+BBSxT5/EdMQV6hI92HXs/6IUj5BW3vdMwvMhgeT /2AuFtEgGtFCksGp9zqc1KW5RB2Wam2ZN151GDO+FC1AvdFTja9JzYFUeJOvUKzK0Rs7vKLuMiRh SlFVasTN0EBLNK2k4hccgHd+8PQuWWeH12x3WleNooC8xFJTzqA+ya8faIQZUb++nI91flxvI7CU 51cAbLZCCv86UYGis2rnuKkDoBGjFe0iMhx8bpD+UpFp8DmjoK0qltzRzB2yo/6uE1W+D68YkZQH 1lJWSjYMWVBgFhzOK/n6idrrWGmk+zkkMOIMG6h0cAziq4weHOTpgh0Gxg5RB8cps/KecfBWgWHo Z/GqkY2k26XNytV23xSQD4CcWj9st06S/pKF/o8P9dzrahTMWgsOUj19YJesqtXCWrgwXkZGWn1z LIDQy/Xrqb3tw5pupTHfSE3bPMwlPbU4E5v5JYb+bZbj6rDajPMxBN/oIg1YH1u96YD+Zm5oGzqd YSD656AxD2qPQFTHk/5pz9bmIWyDoSSptTWcwkO/f4/EJFMHZMkR8pcckxOJy12U/BQsxHzuVXLP rxiXDYV4t7r64baK/od6iivETfAeX0uTa+VzDSC6mjzjz+IkdxL7A9wJ0719SV8EJ3k8X1OexOA0 nqIDWVeZ6KEbOZlarbxt3PmMDapi/4OrSNDK4XLETZADUo+7DtIt8z6tku3llpapU77w+NkCdrN6 eWSLNqoOK9rFfscFtrBn9/JiN2mwZKrkRJ2UlI2kssIQAMI0D+jbl5sbRXcl8k4US5ml/3A+cpMX 4yZxK3tzf4yRhvXsM9leGbQj3GtZqv91cJUgML0lp9PvTnAps1gHSgzxBDRrCI3Y+chOD1/llE5u CQmermvM9FAOT+ZDmayHh44LS9Z1XZX/Fi5hxAm9ts0EP2Yrs86xepgpRNMx0lgUs++EqxRV7rxy 8OWyEktA6Bw6cHRe1Mc57SCJorZ0LT5DS2tId7KFAwjFKyW7/0CIyB/KMBW17XTod0chN8AbNcnF LOvS12WjU3lpIXINByV3mnxnl7NXItOZSX4FBtG7tTZ/qN6u4stRPTynMjIEWKrREz9YHrbfqGN2 Cc7k9GKkKh1IOl54OLHO6EMWDk3IO3xCip//SoVcE8wIlNvbClamxCBAgdoYKRIoa/2yDEEC298f Fu3/uMgFuC5lcu89BIILbOIMhIHqfsdeNffZaHjTr02yZjV0QybnFBUYxVqvgx1ZB/57wzOXI0qf LbUigKdbQX0Y5S/lUU9I16yL61IpwKl9JTUhidnzYjyPZ0H4EeMrrFC2Ee1xk1XTDc6oyrluhMKq 8wgUArgaWyv18V2+E2q/IO2NDbo5OuQXs4XqfRTHDDdyuTrTtu43CUWmUx7Dz8oGWtsXJT2zSowi uuVBaYs5YL+et31s5RSzg2OPuoru5yuHKYtQ6hO5qh7SxBjVgRt5OF0VDtVwfWMNSj68fUXSITxj b66/0DlRankAx69n3OyMIHZ2ult6SwLZYOeDjWS41IMGsEYqy5T4PCL0abTNOQDXhFAQFGTnwVoo T30SbVwyIaaN1bLy5ayeMWviaftBG8gqvnzkNLWqOV7J8Ht+rj49WJQ4y5b9FCZpH3USMWj7DelX QWaHfb6+PMVUYBRkfKPyMxnl5qQeJdH7nwBpx1G6i8/SAZPNZ3nuO6KsZFmbIr7QSxPiPs+bR9IP BTywglqZn78cmG5B++PVigGCozWy4Pl4rNQJhV8tC7usJf3bekglPW23xRxVo3k5ZvPEiEzB+zVu 6T03EGipYK4ngeBWdivXfWd8eAJawaS91TDwE9YNkGGButu6WxMAhvS/av/0KSmytEKOCG6R+4VK tUfpKe6i1YS5k8Ft+0H6dee8wuenzdvaFfy1UrAslUCJ6LrZVdabygHi4ii/QUYfv0UJJSGLmWEn VQfNqOXLa5sxPCXnSfj0hCopR6PVjeky7qEvzOw2j4kXH5g797RHdY5RDq7mm/IyzJgkFRVOWcHB 6yetTlZsnxcZ2QkfTe8dF3CQboMpJcnm6yAPwP2W2LkctHpF3RXOjr0Ny4x5yQZ8npmmcPTFmTwu N7Em5U0uHsIqQAkklFkjFJ55GEg6DBAjq+jHVhxR0R8zlNoSd3cFXinjB26dhtcm/GbqBuH0cBA/ 7T/OVOt0w7K75fwZT2MZk7gXdGefN69yPRX6ftbr8Mb/Wp/dMj/CmtfAeSjfr65Ato11bNgOf5fg fKEjlAcgojNWWaCEKcK/JShhMeMp3CiTmtIVW5rpl5jNef3zC6SOPWp0MgrhuZBcl84eBoITx0Fe hXY41Uv/wKS9O4LboAUDjPiVBlIZYizNNx7hzJ+JBK/el/ThvH0vKeNdBldpIgaL8OsbCH7ZzqQ9 zqgSuiVRQHxfDXaK1Of9i0eqrxheJwQnvSU3FcABjLLmUsz7/9uILsLp4Q4b0tNc2fm7NEkA2/Fw HsHs1BKAcbaj0PB8sf2wUn5GW90WNDbiQOiLUpJEpkpmhyebm+w/K9gDiDpL/Q3IIIyXj33Lq3ob iF5arFERpvIcYs4pP3OrKdAjkTak2t5bxSo0SbQXOTnMDV3SEp5W8heewNmR4MSYj2h9HbsS70s5 Fv4aD5hNOJXcYfQQ921lem56NBzkOrEim74j6dzkYSeLyKVNFkUevlDV0Ym3mSEqI3GOg/HuY1wZ Too1xZ9p2bLHw4JNtkL+MKLhv/UCv/GOHSjelBFlTLVBxoEf43YmlZtQCKrxWGqvjHf2XyXmig3P X7tfCRSflmvhnFmIQLjERrRuuqyMz9szDqICEW5Uv/u9GEBO71lBOvj/Nmqd+ImbBnOgsLLs4Dz2 h7RxIN2Ab1vZvw562WA4TYY3Q3J1PV3GjzLCaGCVllpkBEI7Y7FvrGV+y6Y987eAFxQi4BC1R4KO VUlA4dOELv7BAwJ2Qbv1MLcIuughNT1hegYu6UypVR31tV0ZuI6Vmc7ywWtQ+1j3HzfbSDKcrnsF tKfM+F5iDwKYDs2bVDYXWasYFPp49Zdgbw/T3xWN7CuNVZ6UUhNPKH79RwE01+Fg2nkyMISUIRRo QCgZVfxs/YFs7vGrq7JAkgaM9d6NVDb7TXmracrSFGL1/kdyYzH4uF8CiEZHb6XRW52fnhG/ZS+a BxYTFZNjmKSRhC27wKYMZ+ezdrHxJ1rNlRsvXz0WReZfVKgldYJl8ovEATPyoX6G2byesZij7YSH VJ5TcKhgE5Fqq66Yub1nHLZuwjOZF0+0s0kA5YUwqYpceWXVlMTcAZTQuTIjzojTYY+FvHbpTfL3 E9zNLHFJrHcEsyF6cfXoSFO1otwv5XZinKQSeTmDcchSVjmN6axbLenmoz2UJnI6YZ99mjBjLYZZ +/IO5akvmYBVE7dtaJC5JaKIJn6DMrcDQZTqk5O+4E6z9BjqoiRsoOsMQ45qGxoqmMuSpKdNyob1 rOkys9EAkWIQ3rSx35t0GwaNa0K2Ni81zcWxsHwbEgUNM4wHc+1+PKDSLfSIH8hbUyMNw0akiCFa ZxPsd3UlhpD4SBwDME+8Cv8VrLjtLhYRr171LCdIk6EDng+6jTS+behr9CM3QjzN7xe8E66d9ep1 wcAG3G++KOwmxTmiKoRRPGXEPqAhpLIcI7RCFXej+il1pRxpPVOUQ68utzsqwvJXHarYmIsqqM1d oPQDhmSZuKBhEtJVvIsxMb9x9oH1pFRbVoOsOco5Gog0eXwXmSlny6QxA1mBGZrgSWjfIfNmRslr x8ISv+ovZirTnQQ10r08OiZgv+tV6+9oFTQpxcDYDC57Jp8rA0bOkiWPGjJOVhi9de1nZ/++T/mk ihZpH0mfW3NBxxqPTbD5dvcJhNGgOZ7Yj79e27NGeeXBf4lM/cJNjEJbObJ2Rk8QUiPEhk1ZqhAx D80U9uVs5qzwo7c2Dv7mSsEqhykMekDB531sptstwbITWbSvj+p2FiJq13Ay8hsMroZoe6c1lcFO rDPsIAAzZG055iW2Pkq+MNR8FXFaT+SBQS7LJDDTdZRxKUfnRE7TEetWlVwW6v7P4tYP3cSQDtG/ jv7nSdXR6TwIsXawU14Y0PpI1Ei1ZEoY7Zzm4FnrPL3wMuNqZ4+0Nu5nCTmhYcTHldiu7GDGabvr X0dXbwNSLMXcNx5TGt+SZm18ygu/YhCe9KdLwpfWLL8HAA4PGFeCLlybC+9+bizjl9nEWhvfhcu8 FfakIk80mqwmIZeUhOfcpQeUFuYEl9bqj/qOHU7YEol+y3y3aaYUZv1iQ3Rbp+fC0Me2b87G4NiG lxLcr/3E1yUfOoBiMq/cdKfJJVqH62b+8a47Txn5F89TgAJYOaV6bvVveQiDhaeqdqs0KT/HTBIs VY6ZvSSMirAm8gQe6uFtNHfJMckMJ8f55hIZ/Vr33fqryG19Z6FyHEMAjzlBqWSqWXiYW9xKAN2S p1mY89IKOSUsj5yk4NffQNKm5HTxEaUyE4XcFZzSKQE1p9fF9YJlF8R87k8+FCdBq6Jz8tjGcudD olhkBi7OITmdJ4N0afs8bMddJJhqiytUpuLml7adPobr50l6sMpWr9NSwYLIDQfFH2gb5wSqH8A2 GzioQTEl9fngALpOWTOdQ67fG/NFS2zR5gtk9VHTtia9X+gSYaIjbWo/DrAqzZ4ij87A7+aiCmtJ QzwU5tWDYL2qwhzouN7qkGqt7pmik2607wnYghmgwDtXkIBcpEapRwBak8IVADuJQYR6vLmhy9KY dtOJPPctu6B8LRiP53WRlkLlhLgVyszxwnskR0JZ1bQCKYNybKFScBDQpYqkAXrWjqDNFOpNt1AY 1Wvqv8ZG3puP+LEvc0JukNp/hofrUOm+M2pQpa3A/h7JwmX/bEs7ZCx6LUVjiBI91fbm1ZtvpKyu jR4xB8DaaLdSHKy1s3Lfgxlcmy+gyZjJLPckpLqi/a4QK3i87sSnOh6g9MocRtl61fJEIm6pZkyT 92zD0ZJtOPvSb98/7GOAKIVnlysgKtszyS+cHTvx/orM7+h51rcRV0xbeU3rHhyJfX7TJ/KiSIvn Z0QJURE7Bf5s+ZIaLfRYEdqKrx1Dbwzq4e5VZO0rdPap65f5R5ZJpwH6d5Dfe1jL5t6cw3M3XVTM 6Ed7j0b8WudLO4v0qEOczPdjKmTXIakzHgzbkABCdXku6oMNt9yTCnOSGviCjMtoP1V1JZhdzDX7 MvOWo8DFI6Z92cjF2ttgFyI3Vd5TRv2FB/F2bgQaYOPzpcFQA1jKfcgJEOc/TET+VLw2yg71adQ+ 6q1Xtc4f+GBV4yMcXzZ8Fpze0CH4OFJ7PuPIoL62pUEaoJM7YkL5N/8uxX7sDBnCU105085NCpzq NHzcA/mWp83Lkydlr3jvnxgM5OQ067k6LzqJH8G+Mg4pkDmqI6jfXkMIhG5XumikOfj+RnRbjoQq aIuboidoqkvm8ykVPqAg3drnyyXY+mwq7NYqtTQ906lgY9e4ANYZxpD0YBbsxUx1dNmWQKDYrBps dhI7oDGZQe98620izflB5iGDKShVrssr2mKuVITy6Z5JdxLVhEUWrcP4HA6H0IxgqqUrqfh103X4 ic+E8VQeW22qUVkbBum8mVZluRoZcN5bgIwrsQuu5ixqw7dgh88EBTKctZot65vIwXHg5KX5xWYc SHLS/vjWM4ThVbnX6zvoYSzByCf6Ga5m3C5dWmBLnlsKZo8rO/BDyD0UIo4i6Wig1GmApvu88YZF QT5c4507sqa4lc4ObbSU3JGDzF7jUZwZbtrKW8tzFoXQp2B7DpK4JXFzZHDPRMS9rF1iKVO9uvOj R9NyrZHM2GYE0GHd00jBo1EK601WpqpytRSbsX7dJbTAYf0vA5ZTzH1vk2MCJXuJsQ/QWzyADLR3 bwxWJPlhB1YSV0oGSZv/RFh+iyJ9EbJj+iILc5wo7b2J0T0ef3LLaUTklFNwP+qnvn7Fq/QoV1kV AO4wZqKnn0nslnnDQjKzHWyyNG79d/pe/9zBvRbYQdmJnsVfnqOu/62k+kYG/0u1ph+WGzrKbVUJ iz/l+265+DC+MroW0Enk8uPP6MiTPInT5pvtfd+3hbvm5/omRiwglbiOXHvjETyNZwn4L3ccoopK eZblNE3ovJ+kcLzjWYaQdlnfBzyYV57WIpm6U0kb1pZs4smfTlzKhXwAPtYG9URFEjxUfmV+90zE hIucXPfnc5kYif5I/WlKBkb9gwnAR2f6ft/OabyJpiFiPoGX72ziZcdRaHJKl+y8kBmYvnkpnYtt k2hvAJNacTl61ahm0vgcMqBn44TnuJThTM5PeuixOKJrfIOc11otFWFQbklvsqILJqbe+IuQF3Fo yqyjdKwECHLccgDst3tDFjG/84SOJuz0otqq2c1Oyd39SiK4CoZuqq5kQy8LUSRrqtzCjxh+2iVk uQBrglvZTTAxiy7YFhD27BnY9RVdKXDp23XoPbE6u7Fv/Ia0M+3h4AtdIQSyBF7dFAop0UIhmhT4 CXxMZg/xIg4c4dnLE2tJE33EKVObbE1IncNZrQxLhhtNxcCaB6sG6orooH3rRAwuU/2FxFzxo5R/ NJ0RmfYREnmdM2RyYQVSPgh4OdcSVfWCLZo3r6P9MPIFIdnmZe8WDn1Evxu8eAsZ5/kkZf/W/4eT gGCixzyeli44B1n3nL0h5b1N5yiHfReZ86KCF/4676cZwh0JVIVEgAor7F+19UGqViubd99uN6VL wOmgku5J1QrfDHfvAO4ZfUV7LFHQbBSy7n3UZaWwvTC+n0y1hHarFUwlXAoeIYr74Xh3DV4MDV59 +k9GuGqLaXQlMYgFynVRcRGGHDLAYlUHGBfDxp11LEzNcOaSUSlv8zt4ARjJ4WSUzMWx9+tDJWoG cF8IiY5dumJbM3CWD7izjDLdEnY3Q8AqCW61qONrR+jG1XTwpuAhIne5xCp9jzCiYlbK2xnBUESJ 4WFVhb6cP5mNCOGvAlBrG/YpWDz7u99aJDgDtIP3wDp/z0vavFKz4k1zEzThBfM5x7HpoN6iKTOT ZJmRBBQTYwsxrxXbnwsr92caaXNgej5+/DBJ2kAY954iB1tub4dQIqnPWBVF18Q+7UACAw3dGHnZ sOJRoL7PYtBdWCvlTMP9c8TjDii0XayIgcIr/iAy3nvDuPpRt+molNx4DCI+Do5SA/5qkt5Y2ZgC HmBXq+WA6Ns7qimU5UWgBsdIwHzcgz1dMFOEYyMP3wiOuin8A+5hZc1p/QuPMDcE7C46nTS+/gPG Mar1DIVoEj2C4xJgwOD+xSIDn2DxIL/3oofpGFTNOfqq0n/zSeQlScI3a2iZ8luLBuRafMzNbYwq 5dJ45K3Qnk7NkHdcDLLBHiw+nEhHvHPKig+LSfObPorLuk3KsWsBefghqHXd6ScKPLshF6MXZVsg k4LbnwFB61pjIZG0CHJd4w33iVhFdFd/TfHYsTqA1sfuyYkJaLv6g/YjfbHDMq/V2B5aiO4d9a10 ulhVs9CDxYdNb8JyXnwos8l9/7kWnMnxY/0HN1AjOFojVdNujWSkRD3iMYJaiu3z5IoCv00vjBZW SJys1hyOF+v1FpSopiQQnElnXPsUqZN08ggc+bLAKr92FK0Ixrp1qzCcC4y+6rR68+yw8hMhSthi EIjPA711sUefOVzpHA789UnMMluSzIVo26qeiaS7qUdFiz9DEZL8qk2hPRTu9d1ysoUc+58fDtIc jQCzAaldsxRMb26eKcjUG40TbtS4nDrYVG7q8QQF7zYv0y8I/y/cjBKIc7IJxy3znRxuiDaGPlr4 7SC/xTf/8GBf5jdUm4YceHo2QR7f0ZvgoBqbkCNAyRqYW5zpnJVP4JGekITqNMS/TQcBrC8TO2UJ yycT3oyQLx4PyYVCWVpBfWZacgRsIwSCbESxiehkM9XDVannshQuL4cZuLQxCIPz+kvyDTfHnx+x 6DxyXEb3CpZwjLKVzuWgj0qjiX4qo6+1sNFHFo6gMhmFjKKH5Fux0Bg76Yv4+ZGbv7nf7+DbHZJo JyQ+2JDCmkDZwb5M6aoH08SjOGDMqmESbOIwLRiH+AUy23TwykGDE/fcHwuyiKo7GQJvO8vYwiwW f4oU4W1XcqsOhuEaJ8unuZQr1xldi4PnsIa4OWrBevNjF3UyNgYvun/XXWnEyzQxv0KCKZzi2/Fo pahvJ5+bBC/trHlroXKNLiSB/m18dTQa0VBsAN8j0qLbqHMkEoRCVZALVg+hBkcD8qZQhzF/aCYt EBFOWUinQL7K/bqGPK0GbqqnBE2cHic8QkKSjcuWqbFGSXJM4R1+KKhSYHxjj1gUlfcbWf0Yl95e qzCIAVqE5JPwrQZvWEFqZR9TN2EGdoa4tH9fqmnol4cAkT/ZeByv0+kgKR/W04NUgVCWjx21IB7S C7fLaE5MjqYMaxUIe8gyhQgi66GJsBgjLhG3Cv+iE5D5r315z1jeCVS2x4zvY2Zbm4daGaozblv2 4osWRRWRLQ0/1Jsy1UD3V2uAPDwB0pkwzd744GGQhp1N+CURV/bvy3S0hOeXQzcRgNlL/g7kFGgh rHh0N6kSKD7p74yHK4/8D4cLSAZWpPmjJhwuuzrjAsjQ07BOGYbiMiuA2TZk3bmDa/3mwd4R7f6I 1CDi8JsZv5EsOU1h29tJ1Mmig9K5wQKyJo4e9wIyNxFrGf27JQ5hkfPa8KWrxZnk8auvXipptl+U Qm3dkHbKU54ZduLJIeGN2gLY47DR8jBmV14t7KuhQ2PT9+UYf4g/AWnrP+aH7q3rePiKvsz8dx/T t7eAq1J3nvuIExxY0yVbkyup9a/g5juXo8isryOTPaldZwTFwLPjSoIXiowVnlOB2l7lsgb7eqzs rQvfxoKFrlimauk3ZpOFtNP87GFZWHh+NbURIl6TPIv26UPQfE2plYgeSTj4W8kK1lRPlo39yDao z2dmXKv1d83j8TFqMFiNPMeFcEVxZb24mKJ6S3+cTxHiX+45mGNjvr2z1ryTguyu2xgSAXxXoRoI oPvqA6U5sEICDbwnC3FjCxUDVRKyhLsydmtYh2Wnd7bRAs6ermU6hMsWCDF42/n47k3d1nfMe9DY +tB5SEgNCaEyL8j65DMp5azYubw5xv759eaCdEwhMQvO8Y6LAli9n/dDI2uklHJlizOlsn3dmpeI cys1JBfD1TxyLkgsQwl2c3lKUsyLLc7UhBVVaOZJMhW/LzufY1SQsFECm3emELYAYPIYls6C2sd8 K2C/egZXvSUpvkCg56Ud3NcrtfaD8IIn477blwZwPAQTQuSYhQCCqyX5vhEi2xIoBapjKR2X0xu4 OAw4ACPtLRe9hOoudqf1MjDjSFQ073EgPKvDc37WJUrETh0PaxdzHhwUceByfU0wQlVlDj8wLbqF WwXdC3+aBZ6SGrjny6O2fuE/GtFZNaeOgixrLHy+gORMeSwfLXfpag/v5z3HyzUXINJUniq1MuUT xChEpJwTugHqf33E0g/4danCdz+vFW4EMNy5FbLp7U7S7BTVn3VGDhP5+yDj2UAS/l2OzRYAvNMX JbVPUyomKW0gMDLdU5YXVYw1zpZxzmuvOU52gYDrDrr6LywKtLq8OM2c+0KPmTyDzcE3QL28Wnc+ lNpkDW8YYekG3CF9RyeBSyGyq6bIhEkqdL97VE0nY8VZOMy8ZrfzD/xKco3HXMtyJlw0ohbsAK6A bHMg7Q4ps6T/uDEg8bnv7lQzEslWpGAFMPC8fw9pFTxy/bygt7scHHx5r+1LV/TbezAXprLoZNlq qmq93hG/umIz1q+mjfDYv5Wu+q5ebQVoeP9GP9S+yKQYfUd/pE8fZL+3CfV4ifveFlRPEL1QDdoQ DPc7q6HA9uhHBwlrlWpk/+xJTmL9wVKmdr6dZ+F6DxSmlAQjPYBjraFYIH95XjnaKvG7tCgHSYEu tbBCRJrthsryIbhYLLOe8HIHtLzbaovopUTjjWnJKW49Xd0hdQx9DaTCObYl7mCEUAQlFWfdB3VR 8Fxw2qzcDs0cEyZyHRNK7r/SDA+Y4ncCvEXfpVq/Oe8WHNGjg2sdLZQTHZDIQGdDrgU7alhTwSTF m11eCJUZK6O0znPGdywIK6QfyXMNbLk4En9cXLECLoD6Ri7WtToOmffbojUwat+NTZBA87HNHuEZ JPHza9/my+D08FMtZbLWDX60KmIRVxPySb1sxNIMmKrVG+JBfkGa2wKWXk6LjuFErX8Vv8DqGbiB RyBUDnLYuYHIrUHqlMoGbH7cIcPof6/BD3GucAq3gqfwIwiHfdhO/PeffgG+VzOw67Gu3S51lDos VHyIsIZP2vjAvrg4DtpL2OXs08tW3z/41ultJrUjS93H4MOmXXwEY+KKR9Shlo5X81RiiPnVdYr/ tp4Ev3pN4DWUoEUTqjsX2dopRVUP6rK/0D0k6mGK+qalSnEyRvrNSQLJQK/X1bVRruvmlSBoSnhb 2/gpaEXDxlkm77yLMiqBVFss/ctKwJ85uYTysq9gL9SGfA5E2HgeAvU0UyO3rLZ3bLMruNPghMnm HSXG6Y0u1Cpy1YWKaF+J31JHfA5xbdCn/udjAfkqGKL2ZaWaYr4hlYLDg4plb+PmSzM1y70H/wbJ WP/9WLHBUCaVDx0XG/OIK2LkvDJ57QJj/4dLbMUu6LLC/yNWAeINYSH9rY8VUfex1aijziixkNV2 WhmbugsK2FIJGYupCd1RcAH+QcnFKjdCYQkC1f5iA6oKDKukM6eqRrDweCCqRRtBzvJVzoPe7TKU UgzZmRniC4oqEp/0Qhocs0UMHAAWvdQbLt7GzW6cfUtlo2fDmLZKce9TeAhqBY1UOkfvSc07ibdL Y/btHAG/8bq7bBNw0C2ipwZEqvr8oc1P1BjEuxorm3U8SqyqnEiWOzMrd64Ffgsx+s854cyWg5tO dka0EYM7f/pXUOgCa/LSvbmcAYXa9PQFrqayh7HYLnNEBeDFS+N4lWKZMc8mQan3MbiAV55Le98M rYePyyudK/1ks8znbmOuKBV6lz5CAjil+H6VLydwdAC2JnVfJiELZzZ5+ZHge5E46xryzf4xMtvI rl3YQRPJqu8+fSKjI1NDxSJo3bT+04QOhX4zwMtoYYimtrPMiegoLGHICpqZxlEW+o6rK+y24Qow hc8ECxdvjhFJz8B01I2NVsGU7NIth6dd5de9SDCX/L2MKlz+E4jNBhbquTi/rF5KqjPVQGPekvrD yJZooeUmWexUQAR0U0Wui/rGE4w0CpXazTi1q9y21x68yiI2ndXgGuW4ti0XAuG9bi2KYBqpzRyy jMiHb48lLnQRtX5C74nVlYNZCnJbo6dsCWJHLbpu7aJh0MxJf6XcLjFD6nd7LU6Aus2ttARAWe/X CA+fOBfx0GIO99dsKs5Jurs5j89OgVHx8sBbbtv6K1HL06YEdry7oBP/74yQ3xd5IiDpYosDGcUm RHytdkZw8MH+v8mI+FvVo5Rmp3o4t/991fb0kog9L3gZQAG4nYN3FG4ZEpvwFYX1ZyfXIssnBxJU 0qTCFK1m1mh57+IrSVjTfKvraF+5mzivyKJhtg57OZp7v51Q8O2+bth3Mb0n4BH0VC9bl80GSa4s LnQk4YlC8ezlQFEmxMM8s7KXhogQm81wdGmHotklLitKIGAuN0Y+3Eu14cRhEOUK4FB7y2+0GK7+ Ou8ulzpQGoL/uxfKDgAotB5tZOnIxSikJdVOYz1HZnKFaYMhcUZGBqMhi0DQEww6fIgZjSxI8f6n ZvmhCqr5j2L6sR22KXLemQnC6wIxyheaENK5MxOjyl0iIT+pLIecSdfTWNAqEHtQSo4YrxHKMtnF foGYrGhF/MqnZ3YHkn58nvcZoo/xZ0qDV3xxVSYi4Fush++Mq5JByIypT6M/PyNl/NMYTUlkzkYT 9mWeBHbezHvkIL2RQXBeXrS6mcyH97qCZUDrc9/pNb7UqL8RD7Ydee1DmLS7YifiQXMS6sHXp9ah sHfmpGjv23pzp4KvVrBGwMAjpgP5aXJxSinkPlQWR0d0EQFU1p5I4loSCO1EHcQve/R+xA3haM1Y Qva7Squ06c9LCi/aRfTAAaayQkG5dyQyLmwFmvtsMAPQPsq9hOcPC71tKhEuBqH+PVysAwet3QcM n8qLEDuVoCgk8btFTlAX5BsHnGu4JWCR+IMHpi7RWVqaPU11phEh4cT797RBiVidJU7FuvLC2XnQ 2FrC+3NaPjCvniNqbCNA9gobl4yz1X3XYEzeROANpplNeEXup2gpHp62zHxVG6BuKaPB35fCmcT2 ZWM8C+PoO2Ov3fWSxRqHIrSjQc603IhI3tTKnNoWUdY/G2QkwvbI/1rPiM5U6loW0L/2o+1dWS3H DVrv9Cbiq0QcbYJ1Rq8ilUAP6J/M2t03N/7sJMl7I+f3hUtT/eufn0u/GANvTKRKqMBi5rnkZ6K8 gKyMoHHt9QLz9Mw89EGTclrhwtJHV5UbZ27qE5j3shHwsnTtsh1SgXrhmRlMLoU7z8lOKw13vUXt 7oVaJfrLB+oYhpPckKEvFJqVR4pmWlX2c5U+j7NQwIwucZdgbVxm5jsYc2mXrAa6FvkpqDCZwd7l sTSIWlkBK/bDl2iU/jgnCvm21ENaczFgfGQp4r4flqpDxFf2eB0w1dPsLOLvzDkDY+xXaZfcT+Rm oBeHg/KgyPN7GFZYdeA80FEseJrmufY+lNiWNbY26A/gIcaXehaTbEOD4urkbC2FhLCoCvggxi84 +Kr/f1rTYSfRxE1lnHZvHhmXIXRED9PsJeaoJr8irYZCfyxDDGpGL5IYTMuzStGgfhBsfhtAqkkg WkhD/VveWjN1hyCu1TEGgOTGknyY3RjfZMxl2PTAdm1mRphhXTYBCafUz3ffinK8LZvTr8M0zJCY Z7AHYlflxrrxrcINO9N3xgX5Pxh1mLMdm+sKWCBvINi4eTftJO7TO5kLDyV1KqXTb2o7wTE+E/Mg UUSpTRu/sGlq4vSXWjzUFxaHDr7h8/Owy9YqOjlGtTAQULqYmY9nclum6a6n7xTKZSMDo+NAECHm zLCSfGJcSDw2P+DY7oQ3JCWXLP33Vbu3R0+ziWAhXHrBoqnKNJXyYVdirC8PXj11NIb47Zso1oPM WCy8I/UAu/xYn/Enc+KZ9xmKlbXr1h7XAW6OQMaWnZxS9B6UQdJYMmH4UgY/v94twA93Bi1Ma0xp sbvF6TU5nBksPjKJqrXpMn93gB3di2KYx0qYmzFDjBhHgyzEpMIWlWgTToyfg94hLffui80k4Fyd DTnFQM4FnmJnh7tm7LE4h5tfBvsHlauD6yvfvjHZDY1Ai+DcfScouVqv7j22hrRiEVxbHGMqdtLc CnsDHy4LxNZ9tncCJ+LicjnUgCaNPN2B+uKx7kV8zfeGY2xmp8kfm2pAza7x5w/WKVxf8XrnGnZi mGQ+mKCw7g901A1k876ZHg/aPcE27lb9DE8i4C7958WfXMzg1Bz+Gw+UCXoShXlzRhEO+++Prxil gdF4xFEulks/RKjdV5UaNgOxgbMRn+xHN+tgjgjLNof2/QK9sedgrL+w+yGr4N/6AnMiFE565C5V HGCzswZ256aawSWYJJnnctYeb30vKunGarKhin3ElIjVoFeopapywtjW/rfRSh7D3SmD7wsSt3hB So2AhgzX7dhdT3NFyOmkMwbs6Rf0I+yZlqvqH7EXANb0k9Z71vHZsUO7IK/Ir8OIduFjUcJgFa70 arv2zvtdF//4h3pULkZa0iFF2EpyimaN1vQLVJcCXOUfq+yvT+PVQvpJU/UCGzxqeeyXm+fhJr7I Yrignmmut1+894OJLbzY/0JcuU5BqE11+N9FRqpNnYozVqNRvwS9Es2CAkaMTajF8H+cOLA6BWvY 7zgvZgAtfCS3+hfkQv9VqGGT+Ygk89I4pTS2tSeuYgeY+Nu2RUYW+4Xwss7WeeWSIDHoRNRed7qQ CNd7I+J+WA5988IWBXftxNztOliQUeSItwJ54GZvMvf8IomnEWnLzjudt5GfYs7iB/WVhnsHnEEC sPAWadmbBJwbUl+sBGkEvH7qGw+8tH5CFRzxhYlDjzJOyXJZxfu5SwrZ62FnUjSsg9ZA4gQuqNmK c+SOOMRjJ7jdXPPvtYLCry7nm9RfW7J6zO6LkC4nb10N3gtDvx5OrYjqS/CfeuvVXX7a80Er0YPB yvNR2RcIiGlKiviUwueZ/n4v0vwa6Z02ts/kP5mJi0IOyg+H/trF1su5UAbVYdctX4UCVxaYYBm/ RUhpUFHADAktBpM+rqUDcnJe8YQopCIwAeNNSMalga5jWpiXYPwPJyRx0/AVgnso46I8i/AwTLb+ dUH3obnxIs9cP1RQF5e9vob+fKGv6llLZB6KS8sxTU/rGvw7yeKqGSSe01G85bc2UergtrxaNqH9 oE7ffMd2T6Ga0SvvqQjbc38VWdw4eLl2EZwEHTuILIzjw1dWsZyhcSY8lCUmYHAv8CZHtUvFH2ML CRfjQF0fg7Vae8NhfExTfHLcxG0T7N3yfPii+FaOBLF4qDU7mmuzjH4s806j5Q3YULXnkoNzjInL ItLJi254WqXfTcYUwSKUME6urGpOMht/r189XlCbdL8/ScGO8El2vlaAvd/R7bjNsLX+PnrCOBLQ ezQgKEGNFSsQqOYmnCMwNX7L+gPxFl5ho9TlSPwVSwwQzzUbJiQUGDpmlddJyyRSubtb5r04VDdT DrYQbHUcS0szyOHL+q1eGS3n1lKpqT2um6UqlKpsdiPyeg3huZ405DN7jd2+9HFjbgC7jdwAabCQ 6Yj8ihbMG/qcJ0vw/bNJAKcvtVvmj+dr+OEi2SbRZpa65RWPq6rkZFAbhylMKPxfLBkITICUWhA1 rSHe+oOc8twVxX4uRHxPo7vi0sATxf+qCSTox2gSM/hzPXlNwAcqhEX696x5ll651FMiz9N5KFt/ yOCvPwR4c+Ig4WfOAZHsK40zmth+Z8m9838VkeBPMRQWsxtZVJc9wKatV2xVjP2Op+AIurYSU1Xi SZBokuOlGuKgxPIjWv47cyvejeX8piUsPYywwZ2Xyxbl1PNffo/+Lfv3Y7Afv9McZ89NcbeN4H1o wzFlOUe2qApsQ4rg5YmD7eHT3hZPOOpC20xwTHzJvrBhSbV7j0w4IjHr+bsgmi3hhnBhdylQzOIY xPozdFaiCvvnNH+6+YI3jC6x5RctK2KTI35eSVy2vYuJTCubE433SYbM2bwRnCj9as/qDstg/Kiw g7szCkjW3OJFh1FxJAGqEFVVuEzajtd2TlVO/RdoGEJgNuEsiW94hhvZmeqBUvYpkJsuuMzFtmhY SEMnzEz6YsihJWojUEuGY/XQpjvFo1pa3qnQnnHSNr/6ZoWrDGi2DZJd1sjiaEedAfgZpmK+h4fh rCmkjRg5QIT0IL9KS7dvsZ7MzQglVNgMpFejPOso8XE49k/X2FVMR8+YLN+b0uDeNkBrIbTcGSvG dG/IsQwrqgHDXWgVr00p6Vzb6g0pJn27kbCnR4+24hH+bc3HjuGo5c/Qh2m0Wmo3drb6xXtGV7wC t+dpg1Cavd07AOXeai7iPho6ewSy78V0B1oWVVDq1WbKwYrG+t9tKBZf3v2v4tvl495ybG/sxv4F ohtq05YzeSzcEPQCQiP+VCw3gbVfo0VIUOSgiFfKAeT+VIiXzAHjiDXWO8gnRx82+gLSKQRAzZAC 03swrwNMsBj2zeRuMZOG3Uc6VE+7FXbGFlNMdoHHBUMo/NNFrHqpbAaOz4BLma2Clm0AjEwW/iw+ y7W9JUhFMq+tVw4pAHNHXj2oQvwVxyvg/vvhp6aaT6tPPQ29q7IUttlGXk3pjztB8V23fDYjxlAH 9tpCqTOSYMO18uly2mrvJn5UCqr9HiFcL0h4bVVhcwQ85rVcpZOhTPYGAEVTXPxTE0YBKLz3iPHz Y3zVHKkQYYv2i6Q5jhs8/5/KwAGgwfBRwq3lIwj0rmnyWiIt9Ocx09lDCzIvklRFmdaVLoUVvES4 0W8ecK1XN9BYheDsfJkWR+CfaH7uJcEc2xVT3+nvpDILP2DZwACkkJjld99HmFAU7P4eS+hIXNNF kBMvKc5G3IvY2Ed8tHLMxUom2uQARN9NvE2DAJbzXmbiBI/6XW9i/AtZP+YEz1/XSH1X3WQp4tPs 4JzmjYemEipW/K56T5mGzN0Mcu4AqqkHvpX9es4aF0mVEPqWiAFC/YareNohIzRoxjTCTFXgqwVX a9M96b8erXUuAkJ3+NN5C2tPm4u6ToAk/vel4XgQAei1V6qFwGmhONZy2LtHG5mJ9sKt5lq/LeHf muoe4meMablrh0Yz8zcxODbdxRvXtFP31eCymSc3f112EHMy9p6CWQxwlgf+QgQgukH4F+SqbVWw +evYrs0Uwz6stgPDurwKbYejEySkmkBAi6QL3RzJIWrhUSDIBbJVAgRGQl1DcMr9r+ehUVX2KsAL jpZcNE72yDL7Y0h65mBD6YQHcUApMUfI2+cMpq6EmOxACKTmets7gD+53U4561BpYXC2zQ/Jl+o9 bT4QEf4ItDhu94xj74a+GcG9JbElpuCCDa0tIpsvpbU+tbNSK/r81PxOp1p6jwSiBNN/daNvsb+9 iYW4x9erkW/F3kcMokXszXj875a600e9GlvAnxQWntukAtY9V9gc5uoKAio/mUE1676+R0t8Yzi5 MU/mIfdUSEQ6ooOX203rdrH60aDzMwkq+9iWBxp8jlrwE/tJuxixPqemhH+tfyQXBq20FWCRN1iM YD6awo6u8rzssFMAmoMr01fv+DUhBCLJHGxkXZv/RUgooGKhIWfcCeGMIfoVyen+BBu/5qCIy7gc ld/VmakcCmKrBsNxHaFLUHjtmUSn6DGxzwd+SqT3NYjgTJfWFZyQvaWIYGZPahTKDY8pfn13hR52 0NSY+UoECiBDdDOmrhGE6DvFukzdz9dZbXVh5VFfxomvlRnOqfuNpvNKODY+u1jFRCrKG4MZ/Mh6 Hu0elz0MHnjRiT4osFbsM6NROnZEsntLInwntd0pCjcslyKgf7pKXFjWg48Dgu46bQJlAHjN6kZS sxtkG5BwMvjbV13VTRprOeh6lQaLxjQ5+wGcffODOKtgFM1NtgStr+FKfzgHDFrwVIqVFexrjhPn cLLgZ3jwehNZhVa2hGfvRd2mKxc2DVDeU/XNJuaRBmjx6yJebys5jIbtyHbeZSs/geFM+RxdwW6I WMw4KoYj00MBkycX7VJic47sv/8WYyCNn3ivVNhz4ry9YBEajY9fAjWRFYzgmw2kCGQBGonYhp+O /JIN0QbTlinF3gE/9WjPa3xTK9KViBODTVvuD5BMdPjz3njUlRhpGjMUs9XcB1r+s5ee1z4ECEEM mwYgUcqDzfpZEu3PimmLuafqA9Z6cvCCNJ+ZXEw5tHx0HSnOq8ubg/QNxJgr5+gKQZbNOsqnPzL4 2kTZSz1BsVLFT3wDOSeno2oJ5+oaHNIyOcBuqFXu8L710uVxyLyBce3MwXd7xJ46ewm25fv/y+o5 OhDB9sNapBFxDphnSN8K3PYpt/d0MLwmbn1q9+tCvSKNkFKL45dnadybGcfID4/89OdTQ2eT8XQZ C2uyfvXitb5GdW/miXG0/ZUzuVCAiCF84A1EMw4/tx/pxx87S82oPR4QdVwARrn44E/9lpySM6IZ fBlfPSzBMf5c27xmvbRHw9fxlvik5+Q/UQx2GPUIHRJ+PYGpRalg+sw3J0N4IIVbCPDIfRRYoUOn sopzrP1dBJMOUEXe5ySHgY5QNTioC5jbQKYB2WgX5ZSj4kiqLMIhFrYrhAjLvmX0OpP79F2ZMPxC FY1YkUzB8/GVKfDvPRFXequfyXi0ZX9J8THI6/32zaDXqDZAf3vfjiEeiv0MboOlAq5ZzGRtvn1+ GOHieVpkHJ/ZL2M4bOJY3emRPbm+LeIxIiCN/PJ0MCO5Uqp/M2jukOMXTfBxa0LVT2kFS+zErR0u 3Kt2TOJMAxMSTX1pHWRtMm8OfI7nckxAYpbkISyiknqTxVg2EUpwsCPZUVsGDaTS3L+9+ym2BocF 4pjHLDPJzqZMlEZNT0d+YuqoIHWGQlC9f3I+P0ovSXhRQpUk27P7rLrob0yfBr5awoGMjMq1T+QK LpVddnzLhZqTMa4rPrhfHtYC/gAq47CcwCnXxKW56ZxJeWlctOyeZ+1jKzmV7OGiBzYMbosB58wd lRSTMg+PK4/y0UdevQx01NlRDIhPAl8jiAz+jfW8J7JjGvlHkryAWX3oE7+id8lsZMBUsjPwGuwN FS+dJWv8iqhB97JZln+lA2+kBN/xNjyz9G0u4XfcAazhnhLONX/1V04592yHWSmNBrkCZ2JWSOtF lH59d796TXYMWvu2CcFpNgwGDO0wBkbzfbt5pW73192Sr4+dnNAhleW3rouzbjcgMUAuQYwtkQsQ xV4S5BYRukGN6aMP9XCbsu683UyN5bVh/PAL/G0Ra7CYfQX4/0thRqr2n6BZPNRHW6MHmmOgxZ+/ aI8TyThEResMXWTstT/vAN0D5zEDOinxcwPZ5viLiClbSj5huWeuqzBtak8MrByHDnNTJjnelPS7 XDFEmpxr5xFtooJzZThSPSYOEqP7vjoKP6xdgluPV+D0nI1vV6sjz9E6UizvjAI0TAXHxek6FKiv xIPV5NkwfmPrZsYdEgFcYalALNPfmNcD6zfhEWsB6QqX427OqrMsIgiugJzUlefTjtJ9/f/SR3Qj AbAfW129fhb9T+E/3eRC153Ev2Di18ujE3703yVa94auADPo5w0VB+5JfDcarM+VqZyYPqwjQq4n Pepg/bDY1Z0uDgRmpg3dCxX/ID0LjKG7G+CjfnQDwGCVWbm6Ljd5lSawOmSOloaVTEFnBSnS/l6+ VkyUuWGN9YJ3eN9lHtoUw4EG3AYVx0mSfKN0C0HZEzXEvIeTrs2qQPFa7XZVutByplcluKWk4ogr jdOab3Sj19MkxsYT/p/WUHJPyhAUsP5HIQFQz7mDm4HgA+2JdXph1ld69hJwR34nHhvRUIeV0fhb C8RO3P9ytMqTOL80anvd2aLJxrbbXkNynlKC55G/c0Kwio/F8+yXieyRp74y7/zTOItJWpUlhICN azuivNtvnGnhJo241vdWCHouSBKZlObKlBdvC3u66SaFZvr9rlerPO7Ap2yimMiy+1zTJW3ZtgJ9 XS3YqYIIvVbz8/0XjL1Id99PaBnVIT/SiokH3sK1WxppRlQgb7GsrE7f2eR5DnYEOnDFZZkIhcyP aJ7WYzXHwC1nLQiS5rayXRb/zj3Bf+Qw4N09C0FrBRWFq+DaSivpZDaXMK7blm3YSTm9bjyhU8cb Gndusse1+jvpo07Lv3GaNu19bR90ZjxKvePMbAsq3h4POKIaXum03gUx7VRcQR3S3HUjXXLSPeth YpggH9H/iYW/hFLRIzQSf/dk2cDnCcnP9dr7KQYjwZlTjtHT5Ne0zYzo2xdMYW14bate4YA06UVx FXFfIQw1+rT+84nqe9bO8SCNWe+j0NiAuH/F4j6jin1tXr4j9YLcKwAnrDtBG+CsDYrWs0LQbIwH zOrhs3wniSogjHG82sT1wBcAMlHtwTdFagyyqCfPg2DMqFt7U7ctiP+zBtjWAwnR4QbejwuZzlCZ LNL/KKOHqr9S+/eY8YZ2eqJitCVpa26LKQfLWFUFKl6GxsQ0eLk1hoExuJ16Ul4hAnIa83fveFlT kS1lFQS5Sl0hqCRctGjRCUDwViKdPfLyolk16sUFZKbEUyj6CkPD7vHNepNmrVV1Fv/fuAY8c4fS 4pKpZfCA6wXDCeymQh9Exs/SipELkYOBzBluRQd5R2/srtj817mmT5Z1QxD9p4c9IekMdd3PdriA 7ix7lwI02J+xTkJ2oJnEk152GUEu+zqyut3m9i7bfRl8e47uVLe+8p5d00y4ZDgg5jQDttvU2MQE nvY1yPMr+vUBse8hYgUeG4EEFVKCep3aLZaHgJqcLV2GkSUfL8mUr/p1B0+IWUUw0VRM4RkVbx6m K6O73LulFgoCifzT0iIgtZSP/9HEyhtqfO1vaY2k5XUDQ6dwhmtqKEJ973tiNi2SK6HdgvN58u6j 66xAgF8NETnDW3uQXJryBmh0EnykKbu7TdwiCcK/E3TTmhu3q8q9wsX/n9ZegPRqwni1gakuULz7 WSthMRhZhKd9w0EwBco+KB2pRTwBHSM/nX7sQJLrukKelaagXvM6VNsahd175sZZQSO3fEx3aA1x zY1w989ETOMCfy8/5Z2b/1TOZC5Unv0937UXmx7S43bq8p2zCBv0Nn1keQHG1RIGoQGE99RIelEk uvqkSKD+Qk7o+PGdqUCIbX/iXvr+UijG+ib0n07j91on3dinDigxG+NeX1Q1Pub9XenlFwr7FiMi JQGBu2EUo2idblcTn8ALn8eK7HJbznFVq02sE7oJsAdvgBexvZsYQmKk3nAraU4og74hQjoaD5kP hh1uxVGQlcJuTtfO7Qsfuy2MqYQJLhz9piTVAUUcNvXclFkmcm0zf9wwI5Qo01rzFaScrPnJBaP9 WtFcpHgo2ndR1IfM5YIZmAgvJchUDgk04RogZbfqH/KcpmZ9Ah2RiK4nAPAqzk+droGXNnInqqgR Rsfylt5jkgecfGuItfiWj/FJvx4Xjvk+rzDK2J4Xk41wSjH7JF6+EWAkcHvOnSSw6EAdl+ZJQGMY EnLjMp8GclgWHoU8SqATCtYZ42ErAIpansd77dkMX2rvoly08AWuSC2Kk8Yfj77sH6G8VqaUkuR8 CE5599Mtr7fx26GEdsq7cLh4J44x3BtGPZVFu70OtToerWd0qqsB+i2XFhCEMGlaXS+w0GdgxQAB 6YM+Hc14uJk/E+zkXVi+DYdGQyCvvHMlXObrJ73+f8dee/ECZjUxa0fVYEcIqEtuDNiOTnJiehG3 zMipRgVHIctCIQf2etFQqW5/h5yFkad5fy9c7/s7sRCUEHk8Cxt9kjEkGBUV9f64McDAIc/XZ12L 5LejTbQhyO3KO+2FqgfkbIxjySIZDzDg8dX4i4Tw65p37PrmDpB3IWzORVJ0YuMD43XtpuRMXAFq hs7BIvc3O1HnXduRtSwlFzBEI+AlkgZ7nagoTLw+2x0USopdbfuEXI6LAWXmOjUmggpdbqTrIGzD NouSbo6hytuZGz2JMkVQ1PqLXWkIjNAMjjU5PMC7cmKNiUIqZe0DckzPywxsz/mdSsCEniryO5ex yGrpXw4OKiico0nqZVH8aIJfdNudrdeMtjSJvSM0q6iHoKg/+3RO8rL/nNfrLMmgROe4+6YITo4b xSHTqWF5fg3Z5b4ZdO3EqEp3frnACN9F3nKM0y2VDDiT+RYRXAjcDHIXUn9inw/ZBPH4WTQYavNY abNtYMek4PTctDIWz6Pb/sdaK1UsGu//p5RzidivxkAA6Ml7TaWfu9qiHe0dwn/AIoj/26Uan0cB ks3p8MeVjKdY1EYjsDyw3XPWBVYyj6X2IprPatH4yHwRgpwfEHNx+GHMXPAV34sJtN6g5WoPeqfX lvn7w/LB6W8pXH9r3khXGNi36l2Cg4EfUGUgs/FwYcKfzccKWkOJvq5Dy5w7EYChhi06TMYkGa3j waajyaceu9DSh4uC1pYYRjJUZHiOT6YaP+i11LcCGCuMS8g2lozVtj+LFd7XFsfMesrcGfo9HpZg H3iUKVtVJuYBZV0grhaW+l8KRCoxmSzjzEklIMjuFpzS7CUmoqB5KvvcSRyIOsgZC2vFIN3MaaBm /4ceD35dQsD+dYTHhonak0K8ai6KExfxqrLh4Ge/zSKkRHsQkT44EJGC25SCOjq93hs3w/qpJOV6 Zm5I/943AvFlBIgY13e46PKRqnBVjpAaGq4mI8odgMGrSkRbxO6SJ9spFG46HB+T/ja2hwcP38Yf y23PJIotPXkUOLxnnCJBhzMmSYKZ+5Rl6Tte1UKXEy0HbAuI7SM2LVikQcO7Y0b11K2uEzJGvfcn MlZM9ZE5tGmgFK8Nk+Oo7teN03Dn2JHwXmTXSy5JsOgWbUVRRHyktuT25jnBisE8OLs/rg5bfjGm oGfIEkaWd753tne+FKa89bSmRAuEruxnS0sY4lfxoJqZzWPOkomWVCpsZlQF7sn9GJv2tdb8Kdl3 KLgs9p7VWFfLTzGbef+RVmWxkfRY+d5w3hpf8uSpAWlvR+BGha0ERVWvII6QGu8/0EBfhxmZCwts psh1gVq8KOQguUMasifH6k2KZ2/dPuG2wnDWHGJ+IBUwlHBpfzO7xnz2N7IddbqhuYdxBRJjEhB/ sGj9sfRl67bRc3g8KIffLrKXcFf4ONAwoU2ZWAggegowNhLZedvmTlkMX4F4bnp+Rc2Ft40apONb TTGQ0iypK7S9aRKn40OL5P/ZiFDrT0QV8wzhb3F9GeXK4vKCpFt+QZn4rhuExetG2KzzI0dkWiL2 Mf1segWS+1USBAmcs/hKAxo0XnI7TtBvAlD8lmvo9ablJk7z5nQYWUVmI/FclA3syrSTEVXPWTWz VG+c0zRW+oSn40w2oPjGf2ZixzH3DrCUWagBORu5AIirm755TqEpjBbh+8GG1+ddd74VY8NL9z3Q TNXHpZOIFDyt+S0AKMwsrTwqY29cz9N5lQsts8KbFTRj4Anhi3/UO/lSgRvVaOEkTEVqFuMZgtEf BeJCbi0jlz4xiJGvdtt9eFcQORLk05xPGps8Ub6v3JE+ee8p8PZlOPnxOBElJqWeP2YCLHQf/CzJ GlAy/Ws9Yb4ylD+8h5CF9AZORXxOYQX8B29YolZSbeRpanE3x0X5R10rKEPUB52c7V/pi9e+qr9Y 9e+la8WVQ86c5cEtpvVovg+5YTOk3cyCYBMjfsSO1S47D7L6DowfDAXRaRtQGzKHOSIyVHnXAP20 fxAmQFqtb3UCwMZdyYY0eL7l2GTHSX9Dr3kaX3NWAawzLjYLTfcGrBy2E4ml8KnRN0k2OXU+nuR6 yAoQ4qerZKc+fE6r8U7KHnVJ91k5HGgI5y00qlMGgDEdUqjrspJltlpx4slj9vHaEFZwb85hbpno ABvPQw2H+0MbmLtETZaG5xvjXcJs1mH6vAY8KxlF7ZQCl8/ksFFqzm/sg5Jvg+8GqoXuPqAD8s60 06kOnQpfmYyElvUq9KH3woSn2EVdTvcUaXu0PR4p1DO9VS2/q0aEQT2uKCKnYs5UYwTk6a08LJP1 czX7c09l7L8obu7kkbo325LPiW/+nvujBiGrfOirm0PMEMdeDxDzy7X/+K09SRKdq0mt9G9Ji8/B 9OvlthSVKIVDS8Ldko8zSV06MnvIIKKEbgnsz8mcRwCsL5j49COghROaHE/7qRDVhe2eX6oll5Wl gwZtZUgyQqzYXCPBMGsJyhv0rOJNovqAvMVeHCEUkRxZn6y5BzqQorJqXMZoWmXBhDQhvl4QdZ2m WOmgSz9N6pOtMWoE6VJyHxBRK65ieHOPK4X+NBMYxIGhf/DMfAx6BxnS1FBJULtc5oDn2rcsZs/T tJEV98xsg6w5fU9Yl/EIdXMD8wDCeL09ilg4wPayscVfzMy+xF0GZCBYxG11F/MA1CBlRX0r9qBF MGRVpzWJEMM9qI8CSihBt7XTs5qJIZ2NuG7/1xR9vkKrCNZ/tOr34E4bNhI1sn9XIrG/L0TP8tl9 gVZT4SMcjQKkUqVmFjvGrp7oWPz9UIE0rktNh7VJ63Fi09q4imwCgloKPM+BBIveW3Ne9heNBUt4 +j//blHX9+jVLDvu6l+gNxcFFiOIoNjiCAb3NqNsuc3O54Ewg87t0pKhxyA5uT8EInUimKxzvxEz GHzDqTjH6d9v9efL7MQjwNdEaRM0dJVYirfb4t7qMHcXFGnI4+FmxU0B8lbLIO2WnYJfIIrarCRJ g7KA4930xS2Z/fUGwN+qeuMHzZca6kWnJulT8/npmqBWXNauyw7BLFb2NbZbJJgAH8sOy9eUx7Xq eJ3xPwx8r9BMWcyP35EOd9S5+GbdR4UWW5+uemjEbiWuF4q+c+H3ZewWTqW4M990Pe9o9LSABBsu cQo8bo1aW1MBXD2C28kf9Kfmelj6bZ1ZZ1i3TzgLI2mC6QzJbwdTYuMZ5Yt0v7u/uwGkOJgCeum6 b2dghiFaK0Zn8q618+cA4mQC11BuFA/SwFlQj78G5tZEPnDtuq0ZcbIbr1YpSD6baDZ+zvGc1781 pkeoYwD6iCqVFChsqsrrnR71sUVKPRF1XMGGkSLTQmndlggREsoUyiSVskny6f95HJTUzkNnMWdg wH6TdQhRHK6lCEWxGDMSAh/ZH4Z7PMy5y73LX6Pfj/AZ/XqIzrIDQrhWbuuRFtQPzVPFp3jyCYEE A+D2vpXwGltIt9+qkw1areGuKznyLoV81ux9CE3lHBVhkUFqIqi4eQKpY+51BscP+vZ1EkvZMS+a Z0/cnL3C//3wkDdwkCKnjeexP0m4q9N3sZzxi5lNit66bzOLk+0LROfWeKJjpi9VgxHxgnG+QrLR jkr0znvTWrqb5qZpHVrX+glJhZe0/wEaELpqGRTNA5erPV7c30WEhn+W+QAG0d5FBFPqbuLkRzaU aVDL2yjsoYzSSCiMeMW2wH+pI0j9WhNijwNZY6es5WDUiAL3pGap9gf+o3fr/GEN3p+caTYkEwe0 XnamxYJPo1zYJCEqpiUID8RfiyXDBMs3BZoVyArtagnQ3EZNQKBH8/S4y7BTV+361uUQLI3ha2lb Si/E6zyrNrvYvOUKheIgVxBQ+FYZ3DJPvKqhtma8bTZvRNnRRBs6aWxD8e2jZHuJtaDeWQrYyGtc u3BDc5yf4LsoeIpcKH4nlnKyyh9O7NmLpSkRetA2cBGavR2dSg7Iy7panWCLzeYmOYu3sQe02i4c BqMWCuc0ijK3PdlGo9VAaOxlkjQ8BZCSgq/krGtoVv5GwheN9sWXjACWnvtfUkSQ7gkfRQGcncto 5b9HYYPkZJQV/fH7j7QawMp5A0C/fq4L4KjRFU71YPislWGarQnWK2tzcMqvlVxCBEqeXpsEnVLb Q2V7uvAoX/XdZJ08u1ueOu237wOc8FmQPcK249z7YgLmoJAeg42PAqIlDX3vkkXfDwgM8dhkYpjc pRNdwmu3JgWITP7pGk2aBrd4al+Vq+lTarbtGwAR22N/gL6ZiRYD/Xe6xHV4C3iPDuwr35OcXC5i lRgfhqq5aNldzMR9rA+NEyRMvdElQ/pjTTbYMGYw4jJ7Z6V+o/ui16wB7pkGlxUpgHgGk3YCpfNz MhN/XRAG7zpvTvkq5zd5dSBSxv38MsEH6Kt7S6ukemGwZZ0BfqF0TJmXB+m2YdCkHXo9h0ogo7bb SBWp3RrAuFZKJbOTJ2xCsPfmgaI67fXVpjJMjMOIAh390qucR6szC72tFSnCqLIjTXprLWtDy/0A 5qsxA+gx2A83O+EA8PgVH1xcTSbvYb8+uM1GNmUOteXcP9YxceEZPXTkfHTiKSbdyINlOKBGkMAC R6t4lT1zhdnRoCJClzV+ZCmSKokFeqaUdDnxZlsjdNM8PZ6cBuzeHI8Vp+y4fkHBpjX5EvpU028O eHDocZ5xD6Lk8LYV7acL9Z8+665vOGWFvIen6PBIooP4CbuCNgpTdDw9YNUfkUhx6xIkzipQDD1L LaKgwasdO96YyjfdjZ5oWOUJIADDkGn12dOoRge9qRxcsmYtlh8KunYmix3IbZpqKa6TR6kNlBuF vLaPKLQ2NvQC4ghJlz+KrNdhFhvCYE+4YeUU9kK7yVYJ4A5oTcgEvRQmmhxDi/USoDmcYjFO9F8g FXIx5mQ0zDFN96t3FCU17JPo91Vwcc1vtbclctT58VDrJvWdv3NK/qutfZotJEqbWE7AsQiy4GV/ EgHtz2QURw8EtLEa4et2s98UhU+C+ycGokUrimTOPnV7VzlksIbYdbqYkYpX6JZtdpTPypvCzSMf Hyn4fOS86Mt7yfME18hhFB3Khs6srj5wy+cR4ob6t32Ek5irizOIZs7auKkR5WKHBrC55VtYs+D2 TfA7R7284atsH7QPVBs1JCLcMlIRWlL2L0Z2904WqbBz7/VEDermSAwHOUWFmgKH3lrc4JGcCLqb NS/D8yiD+UpP5fpB3MyeKXtAUHM3ZH5MuzxK481choxLhX1urK/6sF7BzR9zvYYT+fQoF/VBzzIH orGEhajKQDiHT2BwZLZIE2ltJqmy+7ecl9p8HcS74X//5T0rcGmYwvAfkt2l6ZcQ9XIwzzRjLos2 Y1omyH/8QmhOcYdL2tfJRHSE6Niv4Ymj1Groxt817VLitiGlbvi1t8GdVTO14R5xEpRskUQOH+Zt K5FqBUiXtsqZU63PxQnub26YSW0cYZFLLfJpAprZw8EK9A8gc5gxB2iR0XfS16utXvMuIjYTQKpn wCw04t6W6DD3uLQAhQQ0HJotKFfHFja0YYVQ8g4y9xY8aLFNidd8sk8lF5VWrFh8pHA4Y4OKRVrY to/V8XYaZvyr414Wu5LiiZSzh9Qc4wxKe7kNv7h5JOVoW2q2T4xyddod5XeHjSy2W8iay5rSRPh8 L8zAec+bR/b8IW49CbEAN1AnZfiyiBu/S3Yme7n9N8L3ssENnvckXt/EWREaoSg1Jt/LhtduZa3B djx+1HfuXck8EdTmNA6+f+ynksYvJthKE2/kFzWs8crhzZmn4XIcorASC0T5LfXGlXlhqFFI0l7v zXx+bbVHA/TTREVebTzDmsFjA81Sg6I786JfPTPmm9YAnJsxC9jWnnY9dupxHqiDnlk3SSCz7U9R uHW8MN/3XvULZkZ/QFxysYbHUWIOOloJ/HAcpnSAsYTFWu7KMEcdMICyzHEUG4egnIo0qFZZ1pEl D3GeRqV5fegTyARnbeubLaK0eXMR0MConKQwTL1k1AWs/nmiRH6PSqS2+Q7IlbyHpeTha92hGTIf t0enazXB2Dl8MYfx+GU6Ylo7XA9HfwW6xxmBnNYrXPqow5dCXoq6V6tpBOCUvOAvYHhZnEBFjXez YfVDx7CaSVozQhclhoXOMFXDYZFP3+6yu35fBA952Eh2l2Hegon2vqFq2PbKzZlRjbbOnUs1ZFdN MXOkhyJeVBULTAGmP/5mh31N/tmkvP9JoH9ioq9epjlhDKy+e/ZRx4CUoSX5LEuS+8uJ/V9CPi1Y wx+vbufu4bjX/TLnxfgH3GI28GgTdjgMo6nxngORNMRiaykAIuj1yL81v6Psez+tHZxZWrJiDL4b S9zcdQcb/2jGum6o1KY+PyBr38nJdqZnningx8fJvZKnuR4hum5SADDAApPWDX/glRkOA8GPaLzS 9TiU71gSLBW7vLAucm9mZeXsirPX7TsMouaHTXEPzm42S52gJAPdBtUmDGI8QVTLr/54zChVkUWP mI9bV+HxbR5u1rvFZv6NdU4kcy3W3KL5tWziPF2ac3OnHbFKBNFA3uDAG85jFYUABIvlOJV4B6i4 8AQJWhqo9xD3QPaqP7U/dNg/ZVfbh7pvcg4nUfsaw5Lu/yvomrwQvd7ldko+7mk8CwGdW6/uKP9r nFa7CtXSR61ErC2zlFKGbh1zRax5EQEUXJzHyUGwxTmldl227W3a2uDvc+vaUr8KRGgiSpeF0tr8 1vMypTzBFFjAzFrQi5EnYtg7vZns7lcueIEzr1lJ1kbxETYHduaDP6YeLmeZS+TBznCEz8vpPNAC yBwshIk/DyLlRUeE3/lS1nYOBqP9kwag0BH9i+G8WFdj3BkP62kIriu2lq/qG82ZDURqMvDyXcG6 StzLWX0aWmGTvVGdmaJA0IuJOnYeEBZx9iuMzTtZ2QJOtN7OfRwM6icURyDR39V7Qs7yJROPj5xW qgH+bElsO6buVcH3M1LsNSJD6hLlEOzxD7z9ffcpa/VGIh0NMqW2QAAyCZ99H6GG3vxHlZoZdNXE 12pEkjj9NVGAoc6c84vHgVbZ7Qj9aUk73924B0jjrkwnUr/SfVQmSIs2f/GlU28QgFcyAfV0WDQY HqOKu7lN+4KrCrY87sRjcYQBeeXOFoZymggLi7IgWDbnLitiVYbNTllLFzmbwpzv7Nn2Flov2K7Q Y13pqWZxXlbi8p0g1a/QowW8QLlWmLHCczsbJ72Tb+ieaKzycAFx03FWAkxRv/QM4YRRAEGbBhdu ArPyd4nRR310TK6BN/937bt9kk5dZnsEIV8U4YvUQigQRrPNzmmIipxD7V/hvp4CWsmIzgX5r19C y8dd+ladK9YVBp70Z/h9aQ/goF9DXVTmTZe/wabwc3KzzEswX9cL2JwPVCcOIiRb4PvDjYbWcbot KTgBMTQeAwMvR18sjQgtCwR5ETY7hByg9+tJrE/JhNxsFCIncgjcLA9L54f9O59+Q7DI+h1umi6n E4ZkO415yWeb4Qe8Gt8TM547NwcjJzfFzYIcI4OGADvCQ1uyK9X7CDaBdIEGY1BDKuBFmEO1E6gj VA31fvIq49pN5UV9KgvRabcalBMwqvMdOzWn9xysMUQltJunnmOuXlSW2ofmNdntxBOmzWIPoaD6 aQEUJq8Kw7v54mkKNgu1ISgIxu7dQUFZRJ9U7NE7SigOb4uK9aCYcCeBsdjsK9cKuJ1NUaaFho4W 9GfQk6culDqsuwLiG776LJlehjKcXLRgv2Iuwock1bG6cEGB38FbmzytrlpibtXh8ZkahQ91NzoX KRiFA5otb+7DtmbGOmj9HvekmbnO2hPAux7rbivXCC3Rjjmtt/Oj9sU0/ESBDkVUpi2IBZAo2q8l CfTOgpj5kPVOBzhueOiroZAUKqaxS9XMP7bGusIDzFdoiVlSBzm/x7W21u7KyQEST3JPY8k+qfMS 1MEfAajy6Sv9J/ET1bnN9fnsNUkHK1+4uHnbpzBv7cqDwubrCpxa2lzoBFaYcqB2811/7mc/XLpZ Il25sDdKQaMBWc8HlDo0n3yYyyqo/ThhyjwKHgUj1iqis3XvRkBPMGVtUn4JrQZfTVTpaCNXLLat 5ExM0Rtb7/imvNsgQfcMz4VMBrAMmi0jYkJ1jo+2F5ZieutHF9leBV1jUptbW0ZkJaURrjWfbSzN E+XUU/elcWEC/Ulx6sbcbFS0VXE9cIm40BAyH6qkKw30EfTXAyW0GMukrEBwnYwFxkihVc9s7/Uf J4IL5ryGhFf8NtE48RBxs3DOYCAE1I7y0q26GH9ZehcCoknsNuu/kbkVE61/5AGxTcAwrEwKiYco m1PXbmiSFhRw5sG/Kgc2XQvOUsA/ZnZNWL2xZ/Tzr6pi+hh//+QCvgq8q5lXpi1W2WTZTV94GkNc 7InjyhNxllw/WC5PFXi1IZvcvOFGJjokfl6uqOqQY542wlT0/bbcnmBBtAzfPW9s2QKARny2tSk3 Uw+zkD+7ro7oTYoeeRymApGKziJ4NCQYgm9CZ8JaZr1k428hoTlcvsuUfcayP2GxVe7kVeB5CruL 2V+JreoUuUaNrriDg8HbUjkpiA+9+OXVomHrcizXdUflXhqCtRcVGL9pmVLBlL28Zhjnia1Z51tk 1P44hlkLpGJ/bQoTIs58k5FyX36nWQ1xSqiNpTjvV+IwWBIP8RCy1TDAoSwFQx1ZmWvPgTGSwlT6 hjnrStCXTPZBBH58AuubwKeV9uq/fjIzVXz6xrx1xBPjYcnZO9CBjE8d++6JWMpEyxYLwxSsY6Xk 1k4xqQFnsGg4qOS6CBX1T3y5iPgybZVzQPw8Ip2u/1dJxvMrbLt7ixCq2kWgOS9Kupx8S83YjHwl 7MK61+lO6uEJUY1Ef+m+jjL7+87bdqPBARdfi+ht4EZcLsPX80u5M1/Zsq1LIYQRDCmL6C+23itY 107Z+auleDomEgPYIZKC0zDG1sh+EzgR82DLD1CDtRibM/NJSOSWoMDweauGOFNoNovO8lAUucRB MKkdT4wREbrsDyFq6PKBsYdeeXqBFWhC/gILJWOfNMgc0r1l1v65AOllZkT8w5Cakg4k19AjBStK lLjygFyhJenY5b9TxGIBBKHy2V1GSJCKnNSsmoFb+SWyj+AwQC2rjczEyb4P/T8y/cGOvRx+O7jX yvhV085/fjvA4ogvy+RrVPA2VKzDluAtn1xBjaiZ3VPkvH8Sx9iknlp0EqJK3kezjPL63nstiunE 3SgB8oIPzMvcWGxrL99d2F/eu/lOK0Rc4FV5IAqdntSXvIOu6rO8Vj0CyuL4/oBUJOgRgpaMReKo LeFN+wItWZaGNPCqr/MY8/q/QIuuz2eoxEYKkfS3237AQf+5WV3+/Jlc0pXCCBCpJD5f1+N1f9TS RoUWJHsR/5S9BhBWTzD67jPsUKvzb59MwyhMPubKIWKR9Dhvp1ay4rj63qNmvoFr61UTs7Ywh1Fj hz2JL7hqHqNBQjd9a/8wriGDkBSasR/ah17rDIOvLhiiJyzosoyp7dzgoXlQ4s4OymcZqok2as+r e1rntaXf+ZREwA2c3d612+KqzjYjylHkZvzEpbcLdBFhKhRPkNWQ3Sql6lIFIWguMGP/4Q+K94zW WI9GekMpDtBkNni4aMv2CYr2f2iyGa88WPTKdQvdlzQ9VP3At6nu3sTJeCboVLzVhcLX0huPq823 gDHTWOYt/361rsL2VjDW3YjRoo2ilc1sM9fCKryS3NSvgklh6xiGd+eJq0rNtrUjMxDBVMurqZnU IuZtqfns3jq/fTjOcmihev7H0IaClcbSp/45CuvvnEJ+x0Ub2qVByaFOLol9HF2CPDJXLOHHc6Cw 8605ozsV6TtydhWLu8Y3ywr1jVIMHHUYSgingMnfvCR3zSJPWwUOFs1ZNljJ5S/yGiGoFku3mWgp KyyU0KyBOCPSfyjHTbqVVulL04MUnprRch7s8YRzw6S/vtMyGHn6ZP67T8l0vb3Q54LaPfzifkN3 /LPMnysSMGFu+SBQek0JQ8Mfq3Ynfz1xSt0a8tLKvj07syYMiqlJB03h6aRR23an9LH4gnuTiRTT Vl0/cZCM9TQ0zAm7LQPaL2gR8Mynlv5udzidptzq5wufFh1m2JHFXsABfvojRq7HrRhrbZlAb+uZ JtBIGsrsRGsbbtastRKuMT6eLYngRcwC3yAX/F1iM9mW+OmJW4D0iGaO+OXTVFtQzBZG7DCBxsVy 4zvOUexU29y2UgFlE3rxaDhv0GGrk9Rn99dz0HSTsWjkZ7/jHNn/jQ5b1TNpGvAV9S8Y2/x0sfPC QDKkCIh0XaAvLoQIajFp3ZfnMliyXW5HdLzzWfk7KDk/yXEQyuIKgOMaKWqN89KgvTKw89douBb0 5dvkX6hqBjs7flsonVg9nu573XdmmrlQkkGy4AjEN4YzQ2pXn7U7AtCwlW7ZxBHvHqeD/wiB5Kl5 uvTOSyWwYrNmAC31KSszEGZGr0mLbk8GuyBY0Q2b3uHtUZa3+xrY3u+hg2feygrxRMa9mKfeMgob gpBdAo9sEfOKb2GLeVwSWJ5/Ttrv+901yk2EGtnIsfT+n1shz1RqrfCRpukhc+JHKBXmlXlXzPzH wz+9BpEgE+xR06e4/Aqc7lpIOuy8H/INkMUsoZfTPBfDLn0M4HG5EG0vNpsOx+yfxVijX9PhAkKw AIRDLqFKJt4CaMj3/hG6ODGmsjcDqWlR0rqZ7i3L2m8h0AsmoFCAjUQhdtHYjjs8rHE0Iqg01S3K NiUgbDXfKHZGt7FCVMMqjn2c+XRU92HjWlBqMNaKwuK42BzbKVJ8waw9EmlxKC85pDj31VnSw5l9 4/KomaIeH3o6AaDM2zr5ktJAKULF3JHd88S6pbjBE7LOENBQXZqlqTnlTXy1OxO6MGyWqmNTxdog 3ZnuG8shPSc83IxkhsTeIPEG3J7UXUsjkP53fcC2bg+mVADi08XVigdJ4VLiPDvq2/qN3AIJd7fv dUPVfR3hI3diEPonhl81v4Ty+WwIeEhR/Y5qj0yg7ecU0kKDoUQbPG+nJMhnlg2dmbSFSK9+gqKi eFI0dZwD9ZBi4wZ6aqNXOIYBAt4uqbw6ynNPl56jzfLQUNa/0KDQe12LgCy0QAh2kAsH4GMltnTn 1dk1KLsf4t6tA5icYYaol43r8w08z7oyvOreT4kviRFb+G8zSqx1xkRLvPhkZUCm7CAq2+HGVOES KXLXU9yXF4OW/V+FNwii3ApiaKtZhBQkwu5d2Wm3QUA/tEuDc00XD6vGdr7T7+rvh1Rfh6Z4zFMe z1jp9os/SYAJwvJAWYDOAXjth1J0ssIJJqxh6uKFeS4hHPoXZ4LvAXcxiTiSoJvJjOSCe2kDPkxm ORSRMSNFNcUV6yceNAHlYASgw05lyY90QBWu0m3dOCe8kI+YaUT0dg4lWiaBEfegOJIcX9ADURDd gikvgC7d+aDkBZrb1uDiTMfMv6RQCaCiGa7U4NN80e8w8T+zw8OoLlbCtTLLzdh7lJSYaCBBdh92 UQPdFhYa9F6rTfIcDTefd8x5nDzudrhsV/m9Mfql1PFF4p/7EYE1LbOT2h7byUrACNSBK5zFZ0is TZmBxMO6hQYTL+OrI0TO2iNHVgowDsfEf3COot2e3BSefx5rKlPce/KXUfqqY9VL5iKi940g7jFY dcQRMbOTYJ6U2uL8Vc3Vfd2VDz7fSKBYqYyT8R6zSD3KgRYCEPY+RZX1wHP5dl5sXZeqwKTyyEtq knVuSZ2zqNw/9Ejmgj0X1ffkdlz07H0vBC7GJlGuAyUbeQC6e3GmTb0v28FMimEt5G0AO9PMbFdA OGWAzEpps2LYgSjcDKrqGV48oXEmmqGaxfLJNaCinOMaOidVJhU1N6tiA+dV8oUe+lw87Cwm8l4/ m4DZrG/v9JJ6u6np+C7JPntNDpn12KTdqSuXVdpZCUlINwFZYg5yfQaO2bBJY0LZmSpsB/xiDwg7 b1Id0TD/VTSBNVwLnaLDvs6WcStxyVNw04fAtJSywIDKL8bLsSpeS4fAIFF+b+iK5jzggp8C49Qf CBIaKbwdCGDX/QK8k0L4o3MBY/p0xN/IBSPReslWH71yZfmrzT+lhZlYWtk5iHnY5Z4bNx2LObTK NRG8vwYYxva7VxQxdrG5YFnApxsslloO2cjkP6A3KmbAk5LGYcHYJYSaYRE3JKzN5U8HT0+qyDoY 1ABkZZMEj8xnbIkGGaBvMj86YVfhqQ9zhEWBS919jI4q+SdfQyV905revwFHIzOAT7f3UQYxAoo0 LAnKgUdhpg7l+yIkrQ+YJcaod9qKaCq70XGLGP07uH5xtm6hdExwUBdyRa/NmJAb4/QzyzXavw+5 LWVTeSLZqljDkKW8OtcxRvYgPREAbD1j7jy/Laoo8/wfTNvGDFi+Ep6dTbt9Qa4rVoz4yODQZ1NT cLtdUZhlALOEUmXP9di40Xue7kNf8fgitu0ir1B6cG6hSwJZVz+7xwLXk9rcDNKbDm5twQAH8dG8 9q9GCWewLhxWkjoHXsvm/yjdnMdnrpFIGQNYksN/oP93vQkgFqmJWf3/1WEs50hbZwAzC19LJNTd gTWDkha5xPN2EOJ+n6oMmxWw1++vtNaZEBuyR2k9S8Ww2fcWC9Vpdl8jzwHu/iD3ssshNAhNAz/B iYFXvd7WeEGcNtTnef+YqAy1soJ+q8DHKLvYQhajQ1EaZpev4v9JEnww/iRiGrMomEluMTna7Vbp tk0A/+r+8Pv49+IMzkAUKDBYpWwzqiHcl2jboUD6lowd9AsJ88S9WY8zNfEKwc+DxERqXfj1TQ68 qoDQN3g8k1jvlk45YKRXU/nusOGGv/f1/lh7rXs3SdROAg/oDuEcOgsrAiDM5c5/q6Nij10uAvOL PuhM+ShqAOS27R4nQcF91VoSSD7Gg4+nDMdKcVbYMM3D2I5RXF0Ky6TmC+K32MRL0IVvVVZ1kQ9A 61RLc1jTIYi7igdGwDVZzbAoNmYvSPJwn9LWCPT1DFv07Hhv7MSMiUbxE+mDyLMnCdFaO3GzaIGV 2mTpd/rWIG1pRZ0OTKbQNHMYxIHqa4pb8Ggmi95hMdZK6mhYYDPkiU5X4dRsgHHJEsCSI3OLsUBD qRJvHAvEUse/rBntxTza8ZtLjVnrl71L/JX9fQfeENWyl+vu9oHIsD4HED+EfBmYmYIpNd3S2mle OcVIvDwIWyeD2/VivuhCyfHaQ8FCb1B6I2IAlCbKCL+RL4IPPy9drI2dzaB7SrZrTnOU79lQfNXC oekn9cXn2hR19zOvG7szUdlYMp6/3whN0QCJPCTM2oFcaaH+AqcVbs1DTnx1WPOzJ5cIXM7Lf7ic rWEA2jJIa7m0HRCaRpC4KEB+GYd5vzMkyQxVFS/oHcTRAX/qdqzQAI5fxYXF5r4LxPgF4gcf3ooN OosYxPAmVbpwQmUmhcTpR/sJElMrD0oZxQmgxhGofZ2R4TLsdgiPOfk35OBtUMw5KFoEK/hbnZnc eeH9/cjCEBYoUj8pPqdYMK/rxwfLdqbNkf0jE0ZE270S8+7egFSBPNPe80VAuARF0WzMzsr64y5D yQmOcKEp+Ytn/CsMAyRZ9GfBK+MMeDpKgEBNdhMUTlH3dPwJfjSk0Hw92SFhdTbURyEYeEJIBf88 Uwv1D6zVzmJwRtyQiVAiFc4Fg+1YzJ+FDscsMrzYzyA3Pxw5oTc2waKOSwLLqf58gSMfULRgkBZm LD0hgDz38pliMq3NDllKx8srTxlwgWDftpyrETDNxiAR5YZLJ1ojwBnZWCqeI7F6KZS1v7gqGwAU vPtdKiLt7R4iC416Q6ICfm0mK3OO74LwI95DwIEZUmwiKoaoREyaYf2s3e3jIuAc+nE2SYfykf8N IGGd9kkMwxMKCLscAlZX0m4rQU2eFDIe8Axp8PLxOQ7pozgPs2zztyMdWL7ZRfHoMbqFM6qiyBx0 MQUXeRR1U0/f0uUhWF50V0+yipq/6/KbpgBePExz60cunPd2Q//ZQqOrC1JdKZ5IFLPA/Wejem5/ eeUrqlBfBke/WdqGn1zdI4yKwGhxN8BUtOEqbKtME+KwvnEkhkVteH48LroNsPDrsgvdYpKnuMq6 w7DOb8VwHg7Gf61bDS9rJNOACWMxXHFtKdoyVCQKy9sBpLxYH9+Zvq5RmGcT2MBFJYoNoNSLGwIp RKO7VaBc46FE80rXpaYfhpr1dlSyMHFZR3az19wOG87gBaUHfYR2qMXEjWEvX45lQZwfxXB2xnkS nz5xwaDVuEJL8SwbIWuenDIjidiQYxoLWqhVuye6xAMCsTK+weUTCDkGVuGw7Wenf6FVtEEtGxAX 2MziiBmUtfcJc85shfwDDiO2FOzyG2uR6YQIrh1mtktml/Oo/RTncmrUofJe+TzjKOtCGLCpqYsx hahAEryv7485mcwcu3fVv4O8eyxt6Pg0uLJJjRs8CII10gR581l7M0KElCXYbuCQM+wOHe3UbUuE JSzOH9EsG8Lx97HGUo6j4nUj9H1+P4zRQi0fPkoaaO4elSlBAhWNgXIg1YS2KB6U50hQg48/Hv3K efnPLEKoP59YTjh35zAc/rE6Kll6Hnaas4dzjUT5uDzT5+azVuXe6bKBqBhI9IJIsEysxzqx/Kv4 8JY3bO6ZImp9ZCBlCo9sF0boXXYSuuUWiyNhIvaP2F5pyX8NNo/WxFSmPITRyfIueRspmzpKl2T0 p+JE2qIiYNhsjn4hWT6p0UUjxQ95oBmR5e5uEcrUHV8Xw1s9obvDD6Sa6cdHULdRRsZV4vAbGFmd qV8zIrkSPxQI6cAykVv6rVFQCQH4JicamwYezM2tcakZtuw5lSF7dEp3svsXC8dRx5ZSlZf9K56s d30xcJCa9z2Wzye38X8RTpWtCGMlFQXwckQEQBKabye+sbA6jnOtTqgpqfVSnPZbE1IY8DtwCvt8 gxObdKr7m4kkOan5OefCN4g57xhw/dweoxEeB/apeay2lXlu+q9KN7FaMC3oPuwxCqxoExch+9oY Fo4tC+Ysms5FBC92psCRFrmRtxv9mTxL/wsZFwzTqPkisByDdy7LfK+XgIriEmBQpuDA84HujPV5 /YBBsKn+eNt4lE59FDrZ/KDrXYVGIz4oufC9IQvQENRJM/JqrTIICB6bjPbqb4jaqx9YqX0OBgCD kuyqeQdUcOmTu/mQjVYt5x2dXk6ZOnFt1XZe0yn+MAkdIIB1kifVI49Aon0SZFaD9d/omLBIHptw Ifovk0msT1WlNIEL3jwrNK4m0sgv2DCB6D/cN3hO7ZxD7EDD66g3hcmBYyJz/ebZHi4uSOYaVmnv E4AP7DYfn5JszRQ6vtQ2Gl0jXn8RWS3nEwVeu+KYWJWw9f6v6zng7JIsqpDfgVVcvUeyB6xnyNm8 pL8qRyS20fMsym1EzrY1m92/faPoa0ZuBwSU7nnv1qfyuXwU9E/u/QTPNtB/+83U6KdL3vE76n7L SjgKlg0YhxGwpGGL38L4puzoARtJ01i+78LXxm62ed2Kj2eB2/9MevZQECliGojrS7S8HfOmL6QU wqAdvPrJxgw7bjqAetVWswBRgRZiwu+OgoiW4w1uHtvMlpZn9UvMZdTO7EsnQ61tFhATnBsYkfuY 1QVDCdvSYXsoOMtnZZfy5LWjGkcCicOtFQ91jOvEZT80C7d/WZzvR7L1FcCchZVI3SnWonfZHe4j G2eIRVOYkeg69LathTzq6ZbOvmXoNc5JO/5l4xhD+0eFhTEvA4rBoUQwAaRZ9Av/G7FOE6ESG9Oh zejab+Pl0hbzKVOU9ld793hX1BIC1DiibJ/H+n4Nk1+MHSJRGYx5nfEp8Npb+aCA0qw6mjvtAOx0 qzvlms+cH47SaglBq+OOl83uLDCkUO7D1lDrb9n3ld9QAUBVl1G51R1gt9OK4irOBb2joRSC8onn UXdpb5BYDfWwb1Wx//KgxyapGONq+b3idmDRNQ1lMJkLi0/7C+qOU5rT1dP+vs/B5toE2XGE7Fwi vPtrwk5nHLBSQ06glszfBvVk3Q1qS+g7+1ZICIy3+qbXOJBalexgEIuawg71Y4tbc09mowN21Gqm 88+BrDvE+TOofuH/ZTnQiBCW8mH7Aur1+La0asjLgsZZ9ktgnxnXX9ldguBzHnjnBsTwNhsOpD+o A6X1Ql0O3s1U+lXCmCU+z6qKUz0VMNPj2l4MrQRFiNGzPr4WdNaT9SWWhAMQOo5Eq+JZZd5RjPCc Hm30p6ptFvnejsuJ5Erfgv5B7rxv9jXVU9TQhFOil9OIuZuK6+bxgvnsn1sUPGIh+EV8KkzYWFBW 8khVYL3Z3aXI+qyFba1QwbxhwLXxPv8i8YuZkCNdo/HvWADQJsQJtlLRdJ27dERQPJDHmhofY0d4 O7D4NkEh7nEAXzvdGVLQTmgf3pPVNb4pFCEcDMk/IyBTJRalM4FkKkHsSNccE4M3O0ISxogHz3bC uLXjAmxBuXdm2ZY2iT+QGxmbso6+JwNiai7K0cr3UUwa7S98+Vefs+BpaF5gbqrCL86VLJ+Y6HcD XrKNTKfiTWLDjV76JYkpSyvJGKLt/6gM6TvXhEwbVShpEmQNZHz0eKqGynCYBMaHIo/6iRb8SX/r jhahxW35IdNUuyDJujZ+LCEn87nM34YDiDBCnFxLX2eWP295hhArEEanmQyOQP3qOyrqJg2gATEt HhsWmSfK4P/Vq2I8SooDMIxIPa5TPHPR03cvilyuzpt0gg5qtSX32o9WjxbteDotaR7h44/t8kQr tQ4PMLfwUhlRlfc3o8u++9lMYCsSH63X18xRNu4NyDy78owFoMH9Nv72sip2smry2Ter9HAXB5rz qBYKfRN526YF10GAlceCLYOKSvbx968jrFNTn98K4gnohHBQ5dyk3+1hhVdiY+CJura8BKWd2d3M WSpbrIpe21EkPqTnfl70eW8jBsUDynPxaZ2NrnJk42sKmpeyDSTRkLAFWwhUvxn2bu7xIxNCfpUP boSj+yVqom7WmX6ChZFE46ZrqGx2slfBfFxL8MONtxIIF7OG6zAHLcZsTndkRHJjlQC1EFUVjLSE wFyX/nWxs2z1/aWA3Fvj7XoXjVEDtZXLHD4YVVFQrrOWfw+eO+kRCX6hdSPWn/+7er7qwNPWR3Ct Sw+F0t8RxkoaS+arqNIR0LbZUXtax19uMCn+lXcRBlp7iC/zgGFD8IfLtD/8YkKhZtWMxOZofrsK FO7cz8iwJyu7kNKTtG0Gi7PlyXaEN37+aGRz1hs+c88Ny79+erZ0BsD58alIpg6qlRkaqMRQh6Z9 /bdVBn0XGR364EXo853CPy78OGU2iEJvBF8VdEO+LzQeleNdVkRuavj1sEyjqGMT7I15QI/B1O2O OGyedqYyHmdd3jzb0WNAqxOhWEZ3Z+HtI6aBhAc0pHwY+9fDj5I6xkao2Y8jysbBZgJqZgSlpF7G v8Ry53qxqYGU2IjB51+Y31bdsHttWLZuNSBGDJuGfhC/ZudkYxFS+2xc5BF1y4p+jIS7f/AHwBsW MRoJynJSRce/4MQIR54sg3YLJlkTs7gYE7dXmAE1JsWNfLJ9X+6KDs1vq1FXL10cB80Dbe5A7j4B cYzfFzr8rZTt50kIhoVPZhcScDW+zuYoS5mXY/XQHY5FNckNHHR5z5Xz7qGSS6H2QKbdCrQbb8BQ w9xf0sZXm1yjvA5lu/uMMkJLt1dS9VuQ2n+Nj7KXTYQK9dUKj6Rl6HAZByTKtKORiddessCZKCK2 b0Mp2LKJATstBJFm33H/3FfF59qCWnn02lhyy7GbC4BLDqEZMynvfjVFG7cFzBio3m9VGprQdqZk mQ1uinK2DRBpVTpgIwh3Mua1Nhu+zwrIAlqhXV11JPxMRti37/cgh2kKbLldJlchctN8YDay6ZKO QAp9JEjDnOLDL8VWzjreKIqulJMGMXC1rB++GcINwvpX97xHCxZ5LXW9Ix3+UokkricpUkaZ+/W1 beS4tZpzIDasyUrOe97LOx4rLsWCIBWti7tbC+k/m6OljiyndzB5iXOjTGb7R0jX341CRhKhOKCT +Sf8Gxo/gbQmTXbP0xCjZgmB9+CGY17+jxOrw3B9vGQ3ginkdOAcAkA0/OYPc7eH4i5XNbQFpbJf LiohtlUAhhNXpzR3uG5yuxAgQn7YztS+2AcjcQT0QaVNd0X7IFKhWOL6yT81Trf0DASYV0kN4X7M YznZli604MDmsvLbR7eoxt+uUW0zir+YOQQw1eG9Uug454cu210b3MZC7eByHQECze2UHly/RxNy d109D1FCmYwY8HCBpJEudZg4k4EPbKiZZ/d/dybqDnmRUVJB901DuJ9ibSB74o66SgT16XFgL5jw tH13Kx2GiIw4PlkPuTsjUwsaMohHtiJfoNgrAb7U50C9ajl6jYx7arG0d6/OwggL5bBhUXAkDyam oCRifCwWVmw0LXoU4FhlMkKZsWxkVVNy/xNC6yV3zCO+HcE4npNJIQ8OGfAB4DS+5kmtuseWck/x IYt3jXyl/0XO265FWjvqoeg77FCXA1I6OjakIMwANDBAEG9h5eF2lLpzgqfgK2ZCXZawHXBFsmq5 Q+ndtsTEyB5PsXotBcegxPCrtN42d2P3NAwS9+1kET7IFl9mxNumErJnSTi/FYB8VbWbrbOPAKVk 5rgHNtYlLKEJcg4vH2eobi17F2winDRpNltNJOfKIkk2vUQDxelD0OdXxwNntk1SsBo0hzDrYhTL F00K9dW4ynLf8uqkhPLyFJ1Q+O1Zuai3Oda6PszMthksLvmrAf1CDtfBn2kDGooBt+KIx9+qJrS7 fMHzTCAFGKRnPUlK3KHMav7IBG4Mci+vyepj+dIutwznVPKgGzRW1KcXzkjcUNvCgC//zu5KcEKy Fub6trz2zISdoJ3/f1Tar+nXEzADnF9V8aVfRVeWf7MkUK2li3hUFrdcE96AOrcgLfLPZf26Kl43 WxQJpV+5k/U8YZdRLM05mrE9+1/VPSXUP2oyoy8BfiNmFKhc+J+fy4InK2/zn0LHzPrEbV1z5RkZ bFVhmkpjsYu1HqGZ/cpM6sqnxZquLCQRrGuD30nVS2jlfrCXMOtV7a++5HIZx0L/2kFrfO0tvPh+ gFRMjoBxf88KKt0I48hn0+/wZno7WAg4aWcvRM1r0ri2JqG/zR5cvJjd5/4n3W1zeZeNo1ZQwDTb Tv5zza6TTQ3I8aVvwDI87a5obq1+wmFRmrQaC9aEDVmqE0h3SO8mHGQGBlbO8/mlMIIz9mT5F0VV DhXbffYE4+iIulLsT0brUn0B7II8i/Y6AiWmwLtKXJsh02iVdUPiBPTE/fDIDEL3g9R2+X8Rlfzu B+c3K+TNRkxUMlbS/KiKUuCcXpJJ7JYygn01qyXuJPOKLBai2RewS+Et0Z0Nqct97i1YAbrm1pcD eFu7bgPupdiGq6ypW4eHgz15CtWRe9OLmNCy1ZUM404U0YT8/jDo63Gie40uF7MNrqzi3xjq4XVD VpC2Hr7lcuuU481SSRdRmX2VAvav5QbQ06O9fbnLWd4DWQUDxV/9CqNUx49GVvvv09711cICvpaa SOVhWTgeJsPAPX8m8CC4M6zAPlFEA4DTzUGIOoaY+H5g/q9Igosefqezq2zXHBrQw0Yt2n85Lq5L YOmDdaltVH/vGc0R4jtfwaZHfMicZARVS/z9sLcX6VSZT9Ttwm+HmmjBJf6boIjrgfTbcATCoOny QEgVdazs1zKYNZG6+9q35E0OcsF/mO0MQgb7YvkLwdExL5oEjDXpoMpJ+hm6ORh6xeHkq1epZwMU UPHie6VZNVx+zbZyHzjuu4siOuXm/ooI2PFcG0aPfX4yXNbqbrpgu1X8+7hG5RfISz+rJxk/iFod Mo+kgfGxrzwlSr8SvIOdKg5yb5giZtJAhPWMc96sEouz+kIo7q2DgDeUorHG5ix9Q2jK4DwuV/HQ VViC0xTcDigqbVsl+ZBG3S962XXGmxjODhmqwvKIRSSp2mwUSm8yV0kYve5zh3MWYd1H/XZ46t68 Fh9LlCk1c3lLjGKgbUxiqdnZ9ZwwYhDEgUCSOP8TUuLtn7JWT0TjvCrAI4yXhXGFvctpSx84l3FV 524i/e/3KnOKQa3sz9RT2XzoI01o3IgiByXzeL4tsCk0uJjVt3xuDYPtpZl16oVMhp8N9PT2bmdj Hc6H3ijG+pF9XKcCBpGoYndrH4g33QbubG7DCM7lWfPVPdHwMhYC0cyquXCqPztPdFcV5ELXt9Et z+o+A/RIj0JDmo7D8hpGnbhQ09I3lxGjHVqWy3xBVvG/KAQhd78m6K36Ad5NtCqKTiXkyTmlFm4g z4USmxf5dZGd5EvoEY+9oBh8PKMcGipqfjqIRXkiGPcw8Zj+QW6kK1svLLFeVUHvAI+9cPr7AKn3 E5Ef6uSCA76TtKH56KpZWwacTBoRkIIDyBM4OyXW0jTkHwiTKDJYpRK4jm5wmpdTrGlK5HfOHFEz aq0sy54zs5b5iEIJ1MtZGnPTa3Wa+BTco8RvLJEQrc6w99QefmtWCtL8PFEkIPysy3TJas4AR/j7 J76TqD7g1Ujqpi80gHHmM3hC6L0ElwJhvmAwrkCQCxcBCkK3qLfTgMp92WZwgI7OIAveipUHbR9Z iaQ8TjU1lOS5Eu6eWmbl4DHocLj76j6tmODCNfhVo6ra7B/8o3G4d1u88qdo5dAMFW9tUpgBchX/ b5Uq4Irbjxo/GGJPyHsBngfUwYvp1PU06mKPgS5DqzX3liguIZf1CULX4uJHYoW/vlRlSVNQT9VM 7gH6rPr0EXDH0ZEjEb+WvcHxchKU3XMM6/yKU1GPgxT4XH//MaQ4lEkA3UEVRIvK4u8D8HDB7bWx /B5gY4RXYsvZ9A1rgd6mkEHJlVOcEpRXVw6lqFO4cPHTngcMYUiw6Wa+MM8PlebAYmGb+NL4nakz LDnNLqHr/C3e5hdFOnLRH4ab3e6X6gRZuf/akpmCWJk54d4f9VK79Cip4EJW5FjX83VnGxxDOODk FYPkcaavbUegbXWlTKKKeDsu2S4qWEIWRhfn1b78t7vfgLEx26iu2Zlw9L5SuM5G2mIdXR+VduqO 4WG8EZ47C4TizUWyBW3nAc8/EYFqUOFhQeddeJ39bPo1SdUt/KFPsmfTg/xky39Fuc8fFKi/9/4j 0obZqn5SnHPfxlPFaIBp6q3qRVXxw5jmf/Fsc8atFdBJ8Bkst+3Sj8uikk+dQyOIXnTSxxVj4Mih 8ngpmPd0mNLXl6Ys2bQUzvBjL5Kyd8E+3Utrchd50bVlpAEAtLN9gblZeEgEWdkzjthIBi736IeR qMZLsGH+PVjvAatW/sCbF1iwr0REtyDCdqxDiumLIlRsJ45HQKT2XZ9vLChbl1CIhjUvTUlYChRl SQgcDsEMjxk8EY17XrUQwbC5r6bwJuh/HhVD0NVK6T2OD3MqNdA+KJG2HDA4Klwt8GBvyeYPrOlq ry7A/35doiuZl2UriHYj5+Zd5ceydqqGHhVk95KGy6kiEqaxaTw/c4WNj9xv6I7sGpX92P5/hx9y Y9pEaOCcHlhjI9BqoqqaJ4GK1tq0NCYZfMQXUR934P6iAIt/zQzC0U9N1enKScsGR1wTMc40O6xd dKPW2/ciDT8f6tTSvmo4UH2Ah+PJ3E83b7zX0UHLH22T8xb5wVAhOfxnfYYQWrNRzIjKB5MkQZFu 8zDfl/w3ZwGDDo3cJM441unWXG0dyh63mYeBsWJkMGMs5iBgwuNq3KdCsl6OPmdt4WqfWVtv2A1K SsHpSk7ZFsXBEweMelQ06+HgQjgO09pI4jVNusBJvxMu6oKR5ckTlshbv37RtYm/verLGElppcr6 ydNnNaN8+SpDJJ22tgn6C7xlxeqwgpBAG23LzO1EwQqEz8oynSbPpO1jHwX49n1wI279XhXgtsY+ MjpN4YP0GgoLiYl3sb3HH7uOxzmpQBB4NxX36X1jGh0QD4ZDEpcmsC6QaK9c1BT93sbC2SX/iew7 XkCNnzO9gR3C3LOOA8XmHRaNF0LHRkUs8rxfO3S+YNnd7wXOX938JKDwmZUh4yVrB0Muh1SeGwcF l/KslxtREl/9QOHa4AGWHlU2kSp/HOQn7KcpwpNXFNsTHTiGGR/Pjhy2u0IoGVQUp/djS7/at27V YKJDMHhPhvaGk5jQet2RSBQ4SkIkZyMwAx5rNKaWaC3arcpwSxZpIyRVyg8RFMWv5KEoFqu4rHW8 lBo9Ao7Cts4vpnbmAia9Odyv9exWfcj12FV700+ANlTiUj/v8Lkpu8DG534Yn3HpaiIBY+PnZx2C 8aIOSkpKAXlMT1gyheahDLkO2VQCRNcw9EBmN1WYMZk1YunIBxYLiGyCd6sZn7Hlg7ZLY3Y+4CRT pCUX0VEb+6isVeeNQazev2eWWCvOXHKtEfN2USJpYqQ2hinDjdfoxyitqkGKQT1YNryyeqjY1MWB X1XfUxl/HpKnZhXp+AikwiecK8mAlnNNIBrS8zkIMrKn39RGK66tdBcSTWKWb587jmzBZ+Gjosp2 jJdpNsIkmRIzo0VjzuCPp5GtbeqYEV2RPndOXMty2SDdtAak6QGSxwlFJbvwNDdwsmI8h+O/88Ui gpCMtpJO79OeUYPUqChldwHuRLRihXvsbCEDIGKyZnZLvT3lsl98Mkke2YWiXueuqKeHwqjpCqdA xuEipfvW2MFlUGPHRnShZ5q4+kGxz0FfuYIImb5zKgOKiviZaJOwQDmQiMI+j1Bn3AhmU1tb0i3r tQNM+5wreRabixLSVaLJuTat1ZoE00VZjc3I6eCl4/PBQNQfBqsFgGvbAbI3Vc6ty4jlUNTGw+0L 4iKHR5Ma5Con0G6j4fr4zpYxY7ksurbPs2MsQXzIsWHyxDDQoBIuK47IM4V6AyuGphwZ2bipDHo2 aH9FYXOn2vx6NLm/mQRgXvIbuyX6YqgL6DHYv4keWdwjlqWYVzDNIyDynXK9qmxisUgAXFr8wZwE yMAd3qE4vLaKfg1TGlxB1wJYUS4rNSwKjzNQWocZCYnPX3niTrHavC8XEmty8YPXGuG4X0EUl+RK JvTqXIqbiX+noikwsApyFAWraoqlDIqZXC+r24VlQDL96unxRD3ohBcwhEsFjZoFVgNDO3umunFd fBRxE8Mr1PaA3rpJVLT+jIFQHAZhLd4jhNSl+/Qm4sHbYJfN/bI/1W/3Y7AUBLd+uny1mN8GJDas mXqTFzxSWc74KK2SU8E0SSTTtu/e6XDYqd618VCMUUl7UdHOVrCR9nI+Ed8SurfHrfTPSac9odHJ OPt54wLBPOGEDSctmkeRwrDl1s9lsWvmKhQznL81eNEmcFYhvJCxOk1JIYvsShT0K73oXO6hqrM7 lhg19xJubn1D3ymeLGg1d0XDIWSOEMebP1c8tGx47v3lfZJlyDIaot1k4JmPDEmawZ8RuoUHgiyo eeG2HpPj1dfwjSAmI+265qrv4hh0gyP1eWcG+XcVEdYqPPccP5kxkxKTNi/TLktpnx3ve6ju3EzF oaK/MzjmqeZG/m+yyRjF3PYeUC0GRZZ5mYfbOtSJnngAAyOABgWWKkPPwARzwwOfRBXk5azTNKzy ftyHAr6CXf4/MmhWoCbz59pBFt2T+eocI+qQTXpzZXd1h6m00xZOmtcVJ61VQGhKSpmUdVK6gpZG O+FGoCurqIUo9fuxssin5dbXl9PAwzHQ/qVuXo023HSqK/Aa1pSBKIRNi7GzTdZ+v0GLAO+9mxmi TonHG0Ytvxm3T6DIQmlxP0Q6E6cC+61kDbXrBtEgqtiUAjVJoxxwZjKXd5GY+yHjT+rp22NQxLFC T3yFU2V2AWN6DtieAxyQs01uimE2uJzrGga0QaHAH4xCZ/gPJ1CXeAXhTyEbWDA6ddusjdZ7KBuk V64Wpn5z22ZIPkt/JkZh8U1itcsK76DzYK8OQvj+Asx+hqqYTiPYHyBh9G7carfVP0Pd2EvmczQf Sp7MQxph8/qUiSqp0FZz3UZWc8HgLCiYB8zTj0yxYMjzABYn0WCv9idXPmih2xh6BP/miJgYmX14 8RhFl3N8IYwv2fedL4R3wkR8k9n7ciZdiHD6UpqxyitOl6nt4i9b8slHFfhP5pXWr4Jfo6C0L2Nb sdWDiOpM1wCs7XpGvZ8+52eDIs1pajcbdVclzvpVxPY0aYkvFRFxytO4AK6adQzdG3LSqUFxv08R HG0iuMP/6n57mauQgevNNJQt3B30mxCEdkGC/9Q+FJ0wi8Bg9bkOoCpEA/OWKlWLfQgFL6XU2p9o oRnjkFnV448XMnL/pBXtGNKDa0Z+mPKUOazTo6CdIwu8skcXq6EOe6KY7qYk6uuCMGg1tRfmdyyz PRaL9XFJZHrYVxstvxZzGUcPcbFl1UqHlWuxaTAQAFhwJUMUaOyGCIU4TqCA6ksx02HEcQ2nakKn 1GoKex0AE1nnch+XyO1jjeZUvmhfXZigX67my+8IZylpkBwZhV3xF29HQGaGKkiT8j0CtB5fk0Qc 29cA8+9uPZp+AivyAGc9ixIRJeqwXsrVWwkT9qWBe8AB8FlEejXTKP58LGkwh0IFYjqFGdhVkpZ4 qh0tG9OFyA6Q9XSscCAl1LZVDn6TQAOm+wbeTkm7adPlFVk+0kl4SFiUpP4W21IDQs7bZxlToiRa 1ssLR7G62tnrC/os2LZLKdXUPluc0OP8tWhj1ioH2+cjv/cTu6ez4UBpT5nxc7dh7xxZKhVt4m3q aHlZIQiJ0wsd9VajOlAvQmZHWTHAACUmmdUN6PLZtGVX0jIwHdu+a9QNahdu0NpExdoJf0YvFMC3 fkmPzykx6VSsQCrylcYgtatR45hwALSCSzabRMcNSLSzR7ND1XI5dgFGzxHpW9FvY1j/Rv+zwETb xhbg/mRpF5PrOpXnB/aJzJsjGv5dId/Mdwvlg7AV5O04LN0dPgy0PekNUEKdSa2gcz52yUlzz6ri EzobLM8ytkRqBl+CgT5ab6guGJIQkgD6mVDmwjgvvufRoSt/ICSrV7OWxLmZdlIc9Gm0NZCEBf8+ 84Ohav0+OD/B8WNai1IAu1WX8niPnU3ewZyjjyUuIB4jBz0J7V5VzJnDyiZSRbtHrU2eM6MP9H7Q IPLkWlHZjSiS3Jcouv0a9K8Q3JTBy/jXA3XmIjiTAszN5BBRL2rWHPUL1HVxJ0f09je6xmC+k1O4 qivfJznKGsxWMGiBsgUkzNA7ACnavN1RU0u8zYrRSUToCoHjFD8zm1d3ZnrgCjEsMShbGysaekH4 u/TlPuZzl5Wv+mauOkz3U3+E7a8raHeDgmvDnYNtj5OGFJri5xlBSxUXhf9QWRJcJTjRxdgGacSO AIlbkMkPotWDCom6QRB5g5tukwF97BQ5eyWDBPsh9DclB6nfCZa09xB1tsLeCnkJIUhttHChsOI0 0UOGsxjzBkiDDOEEedOmAQ2uDVe1UrCay1ej9Bfydjh/wCH6/5+gX5kVyoh+m8ho9L27XKDmWtFa z3xuHJdVa+yzngRYURIguU0hH3keoBmTCAcUC2MgtRQe1JGUBhuYPWXCNEjQpsL3JMak6tTx9Kz1 Bj0cKiARER5pL0mFFq8u7Pe+SQF7H0J4xZixXmUzcfiJhiivZ3eLbW3IWMKU2pe4LuSmuBd1f8BM s3+R4oEWBaFLDS7ev5QkZlpWC7tFNE0xtXgNoSwvAIFN/erRLfLZRA3QCSWO0yxLuJIaOu1Giwf7 ZdrYwtC7hicW2KfTYZTaH6kvBxv0HzUhugKqsbHXnkDxQcO4UiCByh9xElTRxdrbd0PlY4rmlc1x qOaFdhRaY+cZr0sUqCJn0Ap6Sl0xzrL6VfK5jvFoVVHGNWZn8/shZXxrh8I5Ok6FphxbBD77zwuA 2mSwkLcmCBvX7DPnyMDgj+lnqE+AILsj4N/psKjGGwJhMi3wiNmJq036Gv9d2T3VfOMax1LWGgDJ eQKKrgODR+NyEtGTf28Uigm+hemlFe4uM0ai2CJH7bjwPcbUWJrIT9QPNd7J/bm5dSjxHfNu5s9n IQWRxofD9rYZwgtrNRSAsxCqinHPbMuIDFjR9d5R4Dr2aqHeByPOW/VF4et9H4NCsveaBSajn4vo 65FTlcGvYCIRxTWKNAoLHfBQrZ/TZiJsRN0zdwx8fOcO8HaziycpjrY9xzwLacfc8SIAN7znz2Th isOqjOm54Rlyt17iQxB6wvW8x+iFUG8oMB4D6JlZhIgqto2FARdsgBwwAMJIQXIHThpChdXKbOXV zLsPrX9pXkwxwknRkx3gKPLllHW4mJqjwMo/FkbTjZtw8VG0llyx3kZNwACij46goOrAL/u/e7AA gHSVhJuaJHF27VopStofYkoMLyjBkXFYMJhxa5piUDYUX8mV67arBjf0yc3Q5BU9dAcUTvkshAEy zsJaIR6sVXUqsafF00G+/A2qVj+xxTI1HPFIAxIuYQwMd8SSq6eNJaNDUpInZW5hWejHZ4yl7hrJ Mt1h2n6XYWEq02535yjgJLkVizTGlT2WE3lqZJ6XnuerPp3SmLRvMcn861Hh961HBTdWENHmJ31Q GsgsHAyGmuat4pfdBEWRHLJXQc2l60AxkWt1Re7GNF8wZJFoUjkkLP2TxPJ2yyqN3dbQjDLZeRI7 J8oQ88EgMNcgK4H4Vrf+IFmu+raW6j+oZjAAf74mz6aMSIUfihxOAkKLFHaJutViuRSqSULxvTiL wtquavfNV7lcp4bMZmxobXsxZpKCVg0ojHMaljj6EHwZ41uXUubQWlJQ/AGgiTHksLun/qNgMJ/3 eryNfNedvAR2UGFDiCWLzzdI7IefBGXh/9shVHIUYjOujiqeBgmIQuICm0zJeXENfyP8oAHILlvE DRQDeRuvhPxlS6gm7DOg9ifLLrDgmxrbc7xJhyQeQ+yglkEqvPZUYlZl+yqUsVkWlhH4KsjVP8oJ Ugxq1InZKpaQgeaHvA3pMKNQY60rzBP77+jq5mhTHuCcQoFqF5Sz+a0BLcsqEc3UJ5TPxVnasAY1 paPDu6GoUeW1g1o3K+POJ6P4u03LEAyOgZx9CiThauEZKCMGNWW3Y6XLtpLOghbpxAaIqtjmyItV Nz9kyUDn19M01mQL1g/OhAIj8QDcbz7EML8cI1jGY1ad53yoepFqK2+ph1SQpgPj6IS9XK9pqS7G mIoJFWTpBPMPviD0+4YdQgghD3eQrUtu3tacG9OavKPAKFmsF2itdqmG48xemAlkffNv/z4NA5G+ UzGvfUl8Mbd4D11784T5ZkVI8iKvQvCQ0ynzkEKnpNQMPPuOkblJLylLbnRBomKf/AbRMcVE77wM pzIrdjnTMTLYRCTYkRW7QmE0hwXix3f5nsQzaHUL4RgOSZqDZFjoAtiletQQXmjJzPYIMiSPfBWx iH9cQ+uUafUVuQDb4cZxeqn2z1h822JAHqNO+YLu9vsOB0KmyfBgWbnY+0vA/bn2VGdwwVdzRn0c 8cFBFXfsc9Z93YoVLyqkKITt8DKIZnAPeSxsddqM9skGUYus8SOCwJ3n1qpk/6CrScOmGGhYeaJ+ MpD+FB0iaLqsh5iMZKAkAkfeTtW6bxweWnKwez/OVlWi1jQejE2EUupX22ZMyApmySzb0ly4Og7I EK8cUaFO2SQoGQPdIpPk0WYLkEYyMIBkTnXIiWWgHBj3WtQfHHNcPMR8B5IXESsL0oGf1bsXBF8q adGb671Yp3ueVSc0Y1W9wnK1T4/0t2a8hHANK03MN2lf7C8zg9/JdbaiSN4X7aPqQ1CWqFu/+ES0 WXTVaWkLGEVXWP/oSgX3EMoKmMurOc9sOJelkkaqP7t9hPtJAbaH1gqy5QR4pq9cm6tb8Sh8G2eI 7LI8QzBan1+BMImExfYc+fL/M6gf9BWZSoY/qGU96kGJf9TkjDSWa3wM+1mZXcsVdnlxcfHssfFE KLiP/g0ZiSKJVvtb2tpWslU1k7IOmZhFff+tcg8RJ8hF92d5063ahC2wc0jr9E4yJCWrHaQ32du8 0VVObIBpsMoFxkgBKYXyFuGOJcl1zP+eksNfJbcCD8Coi/1JEQ+jLkvNKEy9x5ERMwwy1/x2efni YKIXHa/JJgDNtqy813syYJrEd/8y9D5n6P5w0UYsqgIytkbWSih+CxvrOZzUPW9zK2X6oSaWa5fq 4HscBlYoeslDU5K+pIUEVybVdV7Z6wFc5sLuVgrNVzAgWf1pt4EhSPdnwRemZYsFQ8oWPGXbOqDf YwXv9+ANDRhUVtUrD1E4idvUp0LFXkuGFTcH92Iz7xiXF0wnDHmfYhi9uNYzANqBgiLZHJYqbonm E6RdXHHSX85RexRLzgNZ4p/k/oGku8Cojm3d7qS5uZr9oT32zRIVg8bRJDsBZawG2aWmc4aK5wgA c2HX5pca+mYcAb8fQ9PAhW0jYVTUZ+xp6PnlrEHoIr0EXjr75s2aBSSXTLBIX+cdYdjOpWA/7Rxc cfV5PjbO1zBmTF98z57CcjOzc2AIW+emO/6DJMOIUDmdWrK18q0L4vxwAGvmiXV76hMayVfGG6Fp I9+DPb5FXemTEWMNfqoPi3xWDT8yeDEO8//OXZVjoe774XXTJf6eDqonapuYxvQhmWW0ZKRkc2t1 h3bHQWdZJzyPBKWoBdvR2SmJ8kHTkRcoCFQN69wrF5ePZROb+GO6dbHmZ41x66xcbiYNcQGEFS4Q xV0ClSqyJxPqj7WEmI1zo7RfswHqU9RDcCFjffEEifpxiGfBW0N+WCoJI0//2NPM5G6344Hhf6S/ XhIiusn7dL3W+R+Med2hO/S1Kfb8ciRSE/YR8OGkHtSpquP85+ZmYdixqv0KlX/TdNZQDeTftK44 sNrvYS+lb8G+yRwLFYTOXjU8B40WWqpd7hzibkyc6i+iuKG1cmyz8PeYakt56jyddYxjd59a+wGT JM1m/J5Pef3nQ1OgBBLkdB1ZvjsxQA+UhsDDNAnrBQ9JRCs8xNRzG+P+gKD6DXOpFmPd8hoFsQMC DHeAroWNUpbSPwkInwMgeRwe2RqwSQ9AnpudxRZ4kh4NVDCw3doVsvehP69BNz5olaLSZsi8rEq2 fq4WBiWGHJEcZgO7g4LdxdU6Kfa9sIvUrMkeMcVd69zuLnM7p7sXzwN2VBn5JY+mPgPLAGEIBaJV t+DyF4Gv/f/ZVIyUOyvYdU38SzQGvjg7XbHnZPFHO/2ZWCz1r9e9F6iD7ND9sLhaarIZRAFzKaa0 wUfijYiWV/jefYm7lrWeZ7UbFV9XnQDWT2S7X7oqRlwg5ZHkhHS1qOmKDzGWQsqgbUuUtBNY32qA nBXM8mpo7rilGZvSi1Hct1LMFZOMRkRUH/jtLGSrsOl9Gd4VFv0RxQ3al3WMvpRYQnYnsPQQwIJt GCouK9jHAoQS+66SPngkYxjbBl5+qDHPOMb0mY+LSV8Qx+2/aPkySvLFcwfUmBFzE9Af40bxw211 Ok1Nabjfvni1S4bb9TkjuaR2N4uDiuxJpqNfnLzof7jd6gCoTibQwZxZbD2w0u+cPeIof5jjhWnz TI0K09trMaz1xYMuSONwEIbaZr2SYuxtz4GVCFEZmc31/Aeq3ykgqmLgx5Od3j28kYlLUtne4vvw FachdVGgFSaQrZcqh7TpW8yq8sqvHFJghKxa9lMWtI9BukGYB0wyd2Ln73D2dyIXlreujzHa3WwA 0Ia0vbzLuUZQoquR4ZF1JnLiOuvzeaCRhBc4NVFyEHMvh9PvC1vS8dT11SpuLlKJ4es5P8R0Xo3g 55u2iAXimL0wuHUAccuE4z3/JMClJjunDa3RxAwjED+nEGtV8JvtbN70RixfjFDC/AY2lkYpLvCo rGnNsXZgXvjnIF/1p/EpdSS7bDY4kDNlH+TtCEby4ZmZWxyurrtEdA5vcscJYA92Uq/rHhNiFQaa il16Sg8AF0rRFMVNPJX1YIgPt4f3qgD60VKHQPwn9wyB2wyRjUdMX8M4/q+Ip+snqswMxp/ujtmU a+xMvYX/5KmNxVXMwEN3dofOmKjCFfFrkYZQpLFrI4W3IQ2VcJS1dg6+cKG5gfgtd2lXWeBsRRt9 xu0uWSGkZd64gqutLj0I3X2WzwiXSHBJrBTifXQ25Vg05vxL7P9VtKXotDnvpWJWiZZZI3prjWpP 9bgd7IwMnE1t2/P0b2JoDdSrV6FsXzMWCH/KL49zK8Es+m4u6h+oBh9DDcONd8Lq6x697mZtz5+I uqK+8BJCZ697wpAT3ndwM4Yl4xEtM7J7YhzVIK44aoT5Tjzh390Vv01JTjKppClNR6pZbk/Kmsef RWVprzdkqULlRQqy/nIjiywVas8GsOcSLHkOeQESMc2pBzRTSDW5kd//sy2vgHaN/jM4bNZQ/HF5 95UVkSsMdEV9piwACq8SRRqS2D8IcTuKZKK3FFCvIeax/ArDlf2Um9A/o/pfD94f9rd0+3DbAiHn wbR5otlk0jnpSvsXJPxneY9VWH6wDc6LnZFRvMZJMQ0yjlHUKH0NszRu0+Auzupu+3k7zGIOdtvq e3ScTEL6uldfeb9/vqQRfMfRTN54wDzi6tSKpPS9AMiBJihtP8Eco2mGAhx6nhq8lqQnex9FG6SI ELVhwyIejL4BrOgEuVlb/KVV9gfiFC9gAEA8aHeWFKeVPUyC45sfCHkVMAIpqjPA8bjzqx2E2na+ 5hPGSHjfhjJtu1gsP9LIC+aZmRTlxGbl+ux4fFs6/disUKysK1KO9ttX7/hBSFUobScsgdgPILhh 3wKhlonWuMNxyI5kvpVJdV3k6U8Se0s75wd1RLRDLlXHEXSFZWvWPVvxZYyW6aKJ+v0RENBr8KXv cXilxlZWvSWpCTvZ3PKKU+w5AhE3iE4NWH0jI5aHkU3n2A0+h+XYNKpRcUEmai3z+vG07Kor5h3d ecoURoSgPRluwXOI8L3wuCsg8808dwM8XBzIFjRHh6zs/u/g++/L1hdsmUnCV636ZDpyb6o+EOPO HUKOAqkQrN3sTmgC73jmmuCG0ukZkjezWkeEBThLCUyPBecxOEYpIFEz7RtlNhdFrbZLkEPUaToq lgIY17h2SYP+c6o2BsGD/CEJAzqGJbFBz2JJWB6VSo3yYoLymldRRKqcsgfeOce7Td6hxxwXxXOP /FjwYCTQ/XEq68LB31tSs7/vM72qsIroDFzVlbCC7KoL0Nazb8+yJ3u9U3+QJQyz8RyYJApam0d1 rYOwcis8yL2HRip+ByPwtG0NnAi9RH9ND+QBccyvZVsUN9a7NGRWm/3pDdLbm/Be9EOoDz5tru+p GyOCL1KCCIoKPDvQ3U3xZm7jX6w5wKIpM7gIpC4/VkZKOvh1Y5x9g9caiXMe76p1XZYsPDO4e0pW 3CBRYww+2WC07bQSjsWfqTRgMUMWa9SeL3ngHE02/01MVhOPyiZOQboN3LcLy8kjF1Tcl6JpDfxs 1S0hJlft+VeuNyaBDk5nJ5achk0Ke7/lhdPYq8q0hRvkOOqzgMaWdXpF8q8tp8ImCdkYIltEPpga 8GeC8TdGh8Nq1y1yrarT52h2XlWvuLf+rVAu5KnYeNo/Tdm1yZVqIwnPSJcdkig3aOgxSZAdfLk4 xkxd9A+G1BxE52g2M2mZsb+BRjwrxryZyoj1yR82MKZZc4G7XlwGs/ZO/vSzb2SjGG8+KiX+29R3 RxZWYP2UBlRFI9oMCQ1/fOk7QkKlfjR8VtuoRVwMGpAL39Z0QgECD9cKVmNm2P5AqTct2i5c952o Jz/kBw2a78sQ8ek5U6RU7aXtRFAC1wYSOGKH43TO723RmhFEdAOvPvZiNiL5KuWVx37JYx1ikkLu nCivUxsEjXLWOfS3pan4rhHT96xp8gKwPkX2psLL4wVU9vJr1X5LGFaMbB/JjiZ6lKJOG/zISEUR UarqEOPblAU2NN90lXhUVfbDRDghpgnzSVbe9XaXL11/be5iLLm5IdxvVDyc7Q4kP8JcWSV3cbtb 4Z8/E/Bmiead8uY3I6ZbuRGbQdkoh0GHQUF4Oxz5MIMv5IU7xqmon7SnVzIs5+z5Ut4rgBOPp+5r yxTEPRRQ3lml/BTwjQNMsiKFsoNpS4xMA1XgEq/CgpWJzU+EBLmpic9asN3HH+7qiu3CETp0lJcg AIhGNW76PfGjXfa/z2DZorN30cMu0+nPnI9Fw/VS8AUoLgORCIO9OMcpmqlkd2bwBsIsGANPEZYJ CwqcalOdCAKPBOVq1fLJIe/tn6F0vL7QNC/YMZXJY9FICcmcw6kbzkfL/Zj3YLaP7QE2a0Bjh8bl KkKvE+T6/cKVpjDC7U+pZBhlUBr2IxWTVXkPk3XWw93k3oEjvas4gYIVuW5Z3Pqc+Wid9/OxfQ/H vqRMTmI+blWLXSyZfkWZV1oYOF+JaRTEcEcSfQs0q8B6tllN7e24p/WOj8k2K50zUGDySgT6i+6W fV3r/uRry5uZ1hn9RSXO5hv9/V+OaENhzlDrOagYoFkBRUMp3Fg/fH0JESA70nazj4ImcuSkpyh2 JxsZ+KiPiKCPEQBlsO7B3HiXjenG2QLlcrf/VMANP2ysaKO2hTvrlutQF+1gtlPo59rgLbygQmke ZqCK+R4BqfVdY2eI9nP5EVTIFFaKVqLCF9WR1Um1AIeN5RdoOj+6Le5C8LJ30p+VCnWZAMnppakB JxBiMQW/1G0PSD4xIJPWOjWWw0e5eO1uxFK864NRrT9enJOhhs5hbK7/fnEeVar4ic8i8pE4APJQ wx5hH9pGXOSVjM/ROpIn6BkJB54w/Tb8x1Ty+wedd8Omw7ncfm+5t9i+4XMCgGX8GraXLUNRZtYG SswVotCBL5qIXFrUjzILRHQwfAIqpZm5yzv6Q0d+es+TOUl3KeNqttEiOXmFwq/PMYmdB5s9pePN SJ+6TtDEhj8aMh4t0HpyhNW39Y2BZw/UTSl+NR1WjAYBFIHfIPqt+D5DC0yu8YuXzQjt1Xdcmuje NWd9CFxnFd5cxHqMlrSMWhAL+eqA+x3IjfGGK7J+pZtPmIcgu/ZRkF4qyIt+3ZJNEzwyH6l0mS1a ie5vYUMideZRS9tEWN9bsyPY7aKRFAG9Zl6/lZsvXasf2XHCNOgJflmGx2nA/OnX6jScx84cZKoP EImlQWfcc4VYjVIOUBGyKLGM+zyywd8p6ZoRvc+F8ame2eCFEUbWbKLiiS79JvqFYbJEFnE0UEJB vgQUkswpM33jzNafhDDExElSkFqc2/gOvEo3qp8EHn8bbZBRpl+qJZJlnAA5WE/+GhUfEjMzr7P4 UA1azgTVF8W2Z/sE50zKV31qLQRzpXh6sL8CRExOiwE0QhMYADpamPUGozPo5Av4fkbxJqlo6eS5 l15IzdYka2yLx0uc79Kwj47SuxPLieDEbHq2i8w6Cg+ScN1YPxwIoZdh/Eec9vFdp8PbUuLfhjAn OgQUG9jMToZBRTmUTys0ppbyoH8rexX/ZQmjSzOzuiT37mGSIvwA2iJhJ+CpBzAmS7qYg7ZeArk7 /LvcfvI2FiYnhUjTipgChmbNWJITZZ0RB9dQYFKf2GroUBiTI0o6R0P0ZXPqeTtCJVCkPBw5jTky e8zxmyRiw0g/xBjCmz9QsoLusF2zJgDJbtPH62DZN5WznKKt/ECdpxnyRjJ+C1vB1oIBUJcwRIpW k/2I4gQ4P1bcd4lAPEH09BhceMw3eqlkYPN1dMaOOGDbn3xsxApLyC0hBH38uZ8holRkH2hn6lj5 bw89+cCRwsRLcRVN85znqyxnP35kQFExdD0hH4xtwY1870Weih7n0nFK7lG9IpFzKzC6pw0Z8br2 ugpEdA/v9sFr4/MG0Ptb3WUBpR/MMvtkp6MCotqnjTgpCTxt2KenaEncfbsHL48W+WZfYwhp/vqs 6coHXflvIp2KjcOzUaBTUbku+UQPdrfOnVjhXt27YWmx42Tw/uWz2XZ4up3C3naczEp2X/8ZDpl1 w8+BBCOvUcjo3bh51r3Rm2qO8G3eHfGSPE9RmfgK1OhgSrkdjgOqHQvhXJ07E1+B3mN7eZNSnKHb 5N4unQiLAOTeGcYV4ET+op3xUy8roOVNcfB1Rr8VWhh52JgEHZnP/qm8iIxG8EUZax/WqD2BLNYb x/bduTI5vkP3J7AQ4JDCPI5fPRbujzkGHQEr9zFrUx/3ImDfvm2Y06ls1VK9nxV++UY200cH4Pa6 yolgGH1C9C8jMosHiWei3n53BjnMcPs3sTgSer1KkuyVzQ+XX5gSRzGMyxqF6gne2akNCqXaC2j9 KV6aOjjIcwjwnh6d49USGmhHZWLjIF4CcKFwONg4+J94ROk3at2ieh5o/TPSbO/gz9B4YwBkITy/ lsbHiYxalGM9/c3mH6oMyBYuSevKWvUQNUge6VpQ+bARcwXWKORRXNbMfLmqa3F/T8hkUT9OhgRZ WH7qzjprgLtcPVyXmAONMC7GEfamfdLh2MOfEYia45lmlCfByy4HLuUvxEu0+bqcOqDOPQaM1IXc uWVpPmMTrcDwJL2Onhc8l9V5z7BVpd6voD3KMHZ2f1Gu+jLZlQwjTb3+TbvOVv2XEwY1bayuSMrW EQWRdJJJ5Tt6xkguf03183eiAmtkunkm0XDQNopLaTBZlAv/adZ+bku/2zKYVBEpQvNGf8Hn2w2S tVK5g2zjvGYA6Ze/PzrfHjNFhb0t4BnNrriL8eZvJL4ij381gWOXhsdkRtJE4JIg7Yz/ML12f1P5 I/7Cixk9DSi+AJry5exN37jclqU6nqE7r0FXX7wgY96YbqV0kqw5ic6zP2/jv5yXtZe2bFBF3cRJ ho60itLpRRFpi5y+TssZ42Wkx1xK3Ttyb8O0VS+rOZexa75BVXPFqmkkAHarLEv+pb2Jz/K7DxWA 2taGNCbIQ638QflaWv3MrTNBJQRNwoiuloSsN9Yg2tQsrD/qtgxcp6Yusvz9EbSZSphCBdn9S+NP zHjkKWUb9w1+5M72dCz+PFtdRyjcReejBSwVltcoSexcpr0czgq/WjUYQAysrxQ486+knYV8wAIK 6fSEJKA9jjZkrWIYtnED6eDFlr9pDvcOPEXyVWA4iddpW0HjT2IrCRB/lpy0z+dmoI0JVTW2CklW zKsHOmo3cpSYO7dLEfXAKbtz+bAJMCwkID8mIEq3k94kphJFaO9mwWHMKcxETCiWDxyJddbsXv1y 32V1xbOzbSFHEzMWGEqEDJbXI8YJR6ysizxs5SLuEs8ZV0Vnkdcq/xYjUbh7ezNu7KhIliipHcfU HYTqSmDDInTXZ+UDX5JTIHuh5/6GEtqv20OQISW+tNv9Z4b9en1jfOipEXFXyDlBDnCSwYIwq+wk 0rjPqofldBE4M6h9151JgIb5Q05jghSe6sPtksRKyQbOw9BF1jR6U2GuTVdlUk3BEsXSbN3eu+ly ocO25CoqlHCA5Fs8Dqm+3SMC/bmgy96ruCwandhV+9Q8+DjFHvxj6TNV9rg108V5eVXw8fG2+D3o 85ai5z8C+U2kr344cOtijr5jdAuZx71GJ5CTqQfpurmKmyHPURbkZt2rGPB3JCwmHR+m0/aL/yYV 6zOzinpTncW+Gqct4npR4uziKoKGXRBewxnmAb1ZzlYqdU66aMd4JiZqOI9I1oRS+0ANT5ZhJ4II 6JKGzEKxEVbueNuCfS39eUTr8HF8oFLcFhZDH1tu76ghHlyfUYRS5TVSLzm74TWFhx4PygN2GG0f Z85V1a6CNHD5K4HKVEy/Ukm0UIQMdy6tRWRDoPjO1qJHu/ob/iA6m0tT3W72lwLHldpYoQYtzQOD LVT1DWLCLqi2IyXDKZT7GgXSdvPwKGoWbXzWy/0OdXZ5z+vSD4xsWPdgq3SA8Z6vYsXXraFTq/QG NjKaIWEJs3O67L+gFyDfYH8EIwCb5Q1Z+yjGkKoFVkwIkRMXJz6VcXj7p/V6/CnuMp3wzClM/t+2 tpUHrRvdFOJ1dkuc6d1yGJMsBx3yeIQv+nu4OaPKDyWrY45afArSXkylnn1P/RLrPix5PUmFy6Ot oVp/QYkfL4/oFkIrltw/YU4V2+TOLB6gPw8tUHSV1CSI5Id7Iy5EO7f6L8XufleaKANf400CBKSo RHkhhqzsLccRIzd+eSzUJuE8zNcr6zpdt8tWqcQXh87v19qi/mktim2/2JEbu3iswAI1yijc7ej0 yFyee5PPJXeZScqArYd5bCV5fa2Ij5eR+GK4d5iKBO6IFOIEVie8V7kdJkd13pAiI7A8pF368uPm oAIN6tJYQawq2Zx3SY0IqctTtQsT9hU2M2kTPb9MqiB15DyVco0JHQJDYNso9fK6/1pEvH8R/kM2 mHwVTRwXDuIVIxR0DntvOU3da6An8lY6tmv4mxNMPo2PLsU+YvTVREVhqAMtC/5ornsJ8bHG5NwD a/pYbBlx6bRrRU5b3Ribf2CF4N4fCmxYCWsoK+H+GE1ga7Hrukw6ICtj56qY8Irw1b/CFDTnPcfK dFUHD4p6d0zHkNV5iFmkzNARu17THRqmXCFkSSx3OBVa61db+kUoSkW80EDXbR9+w7Xw6WxBXmTI ggyjjaB06pUG1t/mc6k9lQr/9tHW17V2Y0Aus5HTUfKv6rCFIQG9St+m6oGurmxmvc9pMHc5oqBj egMTWNt5SmVNj7Fi7MOMe+ABXicuGzl3RLRacaR1jDpj7CG+Miaz6pbSmJsxoMQ2oYllRLJBG3Dq KhwKWShsXCtIirhrgOf3cUNaSHGaZh63qls98bdxYeNKtgPWYn7voeR19KvRiVpKPJ5s5+vDECuf tcTk5j1WUMDqk11m5KGhal3rcqNEG5zdMLAiSeAA2kbJyeRxApyarJukUdgXjvAatjO7ogtSdk3Y 2C3KyfZU1onwvHvFIr4OPeCnc/gx6VvuaqD1VCRFFCHNKiQYsrOxlLUz8BA+LlzQj54oH/TMSALE 2eB/0q8w6yuQV6CNm7E22MqnIDhDw1zLqFTDLqtqZbmhVpziefwI0IrDUnsczEXUYTzsusuG5jTg b9jlQFIudAHEbcW5fLBex0ZlcYO1A82LLdTBHEbPU7fGgq5RNc/tWRE/H04QwKpxkEA8hChuqw6W 4Acd86WTdwFl+LQHZK7A0Lacja+FUHG/MwrlR3wrjT9teTC5CIE208IPsohNfh+oWH/cNKg9wzsO JNe7VGYZkAah4STr9RgJ/IYP7EPQhU8bLyDUXBLYIeWbO0+k79aDUCD//eUsbnbR/7Zb2QyomBK7 2ojzfbgQWhIWYUX8KcP6OFU8qOhoFEVoUcX6WfFVvAY5iJh3kDPbzeef8zNpK2WNcIzaqQA/Lgb8 c6s6JS52gXxOFsFeO3nCEsoY1GPd6pPjJKmz/ZflOqzTNJXY7rtChvZoSMJfFjY125rxAlVJIX/8 DkMAC/YY7kX7nc5aHsEjvSksf54BUtbk66GDFR4nwTT/XGWcnzyAEgqFsLHdHnP9Gf6aSmnTy7XW fOnIhnqi4PLMyxT7tUTqAX2/Yl+RAE+jjin3t7F5qQr9cW1FPpXGpQwUP51c91ACJVtMFr4UW0BN MIB30nEQYW/RS991+vXWMxyFJ6VSbTCdnfmoYxUMdFu6F1EF0JQhIEmpMgdP2T+m4/nWbUit6Wii t6GOGEb7q7YeeJWLBQZJQ+8Y3XuKYmdCIWeBl08MfIzQmjK5OV3Cjdu2MrVL5kOlgu9SPrNr3oyx eNGcMAmiWBAdJnk4eOmQYiMMzo6jSYtomIk0iBmj1xkCm0Sv1BRf36f60rB+vFhHbvVQ4R1pU53d DoSgC3s5x0doB9Ip3F6mHTsvDo2+9GA50TxTToOCrRmYDDSrZFI+Q6iMf25mvdlo+cHTUS4Wtekz AKkec22eoINuo//rG+1QIv0KtE0g7pssGS5kIAdPZgxhunjFo5WROMJBN6L7xgdSnZwZdBafLtYT 7Ss8/hsyiZ5oeBqIFS24HD1yU0lKRb3C5n1ABdL1dlrjD6mHAlHWaffhRltr36ArcXQud1qQ+Wxp 0Te7rnmbTyAn2Nx/O/5B3g6AL1zjWx0dhgy7i+wYPJ/FElfgN0Xj+ILl+ndbGi2RK/x43g5P57dY 6jtFlly5okRKnUk5LcSPpxUdD8UzS5WvL/Dep5TgmM8ryZ4vKyhGou3Ogmt5LL99/mbHnR176brl yCKeFu9+VWPTNdwcU23wdn5KlV2NlAGW6SNk6QzYQoWj9XLwddS7VBZbmTL4ifgio5c9kiuJKzvR AKKhcPxvo5NWwRlU+yW7BLYlBDwiT6TtEji/dhQ+FmuZFKcY8/9tQsqiBu61XOwJmKha9inuHC8O ruMHtXkWHWte1zgs1AQAUSXKgUrQnjG46QyFBus8ByppVKAKBjn8FAtXG0cbOy+rGCapfIi/oU7M DCDybhqrn7VIM7ybpT/LgUlg+KHYKvHMMS+bsmjI9BPHOwySB0h4+tZV2HTbPLGX1KHFRrmLMhYh Ts5s8pt5dziKa5HO7BdhwTO/xxnb9VDov7sXic0xzUx2Jab7HRDRwvL4N3xkLDEjJilITf4mnv/D H0ho2YTv7wOwthnIZz+BUxgiT7B6zNuwSevH2XrRDChIMvZhB+UE/XQeeCEWzvRtpXGe1NCX+NkZ GtVdlg8DeRIu9L9tLEHzgrN5WIXdPB7Z0HbqdX8xLHMnKtUQ58ePjIpf2bpGZGuJhlr+3ZXZy66j ztKduy5sD0oTZ2r+F4QRfTMs6n8TTJ8ZvrABscWzNA2U2Iu6GKRpt5LSnVw/hak+e2pQpIIv0Bh5 uvjGcKslwl2BNyw2OkmRCRG1/rlBuOhocB1HvujvqIxFdS+QFXEZIYCRNaDkxmUJWs+l7qy/fk04 Ibn0elKoQhE+UF8OGYUfUibsRy2G5CEyHFm6Nx1XRcEpyOQ5RSe+W9jWwtWuRVUrhRw1+zOS5Mji UDoT5ZYdxKxz4XriReLlY0r5YsAgznmypMaaQLWVSJuI/A9F16zUThbZ37rkQ/BCK7bJ/3hwvmBL gX+ZLpQ3sF3Nyhb5oU9K5DfbcNvOIiIyhbLNi4hsUJuuDsbd526Atl6rdsif97b16qAiUpPqObtj P9iSIhBYl++iYi4m46BdKwB3qWnSuw4vv8eHYXPnYtZt1ifNIGRHnJZ1b2XKnbSh48jDUO3+8dMU VZMP9063hBc1rtgG0aLpc8rg6G7G2gAC4929+hqc6EIzrfw45TRAGw35nYTYjxXH3uB7RBOOocen wggxx8GTv1RUUGIOZfl1wUH4Ngby5Jmb2DhpnEMuWJ+D/TenrATAYBRhMoYyK1WZ420IRilN4Gaz vHVt6xYe6ty6n2bXn3lhWRcUS2egx9hdYmEVGNzdRRbUXgVoxYFXZF8I8+r23g+vmk4vwKDsDpan jBbIB0xvMJwT0+4MZ+sjVEDKI8lwsIUy2ahkEuojRrywfobvicdvXEXExbOdpU8ur8JGe5TF3qYq lzFZNzh+FSbJx9Qt8eD5neMMbQKjyEUmUOS1qY9OnYPTQi93kMMq8f1iq9mKVX95CZJo5GevRp6k 8qbwjAbPc3tu7WCbqzfjyiFbok0g7qiKpdY66McSLPY0SCC9Ir1/CLojfxrDdEG0nn/ZuR+JEfcq /+9QzXpu8tzI5FpJMyr+L3OjFPgDUIhS6T0n60nFmyJoGSEX0xspHHZCH1OTGnIlAH+Ar2e2Jws/ wDMPxZrriY2nBKjLdqzhmrkFzrcAAtvFdUaOc25C1Cc1xpktV8sl6UnrEYsZhuqcqKiHflggIgNR BSr3EsrvQ1h8BxeLnkv3PWls0nbTsLsBUxaYidCvBVofeGTWLYahBObztg5x6mlL9oJwBCzXK+8F ayMnlp9ort9sxVfRHJoPYS2Vz64KGWNUeSb+xfdnvyjps3ehuzDC0M6EzFK//gS0IWuNw5FRA2DM FPnBMDgS4hEoTcon74+ZCRkXe138lr8Mgwb+TUJ8rd528wMMSI4ucyBe1fGODY3TZsn0VdF2vH7h 7MZRqt/qnf0y97kMXvDmHBYppG1ar8FCfv8RFkDymqywLe8/54HaDbw/h1nLaSSEugUc4qay3x6n 7qOETr7m612nJC5usmureF7KOEgJMIGdLYytw4QjOrfIcAF67J83CdJc+RL/7v0uysrPQwtPGjLx IRyw7ozfFR02ILjZpZ2bjpE+LOO3a2gLAekSHvIBSfNZyoHtCdnF58UIjh9qnTjWo10eshhsdG5u bKzb5lJ2rPuDWOacaWZSvhdIx32BIRdJOYahVC7r0kErmVtzKuh9vn5XFs13DG/46ZL7Qtzl6zPe m0Xp9Dv2eX4/gs1aUyDgBtG5yUUyU4+We11Uk8K8fsojYMJjKL3ltQ5dc4bt7jxr0TZrbIXjary4 vLbJLwwCMay5luZ865Y+AxU4wryCJVhEyBAHH7GFFV3x94RSleS8vsfuMCVX7+fvXArwnR9FIrbm IiR1i9F0C1AY6C/d+kbMxoDzGqRTbZ17sof1A0G6BdQGooTC5vh+ZSmDjSyJjhKDtnBIHlQgFSYu LOLtPs8zB4boFm32MXbEC5wSoho0ooPLLDJ9v/rOKlcbWQKsq7xrKjHI+Njj4q2UvcVh2cV/XuqT OA3aMi7G6FFDeYkBowoKtnIt1JI2+i7ruwJyX6Bxx/JFY5aN12L3YT8q73pQ/AH+1N9SN6V/3Ywm +luCf88CVqlC6jzE2ilSpfavD5MrtiFIdchczKKYNMaz5divjb4snATEaXkAjt9dstl9d0beGAQ4 VDAdCo1a5coTZgjUVhXrvcrABVZ1093bWpGx3PcA1GXXWPjxit56Ix6ilw4TpzNMxgfAOvzcVGo4 C0tDEJMz40jJOggdtmGa1GuI6JOMJHEX4XHUqjxksaHGxa/Hsh6MJn9PIc4FfhoVtXhVFMSmzH3c ksvR2F7WG62g1qP33u1W5aikjRb4/9CWN0Y8lYFgAO/o55s2X0Nc8s34zzYWv5TqZGtn9i86PXuQ dgKgRAtPmCRrFK2//poNP65rWndC2rzGZNRvLzXX2j4kVwdxeIQZDvzpJrKUTckbpAr3RVjUYxcP usuRCMQhprZ9MoiwrDlP6a3Y8NWCcxI6fZD0qoCy6jGAnnqE1KpNS1v/Zqg7cKIkxplD6MOciJ38 GJanGxs5ZLZEw42yx2gRIi9nE3uL+kiGB8XGkZd/moSaD2BPTC6yWAqcTPxA87eWalv6O86XVu3d 8NTsuOT8v7mjONb3rCeUA7bd8q3s0Hgw9Ir04zzQsA6cbu6yCSk4mtQcr0PfrbKxJx2xLksNXven pl/rEDimJuegCZlfODFQqCUa61ZYNYih9vj9p1/Y/kuOBcNOjgPwD85uxdm2NGFV++O1+fWvisDA 8bU80PRMO2eV2paGzgl2eJDulc9AQaKRGM2azDewNd8ETWtv6s7Or53z07EzWwlyw9haD+9NAfvR aithLe36vS7EAbVz4MotVSYT6OwJYSIJIz7qILZOuunKiPF0TjsIjaw3U0Z1PQiUQ3gdmEWARSDD vwR5extiH0s5Nl6hTyaPWONa6D2Y17YrGlz1VUWShP8M6R7JJvSVeKfXyMHNH7eXIoClZWNasvET xcbI6TF1WmVSco5icfpZ0I/u4uppjAALaR3wQzEtiXKFcLcZJXPhQTvF7tFl1GJy7E1ig1Oe7BqR Bu1iYregX2EDTdE/zfiDp3uoxm900qcsTWkr2PaGK/xnKWSKX1TUeYO/llXGohbjVXgjsSdPZgdb Au+nZE2HUozrPYA0FdmZpoo4/lYyZzwx6FlhX6iY/vfd6GPSnz+hEhPG9dDqYh4rco31nG1epDIY 34UvRDoeRYwVPwwF1uHzC9GiU0ih3uOuYrur9NUxtf72CekDnmnsQ54Cly9ULn5j2qi6AnZjdN8Q 318RRKvbIQTwofkHChR2tB8qZy6RhnUzUg3fz4t2iI4EmRwqaEZquJE+KOBeatQm5SzVONZO2QOA MNYSFBRSJStkOUgx4riZ2MIGuvLYckKwdM31qBqfVLPhEvR1Gwkbj2AEhW6zCaq+kj8dX3BLJq3W V+0nbkhTO78Lkxoe7fYG8884SwoMSJv3Nq68WLyQ8qaptIQkMNJjuUmeAzacpu/kPOLHa7qPpXCU eKSBOnc0jtAvfZ8hF5l7SniFY9IqNlQWsNG7u8OJbtMU4iCmHq4xg1S37KvlqJtN2auH/ccqLG13 358Ubag26HalmTt+fcQWDQpr/CT/+oMC0EObicm0FABiiVQedfzsH24qdhVHSPRAzr42hv26EcfE 3qZ1AoJx9tQYN3nGXDuwJhDt7maLZsVWORe5c/FSQQLPb7SxRF5u06lY68yO84sRh763phOh/Hed WIcued9LiKLmcEY1JuRcdJ+fXFzFf74Wz6tBNfBLm/0SnxRGb2OGXk8KSmO8/giBWO5B0xIi0i4u qcNXJ7YnjQP0s+2v8nxWZUKFYu5Nersm3GKUO96UkyrC7jG3dojWdw+Q3ngiqAcjEyHVjOQSNR01 WojZpnMXz0h6hRO9H4xt+SSA5+xQvF+qxzU9++SoOeVLQxoaDWY28ykfCxDZw81DlzGlp9kTBC/e nu3O34E4beyMWzyxi6ZeWDsaq4yucZ6BfUdIDzovqTMnG/wCLcVAruTtC3eCy/yBtCvNkBCAPccC FvCFSXzUALUL3chyqPC+XbSibpEHQIAtqlehhyopePcE4rUBwRnQwtGArepO7R655CFJ0d/RpNJ8 RdgPCGzERn01HevZCdPLiwI5iVDrDkiB1kSlGAakIrIw0onKe0ABGAehFdRSuGBxq2u67xwYjgQK aXoDhQwJNDVhujEPsPoCugcffUBQB8dCPBiWRYmCaMtJgEUO4mKtOTmGSaGwFyb3WCxd0dWR7joJ Vcor/yVgbmKpPITJ8R+3YlbdWJa0Vu1+duD0lSgKYrb9DZ/j7y80Mi7PZaAgutxSsvJdV2Dzo0wM cHt8WfW+ARZQEvdArDsUzPgWD22e8qVxPUSQchVQnlLGO3Un7CD74jWEztn8VZv1b5d0PRN7NLDC feiIehM+8Crx9S7E5QbiC++8PUKaTQWUTezqZGKB0A/JK/Ot/wSd/eDALzy2b7KT+QoQiABvp6Hj HrOvnp5R5Z33q5IHbOdGHNBr40vXUpmzwxjPOaMHf6LZ9X4Xn7xuwIQC4uQ7u3FB3Tafd/17fctD w468bMLp4faNE+gUyUW5d58lKB98RJPHEJcvhFJIYtBq9hfjn+NsWs6+kOqemU1MW8qrezRHmet0 pNXZCLBDjWK16Bj489yALG/B6EMmJWRvblsANakqx8PbCi2Pwh8NMZaBzl/A+6wQWDvpJ6uBY8IT n5Z65EN4D/rE509AGzwL9LYEi1yg8E8WfFdOlL+cz7JniDBDepZsU+eUSV+9tP1vzKk6RSDVc1ol EFN2Nl8Smx88yq7WqCjwm7BYLIxnbw7tcVomETIzl1e37ArtjsPrZl+vqPzWCGY5D7XvzspsiOl0 148/RHtJs60cv42XBQ5Zlc94YXQR7DN9TiOOVRCWp6lEUFWEuvbqJuKnjR5XkgOg7ZIB2qecIJ1v zMFPXVplMR/Hvk2SNf0ulhdmI0wEBAyRi3EBS6s167Zf2uSuOHm9RwbCIJJwnySHaHa/GCWTZC94 du0vySQg79/bjRMS2kFZzK0YGYwkk1aRidwFNqqVng8qcSR1sdHxBzY9EILYpw/yeDkwMVanpuCV 5umCgHU3WPlkVSGHHED6zyXhqvAVaIn8eHyTC2i0s/clNmUVT8JXpwVJhFV1h9+JIT5Xub2lg7SY 88T5sdxojJzuoFFUSPS4Noh3uhabXpsSn01zWl//Behm9fLnwIDIdAXUpsn40Lqevml04ZGqEkvE XHBxf4/ybXFtUe8fUeXyn3ozl9vehxe1G9BbQ8m1OAEHGJ/DCg4dQ6zQbi9crv5+gIkU7bK7Nm5X gW2MO5wRvCv6SOT8EQgkSnGvBnA/kbEFMX5LmBjmGLYoCqwkoKnGfBLk4AY2LMCu7ZZyp/aZLhNn CB96FsZyWRbElQEnV0tMEvH8pd2CLa3tUHqnz9O3QE8N7HQfhCEJ38aFfqZZtkGnPC+ids6THv0d MGwHwwDPOya3zjEDqToaPm+abeE283btVdf5Jcxhijm5UVlRIf66LSAGLwY52WY6EeOYwWt/ftEK auJ8df9yuASvtYuQ22p4nIyfMAWcBNXbwyOFTZEvLJb/xboDfClY37JKh2XkPPZ2leJKT0FMyLqM 8+LCanuwJbsEfqE+LGswH1AP4K8RW/7vafl4hKA2ekhTBf0c8+qLLgAFVh0kOcQizhPKlFK+dMWT 8WySDfTRgEAViHx6DQPJAvvhOi1DuyclF5rXQ8YL5SwEEnoq2maLbuF5EstYTdI/jt8e5ctR0T8z zLzIOYpYZYenZfzOKRQ4mMVz8WazPze9WIUv15z7KhLY4J43AbiSfhIkeGVogzFzslgy2ICGSRzK qvVowHl7vUtjYfbGKL2p4kntJtFmsu2oPlpn4D2W9flor2t03ZtskyxfSTFbJrKHLosW6sj6q0KA pI/E2xJCOmnJHV4YCGXEpz7YAeRDX04dXhikaBjD7bVUa7JZhI3oYrpWGvfr1X0SUNgg8NcVgz0X AgAtttRj1qcXimQnEqju410lGD/zVZt1Ws1/9CV82qHtDxM/oJkWbeHdKu7NbApZQHtof/YW8zNX nVDY2sbjFWAzRRdr10YF3Htaru9nAXPc+6VAU1qxYgGfai3ZQSuDqPFndS1HgJjkJxSdswZFtCLN puRslscPcfTr/YcxeQOaeMlH8uGnMgxjTcAT5qeNp4BcEkEPbgi2QgUvIPaSiiANM6l9lRENmeJK 4Up5yUczL/svMnaPQn9KRlW0QK+Z4NqYDvKcwRhKRwUp/K9cDF0bALtz1LIeejFcbk5JelQVGpNP UM2XI0JZpOhieS6qP0aJIn6Eiq0MYXZ+tf+JNptVXmvWSFj9f9FD6Zc+HONOi3CPMBy88itd6bZK l3Fkne3GOarBsgK1Fsoa0TL7Spj8CimxD2CfNYPlUQDJMpPwNKoCCLKGEm3AvH1PX7XQPnccU2E3 f53VIUuJJEhMiy3PNQSJz5MIuGmkYTBSnQJGxPbr/xN8S0xFti3C6oIu7bE6RAnpA/4179398QKe CZsqA8AiWvKkni8ab+8ZCamUpVKu10PqxnhV+XeLrhnWgSLa+7j90RtqSx05WeBkSS+21WF4gioe 7yvTxmQwDc0CbO9baYybXPhbUDBoNobWc97pqfm8dhRjq6KrJg35gplak4rxnqAjshf3u101MwUx xnpEiDOHo7qe1H5ggEanrK4heuuu/oCAlnJXrzAg4oM9TfyPHbs2PD/to0nNXNxcR+9YnsrHjc3i aF70gRuzA5XyiQJifQ4xChlU+1RYxVe4D/OSla/W/6+SzKovghA9T/MBFlqdwOo8SCgrqY9n+BOB 6BmZ5Eq9dVOvo+p/HJIXVzb7ohHZmzGGlFQDw56bVvNcrPWzHeFOTlqMGvFCJWUQeI9Meswc+LF8 li5bR45aEfZp0A67E9YV2jfV2/dWOeQPBwxO2a/L986k+dNQiVdckweZ/xjth+BKeK7bHlGKICos 0pgchlgp35kZeBpLCMRfTnK84351yOer08Fyeb2qnZ+IQ8CyhClQXJUonNu0Tz3lu1jVG16TN3Aa Xi0HuKKiGa/v+2V84J1MtDhBFe+U+zL9eNa4A5K7FqveL33500wc6CuhIZspezlBbuo437tHvQFk hCYMa9lfLkm7XTM8uyjLjVnf8O7auD98bFRFm6J7f6YGBcCYPRozp8oYaHxm3Q3CwapFH2hiRO77 1QHCFmXfyXoT5IPNtQjt5M8dzMB8fooYDvQ5T1vbq/nt+y5sQZn/qePXyTdbKf8/3Lmd+CBjKr64 yUgxlGsQCt6T/gBd4UfbWAKWJ+ZPExOB5raN00Dh+d/tSPY60GiCO7bsFQEslP/fGw+sICtTad2P /6w8oWXx1efDWTPbMuBV78DML0zQupyvAE/PDVz3dyU7kEtEPZ4/pck2zzLr76DL17sMt/qa+1qB nqkNssygN57ZTbjmVyoRb7mUgeamCga58y/urSMf7ZAjDX8Ne/zsGw5ALgOz64XRBy/RnjvGqHIJ sCqTIeUOvdgVBIyzRh+6DoVovmFvFaFl5ju005mda/45VA0MNw3ydjEngIyztR2c2b1R+LguiL1l 94cfe7zmKIekd7B6mFXLfTwjUVbJ8TigfOVySFepbmB8JbRLojFSErAa2R9q+I8P+zOpuTUfKtxK 2nEkMbahIGI12uw+oFryccyRN7Yvuf7xQt/wxhGkVUkdDcVj8E70PGGpZwlueuvJdb/VP6roa00r MeghzlQ0UR0S7yddPAb5NbkqbppnC+DLJPGV+LeGS90XXgb8phEv5PywAC/75aw3vlMZgDdO5X6X c5AIWyms2ZYyn7rSUy69uM00b1yUZBQzhgCl6LJIxSdk2NWCbjh1lsQdwc0I6EEsRZcma+35Or28 UGeGapGiubh6THjWu4vzMc2Dzu3IKhw8IAKG5Aa15tx41sBnzpVY2t8IF2RggFy/BU6putmCOCmK q0OuBznL+iX1WACU50+wNVKyCDeLb9SPodxZyK1I5AnUL8KFuJahQfJY2ZnLMVE99FkbFeV+99to 1uWLu02z1KKcxfuNRgahExJLgi8QXeoKzcgnXd5X8OYJwUm4+7WGDyfJkKU+dIrL9do2M3Yf72XG sxoC4Dw2khsbQ9oSUbspNsUydWxQU5mfazPvVFKW/S4vNuUhKUyOz9jwHYVeanhNRlUFZkKTv+Dy gRRlAxCwjTNCuiHgxI0rEIkZZFoNvE6XK3AcbI/tQUYeqGIFC8i0yGwIeWHwdb42TU+xo9y7bilt cc42oNdC3KBxKzktk58VQa+F0//7hNnbX3Liwh+4ncX8Y2AFsYMqILbIBl2Ku5iJrICVYR1EqhHR yvFz/5/61Ko3X2NXk01OrhcESRUQYSpz9btTNCfPoSiesMJT6vjJ1BDfGeYL7tiF8kbokq3dmI/w d3AI9t7aHftuIvsy3cR9IAK/9ftnfPc3bntuCvdjAwbeWPF915qSdEMqpjU54Ve+vEV0HRPF4Vmo gNx9Wu+Ilnhp3R7nW4Y5SC65JoTfUmOVO5cS7CzFWOmVTz+sFkSFURqZLB5PFgX4iqpAS634JnKR D6Bh8Jy3pkCboj/AmVbGEFypVi3JVZ/HaiSimQt2KcI/Nzpq1Vc3qd+Mvo0EqqQb4sSfkyqj8FGS hn8b6h+D+312PvEfwX7SwnCfzcpM02+NlzUJQijH36ZCumZKb1yRnOzQOJ4UdKuCWETB9aizdZSV Duk2TqrtbhJReorEgks9yxrFotpF3rWXweVTFhIC61UnrXp9p7/jgneh6bhddBq96kqVbD8y/qAG e5pivrXoKBOE6W5rqViJ1MgMogbBY8ZdpwzeIG5Oob0gv9IJm3ioC9c2yUu6bVKwd/yH+gLdz4zt jHYDKpUBCW/UcUGBF4QybqW8k2y7PtDRLbojBHC2UbHzQ1d8U7nRoBtg+rIAA4VoHmsrzZdnhPzX djAfuAYNWuBXaWKoYko4TU8DNOrJ4RwoehTIBQLiZiNS0Nfl8B9ZzldJfnkG3yh4et3WZ1GQNFGJ PaqiWY+gE1MLUdGnu41gKdO6XZc++BgbhdvTsXaG6BpQDjguWN/5tw7PrQiF0SNz2iidlT+/kmi7 x6NY3aK1idIVwaGfWz+ALzVsVV8dyrBbHU1fJm1NEcjpBJuIGLBl43uH/3/ZtQDRenwBRLbZ8cBh Fw2R8mMkch8xDNr5x1JwdCLg28TGCo9KssUfldS7yZkUuczlRnm6LEXzUFkV1Bv96117BcpXXuHa PdDgfsehbTuYHUfTejyIbPa19qm+XahTogCo4VM0GR/PBIEb2uwn2KP5TABlK6POfYnE6wChd2/n A9b8hdCc3t7afcs2Glpu4sx9aBkxM1FGEJoQdyLxejvQAh8z7D7FEQENlQqoQ2AOz8vpvpKdFTMP tXDb80geuwRfnkR5vNuAQwqUDqQfM3J4mp6i5qP8D99QPd5D/jjuTCRSvGisOHrDeXC5xkTHmLsT f1kWhkaId8r1YSccfXjoWPOn08ZZsWTU45S/7g1yAXwF3rze+QjYFkjbyyxEsZJ2LVcXj+hpCCv5 WLgMwAqhYdtfF/ihUThNCc7SU00V+qa5Xw4CqGVXmsXER1RVsgBpbHyinMPjT6tqVhp7De7MNnmb 37DIFEhThG5F8ETT4iUtx+JnfIkn3k+a/HJ1GmScsy+KwB+LTQ/wBkIoxGFP `protect end_protected
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_2/hdl/vhdl/feedforward_dexp_64ns_64ns_64_18_full_dsp.vhd
2
2809
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.4 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity feedforward_dexp_64ns_64ns_64_18_full_dsp is generic ( ID : integer := 4; NUM_STAGE : integer := 18; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of feedforward_dexp_64ns_64ns_64_18_full_dsp is --------------------- Component --------------------- component feedforward_ap_dexp_16_full_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- feedforward_ap_dexp_16_full_dsp_64_u : component feedforward_ap_dexp_16_full_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= (din1_WIDTH-1 downto 0 => '0') when ((din1_buf1 = ( din1_WIDTH-1 downto 0 => 'X')) or (din1_buf1 = ( din1_WIDTH-1 downto 0 => 'U'))) else din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din1_buf1 <= din1; end if; end if; end process; end architecture;
gpl-3.0
pemsac/ANN_project
ANN_project.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_ibttcc.vhd
18
112659
------------------------------------------------------------------------------- -- axi_datamover_ibttcc.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ibttcc.vhd -- -- Description: -- This file implements the DataMover Indeterminate BTT Command Calculator -- (SFCC) for the Indeterminate BTT operation mode of the DataMover S2MM -- function. Since Indeterminate BTT is totally dependent on the data -- received from the S2MM input Stream for command generation, Predictive -- child request calculation is not needed. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ibttcc is generic ( C_SF_XFER_BYTES_WIDTH : Integer range 1 to 14 := 8; -- sets the width of the sf2pcc_xfer_bytes port which is -- used to indicate the number of actual bytes received -- by the IBTT functions C_DRE_ALIGN_WIDTH : Integer range 1 to 3 := 2; -- Sets the width of the DRE Aligment output ports C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; -- Sets the width of the LS address bus used for -- Muxing/Demuxing data to/from a wider AXI4 data bus C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the width of the AXi Address Channel C_STREAM_DWIDTH : Integer range 8 to 1024 := 32; -- Sets the width of the Native Data width that -- is being supported by the PCC C_MAX_BURST_LEN : Integer range 2 to 256 := 16; -- Indicates the max allowed burst length to use for -- AXI4 transfer calculations C_CMD_WIDTH : Integer := 68; -- Sets the width of the input command port C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Tag field in the input command C_BTT_USED : Integer range 8 to 23 := 16 ; -- Sets the width of the used portion of the BTT field -- of the input command C_NATIVE_XFER_WIDTH : Integer range 8 to 1024 := 32; -- Indicates the Native transfer width to use for all -- transfer calculations. This will either be the DataMover -- input Stream width or the AXI4 MMap data width depending -- on DataMover parameterization. C_STRT_SF_OFFSET_WIDTH : Integer range 1 to 7 := 1 -- Indicates the width of the starting address offset -- bus passed to Store and Forward functions incorporating -- upsizer/downsizer logic. ); port ( -- Clock and Reset input ------------------------------------------ -- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------------- -- Master Command FIFO/Register Interface ------------------------------------------ -- cmd2mstr_command : in std_logic_vector(C_CMD_WIDTH-1 downto 0); -- -- The next command value available from the Command FIFO/Register -- cache2mstr_command : in std_logic_vector(7 downto 0); -- -- The next command value available from the Command FIFO/Register -- -- cmd2mstr_cmd_valid : in std_logic; -- -- Handshake bit indicating if the Command FIFO/Register has at least 1 entry -- -- mst2cmd_cmd_ready : out std_logic; -- -- Handshake bit indicating the Command Calculator is ready to accept -- -- another command -- ------------------------------------------------------------------------------------ -- Store and Forward Block Interface ----------------------------------------------- -- sf2pcc_xfer_valid : In std_logic; -- -- Indicates that at least 1 xfer descriptor entry is in in the IBtt -- -- XFER_DESCR_FIFO. -- -- pcc2sf_xfer_ready : Out std_logic; -- -- Indicates to the Store and Forward module that the xfer descriptor -- -- is being accepted by the SFCC. -- -- -- sf2pcc_cmd_cmplt : In std_logic; -- -- Indicates that the next Store and Forward pending data block -- -- is the last one associated with the corresponding command loaded -- -- into the Realigner. -- -- -- sf2pcc_packet_eop : In std_logic; -- -- Indicates the end of a Stream Packet corresponds to the pending -- -- xfer data described by this xfer descriptor. -- -- -- sf2pcc_xfer_bytes : In std_logic_vector(C_SF_XFER_BYTES_WIDTH-1 downto 0); -- -- This data byte count is used by the SFCC to increment the Address -- -- Counter to the next starting address for the next sequential transfer. -- ------------------------------------------------------------------------------------ -- Address Channel Controller Interface -------------------------------------------- -- mstr2addr_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : out std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- mstr2addr_size : out std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : out std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_user : out std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- mstr2addr_cmd_cmplt : out std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calcualtion error -- -- mstr2addr_cmd_valid : out std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : In std_logic; -- -- Indication from the Address Channel Controller that the -- -- command is being accepted -- ------------------------------------------------------------------------------------ -- Data Channel Controller Interface ----------------------------------------------- -- mstr2data_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2data_saddr_lsb : out std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- -- The next command start address LSbs to use for the read data -- -- mux (only used if Stream data width is less than the MMap data -- -- width). -- -- mstr2data_len : out std_logic_vector(7 downto 0); -- -- The LEN value output to the Address Channel -- -- mstr2data_strt_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The starting strobe value to use for the data transfer -- -- mstr2data_last_strb : out std_logic_vector((C_NATIVE_XFER_WIDTH/8)-1 downto 0); -- -- The endiing (LAST) strobe value to use for the data transfer -- -- mstr2data_drr : out std_logic; -- -- The starting tranfer of a sequence of transfers -- -- mstr2data_eof : out std_logic; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2data_sequential : Out std_logic; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2data_calc_error : out std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2data_cmd_cmplt : out std_logic; -- -- The indication to the Data Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2data_cmd_valid : out std_logic; -- -- The next command valid indication to the Data Channel -- -- Controller for the AXI MMap -- -- data2mstr_cmd_ready : In std_logic ; -- -- Indication from the Data Channel Controller that the -- -- command is being accepted on the AXI Address -- -- Channel -- ------------------------------------------------------------------------------------ -- Output flag indicating that a calculation error has occured --------------------- -- calc_error : Out std_logic; -- -- Indication from the Command Calculator that a calculation -- -- error has occured. -- ------------------------------------------------------------------------------------ -- Special S2MM DRE Controller Interface ------------------------------------------- -- dre2mstr_cmd_ready : In std_logic ; -- -- Indication from the S2MM DRE Controller that it can -- -- accept another command. -- -- mstr2dre_cmd_valid : out std_logic ; -- -- The next command valid indication to the S2MM DRE -- -- Controller. -- -- mstr2dre_tag : out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2dre_dre_src_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The source (S2MM Stream) alignment for the S2MM DRE -- -- mstr2dre_dre_dest_align : Out std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) ; -- -- The destinstion (S2MM MMap) alignment for the S2MM DRE -- -- mstr2dre_btt : out std_logic_vector(C_BTT_USED-1 downto 0) ; -- -- The BTT value output to the S2MM DRE. This is needed for -- -- Scatter operations. -- -- mstr2dre_drr : out std_logic ; -- -- The starting tranfer of a sequence of transfers -- -- mstr2dre_eof : out std_logic ; -- -- The endiing tranfer of a sequence of parent transfer commands -- -- mstr2dre_cmd_cmplt : Out std_logic ; -- -- The next sequential tranfer of a sequence of transfers -- -- spawned from a single parent command -- -- mstr2dre_calc_error : out std_logic ; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- ------------------------------------------------------------------------------------ -- Store and Forward Support Start Offset --------------------------------------------- -- mstr2dre_strt_offset : out std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) -- -- Relays the starting address offset for a transfer to the Store and Forward -- -- functions incorporating upsizer/downsizer logic -- --------------------------------------------------------------------------------------- ); end entity axi_datamover_ibttcc; architecture implementation of axi_datamover_ibttcc is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declarations ------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_dbeat_residue_width -- -- Function Description: -- Calculates the number of Least significant bits of the BTT field -- that are unused for the LEN calculation -- ------------------------------------------------------------------- function funct_get_dbeat_residue_width (bytes_per_beat : integer) return integer is Variable temp_dbeat_residue_width : Integer := 0; -- 8-bit stream begin case bytes_per_beat is when 1 => temp_dbeat_residue_width := 0; when 2 => temp_dbeat_residue_width := 1; when 4 => temp_dbeat_residue_width := 2; when 8 => temp_dbeat_residue_width := 3; when 16 => temp_dbeat_residue_width := 4; when 32 => temp_dbeat_residue_width := 5; when 64 => temp_dbeat_residue_width := 6; when others => -- 128-byte transfers temp_dbeat_residue_width := 7; end case; Return (temp_dbeat_residue_width); end function funct_get_dbeat_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_burstcnt_offset -- -- Function Description: -- Calculates the bit offset from the residue bits needed to detirmine -- the load value for the burst counter. -- ------------------------------------------------------------------- function funct_get_burst_residue_width (max_burst_len : integer) return integer is Variable temp_burst_residue_width : Integer := 0; begin case max_burst_len is when 256 => temp_burst_residue_width := 8; when 128 => temp_burst_residue_width := 7; when 64 => temp_burst_residue_width := 6; when 32 => temp_burst_residue_width := 5; when 16 => temp_burst_residue_width := 4; when 8 => temp_burst_residue_width := 3; when 4 => temp_burst_residue_width := 2; when others => -- assume 2 dbeats temp_burst_residue_width := 1; end case; Return (temp_burst_residue_width); end function funct_get_burst_residue_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_get_axi_size -- -- Function Description: -- Calcilates the AXi MMAP Size qualifier based on the data width -- ------------------------------------------------------------------- function func_get_axi_size (native_dwidth : integer) return std_logic_vector is Constant AXI_SIZE_1BYTE : std_logic_vector(2 downto 0) := "000"; Constant AXI_SIZE_2BYTE : std_logic_vector(2 downto 0) := "001"; Constant AXI_SIZE_4BYTE : std_logic_vector(2 downto 0) := "010"; Constant AXI_SIZE_8BYTE : std_logic_vector(2 downto 0) := "011"; Constant AXI_SIZE_16BYTE : std_logic_vector(2 downto 0) := "100"; Constant AXI_SIZE_32BYTE : std_logic_vector(2 downto 0) := "101"; Constant AXI_SIZE_64BYTE : std_logic_vector(2 downto 0) := "110"; Constant AXI_SIZE_128BYTE : std_logic_vector(2 downto 0) := "111"; Variable temp_size : std_logic_vector(2 downto 0) := (others => '0'); begin case native_dwidth is when 8 => temp_size := AXI_SIZE_1BYTE; when 16 => temp_size := AXI_SIZE_2BYTE; when 32 => temp_size := AXI_SIZE_4BYTE; when 64 => temp_size := AXI_SIZE_8BYTE; when 128 => temp_size := AXI_SIZE_16BYTE; when 256 => temp_size := AXI_SIZE_32BYTE; when 512 => temp_size := AXI_SIZE_64BYTE; when others => -- 1024 bit dwidth temp_size := AXI_SIZE_128BYTE; end case; Return (temp_size); end function func_get_axi_size; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_sf_offset_ls_index -- -- Function Description: -- Calculates the Ls index of the Store and Forward -- starting offset bus based on the User Stream Width. -- ------------------------------------------------------------------- function funct_get_sf_offset_ls_index (stream_width : integer) return integer is Variable lvar_temp_ls_index : Integer := 0; begin case stream_width is when 8 => lvar_temp_ls_index := 0; when 16 => lvar_temp_ls_index := 1; when 32 => lvar_temp_ls_index := 2; when 64 => lvar_temp_ls_index := 3; when 128 => lvar_temp_ls_index := 4; when 256 => lvar_temp_ls_index := 5; when 512 => lvar_temp_ls_index := 6; when others => -- 1024 lvar_temp_ls_index := 7; end case; Return (lvar_temp_ls_index); end function funct_get_sf_offset_ls_index; -- Constant Declarations ---------------------------------------- Constant BASE_CMD_WIDTH : integer := 32; -- Bit Width of Command LS (no address) Constant CMD_BTT_WIDTH : integer := C_BTT_USED; Constant CMD_BTT_LS_INDEX : integer := 0; Constant CMD_BTT_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant CMD_TYPE_INDEX : integer := 23; Constant CMD_DRR_INDEX : integer := BASE_CMD_WIDTH-1; Constant CMD_EOF_INDEX : integer := BASE_CMD_WIDTH-2; Constant CMD_DSA_WIDTH : integer := 6; Constant CMD_DSA_LS_INDEX : integer := CMD_TYPE_INDEX+1; Constant CMD_DSA_MS_INDEX : integer := (CMD_DSA_LS_INDEX+CMD_DSA_WIDTH)-1; Constant CMD_ADDR_LS_INDEX : integer := BASE_CMD_WIDTH; Constant CMD_ADDR_MS_INDEX : integer := (C_ADDR_WIDTH+BASE_CMD_WIDTH)-1; Constant CMD_TAG_WIDTH : integer := C_TAG_WIDTH; Constant CMD_TAG_LS_INDEX : integer := C_ADDR_WIDTH+BASE_CMD_WIDTH; Constant CMD_TAG_MS_INDEX : integer := (CMD_TAG_LS_INDEX+CMD_TAG_WIDTH)-1; ---------------------------------------------------------------------------------------- -- Command calculation constants Constant SIZE_TO_USE : std_logic_vector(2 downto 0) := func_get_axi_size(C_NATIVE_XFER_WIDTH); Constant BYTES_PER_DBEAT : integer := C_NATIVE_XFER_WIDTH/8; Constant DBEATS_PER_BURST : integer := C_MAX_BURST_LEN; Constant BYTES_PER_MAX_BURST : integer := DBEATS_PER_BURST*BYTES_PER_DBEAT; Constant LEN_WIDTH : integer := 8; -- 8 bits fixed Constant DBEAT_RESIDUE_WIDTH : integer := funct_get_dbeat_residue_width(BYTES_PER_DBEAT); Constant BURST_RESIDUE_WIDTH : integer := funct_get_burst_residue_width(C_MAX_BURST_LEN); Constant BTT_RESIDUE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH + 1; Constant BTT_ZEROS : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); Constant BTT_RESIDUE_0 : unsigned := TO_UNSIGNED( 0, BTT_RESIDUE_WIDTH); Constant ADDR_CNTR_WIDTH : integer := 16; -- Addres Counter slice Constant ADDR_CNTR_MAX_VALUE : unsigned := TO_UNSIGNED((2**ADDR_CNTR_WIDTH)-1, ADDR_CNTR_WIDTH); Constant ADDR_CNTR_ONE : unsigned := TO_UNSIGNED(1, ADDR_CNTR_WIDTH); Constant MBAA_ADDR_SLICE_WIDTH : integer := DBEAT_RESIDUE_WIDTH+BURST_RESIDUE_WIDTH; Constant SF_BYTE_XFERED_WIDTH : integer := C_SF_XFER_BYTES_WIDTH; Constant BTT_UPPER_WIDTH : integer := CMD_BTT_WIDTH - BTT_RESIDUE_WIDTH; Constant BTT_UPPER_MS_INDEX : integer := CMD_BTT_WIDTH-1; Constant BTT_UPPER_LS_INDEX : integer := BTT_RESIDUE_WIDTH; Constant BTT_UPPER_ZERO : unsigned(BTT_UPPER_WIDTH-1 downto 0) := (others => '0'); Constant SF_OFFSET_LS_INDEX : integer := funct_get_sf_offset_ls_index(C_STREAM_DWIDTH); Constant SF_OFFSET_MS_INDEX : integer := (SF_OFFSET_LS_INDEX + C_STRT_SF_OFFSET_WIDTH)-1; -- Type Declarations -------------------------------------------- type PARENT_SM_STATE_TYPE is ( P_INIT, P_WAIT_FOR_CMD, P_LD_FIRST_CMD, P_LD_CHILD_CMD, P_LD_LAST_CMD , EXTRA, EXTRA2, P_ERROR_TRAP ); type CHILD_SM_STATE_TYPE is ( CH_INIT, WAIT_FOR_PCMD, CH_WAIT_FOR_SF_CMD, CH_LD_CHILD_CMD, CH_CHK_IF_DONE, CH_ERROR_TRAP1, CH_ERROR_TRAP2 ); -- Signal Declarations -------------------------------------------- -- Parent Command State Machine Signal sig_psm_state : PARENT_SM_STATE_TYPE := P_INIT; Signal sig_psm_state_ns : PARENT_SM_STATE_TYPE := P_INIT; signal sig_psm_halt_ns : std_logic := '0'; signal sig_psm_halt : std_logic := '0'; signal sig_psm_pop_input_cmd_ns : std_logic := '0'; signal sig_psm_pop_input_cmd : std_logic := '0'; signal sig_psm_ld_calc1_ns : std_logic := '0'; signal sig_psm_ld_calc1 : std_logic := '0'; signal sig_psm_ld_calc2_ns : std_logic := '0'; signal sig_psm_ld_calc2 : std_logic := '0'; signal sig_psm_ld_realigner_reg_ns : std_logic := '0'; signal sig_psm_ld_realigner_reg : std_logic := '0'; signal sig_psm_ld_chcmd_reg_ns : std_logic := '0'; signal sig_psm_ld_chcmd_reg : std_logic := '0'; -- Child Command State Machine Signal sig_csm_state : CHILD_SM_STATE_TYPE := CH_INIT; Signal sig_csm_state_ns : CHILD_SM_STATE_TYPE := CH_INIT; signal sig_csm_ld_xfer : std_logic := '0'; signal sig_csm_ld_xfer_ns : std_logic := '0'; signal sig_csm_pop_sf_fifo : std_logic := '0'; signal sig_csm_pop_sf_fifo_ns : std_logic := '0'; signal sig_csm_pop_child_cmd : std_logic := '0'; signal sig_csm_pop_child_cmd_ns : std_logic := '0'; ---------------------------------------------------------------------------------------- -- Burst Buster signals signal sig_last_xfer_valid : std_logic := '0'; signal sig_btt_residue_slice : Unsigned(BTT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); -- Input command register signal sig_push_input_reg : std_logic := '0'; signal sig_pop_input_reg : std_logic := '0'; signal sig_xfer_cache_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_xfer_user_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_burst_type_reg : std_logic := '0'; signal sig_input_cache_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_user_type_reg : std_logic_vector (3 downto 0) := "0000"; signal sig_input_dsa_reg : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_input_drr_reg : std_logic := '0'; signal sig_input_eof_reg : std_logic := '0'; signal sig_input_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_input_reg_empty : std_logic := '0'; signal sig_input_reg_full : std_logic := '0'; -- Output qualifier Register signal sig_push_xfer_reg : std_logic := '0'; signal sig_pop_xfer_reg : std_logic := '0'; signal sig_xfer_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_type_reg : std_logic := '0'; signal sig_xfer_len_reg : std_logic_vector(LEN_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_drr_reg : std_logic := '0'; signal sig_xfer_eof_reg : std_logic := '0'; signal sig_xfer_strt_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb_reg : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_is_seq_reg : std_logic := '0'; signal sig_xfer_cmd_cmplt_reg : std_logic := '0'; signal sig_xfer_calc_err_reg : std_logic := '0'; signal sig_xfer_reg_empty : std_logic := '0'; signal sig_xfer_reg_full : std_logic := '0'; -- Address Counter signal sig_ld_child_addr_cntr : std_logic := '0'; signal sig_incr_child_addr_cntr : std_logic := '0'; signal sig_child_addr_cntr_incr : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_byte_change_minus1 : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); -- misc signal sig_xfer_is_seq : std_logic := '0'; signal sig_xfer_len : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_xfer_strt_strb : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_end_strb : std_logic_vector(BYTES_PER_DBEAT-1 downto 0) := (others => '0'); signal sig_xfer_address : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_xfer_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_cmd_addr_slice : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_btt_slice : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_type_slice : std_logic := '0'; signal sig_cmd_cache_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_user_slice : std_logic_vector (3 downto 0) := "0000"; signal sig_cmd_tag_slice : std_logic_vector(CMD_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_dsa_slice : std_logic_vector(CMD_DSA_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_drr_slice : std_logic := '0'; signal sig_cmd_eof_slice : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; -- PCC2 stuff signal sig_first_child_xfer : std_logic := '0'; signal sig_bytes_to_mbaa : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_child_addr_lsh_rollover : std_logic := '0'; signal sig_child_addr_lsh_rollover_reg : std_logic := '0'; --signal sig_child_addr_msh_rollover : std_logic := '0'; --signal sig_child_addr_msh_rollover_reg : std_logic := '0'; signal sig_child_addr_msh_eq_max : std_logic := '0'; --signal sig_child_addr_msh_eq_max_reg : std_logic := '0'; signal sig_predict_child_addr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_predict_child_addr_lsh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_child_addr_cntr_lsh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_child_addr_cntr_lsh_slv : std_logic_vector(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_child_addr_cntr_msh : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_ld_btt_cntr : std_logic := '0'; signal sig_decr_btt_cntr : std_logic := '0'; signal sig_btt_cntr : unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_is_zero : std_logic := '0'; signal sig_btt_lt_b2mbaa : std_logic := '0'; signal sig_btt_eq_b2mbaa : std_logic := '0'; signal sig_cmd2data_valid : std_logic := '0'; signal sig_clr_cmd2data_valid : std_logic := '0'; signal sig_cmd2addr_valid : std_logic := '0'; signal sig_clr_cmd2addr_valid : std_logic := '0'; -- Unaligned start address support signal sig_adjusted_addr_incr : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); --signal sig_adjusted_child_addr_incr_reg : Unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_start_addr_offset_slice : Unsigned(DBEAT_RESIDUE_WIDTH-1 downto 0) := (others => '0'); signal sig_mbaa_addr_cntr_slice : Unsigned(MBAA_ADDR_SLICE_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_aligned : std_logic := '0'; -- S2MM DRE Support signal sig_cmd2dre_valid : std_logic := '0'; signal sig_realigner_btt : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_realigner_btt2 : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); -- Store and forward signals signal sig_ld_realigner_cmd : std_logic := '0'; signal sig_sf2pcc_xfer_valid : std_logic := '0'; signal sig_pcc2sf_xfer_ready : std_logic := '0'; signal sig_sf2pcc_cmd_cmplt : std_logic := '0'; signal sig_sf2pcc_xfer_bytes : std_logic_vector(SF_BYTE_XFERED_WIDTH-1 downto 0) := (others => '0'); signal sig_sf2pcc_packet_eop : std_logic := '0'; signal sig_push_realign_reg : std_logic := '0'; signal sig_pop_realign_reg : std_logic := '0'; signal sig_realign_reg_empty : std_logic := '0'; signal sig_realign_reg_full : std_logic := '0'; signal sig_first_realigner_cmd : std_logic := '0'; signal sig_realign_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_realign_src_align_reg : std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_realign_dest_align_reg : std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_realign_btt_reg : std_logic_vector(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_realign_drr_reg : std_logic := '0'; signal sig_realign_eof_reg : std_logic := '0'; signal sig_realign_cmd_cmplt_reg : std_logic := '0'; signal sig_realign_calc_err_reg : std_logic := '0'; signal sig_last_s_f_xfer_ld : std_logic := '0'; signal sig_skip_align2mbaa : std_logic := '0'; signal sig_skip_align2mbaa_s_h : std_logic := '0'; signal sig_dre_dest_align : std_logic_vector(C_DRE_ALIGN_WIDTH-1 downto 0); signal sig_realign_btt_cntr_decr : Unsigned(CMD_BTT_WIDTH-1 downto 0) := (others => '0'); signal sig_input_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_input_addr_reg1 : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_push_child_cmd_reg : std_logic := '0'; signal sig_pop_child_cmd_reg : std_logic := '0'; signal sig_child_cmd_reg_empty : std_logic := '0'; signal sig_child_cmd_reg_full : std_logic := '0'; signal sig_child_burst_type_reg : std_logic := '0'; signal sig_child_cache_type_reg : std_logic_vector (3 downto 0) := (others =>'0'); signal sig_child_user_type_reg : std_logic_vector (3 downto 0) := (others =>'0'); signal sig_child_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_child_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_child_error_reg : std_logic := '0'; signal sig_ld_child_qual_reg : std_logic := '0'; signal sig_child_qual_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_child_qual_burst_type : std_logic := '0'; signal sig_child_qual_cache_type : std_logic_vector (3 downto 0) := (others => '0'); signal sig_child_qual_user_type : std_logic_vector (3 downto 0) := (others => '0'); signal sig_child_qual_first_of_2 : std_logic := '0'; signal sig_child_qual_error_reg : std_logic := '0'; signal sig_needed_2_realign_cmds : std_logic := '0'; signal sig_btt_upper_slice : unsigned(BTT_UPPER_WIDTH-1 downto 0) := (others => '0'); signal sig_btt_upper_eq_0 : std_logic := '0'; signal sig_mmap_reset_reg : std_logic := '0'; signal sig_realign_strt_offset_reg : std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); signal sig_realign_strt_offset : std_logic_vector(C_STRT_SF_OFFSET_WIDTH-1 downto 0) := (others => '0'); Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_input_addr_reg1 : signal is "TRUE"; -- definition Attribute KEEP of sig_input_addr_reg : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_input_addr_reg1 : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_input_addr_reg : signal is "no"; begin --(architecture implementation) -- sf Interface signals pcc2sf_xfer_ready <= sig_pcc2sf_xfer_ready ; sig_sf2pcc_xfer_valid <= sf2pcc_xfer_valid ; sig_sf2pcc_cmd_cmplt <= sf2pcc_cmd_cmplt ; sig_sf2pcc_xfer_bytes <= sf2pcc_xfer_bytes ; sig_sf2pcc_packet_eop <= sf2pcc_packet_eop ; -- Assign calculation error output calc_error <= sig_calc_error_reg; -- Assign the ready output to the Command FIFO mst2cmd_cmd_ready <= not(sig_psm_halt) and sig_input_reg_empty; -- Assign the Address Channel Controller Qualifiers mstr2addr_tag <= sig_xfer_tag_reg ; mstr2addr_addr <= sig_xfer_addr_reg ; mstr2addr_len <= sig_xfer_len_reg ; mstr2addr_size <= sig_xfer_size ; mstr2addr_burst <= '0' & sig_xfer_type_reg; -- only fixed or increment supported mstr2addr_cache <= sig_xfer_cache_reg; -- only fixed or increment supported mstr2addr_user <= sig_xfer_user_reg; -- only fixed or increment supported mstr2addr_cmd_valid <= sig_cmd2addr_valid ; mstr2addr_calc_error <= sig_xfer_calc_err_reg ; mstr2addr_cmd_cmplt <= sig_xfer_cmd_cmplt_reg ; -- Assign the Data Channel Controller Qualifiers mstr2data_tag <= sig_xfer_tag_reg ; mstr2data_saddr_lsb <= sig_xfer_addr_reg(C_SEL_ADDR_WIDTH-1 downto 0); mstr2data_len <= sig_xfer_len_reg ; mstr2data_strt_strb <= sig_xfer_strt_strb_reg; mstr2data_last_strb <= sig_xfer_end_strb_reg ; mstr2data_drr <= sig_xfer_drr_reg ; mstr2data_eof <= sig_xfer_eof_reg ; mstr2data_sequential <= sig_xfer_is_seq_reg ; mstr2data_cmd_cmplt <= sig_xfer_cmd_cmplt_reg; mstr2data_cmd_valid <= sig_cmd2data_valid ; mstr2data_calc_error <= sig_xfer_calc_err_reg ; -- Assign the S2MM Realigner Qualifiers mstr2dre_cmd_valid <= sig_cmd2dre_valid ; -- Used by S2MM DRE mstr2dre_tag <= sig_realign_tag_reg ; -- Used by S2MM DRE mstr2dre_dre_src_align <= sig_realign_src_align_reg ; -- Used by S2MM DRE mstr2dre_dre_dest_align <= sig_realign_dest_align_reg; -- Used by S2MM DRE mstr2dre_btt <= sig_realign_btt_reg ; -- Used by S2MM DRE mstr2dre_drr <= sig_realign_drr_reg ; -- Used by S2MM DRE mstr2dre_eof <= sig_realign_eof_reg ; -- Used by S2MM DRE mstr2dre_cmd_cmplt <= sig_realign_cmd_cmplt_reg ; -- Used by S2MM DRE mstr2dre_calc_error <= sig_realign_calc_err_reg ; -- Used by S2MM DRE -- Store and Forward Support Start Offset (used by Packer/Unpacker logic) mstr2dre_strt_offset <= sig_realign_strt_offset_reg; -- Start internal logic. sig_cmd_user_slice <= cache2mstr_command(7 downto 4); sig_cmd_cache_slice <= cache2mstr_command(3 downto 0); sig_cmd_type_slice <= cmd2mstr_command(CMD_TYPE_INDEX); -- always incrementing (per Interface_X guidelines) sig_cmd_addr_slice <= cmd2mstr_command(CMD_ADDR_MS_INDEX downto CMD_ADDR_LS_INDEX); sig_cmd_tag_slice <= cmd2mstr_command(CMD_TAG_MS_INDEX downto CMD_TAG_LS_INDEX); sig_cmd_btt_slice <= cmd2mstr_command(CMD_BTT_MS_INDEX downto CMD_BTT_LS_INDEX); sig_cmd_dsa_slice <= cmd2mstr_command(CMD_DSA_MS_INDEX downto CMD_DSA_LS_INDEX); sig_cmd_drr_slice <= cmd2mstr_command(CMD_DRR_INDEX); sig_cmd_eof_slice <= cmd2mstr_command(CMD_EOF_INDEX); -- Check for a zero length BTT (error condition) sig_btt_is_zero <= '1' when (sig_cmd_btt_slice = BTT_ZEROS) Else '0'; sig_xfer_size <= SIZE_TO_USE; ----------------------------------------------------------------- -- Reset fanout control ----------------------------------------------------------------- ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RESET_REG -- -- Process Description: -- Registers the input reset to reduce fanout. This module -- has a high number of register bits to reset. -- ------------------------------------------------------------- IMP_RESET_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then sig_mmap_reset_reg <= mmap_reset; end if; end process IMP_RESET_REG; ----------------------------------------------------------------- -- Input Parent command Register design sig_push_input_reg <= not(sig_psm_halt) and cmd2mstr_cmd_valid and sig_input_reg_empty and not(sig_calc_error_reg); sig_pop_input_reg <= sig_psm_pop_input_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_INPUT_QUAL -- -- Process Description: -- Implements the input command qualifier holding register -- used by the parent Command calculation. ------------------------------------------------------------- HIGH_STREAM_WIDTH_REG_GEN : if (C_STREAM_DWIDTH >= 128) generate begin REG_INPUT_DUP_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_input_reg = '1') then sig_input_addr_reg1 <= (others => '0'); elsif (sig_push_input_reg = '1') then sig_input_addr_reg1 <= sig_cmd_addr_slice; else null; -- Hold current State end if; end if; end process REG_INPUT_DUP_QUAL; end generate HIGH_STREAM_WIDTH_REG_GEN; REG_INPUT_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_input_reg = '1') then sig_input_cache_type_reg <= (others => '0'); sig_input_user_type_reg <= (others => '0'); sig_input_addr_reg <= (others => '0'); sig_input_burst_type_reg <= '0'; sig_input_tag_reg <= (others => '0'); sig_input_dsa_reg <= (others => '0'); sig_input_drr_reg <= '0'; sig_input_eof_reg <= '0'; sig_input_reg_empty <= '1'; sig_input_reg_full <= '0'; elsif (sig_push_input_reg = '1') then sig_input_cache_type_reg <= sig_cmd_cache_slice; sig_input_user_type_reg <= sig_cmd_user_slice; sig_input_addr_reg <= sig_cmd_addr_slice; sig_input_burst_type_reg <= sig_cmd_type_slice; sig_input_tag_reg <= sig_cmd_tag_slice; sig_input_dsa_reg <= sig_cmd_dsa_slice; sig_input_drr_reg <= sig_cmd_drr_slice; sig_input_eof_reg <= sig_cmd_eof_slice; sig_input_reg_empty <= '0'; sig_input_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_INPUT_QUAL; ------------------------------------------------------------------------- -- SFCC Parent State machine Logic ------------------------------------------------------------- -- Combinational Process -- -- Label: PARENT_SM_COMBINATIONAL -- -- Process Description: -- SFCC Parent State Machine combinational implementation, -- This state machine controls the loading of commands into -- the Realigner block. There is a maximum of two cmds per -- DataMover input command to be loaded in the realigner. -- ------------------------------------------------------------- PARENT_SM_COMBINATIONAL : process (sig_psm_state , sig_push_input_reg , sig_calc_error_reg , sig_first_realigner_cmd , sig_skip_align2mbaa , sig_skip_align2mbaa_s_h , sig_realign_reg_empty , sig_child_cmd_reg_full) begin -- SM Defaults sig_psm_state_ns <= P_INIT; sig_psm_halt_ns <= '0' ; sig_psm_pop_input_cmd_ns <= '0' ; sig_psm_ld_calc1_ns <= '0' ; sig_psm_ld_calc2_ns <= '0' ; sig_psm_ld_realigner_reg_ns <= '0' ; sig_psm_ld_chcmd_reg_ns <= '0' ; case sig_psm_state is -------------------------------------------- when P_INIT => sig_psm_state_ns <= P_WAIT_FOR_CMD; sig_psm_halt_ns <= '1'; -------------------------------------------- when P_WAIT_FOR_CMD => If (sig_push_input_reg = '1') Then sig_psm_state_ns <= P_LD_FIRST_CMD; else sig_psm_state_ns <= P_WAIT_FOR_CMD; End if; -------------------------------------------- when P_LD_FIRST_CMD => -- (load first Realigner Command) If (sig_realign_reg_empty = '1') Then sig_psm_state_ns <= P_LD_CHILD_CMD; --EXTRA; sig_psm_ld_realigner_reg_ns <= '1'; sig_psm_ld_calc1_ns <= '1'; else sig_psm_state_ns <= P_LD_FIRST_CMD; End if; -- when EXTRA => -- sig_psm_state_ns <= P_LD_CHILD_CMD; -- sig_psm_ld_realigner_reg_ns <= '1'; -- sig_psm_ld_calc1_ns <= '1'; -------------------------------------------- when P_LD_CHILD_CMD => -- (load Child Command Register) If (sig_child_cmd_reg_full = '1') Then sig_psm_state_ns <= P_LD_CHILD_CMD; Elsif (sig_calc_error_reg = '1') Then sig_psm_state_ns <= P_ERROR_TRAP; sig_psm_ld_chcmd_reg_ns <= '1' ; Elsif ((sig_skip_align2mbaa = '1' and sig_first_realigner_cmd = '1') or sig_skip_align2mbaa_s_h = '1') Then sig_psm_state_ns <= P_WAIT_FOR_CMD; sig_psm_ld_chcmd_reg_ns <= '1' ; sig_psm_pop_input_cmd_ns <= '1' ; else sig_psm_state_ns <= P_LD_LAST_CMD; sig_psm_ld_chcmd_reg_ns <= '1'; End if; -------------------------------------------- when P_LD_LAST_CMD => -- (load second Realigner Command if needed) If (sig_realign_reg_empty = '1') Then sig_psm_state_ns <= P_WAIT_FOR_CMD; --EXTRA2; sig_psm_ld_realigner_reg_ns <= '1' ; sig_psm_ld_calc2_ns <= '1' ; sig_psm_pop_input_cmd_ns <= '1' ; else sig_psm_state_ns <= P_LD_LAST_CMD; End if; -- when EXTRA2 => -- sig_psm_state_ns <= P_WAIT_FOR_CMD; -- sig_psm_ld_realigner_reg_ns <= '1' ; -- sig_psm_ld_calc2_ns <= '1' ; -- sig_psm_pop_input_cmd_ns <= '1' ; -------------------------------------------- when P_ERROR_TRAP => sig_psm_state_ns <= P_ERROR_TRAP; sig_psm_halt_ns <= '1'; -------------------------------------------- when others => sig_psm_state_ns <= P_INIT; end case; end process PARENT_SM_COMBINATIONAL; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SFCC_SM_REGISTERED -- -- Process Description: -- SFCC State Machine registered implementation -- ------------------------------------------------------------- SFCC_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_psm_state <= P_INIT; sig_psm_halt <= '1' ; sig_psm_pop_input_cmd <= '0' ; sig_psm_ld_calc1 <= '0' ; sig_psm_ld_calc2 <= '0' ; sig_psm_ld_realigner_reg <= '0' ; sig_psm_ld_chcmd_reg <= '0' ; else sig_psm_state <= sig_psm_state_ns ; sig_psm_halt <= sig_psm_halt_ns ; sig_psm_pop_input_cmd <= sig_psm_pop_input_cmd_ns ; sig_psm_ld_calc1 <= sig_psm_ld_calc1_ns ; sig_psm_ld_calc2 <= sig_psm_ld_calc2_ns ; sig_psm_ld_realigner_reg <= sig_psm_ld_realigner_reg_ns ; sig_psm_ld_chcmd_reg <= sig_psm_ld_chcmd_reg_ns ; end if; end if; end process SFCC_SM_REGISTERED; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FIRST_REALIGNER_CMD -- -- Process Description: -- Implements the register flop signalling the first realigner -- transfer flag. The Realigner is loaded with 1 command if -- the starting address is aligned to the mbaa, else two -- commands are required. -- ------------------------------------------------------------- IMP_FIRST_REALIGNER_CMD : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_psm_ld_realigner_reg = '1' and sig_push_input_reg = '0')) then sig_first_realigner_cmd <= '0'; elsif (sig_push_input_reg = '1') then sig_first_realigner_cmd <= '1'; else null; -- hold current state end if; end if; end process IMP_FIRST_REALIGNER_CMD; -------------------------------------------------------------- -- Parent BTT Counter Logic (for Realigner cmd calc) sig_ld_btt_cntr <= sig_push_input_reg; sig_decr_btt_cntr <= sig_push_realign_reg; -- Rip the BTT residue field from the BTT counter value sig_btt_residue_slice <= sig_btt_cntr(BTT_RESIDUE_WIDTH-1 downto 0); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_BTT_CNTR -- -- Process Description: -- Bytes to transfer counter implementation. This is only used -- to set up the Realigner commands. -- ------------------------------------------------------------- IMP_BTT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_btt_cntr <= (others => '0'); elsif (sig_ld_btt_cntr = '1') then sig_btt_cntr <= UNSIGNED(sig_cmd_btt_slice); Elsif (sig_decr_btt_cntr = '1') Then sig_btt_cntr <= sig_btt_cntr-UNSIGNED(sig_realigner_btt2); else null; -- hold current state end if; end if; end process IMP_BTT_CNTR; IMP_BTT_CNTR2 : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_realigner_btt2 <= (others => '0'); Else sig_realigner_btt2 <= sig_realigner_btt; end if; end if; end process IMP_BTT_CNTR2; -- Convert to logic vector for the S2MM DRE use -- The DRE will only use this value prior to the first -- decrement of the BTT Counter. Using this saves a separate -- BTT register. -- sig_realigner_btt <= STD_LOGIC_VECTOR(RESIZE(sig_child_addr_cntr_incr, CMD_BTT_WIDTH)) sig_realigner_btt <= STD_LOGIC_VECTOR(sig_realign_btt_cntr_decr) when (sig_first_realigner_cmd = '1' and sig_skip_align2mbaa = '0') else STD_LOGIC_VECTOR(sig_btt_cntr); ----------------- Parent Address Calculations ------------------------------ HIGH_STREAM_WIDTH : if (C_STREAM_DWIDTH >= 128) generate begin sig_mbaa_addr_cntr_slice <= UNSIGNED(sig_input_addr_reg1(MBAA_ADDR_SLICE_WIDTH-1 downto 0)); end generate HIGH_STREAM_WIDTH; LOW_STREAM_WIDTH : if (C_STREAM_DWIDTH < 128) generate begin sig_mbaa_addr_cntr_slice <= UNSIGNED(sig_input_addr_reg(MBAA_ADDR_SLICE_WIDTH-1 downto 0)); end generate LOW_STREAM_WIDTH; -- Check to see if the starting address is already aligned to Max Burst byte aligned -- boubdary sig_addr_aligned <= '1' when (sig_mbaa_addr_cntr_slice = BTT_RESIDUE_0) Else '0'; -- Calculate the distance in bytes from the starting address to the next max -- burst aligned address boundary sig_bytes_to_mbaa <= TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) When (sig_addr_aligned = '1') else TO_UNSIGNED(BYTES_PER_MAX_BURST, ADDR_CNTR_WIDTH) - RESIZE(sig_mbaa_addr_cntr_slice,ADDR_CNTR_WIDTH); sig_btt_upper_slice <= sig_btt_cntr(BTT_UPPER_MS_INDEX downto BTT_UPPER_LS_INDEX); sig_btt_upper_eq_0 <= '1' When (sig_btt_upper_slice = BTT_UPPER_ZERO) or (BTT_RESIDUE_WIDTH = CMD_BTT_WIDTH) Else '0'; sig_btt_lt_b2mbaa <= '1' when ((RESIZE(sig_btt_residue_slice, ADDR_CNTR_WIDTH) < sig_bytes_to_mbaa) and (sig_first_realigner_cmd = '1') and (sig_btt_upper_eq_0 = '1')) Else '0'; sig_btt_eq_b2mbaa <= '1' when ((RESIZE(sig_btt_residue_slice, ADDR_CNTR_WIDTH) = sig_bytes_to_mbaa) and (sig_first_realigner_cmd = '1') and (sig_btt_upper_eq_0 = '1')) Else '0'; -- This signal used to flag if the SFCC can skip the initial split and -- align process to get subsequent burst starting addresses aligned to -- the Max burst aligned address boundary (needed to support the 4k byte -- boundary crossing guard function). sig_skip_align2mbaa <= '1' when (sig_addr_aligned = '1' or sig_btt_lt_b2mbaa = '1' or sig_btt_eq_b2mbaa = '1' or sig_calc_error_reg = '1') Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKIP_ALIGN_FLOP -- -- Process Description: -- Just a FLOP to sample and hold the flag indicating that a -- aligment to a Max Burst align address is not required. This -- is used by the parent command state machine. -- ------------------------------------------------------------- SKIP_ALIGN_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_psm_ld_chcmd_reg = '1' and sig_psm_ld_realigner_reg = '0')) then sig_skip_align2mbaa_s_h <= '0'; elsif (sig_psm_ld_realigner_reg = '1') then sig_skip_align2mbaa_s_h <= sig_skip_align2mbaa; else null; -- Hold current state end if; end if; end process SKIP_ALIGN_FLOP; -- Select the Realigner BTT counter decrement value to use sig_realign_btt_cntr_decr <= RESIZE(sig_btt_residue_slice, CMD_BTT_WIDTH) When (sig_first_realigner_cmd = '1' and (sig_btt_lt_b2mbaa = '1' or sig_btt_eq_b2mbaa = '1')) else RESIZE(sig_bytes_to_mbaa, CMD_BTT_WIDTH) when (sig_first_realigner_cmd = '1' and sig_skip_align2mbaa = '0') else sig_btt_cntr; ----------------------------------------------------------------- -- Realigner Qualifier Register design sig_dre_dest_align <= sig_input_addr_reg(C_DRE_ALIGN_WIDTH-1 downto 0) When (sig_psm_ld_calc1 = '1') -- Specified starting addr offset Else (others => '0'); -- Second command is always aligned to addr offset 0 sig_realign_strt_offset <= sig_input_addr_reg(SF_OFFSET_MS_INDEX downto SF_OFFSET_LS_INDEX) When (sig_psm_ld_calc1 = '1') -- Specified starting addr offset used for IBTT Upsizer Else (others => '0'); -- Second command is always aligned to addr offset 0 sig_cmd2dre_valid <= sig_realign_reg_full; sig_push_realign_reg <= sig_psm_ld_realigner_reg; -- a clock of latency sig_pop_realign_reg <= sig_cmd2dre_valid and dre2mstr_cmd_ready; -- Realigner taking xfer ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_REALIGNER_QUAL -- -- Process Description: -- Implements the output Realigner qualifier holding register -- for the Realigner Block used with the Store and Forward -- module. -- ------------------------------------------------------------- REG_REALIGNER_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_pop_realign_reg = '1' and sig_push_realign_reg = '0')) then sig_realign_tag_reg <= (others => '0'); sig_realign_src_align_reg <= (others => '0'); sig_realign_dest_align_reg <= (others => '0'); sig_realign_btt_reg <= (others => '0'); sig_realign_drr_reg <= '0'; sig_realign_eof_reg <= '0'; sig_realign_cmd_cmplt_reg <= '0'; sig_realign_calc_err_reg <= '0'; sig_realign_strt_offset_reg <= (others => '0'); sig_realign_reg_empty <= '1'; sig_realign_reg_full <= '0'; elsif (sig_push_realign_reg = '1') then sig_realign_tag_reg <= sig_input_tag_reg ; sig_realign_src_align_reg <= sig_input_dsa_reg(C_DRE_ALIGN_WIDTH-1 downto 0); sig_realign_dest_align_reg <= sig_dre_dest_align ; sig_realign_btt_reg <= sig_realigner_btt2 ; sig_realign_drr_reg <= sig_input_drr_reg and sig_first_realigner_cmd ; sig_realign_eof_reg <= (sig_input_eof_reg and sig_skip_align2mbaa and sig_first_realigner_cmd) or (sig_input_eof_reg and not(sig_first_realigner_cmd)); sig_realign_cmd_cmplt_reg <= (sig_skip_align2mbaa and sig_first_realigner_cmd) or not(sig_first_realigner_cmd) or sig_calc_error_reg ; sig_realign_calc_err_reg <= sig_calc_error_reg ; sig_realign_strt_offset_reg <= sig_realign_strt_offset; sig_realign_reg_empty <= '0'; sig_realign_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_REALIGNER_QUAL; ---------------------------------------------------------------------- -- Parent Calculation Error Logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_CALC_ERROR_FLOP -- -- Process Description: -- Implements the flop for the Calc Error flag, Once set, -- the flag cannot be cleared until a reset is issued. -- ------------------------------------------------------------- IMP_CALC_ERROR_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_calc_error_reg <= '0'; elsif (sig_push_input_reg = '1' and sig_calc_error_reg = '0') then sig_calc_error_reg <= sig_btt_is_zero; else Null; -- hold the current state end if; end if; end process IMP_CALC_ERROR_FLOP; ----------------------------------------------------------------- -- Child transfer command register design sig_push_child_cmd_reg <= sig_psm_ld_chcmd_reg; sig_pop_child_cmd_reg <= sig_csm_pop_child_cmd; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CHILD_CMD_REG -- -- Process Description: -- Implements the Child command holding register -- loaded by the Parent State Machine. This is a -- 1 deep fifo-like command queue. ------------------------------------------------------------- CHILD_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_pop_child_cmd_reg = '1') then sig_child_tag_reg <= (others => '0'); sig_child_addr_reg <= (others => '0'); sig_child_burst_type_reg <= '0'; sig_child_cache_type_reg <= (others => '0'); sig_child_user_type_reg <= (others => '0'); sig_needed_2_realign_cmds <= '0'; sig_child_error_reg <= '0'; sig_child_cmd_reg_empty <= '1'; sig_child_cmd_reg_full <= '0'; elsif (sig_push_child_cmd_reg = '1') then sig_child_tag_reg <= sig_input_tag_reg ; sig_child_addr_reg <= sig_input_addr_reg; sig_child_burst_type_reg <= sig_input_burst_type_reg; sig_child_cache_type_reg <= sig_input_cache_type_reg; sig_child_user_type_reg <= sig_input_user_type_reg; sig_needed_2_realign_cmds <= not(sig_skip_align2mbaa_s_h); sig_child_error_reg <= sig_calc_error_reg; sig_child_cmd_reg_empty <= '0'; sig_child_cmd_reg_full <= '1'; else null; -- Hold current State end if; end if; end process CHILD_CMD_REG; sig_ld_child_qual_reg <= sig_pop_child_cmd_reg; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CHILD_QUAL_REG -- -- Process Description: -- Implements the child intermediate command qualifier holding -- register. -- ------------------------------------------------------------- CHILD_QUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_child_qual_tag_reg <= (others => '0'); sig_child_qual_burst_type <= '0'; sig_child_qual_cache_type <= (others => '0'); sig_child_qual_user_type <= (others => '0'); sig_child_qual_error_reg <= '0'; elsif (sig_ld_child_qual_reg = '1') then sig_child_qual_tag_reg <= sig_child_tag_reg ; sig_child_qual_burst_type <= sig_child_burst_type_reg ; sig_child_qual_cache_type <= sig_child_cache_type_reg ; sig_child_qual_user_type <= sig_child_user_type_reg ; sig_child_qual_error_reg <= sig_child_error_reg; else null; -- Hold current State end if; end if; end process CHILD_QUAL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CHILD_QUAL_DBL_CMD_REG -- -- Process Description: -- Implements the child intermediate command qualifier holding -- register. -- ------------------------------------------------------------- CHILD_QUAL_DBL_CMD_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_csm_pop_sf_fifo = '1' and sig_sf2pcc_cmd_cmplt = '1')) then sig_child_qual_first_of_2 <= '0'; elsif (sig_ld_child_qual_reg = '1') then sig_child_qual_first_of_2 <= sig_needed_2_realign_cmds; else null; -- Hold current State end if; end if; end process CHILD_QUAL_DBL_CMD_REG; ------------------------------------------------------------------ -- Data and Address Controller Transfer Register Load Enable logic sig_last_s_f_xfer_ld <= sig_push_xfer_reg and sig_sf2pcc_cmd_cmplt; ------------------------------------------------------------------------- -- SFCC Child State machine Logic ------------------------------------------------------------- -- Combinational Process -- -- Label: CHILD_STATE_MACHINE_COMB -- -- Process Description: -- Implements the combinational portion of the Child Command -- processing state machine. -- ------------------------------------------------------------- CHILD_STATE_MACHINE_COMB : process (sig_csm_state , sig_child_cmd_reg_full , sig_sf2pcc_xfer_valid , sig_child_error_reg , sig_cmd2data_valid , sig_cmd2addr_valid , sig_child_qual_first_of_2 , sig_sf2pcc_cmd_cmplt , sig_sf2pcc_packet_eop) begin -- Set defaults sig_csm_state_ns <= CH_INIT; sig_csm_ld_xfer_ns <= '0'; sig_csm_pop_sf_fifo_ns <= '0'; sig_csm_pop_child_cmd_ns <= '0'; case sig_csm_state is ----------------------------------------------------- when CH_INIT => sig_csm_state_ns <= WAIT_FOR_PCMD; ----------------------------------------------------- when WAIT_FOR_PCMD => If (sig_child_error_reg = '1' and sig_child_cmd_reg_full = '1') Then sig_csm_state_ns <= CH_ERROR_TRAP1; sig_csm_pop_child_cmd_ns <= '1'; elsif (sig_child_cmd_reg_full = '1') Then sig_csm_state_ns <= CH_WAIT_FOR_SF_CMD; sig_csm_pop_child_cmd_ns <= '1'; Else sig_csm_state_ns <= WAIT_FOR_PCMD; End if; ----------------------------------------------------- when CH_WAIT_FOR_SF_CMD => If (sig_sf2pcc_xfer_valid = '1') Then sig_csm_state_ns <= CH_LD_CHILD_CMD; Else sig_csm_state_ns <= CH_WAIT_FOR_SF_CMD; End if; ----------------------------------------------------- when CH_LD_CHILD_CMD => If (sig_cmd2data_valid = '0' and sig_cmd2addr_valid = '0') Then sig_csm_state_ns <= CH_CHK_IF_DONE; sig_csm_ld_xfer_ns <= '1'; sig_csm_pop_sf_fifo_ns <= '1'; Else sig_csm_state_ns <= CH_LD_CHILD_CMD; End if; ----------------------------------------------------- when CH_CHK_IF_DONE => If (sig_sf2pcc_cmd_cmplt = '1' and (sig_child_qual_first_of_2 = '0' or sig_sf2pcc_packet_eop = '1')) Then -- done sig_csm_state_ns <= WAIT_FOR_PCMD; else -- more SF child commands coming from the parent command sig_csm_state_ns <= CH_WAIT_FOR_SF_CMD; end if; ----------------------------------------------------- when CH_ERROR_TRAP1 => If (sig_cmd2data_valid = '0' and sig_cmd2addr_valid = '0') Then sig_csm_state_ns <= CH_ERROR_TRAP2; sig_csm_ld_xfer_ns <= '1'; Else sig_csm_state_ns <= CH_ERROR_TRAP1; End if; ----------------------------------------------------- when CH_ERROR_TRAP2 => sig_csm_state_ns <= CH_ERROR_TRAP2; ----------------------------------------------------- when others => sig_csm_state_ns <= CH_INIT; end case; end process CHILD_STATE_MACHINE_COMB; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CHILD_SM_REGISTERED -- -- Process Description: -- Child State Machine registered implementation -- ------------------------------------------------------------- CHILD_SM_REGISTERED : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_csm_state <= CH_INIT; sig_csm_ld_xfer <= '0' ; sig_csm_pop_sf_fifo <= '0' ; sig_csm_pop_child_cmd <= '0' ; else sig_csm_state <= sig_csm_state_ns ; sig_csm_ld_xfer <= sig_csm_ld_xfer_ns ; sig_csm_pop_sf_fifo <= sig_csm_pop_sf_fifo_ns ; sig_csm_pop_child_cmd <= sig_csm_pop_child_cmd_ns ; end if; end if; end process CHILD_SM_REGISTERED; ---------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------- -- -- General Address Counter Logic (applies to any address width of 32 or greater -- The address counter is divided into 2 16-bit segements for 32-bit address support. As the -- address gets wider, up to 2 more segements will be added via IfGens to provide for 64-bit -- addressing. -- ---------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------- -- Address Counter logic sig_ld_child_addr_cntr <= sig_ld_child_qual_reg; -- don't increment address cntr if type is '0' (non-incrementing) sig_incr_child_addr_cntr <= sig_push_xfer_reg and sig_child_qual_burst_type; -- Unaligned address compensation -- Add the number of starting address offset byte positions to the -- final byte change value needed to calculate the AXI LEN field sig_start_addr_offset_slice <= sig_child_addr_cntr_lsh(DBEAT_RESIDUE_WIDTH-1 downto 0); sig_adjusted_addr_incr <= RESIZE(UNSIGNED(sig_sf2pcc_xfer_bytes), ADDR_CNTR_WIDTH) + RESIZE(sig_start_addr_offset_slice, ADDR_CNTR_WIDTH); -- Select the address counter increment value to use sig_child_addr_cntr_incr <= RESIZE(UNSIGNED(sig_sf2pcc_xfer_bytes), ADDR_CNTR_WIDTH); -- bytes received value plus the addr -- offset. -- adjust the address increment down by 1 byte to compensate -- for the LEN requirement of being N-1 data beats sig_byte_change_minus1 <= sig_adjusted_addr_incr-ADDR_CNTR_ONE; -- Rip the new transfer length value sig_xfer_len <= STD_LOGIC_VECTOR( RESIZE( sig_byte_change_minus1(BTT_RESIDUE_WIDTH-1 downto DBEAT_RESIDUE_WIDTH), LEN_WIDTH) ); -- calculate the next starting address after the current -- xfer completes sig_predict_child_addr_lsh <= sig_child_addr_cntr_lsh + sig_child_addr_cntr_incr; sig_predict_child_addr_lsh_slv <= STD_LOGIC_VECTOR(sig_predict_child_addr_lsh); sig_child_addr_cntr_lsh_slv <= STD_LOGIC_VECTOR(sig_child_addr_cntr_lsh); -- Determine if an address count lsh rollover is going to occur when -- jumping to the next starting address by comparing the MS bit of the -- current address lsh to the MS bit of the predicted address lsh . -- A transition of a '1' to a '0' is a rollover. sig_child_addr_lsh_rollover <= '1' when ( (sig_child_addr_cntr_lsh_slv(ADDR_CNTR_WIDTH-1) = '1') and (sig_predict_child_addr_lsh_slv(ADDR_CNTR_WIDTH-1) = '0') ) Else '0'; -- sig_child_addr_msh_eq_max <= '1' -- when (sig_child_addr_cntr_msh = ADDR_CNTR_MAX_VALUE) -- Else '0'; -- sig_child_addr_msh_rollover <= sig_child_addr_msh_eq_max and -- sig_child_addr_lsh_rollover; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_ADDR_STUFF -- -- Process Description: -- Implements a general register for address counter related -- things. -- ------------------------------------------------------------- REG_ADDR_STUFF : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_child_addr_lsh_rollover_reg <= '0'; --sig_child_addr_msh_rollover_reg <= '0'; --sig_child_addr_msh_eq_max_reg <= '0'; --sig_adjusted_child_addr_incr_reg <= (others => '0'); else sig_child_addr_lsh_rollover_reg <= sig_child_addr_lsh_rollover ; --sig_child_addr_msh_rollover_reg <= sig_child_addr_msh_rollover ; --sig_child_addr_msh_eq_max_reg <= sig_child_addr_msh_eq_max ; --sig_adjusted_child_addr_incr_reg <= sig_adjusted_addr_incr; end if; end if; end process REG_ADDR_STUFF; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_LSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_LSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_child_addr_cntr_lsh <= (others => '0'); elsif (sig_ld_child_addr_cntr = '1') then sig_child_addr_cntr_lsh <= UNSIGNED(sig_child_addr_reg(ADDR_CNTR_WIDTH-1 downto 0)); Elsif (sig_incr_child_addr_cntr = '1') Then sig_child_addr_cntr_lsh <= sig_predict_child_addr_lsh; else null; -- hold current state end if; end if; end process IMP_LSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_MSH_ADDR_CNTR -- -- Process Description: -- Least Significant Half Address counter implementation. -- ------------------------------------------------------------- IMP_MSH_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then sig_child_addr_cntr_msh <= (others => '0'); elsif (sig_ld_child_addr_cntr = '1') then sig_child_addr_cntr_msh <= UNSIGNED(sig_child_addr_reg((2*ADDR_CNTR_WIDTH)-1 downto ADDR_CNTR_WIDTH)); Elsif (sig_incr_child_addr_cntr = '1' and sig_child_addr_lsh_rollover_reg = '1') Then sig_child_addr_cntr_msh <= sig_child_addr_cntr_msh+ADDR_CNTR_ONE; else null; -- hold current state end if; end if; end process IMP_MSH_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_FIRST_XFER_FLOP -- -- Process Description: -- Implements the register flop for the first transfer flag. -- ------------------------------------------------------------- IMP_FIRST_XFER_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_incr_child_addr_cntr = '1') then sig_first_child_xfer <= '0'; elsif (sig_ld_child_addr_cntr = '1') then sig_first_child_xfer <= '1'; else null; -- hold current state end if; end if; end process IMP_FIRST_XFER_FLOP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_32 -- -- If Generate Description: -- Implements the Address segment merge logic for the 32-bit -- address width case. The address counter segments are split -- into two 16-bit sections to improve Fmax convergence. -- -- ------------------------------------------------------------ GEN_ADDR_32 : if (C_ADDR_WIDTH = 32) generate begin -- Populate the transfer address value by concatonating the -- address counter segments sig_xfer_address <= STD_LOGIC_VECTOR(sig_child_addr_cntr_msh) & STD_LOGIC_VECTOR(sig_child_addr_cntr_lsh); end generate GEN_ADDR_32; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_32_LE_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 32 bits and less than -- or equal to 48 bits. In this case, an additional counter segment -- is implemented (segment 3) that is variable width of 1 -- to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_32_LE_48 : if (C_ADDR_WIDTH > 32 and C_ADDR_WIDTH <= 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := C_ADDR_WIDTH-32; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant SEG3_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; begin -- Populate the transfer address value by concatonating the -- 3 address counter segments sig_xfer_address <= STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_child_addr_cntr_msh) & STD_LOGIC_VECTOR(sig_child_addr_cntr_lsh); -- See if the MSH (Segment 2) of the Adress Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_child_addr_cntr_msh = ACNTR_MSH_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter MSH (Segment 2) is at max value and will rollover -- at the next increment interval for the counter. Registering -- this signal and using it for the Seg 3 increment logic only -- works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 counter to go to -- max and the next increment operation that can bump segment 3. -- ------------------------------------------------------------- IMP_SEG2_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; end if; end if; end process IMP_SEG2_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_child_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_child_addr_reg(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_child_addr_cntr = '1' and sig_child_addr_lsh_rollover = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; end generate GEN_ADDR_GT_32_LE_48; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_GT_48 -- -- If Generate Description: -- Implements the additional Address Counter logic for the case -- when the address width is greater than 48 bits and less than -- or equal to 64. In this case, an additional 2 counter segments -- are implemented (segment 3 and 4). Segment 3 is a fixed 16-bits -- and segment 4 is variable width of 1 to 16 bits. -- ------------------------------------------------------------ GEN_ADDR_GT_48 : if (C_ADDR_WIDTH > 48) generate -- Local constants Constant ACNTR_SEG3_WIDTH : integer := ADDR_CNTR_WIDTH; Constant ACNTR_SEG3_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG3_WIDTH); Constant ACNTR_SEG3_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_MSH_MAX : unsigned(ADDR_CNTR_WIDTH-1 downto 0) := (others => '1'); Constant ACNTR_SEG4_WIDTH : integer := C_ADDR_WIDTH-48; Constant ACNTR_SEG4_ONE : unsigned := TO_UNSIGNED(1, ACNTR_SEG4_WIDTH); Constant SEG3_ADDR_RIP_MS_INDEX : integer := 47; Constant SEG3_ADDR_RIP_LS_INDEX : integer := 32; Constant SEG4_ADDR_RIP_MS_INDEX : integer := C_ADDR_WIDTH-1; Constant SEG4_ADDR_RIP_LS_INDEX : integer := 48; -- Local Signals signal lsig_seg3_addr_cntr : unsigned(ACNTR_SEG3_WIDTH-1 downto 0) := (others => '0'); signal lsig_acntr_msh_eq_max : std_logic := '0'; signal lsig_acntr_msh_eq_max_reg : std_logic := '0'; signal lsig_acntr_seg3_eq_max : std_logic := '0'; signal lsig_acntr_seg3_eq_max_reg : std_logic := '0'; signal lsig_seg4_addr_cntr : unsigned(ACNTR_SEG4_WIDTH-1 downto 0) := (others => '0'); begin -- Populate the transfer address value by concatonating the -- 4 address counter segments sig_xfer_address <= STD_LOGIC_VECTOR(lsig_seg4_addr_cntr ) & STD_LOGIC_VECTOR(lsig_seg3_addr_cntr ) & STD_LOGIC_VECTOR(sig_child_addr_cntr_msh) & STD_LOGIC_VECTOR(sig_child_addr_cntr_lsh); -- See if the MSH (Segment 2) of the Address Counter is at a max value lsig_acntr_msh_eq_max <= '1' when (sig_child_addr_cntr_msh = ACNTR_MSH_MAX) Else '0'; -- See if the Segment 3 of the Address Counter is at a max value lsig_acntr_seg3_eq_max <= '1' when (lsig_seg3_addr_cntr = ACNTR_SEG3_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG2_3_EQ_MAX_REG -- -- Process Description: -- Implements a register for the flag indicating the address -- counter segments 2 and 3 are at max value and will rollover -- at the next increment interval for the counter. Registering -- these signals and using themt for the Seg 3/4 increment logic -- only works because there is always at least a 1 clock time gap -- between the increment causing the segment 2 or 3 counter to go -- to max and the next increment operation. -- ------------------------------------------------------------- IMP_SEG2_3_EQ_MAX_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_acntr_msh_eq_max_reg <= '0'; lsig_acntr_seg3_eq_max_reg <= '0'; else lsig_acntr_msh_eq_max_reg <= lsig_acntr_msh_eq_max; lsig_acntr_seg3_eq_max_reg <= lsig_acntr_seg3_eq_max; end if; end if; end process IMP_SEG2_3_EQ_MAX_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG3_ADDR_CNTR -- -- Process Description: -- Segment 3 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG3_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg3_addr_cntr <= (others => '0'); elsif (sig_ld_child_addr_cntr = '1') then lsig_seg3_addr_cntr <= UNSIGNED(sig_child_addr_reg(SEG3_ADDR_RIP_MS_INDEX downto SEG3_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_child_addr_cntr = '1' and sig_child_addr_lsh_rollover = '1' and lsig_acntr_msh_eq_max_reg = '1') then lsig_seg3_addr_cntr <= lsig_seg3_addr_cntr+ACNTR_SEG3_ONE; else null; -- hold current state end if; end if; end process IMP_SEG3_ADDR_CNTR; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEG4_ADDR_CNTR -- -- Process Description: -- Segment 4 of the Address counter implementation. -- ------------------------------------------------------------- IMP_SEG4_ADDR_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1') then lsig_seg4_addr_cntr <= (others => '0'); elsif (sig_ld_child_addr_cntr = '1') then lsig_seg4_addr_cntr <= UNSIGNED(sig_child_addr_reg(SEG4_ADDR_RIP_MS_INDEX downto SEG4_ADDR_RIP_LS_INDEX)); Elsif (sig_incr_child_addr_cntr = '1' and sig_child_addr_lsh_rollover = '1' and lsig_acntr_msh_eq_max_reg = '1' and lsig_acntr_seg3_eq_max_reg = '1') then lsig_seg4_addr_cntr <= lsig_seg4_addr_cntr+ACNTR_SEG4_ONE; else null; -- hold current state end if; end if; end process IMP_SEG4_ADDR_CNTR; end generate GEN_ADDR_GT_48; -- Child Addr and data Cntlr FIFO interface handshake logic ------------------------------ sig_clr_cmd2data_valid <= sig_cmd2data_valid and data2mstr_cmd_ready; sig_clr_cmd2addr_valid <= sig_cmd2addr_valid and addr2mstr_cmd_ready; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2DATA_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Data Controller Module. -- ------------------------------------------------------------- CMD2DATA_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2data_valid = '1') then sig_cmd2data_valid <= '0'; elsif (sig_push_xfer_reg = '1') then sig_cmd2data_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2DATA_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: CMD2ADDR_VALID_FLOP -- -- Process Description: -- Implements the set/reset flop for the Command Valid control -- to the Address Controller Module. -- ------------------------------------------------------------- CMD2ADDR_VALID_FLOP : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_clr_cmd2addr_valid = '1') then sig_cmd2addr_valid <= '0'; elsif (sig_push_xfer_reg = '1') then sig_cmd2addr_valid <= '1'; else null; -- hold current state end if; end if; end process CMD2ADDR_VALID_FLOP; ------------------------------------------------------------------ -- Sequential transfer flag logic ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_SEQ_FLAG -- -- Process Description: -- Sequential transfer flag flop -- The sequential flag is an indication to downstream modules -- (such as Data Controllers) that the following command in the -- transfer queue is address sequential to the current transfer. -- This is used to minimize/eliminate transfer bubbles between -- child transfer boundaries. -- ------------------------------------------------------------- IMP_SEQ_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or sig_push_input_reg = '1') then sig_xfer_is_seq <= '0'; elsif (sig_push_xfer_reg = '1') then sig_xfer_is_seq <= '1'; else null; -- hold current state end if; end if; end process IMP_SEQ_FLAG; ----------------------------------------------------------------- -- Output xfer register design -- Pop the Store and Forward Xfer FIFO under command of the -- Child State Machine sig_pcc2sf_xfer_ready <= sig_csm_pop_sf_fifo; sig_push_xfer_reg <= sig_csm_ld_xfer; sig_pop_xfer_reg <= (sig_clr_cmd2data_valid and not(sig_cmd2addr_valid)) or -- Data taking xfer after Addr (sig_clr_cmd2addr_valid and not(sig_cmd2data_valid)) or -- Addr taking xfer after Data (sig_clr_cmd2data_valid and sig_clr_cmd2addr_valid); -- Addr and Data both taking xfer -- SFCC Simplifications -- sig_last_xfer_valid <= sig_sf2pcc_cmd_cmplt; sig_last_xfer_valid <= sig_sf2pcc_cmd_cmplt and -- from Store and forward (not(sig_child_qual_first_of_2) or sig_sf2pcc_packet_eop ); -- DRE Stuff is sent via the Realigner command, sig_xfer_drr_reg <= '0'; -- not used here -- --------------------------------------------------------------------- -- Strobe Generator Logic -- Actual Strobes used are sent directly to the Data Controller from -- Store and Forward module. Set these Strobe values to all ones in -- this module. sig_xfer_strt_strb_reg <= (others => '1'); sig_xfer_end_strb_reg <= (others => '1'); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_CHILD_XFER_QUAL -- -- Process Description: -- Implements the child command output xfer qualifier -- holding register. -- ------------------------------------------------------------- REG_CHILD_XFER_QUAL : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_mmap_reset_reg = '1' or (sig_pop_xfer_reg = '1' and sig_push_xfer_reg = '0')) then sig_xfer_cache_reg <= (others => '0'); sig_xfer_user_reg <= (others => '0'); sig_xfer_tag_reg <= (others => '0'); sig_xfer_addr_reg <= (others => '0'); sig_xfer_len_reg <= (others => '0'); sig_xfer_eof_reg <= '0'; sig_xfer_is_seq_reg <= '0'; sig_xfer_cmd_cmplt_reg <= '0'; sig_xfer_calc_err_reg <= '0'; sig_xfer_type_reg <= '0'; sig_xfer_reg_empty <= '1'; sig_xfer_reg_full <= '0'; elsif (sig_push_xfer_reg = '1') then sig_xfer_cache_reg <= sig_child_qual_cache_type ; sig_xfer_user_reg <= sig_child_qual_user_type ; sig_xfer_tag_reg <= sig_child_qual_tag_reg ; sig_xfer_addr_reg <= sig_xfer_address ; sig_xfer_len_reg <= sig_xfer_len ; sig_xfer_eof_reg <= sf2pcc_packet_eop ; sig_xfer_is_seq_reg <= not(sig_last_xfer_valid) ; sig_xfer_cmd_cmplt_reg <= sig_last_xfer_valid or sig_child_qual_error_reg ; sig_xfer_calc_err_reg <= sig_child_qual_error_reg ; sig_xfer_type_reg <= sig_child_qual_burst_type ; sig_xfer_reg_empty <= '0'; sig_xfer_reg_full <= '1'; else null; -- Hold current State end if; end if; end process REG_CHILD_XFER_QUAL; end implementation;
gpl-3.0