repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
nickg/nvc
test/regress/issue376.vhd
2
1950
entity decoder is port( i_bcd : in bit_vector(3 downto 0); -- bcd input o_display : out bit_vector(6 downto 0) -- display output ); end entity; library ieee; use ieee.numeric_bit.all; architecture rtl of decoder is constant D_ZERO : bit_vector(6 downto 0) := "1111110"; constant D_ONE : bit_vector(6 downto 0) := "0110000"; constant D_TWO : bit_vector(6 downto 0) := "1101101"; constant D_THREE : bit_vector(6 downto 0) := "1111001"; constant D_FOUR : bit_vector(6 downto 0) := "0110011"; constant D_FIVE : bit_vector(6 downto 0) := "1011011"; constant D_SIX : bit_vector(6 downto 0) := "1011111"; constant D_SEVEN : bit_vector(6 downto 0) := "1110000"; constant D_EIGHT : bit_vector(6 downto 0) := "1111111"; constant D_NINE : bit_vector(6 downto 0) := "1111011"; constant D_E : bit_vector(6 downto 0) := "1111001"; type t_decoder_arr is array (0 to 15) of bit_vector(6 downto 0); constant decoder_arr : t_decoder_arr := (D_ZERO, D_ONE, D_TWO, D_THREE, D_FOUR, D_FIVE, D_SIX, D_SEVEN, D_EIGHT, D_NINE, D_E, D_E, D_E, D_E, D_E, D_E); begin -- architecture with to_integer(unsigned(i_bcd)) select o_display <= decoder_arr(to_integer(unsigned(i_bcd))) when 0 to 15, D_E when others; end architecture; ------------------------------------------------------------------------------- entity issue376 is end entity; architecture test of issue376 is signal i_bcd : bit_vector(3 downto 0); signal o_display : bit_vector(6 downto 0); begin decoder_1: entity work.decoder port map ( i_bcd => i_bcd, o_display => o_display); process is begin i_bcd <= "0000"; wait for 1 ns; assert o_display = "1111110"; i_bcd <= "0001"; wait for 1 ns; assert o_display = "0110000"; wait; end process; end architecture;
gpl-3.0
audiocircuit/NCSU-Low-Power-RFID
OLD/I2C/test/modelsim_work/test1/_primary.vhd
1
387
library verilog; use verilog.vl_types.all; entity test1 is port( clk : in vl_logic; reset : in vl_logic; enabled : in vl_logic; \in\ : in vl_logic; Start : in vl_logic; Stop : in vl_logic; sda : out vl_logic ); end test1;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
7
51616
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
gpl-3.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_datamover.vhd
7
51616
-- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg.vhd -- -- Description: -- Top level VHDL wrapper for the AXI DataMover -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_sg_v4_1_2; use axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap; use axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap; ------------------------------------------------------------------------------- entity axi_sg_datamover is generic ( C_INCLUDE_MM2S : Integer range 0 to 2 := 2; -- Specifies the type of MM2S function to include -- 0 = Omit MM2S functionality -- 1 = Full MM2S Functionality -- 2 = Basic MM2S functionality C_M_AXI_MM2S_ARID : Integer range 0 to 255 := 0; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_MM2S_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the MM2S ID port C_M_AXI_MM2S_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_MM2S_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_M_AXIS_MM2S_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the MM2S Master Stream Data -- Channel data bus C_INCLUDE_MM2S_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit MM2S Status FIFO -- 1 = Include MM2S Status FIFO C_MM2S_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the MM2S Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_MM2S_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_MM2S_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the MM2S function -- 0 = Omit DRE -- 1 = Include DRE C_MM2S_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the MM2S function C_MM2S_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the MM2S Command Interface C_MM2S_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the MM2S internal -- child command queues in the Read Address Controller and -- the Read Data Controller. Increasing this value will -- allow more Read Addresses to be issued to the AXI4 Read -- Address Channel before receipt of the associated read -- data on the Read Data Channel. C_MM2S_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- MM2S (Read) Store and Forward function -- 0 = Omit MM2S Store and Forward -- 1 = Include MM2S Store and Forward C_INCLUDE_S2MM : Integer range 0 to 4 := 2; -- Specifies the type of S2MM function to include -- 0 = Omit S2MM functionality -- 1 = Full S2MM Functionality -- 2 = Basic S2MM functionality C_M_AXI_S2MM_AWID : Integer range 0 to 255 := 1; -- Specifies the constant value to output on -- the ARID output port C_M_AXI_S2MM_ID_WIDTH : Integer range 1 to 8 := 4; -- Specifies the width of the S2MM ID port C_M_AXI_S2MM_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Specifies the width of the MMap Read Address Channel -- Address bus C_M_AXI_S2MM_DATA_WIDTH : Integer range 32 to 1024 := 32; -- Specifies the width of the MMap Read Data Channel -- data bus C_S_AXIS_S2MM_TDATA_WIDTH : Integer range 8 to 1024 := 32; -- Specifies the width of the S2MM Master Stream Data -- Channel data bus C_INCLUDE_S2MM_STSFIFO : Integer range 0 to 1 := 1; -- Specifies if a Status FIFO is to be implemented -- 0 = Omit S2MM Status FIFO -- 1 = Include S2MM Status FIFO C_S2MM_STSCMD_FIFO_DEPTH : Integer range 1 to 16 := 4; -- Specifies the depth of the S2MM Command FIFO and the -- optional Status FIFO -- Valid values are 1,4,8,16 C_S2MM_STSCMD_IS_ASYNC : Integer range 0 to 1 := 0; -- Specifies if the Status and Command interfaces need to -- be asynchronous to the primary data path clocking -- 0 = Use same clocking as data path -- 1 = Use special Status/Command clock for the interfaces C_INCLUDE_S2MM_DRE : Integer range 0 to 1 := 1; -- Specifies if DRE is to be included in the S2MM function -- 0 = Omit DRE -- 1 = Include DRE C_S2MM_BURST_SIZE : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for MMap -- burst transfers by the S2MM function C_S2MM_BTT_USED : Integer range 8 to 23 := 16; -- Specifies the number of bits used from the BTT field -- of the input Command Word of the S2MM Command Interface C_S2MM_SUPPORT_INDET_BTT : Integer range 0 to 1 := 0; -- Specifies if support for indeterminate packet lengths -- are to be received on the input Stream interface -- 0 = Omit support (User MUST transfer the exact number of -- bytes on the Stream interface as specified in the BTT -- field of the Corresponding DataMover Command) -- 1 = Include support for indeterminate packet lengths -- This causes FIFOs to be added and "Store and Forward" -- behavior of the S2MM function C_S2MM_ADDR_PIPE_DEPTH : Integer range 1 to 30 := 3; -- This parameter specifies the depth of the S2MM internal -- address pipeline queues in the Write Address Controller -- and the Write Data Controller. Increasing this value will -- allow more Write Addresses to be issued to the AXI4 Write -- Address Channel before transmission of the associated -- write data on the Write Data Channel. C_S2MM_INCLUDE_SF : Integer range 0 to 1 := 1 ; -- This parameter specifies the inclusion/omission of the -- S2MM (Write) Store and Forward function -- 0 = Omit S2MM Store and Forward -- 1 = Include S2MM Store and Forward C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 1; C_ENABLE_EXTRA_FIELD : integer range 0 to 1 := 0; C_FAMILY : String := "virtex7" -- Specifies the target FPGA family type ); port ( -- MM2S Primary Clock input ---------------------------------- m_axi_mm2s_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- MM2S Primary Reset input -- m_axi_mm2s_aresetn : in std_logic; -- -- Reset used for the internal master logic -- -------------------------------------------------------------- sg_ctl : in std_logic_vector (7 downto 0) ; -- MM2S Halt request input control -------------------- mm2s_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- MM2S Halt Complete status flag -- mm2s_halt_cmplt : Out std_logic; -- -- Active high soft shutdown complete status -- ------------------------------------------------------- -- Error discrete output ------------------------- mm2s_err : Out std_logic; -- -- Composite Error indication -- -------------------------------------------------- -- Memory Map to Stream Command FIFO and Status FIFO I/O --------- m_axis_mm2s_cmdsts_aclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_mm2s_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- ------------------------------------------------------------------ -- User Command Interface Ports (AXI Stream) ------------------------------------------------- s_axis_mm2s_cmd_tvalid : in std_logic; -- s_axis_mm2s_cmd_tready : out std_logic; -- s_axis_mm2s_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_MM2S_ADDR_WIDTH+40)-1 downto 0); -- ---------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ------------------------ m_axis_mm2s_sts_tvalid : out std_logic; -- m_axis_mm2s_sts_tready : in std_logic; -- m_axis_mm2s_sts_tdata : out std_logic_vector(7 downto 0); -- m_axis_mm2s_sts_tkeep : out std_logic_vector(0 downto 0); -- m_axis_mm2s_sts_tlast : out std_logic; -- -------------------------------------------------------------------- -- Address Posting contols ----------------------- mm2s_allow_addr_req : in std_logic; -- mm2s_addr_req_posted : out std_logic; -- mm2s_rd_xfer_cmplt : out std_logic; -- -------------------------------------------------- -- MM2S AXI Address Channel I/O -------------------------------------------------- m_axi_mm2s_arid : out std_logic_vector(C_M_AXI_MM2S_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_mm2s_arvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_mm2s_arready : in std_logic; -- -- AXI Address Channel READY input -- ----------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_mm2s_alock : out std_logic_vector(2 downto 0); -- -- m_axi_mm2s_acache : out std_logic_vector(4 downto 0); -- -- m_axi_mm2s_aqos : out std_logic_vector(3 downto 0); -- -- m_axi_mm2s_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- MM2S AXI MMap Read Data Channel I/O ------------------------------------------------ m_axi_mm2s_rdata : In std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); -- m_axi_mm2s_rresp : In std_logic_vector(1 downto 0); -- m_axi_mm2s_rlast : In std_logic; -- m_axi_mm2s_rvalid : In std_logic; -- m_axi_mm2s_rready : Out std_logic; -- ---------------------------------------------------------------------------------------- -- MM2S AXI Master Stream Channel I/O ------------------------------------------------------- m_axis_mm2s_tdata : Out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); -- m_axis_mm2s_tkeep : Out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); -- m_axis_mm2s_tlast : Out std_logic; -- m_axis_mm2s_tvalid : Out std_logic; -- m_axis_mm2s_tready : In std_logic; -- ---------------------------------------------------------------------------------------------- -- Testing Support I/O -------------------------------------------------------- mm2s_dbg_sel : in std_logic_vector( 3 downto 0); -- mm2s_dbg_data : out std_logic_vector(31 downto 0) ; -- ------------------------------------------------------------------------------- -- S2MM Primary Clock input --------------------------------- m_axi_s2mm_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- S2MM Primary Reset input -- m_axi_s2mm_aresetn : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------- -- S2MM Halt request input control ------------------ s2mm_halt : in std_logic; -- -- Active high soft shutdown request -- -- -- S2MM Halt Complete status flag -- s2mm_halt_cmplt : out std_logic; -- -- Active high soft shutdown complete status -- ----------------------------------------------------- -- S2MM Error discrete output ------------------ s2mm_err : Out std_logic; -- -- Composite Error indication -- ------------------------------------------------ -- Memory Map to Stream Command FIFO and Status FIFO I/O ----------------- m_axis_s2mm_cmdsts_awclk : in std_logic; -- -- Secondary Clock input for async CMD/Status interface -- -- m_axis_s2mm_cmdsts_aresetn : in std_logic; -- -- Secondary Reset input for async CMD/Status interface -- -------------------------------------------------------------------------- -- User Command Interface Ports (AXI Stream) -------------------------------------------------- s_axis_s2mm_cmd_tvalid : in std_logic; -- s_axis_s2mm_cmd_tready : out std_logic; -- s_axis_s2mm_cmd_tdata : in std_logic_vector(((1+C_ENABLE_MULTI_CHANNEL)*C_M_AXI_S2MM_ADDR_WIDTH+40)-1 downto 0); -- ----------------------------------------------------------------------------------------------- -- User Status Interface Ports (AXI Stream) ----------------------------------------------------------- m_axis_s2mm_sts_tvalid : out std_logic; -- m_axis_s2mm_sts_tready : in std_logic; -- m_axis_s2mm_sts_tdata : out std_logic_vector(((C_S2MM_SUPPORT_INDET_BTT*24)+8)-1 downto 0); -- m_axis_s2mm_sts_tkeep : out std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0); -- m_axis_s2mm_sts_tlast : out std_logic; -- ------------------------------------------------------------------------------------------------------- -- Address posting controls ----------------------------------------- s2mm_allow_addr_req : in std_logic; -- s2mm_addr_req_posted : out std_logic; -- s2mm_wr_xfer_cmplt : out std_logic; -- s2mm_ld_nxt_len : out std_logic; -- s2mm_wr_len : out std_logic_vector(7 downto 0); -- --------------------------------------------------------------------- -- S2MM AXI Address Channel I/O ---------------------------------------------------- m_axi_s2mm_awid : out std_logic_vector(C_M_AXI_S2MM_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel CACHE output -- m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel USER output -- -- m_axi_s2mm_awvalid : out std_logic; -- -- AXI Address Channel VALID output -- -- m_axi_s2mm_awready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------------------- -- Currently unsupported AXI Address Channel output signals ------- -- m_axi_s2mm__awlock : out std_logic_vector(2 downto 0); -- -- m_axi_s2mm__awcache : out std_logic_vector(4 downto 0); -- -- m_axi_s2mm__awqos : out std_logic_vector(3 downto 0); -- -- m_axi_s2mm__awregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- S2MM AXI MMap Write Data Channel I/O -------------------------------------------------- m_axi_s2mm_wdata : Out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); -- m_axi_s2mm_wstrb : Out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); -- m_axi_s2mm_wlast : Out std_logic; -- m_axi_s2mm_wvalid : Out std_logic; -- m_axi_s2mm_wready : In std_logic; -- ------------------------------------------------------------------------------------------- -- S2MM AXI MMap Write response Channel I/O ------------------------- m_axi_s2mm_bresp : In std_logic_vector(1 downto 0); -- m_axi_s2mm_bvalid : In std_logic; -- m_axi_s2mm_bready : Out std_logic; -- ---------------------------------------------------------------------- -- S2MM AXI Slave Stream Channel I/O ------------------------------------------------------- s_axis_s2mm_tdata : In std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); -- s_axis_s2mm_tkeep : In std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); -- s_axis_s2mm_tlast : In std_logic; -- s_axis_s2mm_tvalid : In std_logic; -- s_axis_s2mm_tready : Out std_logic; -- --------------------------------------------------------------------------------------------- -- Testing Support I/O ------------------------------------------------ s2mm_dbg_sel : in std_logic_vector( 3 downto 0); -- s2mm_dbg_data : out std_logic_vector(31 downto 0) -- ------------------------------------------------------------------------ ); end entity axi_sg_datamover; architecture implementation of axi_sg_datamover is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Declarations ------------------------------------------------------------------- -- Function -- -- Function Name: funct_clip_brst_len -- -- Function Description: -- This function is used to limit the parameterized max burst -- databeats when the tranfer data width is 256 bits or greater. -- This is required to keep from crossing the 4K byte xfer -- boundary required by AXI. This process is further complicated -- by the inclusion/omission of upsizers or downsizers in the -- data path. -- ------------------------------------------------------------------- function funct_clip_brst_len (param_burst_beats : integer; mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is constant FCONST_SIZERS_ENABLED : boolean := (down_up_sizers_enabled > 0); Variable fvar_max_burst_dbeats : Integer; begin -- coverage off if (FCONST_SIZERS_ENABLED) then -- use MMap dwidth for calc If (mmap_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (mmap_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (mmap_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit mmap width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; End if; else -- use stream dwidth for calc If (stream_transfer_bit_width <= 128) Then -- allowed fvar_max_burst_dbeats := param_burst_beats; Elsif (stream_transfer_bit_width <= 256) Then If (param_burst_beats <= 128) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 128; End if; Elsif (stream_transfer_bit_width <= 512) Then If (param_burst_beats <= 64) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 64; End if; Else -- 1024 bit stream width case If (param_burst_beats <= 32) Then fvar_max_burst_dbeats := param_burst_beats; Else fvar_max_burst_dbeats := 32; End if; -- coverage on End if; end if; Return (fvar_max_burst_dbeats); end function funct_clip_brst_len; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_depth_16 -- -- Function Description: -- This function is used to fix the Command and Status FIFO depths to -- 16 entries when Async clocking mode is enabled. This is required -- due to the way the async_fifo_fg.vhd design in proc_common is -- implemented. ------------------------------------------------------------------- function funct_fix_depth_16 (async_clocking_mode : integer; requested_depth : integer) return integer is Variable fvar_depth_2_use : Integer; begin -- coverage off If (async_clocking_mode = 1) Then -- async mode so fix at 16 fvar_depth_2_use := 16; Elsif (requested_depth > 16) Then -- limit at 16 fvar_depth_2_use := 16; -- coverage on Else -- use requested depth fvar_depth_2_use := requested_depth; End if; Return (fvar_depth_2_use); end function funct_fix_depth_16; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_min_btt_width -- -- Function Description: -- This function calculates the minimum required value -- for the used width of the command BTT field. -- ------------------------------------------------------------------- function funct_get_min_btt_width (max_burst_beats : integer; bytes_per_beat : integer ) return integer is Variable var_min_btt_needed : Integer; Variable var_max_bytes_per_burst : Integer; begin var_max_bytes_per_burst := max_burst_beats*bytes_per_beat; -- coverage off if (var_max_bytes_per_burst <= 16) then var_min_btt_needed := 5; elsif (var_max_bytes_per_burst <= 32) then var_min_btt_needed := 6; -- coverage on elsif (var_max_bytes_per_burst <= 64) then var_min_btt_needed := 7; -- coverage off elsif (var_max_bytes_per_burst <= 128) then var_min_btt_needed := 8; elsif (var_max_bytes_per_burst <= 256) then var_min_btt_needed := 9; elsif (var_max_bytes_per_burst <= 512) then var_min_btt_needed := 10; elsif (var_max_bytes_per_burst <= 1024) then var_min_btt_needed := 11; elsif (var_max_bytes_per_burst <= 2048) then var_min_btt_needed := 12; elsif (var_max_bytes_per_burst <= 4096) then var_min_btt_needed := 13; else -- 8K byte range var_min_btt_needed := 14; end if; -- coverage on Return (var_min_btt_needed); end function funct_get_min_btt_width; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_get_xfer_bytes_per_dbeat -- -- Function Description: -- Calculates the nuber of bytes that will transfered per databeat -- on the AXI4 MMap Bus. -- ------------------------------------------------------------------- function funct_get_xfer_bytes_per_dbeat (mmap_transfer_bit_width : integer; stream_transfer_bit_width : integer; down_up_sizers_enabled : integer) return integer is Variable temp_bytes_per_dbeat : Integer := 4; begin -- coverage off if (down_up_sizers_enabled > 0) then -- down/up sizers are in use, use full mmap dwidth temp_bytes_per_dbeat := mmap_transfer_bit_width/8; -- coverage on else -- No down/up sizers so use Stream data width temp_bytes_per_dbeat := stream_transfer_bit_width/8; end if; Return (temp_bytes_per_dbeat); end function funct_get_xfer_bytes_per_dbeat; ------------------------------------------------------------------- -- Function -- -- Function Name: funct_fix_btt_used -- -- Function Description: -- THis function makes sure the BTT width used is at least the -- minimum needed. -- ------------------------------------------------------------------- function funct_fix_btt_used (requested_btt_width : integer; min_btt_width : integer) return integer is Variable var_corrected_btt_width : Integer; begin -- coverage off If (requested_btt_width < min_btt_width) Then var_corrected_btt_width := min_btt_width; -- coverage on else var_corrected_btt_width := requested_btt_width; End if; Return (var_corrected_btt_width); end function funct_fix_btt_used; ------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------- Constant MM2S_TAG_WIDTH : integer := 4; Constant S2MM_TAG_WIDTH : integer := 4; Constant MM2S_DOWNSIZER_ENABLED : integer := C_MM2S_INCLUDE_SF; Constant S2MM_UPSIZER_ENABLED : integer := C_S2MM_INCLUDE_SF + C_S2MM_SUPPORT_INDET_BTT; Constant MM2S_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_MM2S_BURST_SIZE, C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant S2MM_MAX_BURST_BEATS : integer := funct_clip_brst_len(C_S2MM_BURST_SIZE, C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant MM2S_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_MM2S_STSCMD_IS_ASYNC, C_MM2S_STSCMD_FIFO_DEPTH); Constant S2MM_CMDSTS_FIFO_DEPTH : integer := funct_fix_depth_16(C_S2MM_STSCMD_IS_ASYNC, C_S2MM_STSCMD_FIFO_DEPTH); Constant MM2S_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_MM2S_DATA_WIDTH, C_M_AXIS_MM2S_TDATA_WIDTH, MM2S_DOWNSIZER_ENABLED); Constant MM2S_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(MM2S_MAX_BURST_BEATS, MM2S_BYTES_PER_BEAT); Constant MM2S_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_MM2S_BTT_USED, MM2S_MIN_BTT_NEEDED); Constant S2MM_BYTES_PER_BEAT : integer := funct_get_xfer_bytes_per_dbeat(C_M_AXI_S2MM_DATA_WIDTH, C_S_AXIS_S2MM_TDATA_WIDTH, S2MM_UPSIZER_ENABLED); Constant S2MM_MIN_BTT_NEEDED : integer := funct_get_min_btt_width(S2MM_MAX_BURST_BEATS, S2MM_BYTES_PER_BEAT); Constant S2MM_CORRECTED_BTT_USED : integer := funct_fix_btt_used(C_S2MM_BTT_USED, S2MM_MIN_BTT_NEEDED); -- Signals signal sig_mm2s_tstrb : std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_mm2s_sts_tstrb : std_logic_vector(0 downto 0) := (others => '0'); signal sig_s2mm_tstrb : std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_s2mm_sts_tstrb : std_logic_vector((((C_S2MM_SUPPORT_INDET_BTT*24)+8)/8)-1 downto 0) := (others => '0'); begin --(architecture implementation) ------------------------------------------------------------- -- Conversion to tkeep for external stream connnections ------------------------------------------------------------- -- MM2S Stream Output m_axis_mm2s_tkeep <= sig_mm2s_tstrb ; -- MM2S Status Stream Output m_axis_mm2s_sts_tkeep <= sig_mm2s_sts_tstrb ; -- S2MM Stream Input sig_s2mm_tstrb <= s_axis_s2mm_tkeep ; -- S2MM Status Stream Output m_axis_s2mm_sts_tkeep <= sig_s2mm_sts_tstrb ; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_MM2S_BASIC -- -- If Generate Description: -- Instantiate the MM2S Basic Wrapper -- -- ------------------------------------------------------------ GEN_MM2S_BASIC : if (C_INCLUDE_MM2S = 2) generate begin ------------------------------------------------------------ -- Instance: I_MM2S_BASIC_WRAPPER -- -- Description: -- Read Basic Wrapper Instance -- ------------------------------------------------------------ I_MM2S_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_mm2s_basic_wrap generic map ( C_INCLUDE_MM2S => C_INCLUDE_MM2S , C_MM2S_ARID => C_M_AXI_MM2S_ARID , C_MM2S_ID_WIDTH => C_M_AXI_MM2S_ID_WIDTH , C_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH , C_MM2S_MDATA_WIDTH => C_M_AXI_MM2S_DATA_WIDTH , C_MM2S_SDATA_WIDTH => C_M_AXIS_MM2S_TDATA_WIDTH , C_INCLUDE_MM2S_STSFIFO => C_INCLUDE_MM2S_STSFIFO , C_MM2S_STSCMD_FIFO_DEPTH => MM2S_CMDSTS_FIFO_DEPTH , C_MM2S_STSCMD_IS_ASYNC => C_MM2S_STSCMD_IS_ASYNC , C_INCLUDE_MM2S_DRE => C_INCLUDE_MM2S_DRE , C_MM2S_BURST_SIZE => MM2S_MAX_BURST_BEATS , C_MM2S_BTT_USED => MM2S_CORRECTED_BTT_USED , C_MM2S_ADDR_PIPE_DEPTH => C_MM2S_ADDR_PIPE_DEPTH , C_TAG_WIDTH => MM2S_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( mm2s_aclk => m_axi_mm2s_aclk , mm2s_aresetn => m_axi_mm2s_aresetn , sg_ctl => sg_ctl , mm2s_halt => mm2s_halt , mm2s_halt_cmplt => mm2s_halt_cmplt , mm2s_err => mm2s_err , mm2s_cmdsts_awclk => m_axis_mm2s_cmdsts_aclk , mm2s_cmdsts_aresetn => m_axis_mm2s_cmdsts_aresetn , mm2s_cmd_wvalid => s_axis_mm2s_cmd_tvalid , mm2s_cmd_wready => s_axis_mm2s_cmd_tready , mm2s_cmd_wdata => s_axis_mm2s_cmd_tdata , mm2s_sts_wvalid => m_axis_mm2s_sts_tvalid , mm2s_sts_wready => m_axis_mm2s_sts_tready , mm2s_sts_wdata => m_axis_mm2s_sts_tdata , mm2s_sts_wstrb => sig_mm2s_sts_tstrb , mm2s_sts_wlast => m_axis_mm2s_sts_tlast , mm2s_allow_addr_req => mm2s_allow_addr_req , mm2s_addr_req_posted => mm2s_addr_req_posted , mm2s_rd_xfer_cmplt => mm2s_rd_xfer_cmplt , mm2s_arid => m_axi_mm2s_arid , mm2s_araddr => m_axi_mm2s_araddr , mm2s_arlen => m_axi_mm2s_arlen , mm2s_arsize => m_axi_mm2s_arsize , mm2s_arburst => m_axi_mm2s_arburst , mm2s_arprot => m_axi_mm2s_arprot , mm2s_arcache => m_axi_mm2s_arcache , mm2s_aruser => m_axi_mm2s_aruser , mm2s_arvalid => m_axi_mm2s_arvalid , mm2s_arready => m_axi_mm2s_arready , mm2s_rdata => m_axi_mm2s_rdata , mm2s_rresp => m_axi_mm2s_rresp , mm2s_rlast => m_axi_mm2s_rlast , mm2s_rvalid => m_axi_mm2s_rvalid , mm2s_rready => m_axi_mm2s_rready , mm2s_strm_wdata => m_axis_mm2s_tdata , mm2s_strm_wstrb => sig_mm2s_tstrb , mm2s_strm_wlast => m_axis_mm2s_tlast , mm2s_strm_wvalid => m_axis_mm2s_tvalid , mm2s_strm_wready => m_axis_mm2s_tready , mm2s_dbg_sel => mm2s_dbg_sel , mm2s_dbg_data => mm2s_dbg_data ); end generate GEN_MM2S_BASIC; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_S2MM_BASIC -- -- If Generate Description: -- Instantiate the S2MM Basic Wrapper -- -- ------------------------------------------------------------ GEN_S2MM_BASIC : if (C_INCLUDE_S2MM = 2) generate begin ------------------------------------------------------------ -- Instance: I_S2MM_BASIC_WRAPPER -- -- Description: -- Write Basic Wrapper Instance -- ------------------------------------------------------------ I_S2MM_BASIC_WRAPPER : entity axi_sg_v4_1_2.axi_sg_s2mm_basic_wrap generic map ( C_INCLUDE_S2MM => C_INCLUDE_S2MM , C_S2MM_AWID => C_M_AXI_S2MM_AWID , C_S2MM_ID_WIDTH => C_M_AXI_S2MM_ID_WIDTH , C_S2MM_ADDR_WIDTH => C_M_AXI_S2MM_ADDR_WIDTH , C_S2MM_MDATA_WIDTH => C_M_AXI_S2MM_DATA_WIDTH , C_S2MM_SDATA_WIDTH => C_S_AXIS_S2MM_TDATA_WIDTH , C_INCLUDE_S2MM_STSFIFO => C_INCLUDE_S2MM_STSFIFO , C_S2MM_STSCMD_FIFO_DEPTH => S2MM_CMDSTS_FIFO_DEPTH , C_S2MM_STSCMD_IS_ASYNC => C_S2MM_STSCMD_IS_ASYNC , C_INCLUDE_S2MM_DRE => C_INCLUDE_S2MM_DRE , C_S2MM_BURST_SIZE => S2MM_MAX_BURST_BEATS , C_S2MM_ADDR_PIPE_DEPTH => C_S2MM_ADDR_PIPE_DEPTH , C_TAG_WIDTH => S2MM_TAG_WIDTH , C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL , C_ENABLE_EXTRA_FIELD => C_ENABLE_EXTRA_FIELD, C_FAMILY => C_FAMILY ) port map ( s2mm_aclk => m_axi_s2mm_aclk , s2mm_aresetn => m_axi_s2mm_aresetn , sg_ctl => sg_ctl , s2mm_halt => s2mm_halt , s2mm_halt_cmplt => s2mm_halt_cmplt , s2mm_err => s2mm_err , s2mm_cmdsts_awclk => m_axis_s2mm_cmdsts_awclk , s2mm_cmdsts_aresetn => m_axis_s2mm_cmdsts_aresetn , s2mm_cmd_wvalid => s_axis_s2mm_cmd_tvalid , s2mm_cmd_wready => s_axis_s2mm_cmd_tready , s2mm_cmd_wdata => s_axis_s2mm_cmd_tdata , s2mm_sts_wvalid => m_axis_s2mm_sts_tvalid , s2mm_sts_wready => m_axis_s2mm_sts_tready , s2mm_sts_wdata => m_axis_s2mm_sts_tdata , s2mm_sts_wstrb => sig_s2mm_sts_tstrb , s2mm_sts_wlast => m_axis_s2mm_sts_tlast , s2mm_allow_addr_req => s2mm_allow_addr_req , s2mm_addr_req_posted => s2mm_addr_req_posted , s2mm_wr_xfer_cmplt => s2mm_wr_xfer_cmplt , s2mm_ld_nxt_len => s2mm_ld_nxt_len , s2mm_wr_len => s2mm_wr_len , s2mm_awid => m_axi_s2mm_awid , s2mm_awaddr => m_axi_s2mm_awaddr , s2mm_awlen => m_axi_s2mm_awlen , s2mm_awsize => m_axi_s2mm_awsize , s2mm_awburst => m_axi_s2mm_awburst , s2mm_awprot => m_axi_s2mm_awprot , s2mm_awcache => m_axi_s2mm_awcache , s2mm_awuser => m_axi_s2mm_awuser , s2mm_awvalid => m_axi_s2mm_awvalid , s2mm_awready => m_axi_s2mm_awready , s2mm_wdata => m_axi_s2mm_wdata , s2mm_wstrb => m_axi_s2mm_wstrb , s2mm_wlast => m_axi_s2mm_wlast , s2mm_wvalid => m_axi_s2mm_wvalid , s2mm_wready => m_axi_s2mm_wready , s2mm_bresp => m_axi_s2mm_bresp , s2mm_bvalid => m_axi_s2mm_bvalid , s2mm_bready => m_axi_s2mm_bready , s2mm_strm_wdata => s_axis_s2mm_tdata , s2mm_strm_wstrb => sig_s2mm_tstrb , s2mm_strm_wlast => s_axis_s2mm_tlast , s2mm_strm_wvalid => s_axis_s2mm_tvalid , s2mm_strm_wready => s_axis_s2mm_tready , s2mm_dbg_sel => s2mm_dbg_sel , s2mm_dbg_data => s2mm_dbg_data ); end generate GEN_S2MM_BASIC; end implementation;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00055.vhd
1
2004
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00055 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.7 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00055) -- ENT00055_Test_Bench(ARCH00055_Test_Bench) -- -- REVISION HISTORY: -- -- 02-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES.all ; architecture ARCH00055 of E00000 is signal Dummy : Boolean := false ; -- begin -- P1 : process ( Dummy ) variable correct : boolean := false; constant c1 : integer := 0 ; function f ( x : st_int1 ) return st_int1 is begin return x; end f; begin for i in st_int1 loop -- 8 to 60 case f(i) is when t_int1'Low to 1 | 7 downto 2 => correct := false ; -- when 8 to 10 | 20 to 60 => correct := ((i >= 8) and (i <= 10)) or ((i >= 20) and (i <= 60)) ; -- when 11 to 19 => correct := ((i >= 11) and (i <= 19)) ; -- when others => correct := false ; -- end case ; test_report ( "ARCH00055.P1", "Choices in a case statement where the expression "& "is of a locally static subtype need not belong to "& "that subtype", correct) ; end loop ; end process P1 ; -- -- end ARCH00055 ; -- entity ENT00055_Test_Bench is end ENT00055_Test_Bench ; -- architecture ARCH00055_Test_Bench of ENT00055_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00055 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00055_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00660.vhd
1
4436
-- NEED RESULT: ARCH00660: Deferred constant declarations passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00660 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 4.3.1.1 (1) -- -- DESIGN UNIT ORDERING: -- -- PKG00660 -- PKG00660/BODY -- E00000(ARCH00660) -- ENT00660_Test_Bench(ARCH00660_Test_Bench) -- -- REVISION HISTORY: -- -- 19-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; package PKG00660 is constant co_boolean_1 : boolean ; constant co_bit_1 : bit ; constant co_severity_level_1 : severity_level ; constant co_character_1 : character ; constant co_t_enum1_1 : t_enum1 ; constant co_st_enum1_1 : st_enum1 ; constant co_integer_1 : integer ; constant co_t_int1_1 : t_int1 ; constant co_st_int1_1 : st_int1 ; constant co_time_1 : time ; constant co_t_phys1_1 : t_phys1 ; constant co_st_phys1_1 : st_phys1 ; constant co_real_1 : real ; constant co_t_real1_1 : t_real1 ; constant co_st_real1_1 : st_real1 ; end PKG00660 ; package body PKG00660 is constant co_boolean_1 : boolean := c_boolean_1 ; constant co_bit_1 : bit := c_bit_1 ; constant co_severity_level_1 : severity_level := c_severity_level_1 ; constant co_character_1 : character := c_character_1 ; constant co_t_enum1_1 : t_enum1 := c_t_enum1_1 ; constant co_st_enum1_1 : st_enum1 := c_st_enum1_1 ; constant co_integer_1 : integer := c_integer_1 ; constant co_t_int1_1 : t_int1 := c_t_int1_1 ; constant co_st_int1_1 : st_int1 := c_st_int1_1 ; constant co_time_1 : time := c_time_1 ; constant co_t_phys1_1 : t_phys1 := c_t_phys1_1 ; constant co_st_phys1_1 : st_phys1 := c_st_phys1_1 ; constant co_real_1 : real := c_real_1 ; constant co_t_real1_1 : t_real1 := c_t_real1_1 ; constant co_st_real1_1 : st_real1 := c_st_real1_1 ; end PKG00660 ; use WORK.STANDARD_TYPES.all ; use WORK.PKG00660.all ; architecture ARCH00660 of E00000 is begin process variable correct : boolean := true ; begin correct := correct and co_boolean_1 = c_boolean_1 ; correct := correct and co_bit_1 = c_bit_1 ; correct := correct and co_severity_level_1 = c_severity_level_1 ; correct := correct and co_character_1 = c_character_1 ; correct := correct and co_t_enum1_1 = c_t_enum1_1 ; correct := correct and co_st_enum1_1 = c_st_enum1_1 ; correct := correct and co_integer_1 = c_integer_1 ; correct := correct and co_t_int1_1 = c_t_int1_1 ; correct := correct and co_st_int1_1 = c_st_int1_1 ; correct := correct and co_time_1 = c_time_1 ; correct := correct and co_t_phys1_1 = c_t_phys1_1 ; correct := correct and co_st_phys1_1 = c_st_phys1_1 ; correct := correct and co_real_1 = c_real_1 ; correct := correct and co_t_real1_1 = c_t_real1_1 ; correct := correct and co_st_real1_1 = c_st_real1_1 ; test_report ( "ARCH00660" , "Deferred constant declarations" , correct) ; wait ; end process ; end ARCH00660 ; -- entity ENT00660_Test_Bench is end ENT00660_Test_Bench ; -- architecture ARCH00660_Test_Bench of ENT00660_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00660 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00660_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/VHDL_StratixIV_OrphanedGland/top/ip/pll.vhd
4
14818
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 11.0 Build 157 04/27/2011 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2011 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ); END pll; ARCHITECTURE SYN OF pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_fbout : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clk6 : STRING; port_clk7 : STRING; port_clk8 : STRING; port_clk9 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; using_fbmimicbidir_port : STRING; width_clock : NATURAL ); PORT ( clk : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire4_bv(0 DOWNTO 0) <= "0"; sub_wire4 <= To_stdlogicvector(sub_wire4_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; sub_wire2 <= inclk0; sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; altpll_component : altpll GENERIC MAP ( bandwidth_type => "AUTO", clk0_divide_by => 2, clk0_duty_cycle => 50, clk0_multiply_by => 11, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 25000, intended_device_family => "Stratix IV", lpm_hint => "CBX_MODULE_PREFIX=pll", lpm_type => "altpll", operation_mode => "NORMAL", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_fbout => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clk6 => "PORT_UNUSED", port_clk7 => "PORT_UNUSED", port_clk8 => "PORT_UNUSED", port_clk9 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", using_fbmimicbidir_port => "OFF", width_clock => 10 ) PORT MAP ( inclk => sub_wire3, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "2" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "220.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "40.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "220.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "11" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "25000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk6 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk7 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk8 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk9 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: USING_FBMIMICBIDIR_PORT STRING "OFF" -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "10" -- Retrieval info: USED_PORT: @clk 0 0 10 0 OUTPUT_CLK_EXT VCC "@clk[9..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/async_fifo.vhd
9
33173
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R irBUJoVU7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9 5//fBqx/GHLoCsVxnP4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29 nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9 LUzZEynfeTkNPrkhP8A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M 2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM 9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816) `protect data_block 3I75aSxZJUp0L2UIY92Z4OcofdmM758hnlnQEa0P4LagJ0jdsqD/k2U9Ry3FgRL+72mI0r471n0a GOZ0X0ABisYh4NM9O9jrYX6RP/vM9cKUgKKvsHlD7VmMH7pLb6Q8GtDJTJXVWS5kmBvNRheA5SSo 0SP/mwE0F/SV21KM1vfkQCMPsH/H09kZgdrDV7o76uQdJKoh2NeVomXCR1uoFQ/oflpsOanxZKKe /TM+9T9kpOKujMydXcRV0eCN8pEVdJRl2mpfFDP1SS4SPsu5+WuKwzpmpEzYsB3rKEumt8xeWhmz +iptCg6vKyv2o5VXqyusjjCqrApujWp7aQ1AK+uaEsdEVlXfFsxNkhWNIZS2tL/DBUMueWF8quLH 1HobJLXCOYu/dSkWs6HKJu4sJyD2+TL6eArro2ZwgFKa9NLQHoAWhPi3iRvUzBpH43zUpJW4lJ3E eRFZFkaFC+MffpYOE0Ul17qNJu90bQmGTmWv4RmHpUJ7Vll0CmRkUNDkQdRoVaLqXI/4F1OIqgk1 Uq+4or1g0LzDt8BAGdqayZ3Lruj5+IlOi7n4h8/6Zb+JT9IOfqW55eE06eHcSVhcVe3YmaQoHW7n Z/yD4ngbxnYH5c3AMy8nuOMkBcZKacljtbo4X2IO/ZzDy+G8r391KKZXVRRrjxvKnewKIn515iTo HyMwxOqeij7TJQJLOjSenX/0SFNv5j9uSPdBiCyKBY5G6Om3lBfbgETdSDoa2/Gn4ZiTfNXXEqq7 a+rtMruwM7UC7UycsUgvwF3w4/cGe0UHH9cSrhjt8W9z9xufUtVoppXfRJHVyeEEwR0eopt2SbiV 4X82yoLPMhHNVp2KV720SJjn2bymykCySGiWNEg8G5SVq0VrhpOPFTRm6VRFLuG0s6TAzu3Q9EbI 3WCZtCtdLZv4F5TgW5zi3LVEDmbdtGOj4Alm485P7Wo5FcTuKrnnb5VaR6oBq/61+nsPmlcEeCPn sRHMOdvmIhX+4egu0cj3hqmFXY6t2jDMya2pHhwfqisUqQ8617eOoxj4sugI10U5G3LAs4kwqqrS POF/xBQHAegqQIdC/NdTvhu4HgNwBa0xWrlVhwjXdOl3pt2pMVU8oHH9aQy19oupV/vnDHYlxsG/ PhoHIOh0abgtbP9uH8Jm6GmSWXa5wN81Fk90ga3iJ20DfCLekukWsP0vM0ZmSvAeHP1gNJiI3V08 OXyjfE6whsFR03IuVxA9yf1Y/Omxc8oPJT2HcQJQDl0e3JQ0eqMisrFYfUkMF2OlCIyylRPFbLdn Uah6PHMFmUohpZfZhPPVdbfClGs4lV9KR6XYG+JyD/agnfsXYxFDpoKFr+4JuWwrVtsH1Bj1oygE 4RN4obIjDVl7V8kS68+ajGq6yVzrfYrNdFrg+FOdPOaawSHbfgklWxT8bbh3H6HHDpWDZ7VpkI+/ V7IQ12uFGwJ9LCmquQ2qHC2TDwDRoBgIwdlXHftlhnXqdMPI0ay63Pz1vwyyLyTWA/clhygOgP4L 9vLCH4nCvg4IXR7bguAaY0g8hEhW5D5Znqgkscvnd8eMRFNrfJtrcvc7dp4UCwPdRQNiuxhh5NYw r0E9iUIYhJ2XfTfkuUtcxUFV7mGwitGhGiv+sKq/qdcy1JbhgAi36ZqLuQdkXwRC47uKG/7LKX6W BpwZExzuD1jT2qkTBY+h59BA8aFV2k5TA3t86dA8mkmg7yluQxB93KXUUOA3G1LaK2WIwag/9XEX jJGfiUDMhUlKUbryjJrGNxEcsmwNBKF276VLKWhTaJJNga8zbDfbZy75u2GkF2VLZ9q98v+/fGz1 FOzjpCn+udDJ/RWX37ue+uHK426B+VAibLlwl0pOiRC7l7EUanuzVp7NuLGTtYW1wNn5ys2DqpCL MEkMbm0NnpQr5mEkrV5ZQe6QY2I0qz+u4wFYNaHYIILQii7ab1PL+pCNuR37qcxN+PNhsIquk5DI 2dufrTMly5ZRTRdZDjcYVFFwE4WMeMA7V0kELI2qhaPk+3gcXsFoPprezHQRCZImNGFIvdz6+ytw samAoPtBdbQhcFnx3zDRG/dN4nFO8CuTKwql67cvb7JEGoTKwBiE/E96hu3uODrezmec/Lstfx5G ljXHELwygzO+iqGoy8qOGIDS8WbbcZi2BT2bXEpIQV2TO9cLWjRqV8xvecSEP83E1zPtfSpzDjG+ hFWk+3lTdrGPbexl66d1DW8zL67e/hiWtw7nQJhygHl6cqYzo/yXqrwGlCY0ePDm1NkwP70nUypP jNzHK9D6R+0TgO7sodYm7y1rPKLZ40FCncc5G5sHTFFk/n6t0qWOIHprNnvV9m8Os6xull7mF2Gm 8+7qg9YOPxtIFS5qGuoXWQmhX8YCoLVu1mC8dGcYfFrUJQFoKrC9o7K3y7GNDpBxA3Q1Skhcj1Hr R06jKGIo4dXAXJi+wN39tvgqwIzZP4TWqBmxruga8GWopmOist2rmFa0hnK8ks7v1Fv11RlCyO7X /ASgyYMJOTYPsxtw4PD839B9lUPayy8ltADSwReIF7m98JYC8LnufEBKYjyNYqObo3Voe/dhz+Uh 2Co486ahQ9+M1L70SGeQd0omc+EKpdEbqyo9FUFCSsAh8P0ryWIJ06XwnSt84rYdOe/RUdGDV4p7 79WLopc4JsruJ4HRWjVoqbjdtF0OyQ9L/eBcuC4oER2rluPICjBdCI9iZltC4yKW/dSwn+ixBul2 JwuqGU7fWW4fyNVayAehVgEOvekkccICOn6PXqv7GtaeDuBLOARNMpSuZeGKSElfQ+4PdsGYhool dwkW/hDdiRwjA1FAgLVZIEJ7UCsiOuYHWIoQkNnugKxqWLkcFLMb7gVOa80/His+kORVoXu1/gyW goJ4iwmb+b3gBpYMw9dyRDTRRQsjJ69zF93jGNqfC18Fmqyd3UEHfezYF3pj51RRvtqOBSnZnN/P ID/4OvCw6lU+blIHz2Jc5Z6PPiKO6oXphpjFpNKsOR/PY4pt5kH0NM1ynkkvD8jaoLQoTb0PWLKK VDfNxkRpnY6M7KWL0w2GN2s9+Cm08tGJoHh8z9BH4JqT8Xmoq9JLz0YnWPitT0OcA0NJw3ATYBfT yOKTpI7+HbxzI/eKugsGiws+7bgawNVFHnA0XNUGkOSLhcsyFttzKx0/lKTOHrSVOxal+kzc+8x5 gqzlZ0hmxK3e25mUAzj20OsR1PVOlKws7F4hP4qL6VQnERSjVodyocnR9caaHWqB6oq1YoWQFtxR FuW4lk7AJclslKfTHS4j/DLZZfKIefxaepfssjR8Yx3UbFxjPI1gkdF9bdzCtri7RMXZP7I8icGR LzeEVu+civGZ34M+i827FfmG5HUVK5CC0h0LxH97WoTjhBgU5xFCY/XVG7rY2VdVHxlm6obiwvK9 uNCd2c0qFAArrXf2JqbAeIwEtxEZYSfW83Ug7SuylOCmpZDPjGB9LPfMm6mTfOuZodhdIGiDKdVi KBvmWvW/ChItwED4rBs39C5o2hK2AqwinDXDUqz65TyFe2r2CWu3543TMsVFFcZMYoM5OPaQ/FTW QoR/tk4mPEJi9hxW/GZMGiIsPArEpNU/O87OToqdGg/MelVlU9jGyKNlal1qJKjbY6ETMdKaGZqT uumwKZQKi+emjOi5GEfS8Dlcyajj6/HuidsqLqfW2lXPp+Nx9nVp1X4Knu1mJBkQ5Od1BB+9Au9T IWxichR5Dh/I+wr9CsgyrZnsOQ3td2vjZyGe189GEhc88GwtKdJ0vgfsvftWR054qGgsvwPN1UMW eyJnyp7FYe+oLfF4HzkqMlVn35NafxUVBNZfiUHT/dZcQBSeClw7LbGzk870e2izRwFTs1p/Hxvh 5a1a99ux4o7TKQkXJKIp2UyhkDq4UUVRTQv6Ecmw+h/bRdu6BTZDCL7p520DV5mc9dlm4gr/fqH2 5ce0cxu0q14PBTlblJfrC+XqjzC4NAi8RJ/PPlDnwqRxz1NdD9rWhqccDh1y/mFjuws9F4p7fcsM chWf95GFjUrTUwEaGXYtItZL/HKLRZbAE6OeqSCXUaI2h0TqI/+kxoJC3w0wQAm1VgcKah63XZcP lwz6pjkSwul3ITT+vixdpMwfgxMcusgQiGdknsiZTdLA/NZJaFU/2TW7kTRHb6yFkp73dbf48T66 5BIlbIZ9rAF/b2GSfeS+qm8lFZTMuy6rCzZ4a+rsXWE39tiGapW/SQriPyei5wG7yDbzdVHtN3qB op4faIAGTcHM6iN4evHSCAInBPKjOdG8ualAFNIORyHc0Su0LUBjzLby5ciDFwdGypSMyoR0nl7j V9f+shGcy5mS1QEEeE3afBKCAEw/hRBfbSuXQyL08tbG9UoUuLxC/N3AlHbXT0pcVIKDqs3HrPpX w7IQoKLq36hISXzHSa2HtcNXy4Jd+Z+ROHGdgTzuZN2qYzLpaEdoI4WPzIG6b3soBLOchnniIzs1 1qdMyPyUFxx1sLoZ6NfA5VpsAHkJLTUjQWUKODGHrh0+52EdGlqdnbgai32QAYC6BWtcdCJ55BTZ 35+JgyNnouwTzNHxEZYr+6q2x5wmAiDOvkgaDTgFAcOY1zG6SjmoTaT0M5aTGHTMSp9Y3w3j6h6X auUSTJw/23rBiaq8GC0PR9juBaYdJg1C2FeWCBpzVC90ejw4pAI3RVaj1INGoO6Y4Le6zCnC9POV YawiwJ1aswjbMyvtzcp8Jd2JbGSpeg0O/RFbKWwHzAi1fZWaYxiEjwq8AP3rN6s9NcBIhD05vqBH eaPR9GNPo80mWB1gMpejpLNzoLyVEldCK8TCmPj3yteRfwr9Dy0ihK0gA+2TsH3p42Kl0RtrwHyw sKQvIWwQplhmOTe0ouC65vbE+ISI8ToknBabqFrAVt7BfTRmMzcDSs61a64YvWOOy4p3AUsQ774r 5SKNlIoOvsoa8f5wW/9dQnbxocPiBfP0PvBZnVAh31o3L4QshkQChkJIolVwUXA2LcwCV7PO5V91 Ivv5B+sgFF/JraEodTEtrtvwvcdYd5Eh7GUHkna86rH5QIDBeY+b0KPUAUilI9Eb/R/xDxLbY2ce c17iQacQhRAFpOq+UzY63YEy11fitO4xzhIoqSL6JWWOuVflcvZCfofMLvNLF3vST3lcybORaHM6 oLMB1xcaaGAjZjNFpSbO6uT19KdHVb0kmq9oeXG3nM4DAvl0scHYrcpMexrabgCcXo3sZf/qc4cu J0Zx8ZdiR5KVMM7iW+78S9b8YfIiv81bUXKO7CHEP4iR1yyGYBAXizW/forGU0KLOH1Yjto40CEf rRCnktxCUaQ923BXr8H+AGp2rLGUrwO7AuZBoWeQeqci8xvAnYx8rYVOrQZZrLhY13USbXV2PbPW vgIJNvqRfX8h41a27YMP3WDwldVoTVG5s8svR1EG6B8TODnWLYKhMwYLb0E2ek7v5sa1etdIZYwJ 0dRuwsg7L7krGITDHxYQlfPGC10iK2jwicScTnSmhEdt3DDkGnQa8OWjppp2aOcQaeDzfHo/8H2D ooU0UoB4D7HOXIzvgvramvqonh/sucAQUPtiqPhfBjzs8nydRZogltv3vmmzv+x7fcc+Hp10X27l umo5B0/Ka6jxJ2Se0UPBcYNKxj9MZ7Ii1CLCN+biNZ07PwETJi+y3ZBQfSRLNviSXwYrNba4Dx1O qDz6L7y0dtPsAwRROglitrqyzoZqeJCP3Rr9yIhaWnplgIxSLu1Cgsqi/cNfOsZndhE60WzNGjFi c6ze4SvsxTUnCUEp4z8+9nY9wPUddXECPtFj4nBqQUvDzgs3toTQn3tQ5+HnHZDYDo3FvwoH0nR8 yOnT+wYcz460B2pyHW1VVseW3bMExuYu59uMjgmbt7kt3n84XG9PqfIu4s7lit3wCHtsc8XVCKec CAfhBIAW2+hGpi/Ruj4O1boHji1yNRF486vzHIUdAG85tcbJhb4VaeQp6uGfYVgnr7NJO7tB0xac y9UJeW3juTtltoeWXq+bXmQ2QcUeMWecJRGEbNo8OcUoXXSB5VfqsgfIj7/CiMSRZ3rex/T7W0rJ rG8053wanb/usgWGop/lGSmW+Gm/LWeafxvCtrOaJhG8JWnWiiJgqqQmFTgWY+UnXfwv89RRHwdF n8NxVNVLzwCyWivzfn5/BirQm0nESZZhi4lTC6hNlQmFt4xIWiEQnedXOX9GWq54EB7votWOA8X3 0bgEsHbyczFOvcEIrfQXOu6GT6nIWZG3AYz8oN32um5yqDD0yYOVHUnpZeAVCxGfntWO9qcab4TW dL6pneZe0HGqSlZM+wM2Pf4np5GKTcz4A/V7gpK0jmdVLLXvXHXe3FZIOBOt7IzfUlBPTN0CqSTX C3DK/HlwhoOai+bHQXI/VcBdLl28zGu2oBUSlV8V45pZNUx6sugga83fWlDlr83HEISCt7yahdhB y67sc1VDOVF7bFRP9/GyzUVJjD3ymP0PckVaPx++wg/nAGMWfTq1CCH8JwI4ysUKhVxqyaNHSqsw kJeO530i+JK4fic8rZt6XjsgdbRJHWJCNhU5wnDZZbzAAdVWXYX6pSISmSwMMhlyYdcwKAKot2zI zuKWJXoEEBcQEA3SvTiW67gtz7hiFwPX+7fHcU9LoKp5Vmq05y35qRCSwjM/iRPMen9+i4sUMrhm RLcLzB66Me2Zb1rppouFfOBQrY1QwMI9fvyNHtM7b84Jd6WRCCig877OHw6GS142bD+VoFwis44z 8PGut0/vaRH+Xb65uQzcUTRQE8Us/sh7Q3eAL9G9K09zfa/39gB6/V3qACwqiHAvYd4jF5ouHVMP GMOqcDpixCQ3Lk/JGk8mEI2PmAHF7zDyDBYDoIuzLBOwx2LBS1ALcjlWCEfgBHapdvRW0OCoz0+P X9LAZvKdPe3NQwr5ASuknsj25KgRaCvCdJhKEmXKS5OL9e6RKg2WkyWJvgphwyFAuFffgXzxWza0 0Iq6e6iFXMzYSBEryHhnJWTnGTZ8N2JJkCxGmoVd2+yrKjd1IWZHPxHAKW6Gw5vCpErO9gpK+gqs 4D6yF9d8hldrjQc1Fuvn80FOJPefrfCl38HoEOsXrxZEXuAnth52USi9idvBVhYi3QyThmrJEdM7 kJRXVHe+toW6eqb/eafQwUJS3K5MrE+uGfpP2OlwY3EY7tHoHlxSNqIX5x7K8G4g9YUBeBDJYI/P CNMg5FnZfCDJFYJlqlnso8qhxCUMXXxNxubtdPyibvkiDe7v5z7cqhaoxO8+zCbbOZQ3HyRUUqwy VwTB0/XERIiuI1gjMGcW8BA38qAZn1xJ48XmEjGe1UbT2WzWSazTnf093DdTJppRe3LL+uzTTN3e ToTOMs4jMDnVmVQCRv7IPeQDoMTqlRMIpnKDGsbz+FHpjeyjgj+s8r3lg2v9p0PtLz8KigeK5fsZ sMvlmagYn0EeSnPuQybcvhgUUfSI2z61rGE9iv4WIw1odMdhBfRUntj0LxfBt6rUZLFrE0o+rpSE fX1hco/Z4FkRVQT2dVlYeN9EsUgCitY2BQzVNyZkGpiyLsiAmf+BFnqE9lyor1BrOxRreglpH6I7 uTTtnZVAthGUv4I9CH/gdjomU8JC8YpQWV4d9tx33A8jovesOXv0Hku0my0BIFxfp2NTRSbPs3/H 5ss384wtlB0XsBK7oGAy/6NAjo0KEmNxlmoif7v/UnXj1YnYTiikQtVaD8nqKr5r36sFZBodp7uU L8ENWuGCjb5rf/OSke8oJ/LjaIh/0WhMpPW7q1+WZhtqm3PtSOr2xvyNuSxxkgh5zAaBpdpTTbyE iq199L3gAO7a3loPIGMAlHXn3hyUfrEGAqxwY0GmA5U/BT4v52iBy6JPO1GmJo/v53lJWpzXhp2A US8vpG7HtFyr/foVXQwssXFl6+LlWQEDTFslB8DS4HQIKe7kEFErAVsWxF4ILnyt7PMJkcsv3TeH 3Zwf4fxcEop//hwDvQi8OH7JGygaTg4qXk2ViGlHAUV5Db+t+vfU26eLKHOxfAKopszWqigtwFyW 3aUBmLk49Dql6z5Vru56mNPm9H6xqIIvyJWha8IGD0GN+XMhKMaGveKhCF73aoNMNlL42LoWwUEp eO8ozB4Y1V9uwt0P9mMoFtcnu6qQW1yXDmZahywzvAr/fB32QyGSl7pdIIx0l3FAsrc6j43AREzM UYa99OdoegSJM65R3Dz6/cZ/ujfR9KqKfV1U69kEFFhuyfXhF4b5C4HJ6g0dDLcIgiVDaXhtpn1P cTMAVIjbFoYF0ii8+Y9OSIy7O2u2M+ZggugROeuFP9PFi5A6/dbJEQKXiO8IjVDWZjWePye/D7F8 qpx54Z+Aw6yNC4ywGYeUV/J2kwWy/lYWaWOFfeg4FZj/BV6PRTb8JpR7H/dAfhg9EmZBuo1gKJUc rTAHWMCwrwhlaf4BmkyyFrvTEWtUM/gCIYJjxAnXhwASOUbTYRJGKuNmi/twSfJIUwzoMC8Nxhws D3X45MUGfkbcygOH2VVKonaMWAMtY2xri9iLaCoPeqhvL4QlSGoaxZnxqb1LaaFMMy7rn90uibhA +IeSbl5vMqE3UmpR+davhwVqx3WVwbHvxHlHKvU3nD8xZ6lab8bbFXLgm/ZA8WpCwxdri27UVwzo meX+PIi3NQuVQExUBRHuTiLB/4pZbZn5f1sxL+ZjIIFVRNyZrLPWBnQryH3SG+ADspoEILRpsxi7 rLZP9hA3WqevpXfPWyxIqhgeHLYZiFI/5ThW6N/A7RKvHf05I9mtp77deXlPOuvGZze817uVFw46 PIh4cnEk3pmZCZzpTYXfAcfGNN4PlGflMBd4gV1sHLnyAzfr7x+t7DkWrzE3+g+wuuT4sjimJ71b 336SScwTGWxetSQFF37QPTS1dlN42r/7M8kyRIHgOfJGb68xs4TwAn2na9yMnXz7AOZLDnt8P4/t PbceeLPY92cwWhJMnMMr+63hIxvryKMIz/9BT6dXyesci7NhifUYs7bzWGORwcaz1Fv3ymBHOUIu RUtJ9TjCprylGfwtJUU6nOkxa+wsqFCGRqHdORGWGBY9TNt99W4a+KS2vVUAuoos7GsjVo7dD03A RG00B7yaWw2LDddvCq9pptQb7/fRHuUp+5QR3lqtJWqC7KtZwv+TMupBiK7KKrWlTbbY17xjvyqM LD9r72cmYCEN9V/kvvp6pqciiwwfmKyCHAcpEkR/9CULjEHndh9Gvt4bZJdX+nk+QBt/nN8Ylbpj A27CQdPgd0bLEUIVKn6RKD9j59a5+aXHfIaiEMtrELqbAu9Z6LczzN9B1kSQLbj6xE17yrn4TFtw ciDbnn71a6PzNkugg/s9BA8jty/oLS/uHlPX+W1ewI+0l3eeA2dHZhYko1elh8BkDfrC6i1wPo7e CqJcVM31BR7h5RVE8RrwjJIzfaTSOlwDvSf9clI+9nmb6Ksy5VQeHqcfjBMQ6idmO4R9UqRzTyG2 L5AOxz/ezK+8dn5icwb1Qcs9v+lFZL/WyT+2ltIaCkbM2FAAKOFXL8F9c+UGvrzld86mi+zKm2Xc HGlEp2QdPBpcoBJIpmDO5HEFee/Zd9s587fF7KvqPnZAT5loWq7bfDlcOeGs4KAdV3Wt0JMgY5cm tExOPdaDBJlLm6M2D6p26R26AA5/WaHWUQtfenIICCoVCkHBcIfjwcNcJqg68+xwss6Zf4mQKOJF viI0ZWEHoltCThncFwKM3Hstl0Bcbq+IIe/wOnqOg6BWBnYBb8T/cv475dolTj9fkkk8tMUhRYQE zscsc9TdXNT/q+sL7xQat38fav4yK++/Jj8ko5/+VKguDg9i1PWiqghCekELjC4aM2BAssrGGY3o DJm+DYhkk43FBJbx/ZQrr0uaaBEv7Zm+OTtj/uoc9vFZ4LnE+f6CwZVCQsSemfhUslaA7xvJiOOf gNpu51YruKCIF7sauIC/WYwC0esDNefpSTbJLTx8tEBhnMxv4WLt03iuA46MOljFd6E15s1BHKxc dtsMcAA4YXiiRO1K6kEMFGkmNZcWUTpsaHgNH20qtucZXw3EvXO0qGYwuhsZ9/JY0cQbFBWSCjin P3Xk8AVYMT5RZoInaLTgp7/nok4TRsw7RTCl1zuHPKHw/bynDAGUfKn2zI8PYY/Fmv5+CREMks47 hEYJOSilruEfv+qSuaqHXLwJyedJMZJ/FSpH8P4P0Cl+ZfDRhISRY6ZWEF0AMfxMxIuSCfgn9E3s HOGaI23XrkP/iTfdypXZ9OsFzDGbbU7APVwrMk4XAbgFJHkZf1yiYMvzWhuKzDnZMQ4DRi92FofZ 135PT079oOnezoqHitZgpyX+dWY6toBFhVq9n23QGVSnPfYZFuaPdvDfKiwmJD/2AhI5251ooVgn 7cEdoQloNqutQF9p2U8ec/S1MIEIsrQLXhohTnNr2VLrdkKnPDyzZVHtpZn4VqmTRhVJSqPAaW8o s82cwHdCwJ7yNhsW5HZ2e6DY3G4IU1Xw1IgdJGVl4KQGypsfPN37Nc9PPzQxRrIcj8S96/pL5nHI QMvRsN9OXueTc77NIZX2M+Mdb9Z6GAbffD816RLSBaGVZF6I0Pcj1pBef3MEJz6hboN8D0IJtb2x T2vSJQmEcwrAGT/CPoCkgm+hj86ETiZfHSjmkbNKXQ1i30cJClGlsloEmipzVThkTnXd+ZBXxdxC K1Vl5tQBSeBED2e7KmVyPxGe6qMi9ARlL5KaGlEm7sEvG8MEoPnhDdoTDtdkiOcSRFniIVEPUVVS 9i4eXEvmav6wC6W/AcyovedMv9vDxrmpJl/tpeyIuZ4BhrfAvnnL7m3m8u+xhNR0vVYXqaiGBD1z pLNLnFQe1x/IE/UA5Kljt6cTmM968/j+zbY1aUtEedEstqzuFnkdUHxW231Om3v/3CR5qg12ulsJ syKDEAkj8ezWTKW+WPbyfom+cAVXrBy1t34RArJStPOHZcVoSpmDY7bsTguGIxA64I+p6cqhle6+ rjJCqkWeMnC3j942pObvlkupbrjVZ4PmBmNitReeqfVZx4mQKyLVb4YpYf9N6kgtcG/fYWGHHF0l lJLZ07w+dSbiUSj2EcRaeCOzx70DP/g91crbf7POmLLqJqabpw88Zs+uTlsoy4RQ6SMgwZwo5yan fiA06WvjlwkY1t/gTmUHDcMFY1P7n7/tkLeR2RojWZ48gL+OCtzqxU4EASTCV2eSvUDwJZwBn5YA iXJd4u9EPL4MmHSJFoPRjUOE9Xux65Y1Xd1L0GBGR7DvXuDqkONfqnZN9U9UvB+wqkXA3sKGsFtD wtFgUH/xJSZvnONpdvCGHy6+c/ODcFJdLuWHWDJSJmMz2aj1G4kHpWM77l04CZa75pGGXotkpAfS QoUJAskVzr4DlXADyqgd3NK2motQ365Yee1ceUYF1ifxzIvt9hjQn6ZXplOYAs48tN0x6B1W4HL9 /ATBqaWQlvZWRjSoO7tPyoK2f168gtpYH2wUd/l2L6qiJGRRq7PFTNsE423mBfzV15M5DlEDK8B0 1g8ZpeEEPv6GFGu3iMBchHSG5snwfezdel6dVa+QZ57lxTeUF+HVjNXrPIcOid1LfToMvZMxaZBy oyU4N9eRsdZ9uW43y+I1LKLwvzNkdMFjexoS3B8sRdoUQlZShZAJSldp2tjwuPgepw1AClUSKlY6 5JaLnlf4nlhAxKOZG9GWFVBawuq7/w7RCDXVNGCrZXa8dNoQtNdscw0/EV1c+eYVunhBUfzUo70w xPPK0uaF/IT7T711BjwiZS/RXEm1X9O1Fn6ZwUjP4sTyP0WZa/jkJ2Z3j5UAx4NXAsG8O9D73haN h7uSZdrqLHqu5RE1go+kk8e84aBtVPNjl9zmQyMMcQUMxaFDmJNi89NQDqAE1mdtPgKxUGgLRf6t wkiLFWATPkv3fPPMsmse2LaUzvEox26GCNRYd4Z/1bx3ds9RYHGoBv7LYL4y/yX+6a4vHySQwQMl eh5NsQOLb3nvc1uVluIUUO6XyRLgKQrfnAaXeUJ9sfqrbG+7qJGthFGvvKDSTc8mt+nVBYi8BB8V p1huIHDoQB97mZp6pJnaTUZrjkHhAfsWrwnD36rnMJs/c1xQIRYUyiZ1dt6mbNgVb+e64WMrtxt5 2qX2r4DlWIwzCeGCmejm4splCXSOYCw2nexFdFXma6XCBlEH4Cmw61/gpd6KDsbbQFd80pzPwl7/ nz9Sj9BiU1YDzOCMwWcrBQ4osFy6YWe7RPxY6EyFnQ7xQ9lH7Q6IpYDgWM5IGsyuugO9xwGlViww puuSZztSabPb8uJcTgnG9RcKhfd4zJuFpKzJvKW5wlNOok3AN5zk2ImgdjiETDWGm5Ap/OhRJEPz +6uSmXBYTTXsmc3tn1tFOoGXTOYPESGVWh2Ey4jO/98likfm2YNbjTLstRmLqBwjFtfFFPV+FUap E/Zz6iNn2yVCKpX+sbyAO0fGmr22teteyilMZH2feGum1DwLOdzMQ8P/L19HrVObLASNTjZK5L6y Eb3HmX2fpYoK+OK6QYQy6rp5DPp4CqJo1NxeOCrhsLN51kifk/m2O1GbAQvfq8T/AwiEh0yLKfC4 vH5szDxo0DP5+xtZGIAcqMm+CAcCYUHPzg5DCLD3vM2pca3O2LeWwQ9LNecAS1dksX7s0hby5A/7 Lj/ZSGdSPB8JHFLrgUHZQYTkMEkI3WGyis5GN/tBdpxD5j2afZLxlB+8Tkav1LYJE2Rs9BeGZlyx aJowo/zgWPZcHJz9mbdA6EC8irg3FU8K0P57zZn6NEuZUlkX7yEdO4I1MxkaM/neKZQH7MUb9Ebb DmXMzxWWk45cZk8DXi3JVTjOY6U4ZQ6pSj2txTwKFbniyKgLF61MLbIbWLDRV6GwpucQ/El3DnGA PERlIMhGK+siEUZIfgkLINmdYm1SY98aqux4Js5m1qBNOdHxOaYajjByCKTnzF48j4dsiSt+HxXc YfkGwAdkIWdke7nVxpYEu5j0Pu3s7Sie5cPZuGRKKCekbgC9KGshSa1qVX847e0A+6rTF9XmDQ8L sw3eebWbwEhrucXrJ+SLXoCgCBmNl4g8BwR6Q1/QEHWnNTeLZBBloOKa18FsJiRpK9KJxaUGFHMU vuu6VARTQ4YsJkhW1oLD3mXsvTxgjSHzMF3khZBHY0+8D9gNDxiwWPWA+v1yeEk2TeCPe5+6QQXh fC5H/xIekrPcfxjJrqbJ3Q1oLScCnBsIvWj7SiRGaMme2Y0srcQZNQO3ae7pRIJfEnuw3LuZFcJO X7oQCeKPB5jFTmdZhqeflApRqpSBBtbzS6pmr7TlkSXHOasGw1xkBjDZrtvL3BSoWk/i8PGIClZJ y4Kum/2px/5UlCHp7GSLd5O42sfYKwZiLw4JYYf1G81A/AF7wayLte/fmCYqTDOdBq7sWytM5a8S ZE7n22+iDRPEw/e2YD730QS2F9R2XNHSyL9gyvXZFNxuwTilyrauieOX9mvs+St5nAHxSo1NzHZz EdBbe+TfevinQ4MHIbUmOV6grAk4ZmMcVy6A2BGGcg2FWDW6mFKkEz7IP6n5iUM7SIX79xFeEjQX jaUffSwwg+O6OzwSWBF2FnCX4JF2zuyhoya1X5c4hV+xce4xN19ZXEJzbRaoa2wdVHIWjBgKT17x L0MLrZrFnQVsnPtmcSI3nGpswGl2UQ3wRgCYA8EFhEhw2x741stroCzua7/ET32iBSZ+dsFrfCyb E1cCz4obJQtPMlUD4ydmUmCWCTTMQqG5d3dbX8jxtg0qFK/Lenyoev3Kiia2Syv/Xj8kMqudmlV5 6ff6Ssc0fU0yG5QUWONStwyL8/vSxXKOJry7VvuUoc/KpHz6uRvLIFo/ewRHqQvmW3JQuNUCgVap JDAMUDXtdLRa/VJfgnhH8gnfriioIQqzgvWhiA0VuPXDzZlNs7+eF3xg0Q3R2rRpZ286hoyM7B02 Psq1pn+geMgdTu/qSalxxxUdyLEIHhhwnhVFLkd/iE7uj2nV4glQBhf6VHJUsks1ZbdyuKf1xu6U +f/ydCBzVti91PMgZevrkZCaEM/MB9XcyaKk6noDxBoeCmQdbWcyjVHFLFWKUw90VRpS4RT7XGiy jXV3r/QNhptRCsdILEeBjkjilb3/lYaipGYz+ApbKyjAq+6i/qm62VNCsPL/01t5EQ4wp7k7yAIj qzy+bZYihGNQTm3iE6NC4fyotq4i+rocnOcIVz2O0isDG3yfui5YbpVaWD0oCOfiSLBDIPiVrM81 gzMoa+qL3v/Zp113jYjNGKCxDJyx+JhpVfOwl8PpiE/KJ4YhGMF7cahnhAhtS0tjeOW+E1zftgfx OaFcgc8Amv3VQV4USBvj5cTVpxmp7OG6iczThvNvsLzVhIWxYiZbX6tecjs+4p9e5dUUkb2gMbj0 I1MZYop5A9SbQZRd7DH/0V2LNiJTaop9VVlR/J/ba6h31sI9/bX5j7xf2bmBzS2O6aS0L0+YrlXQ ePKkjVAvs5CCSJNRUBIXcMLMeOxuoJZSMDkQspWSKMQLgjbpKBiBofT4PNfiPO+GvQeMp56iLirz YVqxzzaPPsHzH9w2a5dyl4KWcYgviA5SdxTQbOMH1Zyqn+aS3fNisWPqoyirFH2Fr3y8KQ0LjFV5 oNI6RWD+mr1S6IARkRUMx7FtMP5+uxhAK8YQXBnKxoPyIyvmdt28Kid0Uudl90d+Mv6bkC8pY0Y6 9DHkDzPiUxtSp9L3CHTIZvMxVy73pobTA4xTnapjsA04w4/Jh9pP/1v0uRO2FuySmFvalMBomcvT xPf4HCe8sVnU7CTx8sGI5jm05XoelLILHfHIgTjO+/kqTOhhcT4pyPk7eUG6jVlyW07l6xDDYe70 1IdCm+lpgWNGEeFUDXP4lQdyFzGWynHJaXgWoiqUrt4vB1rjslLymTKwI5PZhVV5wK0fukmlBhqA yQKDv+EroEn9/9UpgF+UGVNbb/lHmNdwt67eL1J7avs4pwg7iSQWrRjW/K0MNYLkXvKvDw33opoF DVyANYfwqD8fUBSmonFbEjEhtcnCP7KSaF9JFEpiaexjcstHvPsHsYpLR9694K+9emnmp7vftr3S 0wndFFfg54jJlbZermiyvhAB6fxCPBJ/fw/EthD1YTAH0aoex4yPkPZp/I8EQf+386F6nigge/+O j5E0MqpLJS7018zX8B+br7nSE92LebcgUelQ+yRngkNTYrj5kYYGepsclMlSDG81enuraGdSLJUQ /acCNE7iyGdwOW7P4hRMzylYA5VspRJYyHY5rFkSbJgDKCB38JDqgJbL+Ezw9TGdkPsdNeFhq8DU laSAual+4v225vOZOhV47pqqB/n9pLq2DDKsr4gU/DtyFB2v6z44xU4g0ekR4RQb1LbcOJQ//Aj9 F0MlGuH11YXvqxqFq4tydniYq+/Gz2T+X5ZM+Qeup4Cco3SMUCaDOJpaqw0ezjeC3kWlqH6fXmv3 l7zpL1ut0X4LXOcEyl2UZCdQGhOv3hKLaAoHlcGGSRZd9CUNyVD2Ex2YdJuku0+uBLVp5HUtvZDY ZXZYnuRIaXeJjblDjboNLnwvhj3hS530KWr1HLy+9/T8DlY3VtlWrwPyXCtBU2rhdVMWsIIbxR+s HrZ860XS5h35j0EqjQAtNMb+IruU9fw6kWUaYitgF5F8AM9qZrvWd/NKeIKwRipGak0Lgxaeew1l ZpxLPL3jkgMLR5N5ls9uAVY3dfdO/o3hnybWr1uBvOOKlQRolpuNhx/OQ5Wgkg2vxbHKFvEsYd6p RwcL1uMP3q+wgTWSTZ2lnDu0vFWfu2t689IASXLZqAaBImn/FRkWyEZG99ojB50kIz7iV7vxpMVC z3Vkc2TCM2Iz7ACF18rkCd0+Aodp7ELM2NF6M4V89Jni16orow8Y0QdFhsQgG/gk4pTs0oMY9o+4 e98dQjF2BrcoNfKssMPb4zuFqLDnFFeQGPJCaYuRgGXTf3PfdfmRejXf7+qpwr4pShNCs7VFXldF 9M2LQEapQYjCwF9dYe4z3If2RMRc1G5CLlDeezWVlCjGBED7imnMH6MbWHUvakCXpC0DCsW9ovr9 cJjV2Qkb06sUWXQSf4QsnzRvZpc/vgIC4JbeuVau5jvcqQRfKzuoAnF0Y7yWU+SiHGbkGkEQRsZb /5hdw+eIQz/EJ2qG2HNjQlXmm2s/JqyBBTrsX4ANJQDQbXc/WpfoCD/3mpjtZqmbQlXU1Dp4WAGr DZSJSmQpPgdzL+b23v9eB5qSTRhWBBQaKb0mGP+IkR3sMmW+7gST4Q5zm0jGN985vD7ydNQWVHPY XqNhqzdljJBuvqqI+7UrDxnDZdVVi9nmFuloD3yU090pRDi5F6shRfsO7A8jmT2r27LFoCvgioFx 86tqFT5Mcktf8hxptJRXLLwn39j+8uEHltCtdchcNkNFdZFdRkT1O9oOht0kzReRFRMSBm6XzmsY UbrwNl2E5VUkpjMJIeix13k7wMhPN+ABElcq1uFAwS6soX63U+WOABJ/hltpf4kLpN9V+8a6v6F/ bw/Gz5wIeeg6ghyc3XRt1zjw1lAEoo+mZT9FZGHMpl0sPEtFEiZUdy4bOIgVH+L/hOdGfm3GP49D JKOdTnky3nGHhticbG+sDZ5AScyfAKgOnKGCq6OGi9pZSLHT548a4F9ak7SNHUbG3CeLHBSD8Jyv 6ixtlT5tRyoCdu3xUXY+OzmF5IVipUwnwuTEbVx3WbDWgFQXTzHw6l54YcMm0VlVPGrS/pfCHuOe JDqGYjkapf96P9tbAC/aoORN4nuoCIH1dbjxQUiYWURwZicNUO6Hxb3QNXFveeDl463Rv1+4sFEY uY06LwKG5vMklEjR/DGEQGe8ZOtUEIp9pK3MHas7Sk9XL0bN9prewO7/n34XgWnGSPvqjwHIxl1H Ht9fcS7waK967waIXTpGdL6PO6nvuHsDOdq5mA6ViAXvPFDO3How+o+D2C/ffzzCJ5f+ekyS1r2J WE1wB1WOoXOT0MNXopo/J5fgRInd4kiYAaAwAoCZ2I9CEL6Nn4A1ZUZZLw3PT1Z0Quon+KEvBu7N rzFglt0mXFvcYpiOfNPih/aiEQXCYjVjiVdcOe3lVT28JcWdv0mXp9IgDu2GR8bU+nB8ePAZz0Yg +uEbwVh/XYfP9WqQ7iEQT9O4GehKM4P8bJKIUTmkPfSImoD28q4fZqJo0YW7Ha0bWudfoh5wKW/+ mXoPeo91C+9yHhvf4fmzmeumT1RUOTP3lBCI6rQcp78XMdNl8rqab7obCz3cZXtlBBPEZfIJxowz xnGqC5U49SNAFONxP/epzMybUddBld0Y621X4kvGfwJYZXFuE7vN+HM6YhBcVARSYkXVlZ0F4o7s X++/8kuXo6fUDims6/VBGoNtlXG8LK8I9QXiHE4MHT8ObLI4fYk1AZcEHCw07u0dkyXF8MKBmU2L J9TUCZxu0Ent8B+RgdhW1dYlzcbwd5ncE0xH1yHhzbdPQTfT9yv2w2aDMy1cPRW0lvuE+hQUAW+g IG9CNNgWihpaEUxkhu2jGvkDvqJgK5akl63SQh0i+ZSRmBtR5Ay1Pu4ikpnMmRsUTR/DK42DMZTx FVYWrOiobnk58mpvJa7i3xb/2UUaHlCyBgIfE8np/+kAf6idfoorv1nSwXcTIo1KAlSy2gireofR CgobEwUeUiH8IXO51iNgOF8BuCzmFYThZ0vsdivcGdUhq6pKcmMbWENR2gz2Z400RwxXF3WvzdDk Qxcsd+wUCtjQ02wx/Yr9X2BXDnB4Th97ugfm7jVch8DAKr+0qBMrIPq2h7VH3OdJU6QxMtnyfOun ZXTWKuqmhyZA+DyWb1HHda6rHVHk+u27tOab6ZrfgLw17IO0pWKJQWl9lpCMaMBzWxua18gygx/W MAU45O89QHyLQ2HCRTWafslzzyGrRTrlp6m+Mm3cEjnGN/LMlI6MMvyoqpz8P3JV6xzdl6mbqUW2 Ed7l2bLggV11/sv/oYc65SzwNLZwB8mCnczgMFEy5u/RueDWS0rUa9arhG2bCM38IkNFFPLszQT7 QzL0Mz3qGTONjD/vwM2iTMEjPDcMcRxQQi/gfWw/+nXrr2YafhHTFH9GID+99i9BSoEDNWVBMsuO J5kxVrChhNKlvq9QKM6Q1lf9Vqw1hqlF8E8xygFsdxX7GgHDB//OuwY/wstcvPHssiApI52jr4al pmybVyHFhZ1Ygod10oQOj4exEwNN11W5mmR6GXsw7NwlPFDtTZ8md3fKTl4X9DKiWmWZ+dh9t2nI BMQZLfdgwIzc64WFXNx+QaqYxOHgpt2SaEzJ9xQCYq722/mja4IxtiFDc5jHilZtoqgdsS5GtvyP p7u5gm6rsZaclAPs7jnMrjrXc4BiwoCoJHLTGHmhOYr2OZlb/GrgF4sP9qLMeG/Ze/rh+4ncooxm qVtvoMSJfaNrEiI5GGq5filVfos8BlNvYy3jpz+ZXj3klBeyei71l0mbWp9h47nyVGp74JPnATg2 ejsW7lyt8ldzoJ0uAlMpqjDODxjMmsxf/BsOJagTH80ZDZJNWanJ29yu/nW+TYesMkRcOSYJzb3N U6JzsT36XtL46QHrTbcSZYJd8lqX3fbGbPBFLZtVzzm4FAr31nwhqcBAq0NpV+kwTTegPic3Yfbg 0bqpU8D5vlzpkvTWLf0PpcawM6T2V4hqu9Suq4DlVlErf782sJbePXtrpLmCkhT9n6Y+5X2E82sP bov47RbamxSIdIeJvEV08HcRC80KTwgI/EOZFth/hoY9EGvSSTX4A5romAvwzBIj0CnaW/pHbDQY 0Hd7UwnWElK0sXZdHr+AtSPw/8tUjJ1cTsoWE/LIeU8BXYMV8pIHIUPwv/Ahawy/6rXz0JbhHwdn d6L7kXkwM96hvFbTGS2P69c4Hl0fcVlOF011Oj0BqhSCkNPCPa0RLo1EANZ8FYx+TC7l1x+1TbRR S+avr3rRWSE2RYomDAE1fh3cKZa/d4+zm+IFKT0Jrl74gPM1ddyW9cX0xE5HCPDVSExrBACJ4CCq DuDrBfgwLjJEA3Fa68K/0Vzw7mf05Fo8Sm21/06bkXJRwvRA6y4S6hh5cYjm6B13Ghct1ic4THp1 Gun7aRJMn0UWAeFHMSlQuIiYPueO6K5YnRR2HyY+CQJl9Qssthy76TXLwNmTU9GV47YNH0dAtM1r 7VIAtbxZrS3iOyzEJsM4R4ZUtcT/f822UtyZgKk8na/q6cijIBuXE3JQbj07e2WI7fMHH8vo76n8 fx/WzG4Oys77okTmsxTiBMTXxWv8+MWTYDwBwvQe/dLhoXwr8oZIWYIvzuP7ZeBn2XxknQpJJWps O48zc9Tbj06YFGy/0BeJBHn8YFJ9Q0d2VCPWDBBsS8NAdXu3D662rekDgXPTO26kBYQR36uEueLQ Wqw8+qcP0Gow4YA47t5nDolId4z8Ye9IPqANwaP7mCn2Ic1oJPPIHUfuLI+9OmR9evsM2mMLAke4 Ng66vRG441KeT4x9Ap/WJ/runeHFmyLnZ5bGLdwgWSQt+imPdtyTdAvAZxJxDupiNVXiyEYO/kPi DvPPUTExfJQ2F8jdP25ZQvV52mLsoo9+4iPwDboElO/cMfFxfI6OLEU3i6JoH+x+ela0Gi+O20I4 3g+PsXEuY79nHrIQ4gxAxeDbWGGcsz3vR6alFDVZD9crqiPXfBg020JJIN9IZRhpI6dSJO1QsWPu ORO4Zxqz8WNi2uEdtCw9amsTUTRzm2vTrWe3/x82qtCYqAatjltKh1edlb6rW4LJchjvwTGBrwGD md6Er1K0pwEcbqlXEQPT36jYollIJYaGf7rPFZwOssWaYR0IQ/d+ruFKlIUESxGUuyfr+6HX2h2y 09LUKEAQpG7UNGW9SnignTQMYlvgXBHe6CfMeAmzH3/3eCm8CwnzGayu8kWQKPRLRM0yatb8GN61 yDmL7qzFA2bGlbIA+nEomIPHmEbmJ8WrNqzDMdUrxi7t17MH3GlDs81TKqB1XGl4W6+FfyZPzpxl yzE6tbass2cPOijXxxVKJV1eYkUJX5/xm3T8X7aDLfWBQcZo07fwiwU8zH6/kGdyiv4fCLNgMEJN LOEQeHx22mzFSPqPb+o+r25A5IArIiEpQZrEnmXhkat+jSDPNGw7wSLMRYeXUybFeRx2KfYvgGU/ S6L07mqVVucbfvV2IMOzigzHRPbLVemCCns9bjY6zrUOM3lZI0E06+8U02b0yPfT1J+CzF7diulA dF+sf06rJsO5cETEs0InEJEasetfjiihN91+5CnNkilRc0THdxndj1NANagm36/rY3oDI2rQ5o4W gaAOw6J6tWTOEfnwbCjjTdTGugUVAgKKKYo/gx7CUrT62OlpRUGNaEYtKLs6tkJRPWKTzDKrUetb b+rs+WR2ZhXtyfEDG+ocgfmrs3RtdFipZdbTrOk090NSNV3Szj0XmpplF8P/O3CQFLXfO5aV7+N2 ROS4Bq32xsH9yUTilfcf91JI/JhYEGRTlsxZHzKrb3l17mt5cIOcQzlOHfXKN8jbJyXB/xVm7CHZ Gg7J3CO/7yZI6jpCXKh5zJl6UZjHfyEQR8V/9p+SuhDBSpvKmL0pQiZ/rIwFbuQfmfli3kzjilJU sWGgRsNbSLd/yzhog75Lk42uye6C4Pul+EQAjVXT3mUwNoJ02+c19364LbJ/vCdKcuJNFDkVRSwd 2uefOv8HUehtwTNWcCypho/o9vMhCIuFtegn3Gqg2LiGYKnGljMVnMDKAZwNil9y0JXixZ8lfcia 5aLaR73U1hOYwdvyuL5rbME5bYSCzpxQ2XoI0hspI26tXmoT/rS2ahcAkqfzUniGrSosMAF7bd0j 4nnWgFijG9fJ7IR/zeAwMZ5AEr05oWjwVT0+GujZqPl3kd/Kxj93H8FlVH3HItStuimyeBnZPctv IlmsrndS10P8LYRT4dqEy9iqfEJR+QHTJFxz5vgzLqAdXrruV8AZpeJkP2GHjEDTHh5misAZybtd uSypLXLQDYuU/P+FOnPMpqmzPvKKfMr0rvJBHKrvfNlKcR9KkDchs/cvxme5ob3NxuK1X1hykLaJ r7iyMOgeXYI0yiTigZn7DK/gcKPvoOM61zYyAwvlflUFfE12F3mXmciTwbaz4fvPWd9f50J1DnnT uxndVbyhcxHaf6ZgEgo9iDvYuF6gUdVRbONplfrOgR6SRl/phwEzWnTkusHRmVmb33P9pbyWx7Sk 55nTJPYhqMUPgYWngP6HewUzEObOf7HHAAhOeASoVnrK/kGG/p+gotUEgNfLn9lZ3OQvRkGuUIBs DISLzHmYMosiOhSDAIvhdm1dZSeZvMYlSE57ysG6EMs3lVjBbWdYTRei8bUuPwTzt5Ex/e0RepEH QsoH0XgswzusqZD8rUqJXhgNzLLq5uJ66wy8psyq5pcgmSkb34zSNIl6bmWzFgk3GqPv/LybzakS msxIh3eqa17kGp5xE2jlU5HB2JlSFAkm6bu8M8Fu/JYy4W2YLYpAdzW1p6AaGZziaKJZAv6A2xJu NqiOTMuNYKm2xLu3T/njuvZzZZrf00DjDC9/X5Itb+bYE/NTpHtORj9umsqqmmx6RIPUFJcM/5xV cQBjZcDaQJ8+vqj60deLCUZfvdnHGfqOqhEQgCbW05gZckgpGk2v/uQivjuX59rubCmMm3rKy69j CXHGMcadY5JLua5Jo8/f2pErGCHutat/PcUF4n6i36siqnBcVnADkw0tiPu8kTjkfFE4kPIOXn+W SuxZwMHL/ZekLJj/YWEUyI7RCTuY6imykdB3Jf3TQPEgjLrK8u6sG0DPhfqVjWDvyiwPk46rpX5J 2wC90N7nHk0hi2wxhQkW7VZeH2q1rRQQ3dcQhV+vTA9jgP/VL6A8s+hs0A9sb/TAK5YtGYh/Uyw7 cv+dGm6fJoLx30XK3ZWpnDnuyLA28vOVuwAD65gbp+572MdLEtxEjdVIhFCJLjh1ICkrEn67OoDS cSeXSCSOFZhPJEoT7vRomw+siEhz0vWmBP9nxcC4Jv5Ge4u7jvUv4hU7on3OhEWaUojEapZS6zOY yUMkfWCLvl9P7W/OS6mmOUsVJL9R5Ef5t5+NReok14F8aQV0XjwpUVhNAWcaz9n4p8IkljyrlNnw CP5Buvlvg3OPzqYHkD9h/W20Y4GO1icOAFFFUVjp6q2hTht2GjsV6tdeBY0rH7mt5dPGyR43h6uJ sLMVfQ4DN+zRfypZqqtpnoEE+BVegd3rJ3SOMsYOAmn5ruIAV4gSbomVCrwMHAgaJSktgxJwNtzJ CExB7ahuupofnDuWlKcWdkm/51CWGephMCq3NLXAF5665lYnDCH0euEpo2L2EtzOYceiPspaGaU/ OdKWNP99OmjGZE0msH/j5k3lZpHqmLMpIf6QTBLJ+MSRol8bkfOFJw2/ecz4sZcQHPXtsZW34qAp Ogkzx9V4YiDvO/YMmIGwT0CPoKSDM6DvIiGwpU1xDDocH1uNvfa7xLkaHzdZE8RjBOVRY0252Bo6 PCccxMC1dJdRKkcsh7gDD7OsikKKbTUDvLQ5vPIrWYossjWE725GWnOEc8p8F3szhZeSs7iWM3TB FyzZ//Ad3peljyf+8C5PazA/6bp66SJQYlaHrm/uPzKuzRD6XXmrpPeF3FuZMjwuWzeng55I24AN dJ8cm28AXEwwWeKbLFwekg2q0DHRVybUAnbyZLASXPwMB/n59o9qOwB6rlzlBBxm4g0mzn2HisEn dcs1OaYpjKcQzNHOfufJJIXYW0JzE74zTQgP802scyVxggUquO2NYFkQjDIeoJfzNfwjX0qS+x2I 68uoGCRLoO82jzAatVyMgcQkU+MPcdKu1Kwqj/i/7e99p8S26Vn/UOzGnXRQkzm1U/QUuEr1pgeW J+8htVYdNuI0PFwd/xoUO4TJ+v2nYsNNcOjo2VI7PCFtnM78D0IWOK3TBctjwOhw0Lqz/kZf11Gg fRTD5vFKibHf7nAhelQVUhl/aV6N/0oBTUJiO/dE2DXI/l75FrVXUVg6kWjNqoSK0Z//BOXz5lmf H8EZwp+pbKf2vEVijgGkLWzE20XKoiI11ROKB05j+fycpr77BiqNwciTINfKXQTnd4iEv0AOBaGo 2dT/LNclelCyLObXNI2G8GmOOOrUqke3vUgjlf5NCvv8ro/eCNdGitoaudK/ybz6HppTSxbOizOw drOgjsPFevBPfRCamiIckSiUAbk07Y6HzQBDRNJhn9XFFb6JpN9wsWzWrqcJ7lXQRuXgeRZwpqnx eETW7cwhVY74QkivhuuHgCAc6jIDvczxzPpTr054/mwrzL8GK2IDIrWereOATFpPtlbTMHhDoO0R UPhMuyRvKhJ/WBDX3TAhTSgHmbbMJT9YuuWC2WNWXgUfmeV0pC2yUvC2qySM3MSvJxDleD+aRsXK L75UdiI5UyYJ+cl2iEht/yNb4uw0PI+XiKJHhdaiC+1eFdjuLPfoLO4uWjer2lSDd6DkfPmt7+Rb WHhXNgQjJ+1dgLWokZ4LmjJQSD7HifpnQIrHRmA/n86nfIOOOsXTv+cczlvk4zH0fScP7y3rLh35 rtxLj9puOSgjQstEJviz17J3spwZ3x7y5PahUuO62UzU5BxHt8vfM88/ojAi6h2WJTFaQIVcw62X AKd51nJIe/y8PhbvhzlOvEsXVHFsANHfgzzIQPghBvw7dFQ/eb1bWU6zcFqJS42rJaC37jIicAjU 07gOQAD6hCwTJHqa+aahnQlA8Yyq5kbJevyyJJcZww3PIaG0pwy91ZCXmvctG0IJrdx0P1J3yNre PVfLqf7AxnpFQERLbgYPwYQTpKYWA7Fq+GxnEdUQ+Fn7e9Ys7pIgg5k02ngdEXtcYTBprkApXi+j p2C29XEZB+3MlpRdtoZMTuCrxgw5BgASGp8i6+j6ypyufb66kpWNxjClX7tcjmDXP1b8SRoG6cAt TbdmlH/VS92cCoYjgCy5LEM5tGhKdFyMNIHHP4NQTxUvMgSImNfxpiOGZ/EiXRyTk5OTgv9wgurD 6rQ7EYHnWW2/Rb01ZZan9UYZ0g5wH3oe+Gp1ofeq4aL7M9fwjB3a3ItfD7kTzF/oAWnjAPo88R8a +HDFco3oDFGr4CpaF55rQhFaZI2eXoOJ7GZLRvQXZnBaCImDxNyfomQqq9yoeg40Asm+K9GznL6f AZbJJ9cSmBalh2YlnCqO9R/xB6y2N1aZ2o3xs9yir2B7H6NPJVjMSKwHI6EQ3HzYxvGxLTxVSggG XNJGjfLX+CpdAGilZ1cDl6YaJiNj9Xl1r/Zr8GYdXHO0sricTdQLPNx9mzK3oOOtQNXq7XDRFjyu o+T0vpnNzaGIcf4OPgS9XnfNuI2mjBPgM2I//gwrBbrMwH0NAujq3qMw9j/LEbHR/Si1G1f0HgLr XYZqe6wS96G9LIG+8GH6W4lXAz9cHYUXT+HQw7hXaMD7OTGZKcs5FZbI+XjVsPjW503zDaVTiN1F nsYmIx4SjvLOIqvg07xdE3P/w/F2/dAZKaZHfjwenhmtz6bkKDNeW32actlu/s9inZoAXTZWzdLM k2o8IMPf/wKRDAeqeH6USD/QaDeMlpoZ1ilZfkwmTXmRbw2M4Qo/9DQ3jgYw9FmZw7CpzXG3NFuP NoINFQvb7x8s9CaDEtmE9hwQlGdvGwuEOSkGN+iE37/zWsizaoxEonFei/lCJYbM9XptxpM2MGhr kUT2vpIyJU7C/TGw0OKPRdQljOQ2M4aOTxAhOXzqSMaksMIi16dc6MParuRrNoNQbkSwLySwJVQ0 LstRgR7luDdtVEHQpzy2BECXpYct80s6sRnJJQXtYHy1PgvkjreG2VxNT7xYeBjpuvabTufvp+Yo 2J6wMWND+88lro+h2f+kKOzk8XpA0bNLyxJMdZ4VzUlIniGfKzDYwMzPcgaf61RpODoLjFkjSDeo OJm0QYpGJgnibIP0+Mo2962Z/yQatP5sdoku9jKNie5A1IKbyjIzH5FrUnMYqxJOYLcj7Rmbs513 jCzDxM4+l/Y5/IbYXqPKEaMV3r3moIHlJHJc5lg9jkw/GHYw4+JruQTsFf1th9zQcGXM1ckpuc8A pWQ5fkzJUX4GoXqWQTqe9nsbvZ+YbrlVMXqZe+N9b2yBZGxc4b6n/4VYOVM74MYt7l/zp6Rcsq86 R/Yjjp1CjqvDZhB3q+0XnO/1zTjGgCEqrSA+hIXGCu3nhFuvTCs3rR33TCHEJsd8Nij64lqgeIp3 4kfjFfXquXt8WkF6KCb8HNdSORYZ4ZJ+cYfkFt4GPpn1yv4uTIRXGm7Ol4Mpw/yZrqJw8hPtHDex pjWq8C0gTaumFY+73q0oveT7dNMueljAI/9GAKg93cVumP4VkGk9ST3ik4L2kNhIPInxuV5sJ68G 68UvdBw0atjc4qeRTf3Ui82FsM5khX7tVrABHN90CZfLL21S7Xmnw5ppYq7HTo3wr4Pd2G82hgPx 0t+tld9qf2VefaSOXcO73z7s6pnzk8dRZzNd/FgnY6pfu2nnyxiFdRHbVsEdetmvgngaomS4ah7y Q/aQKlBSgq2E9VaLgAnBqp1meF0QdFy2VcGPtAXlykDLecdv82NJGWFuHx2V0bhe9BSqa9D+UDtQ jOl998CoUMlIS2DPoe9VHRE32m4uSaSGh4c9lI5bPHLSejlj9KcYy/b8BZtxXFSXplcxFf4jvfQ2 4Cmip/hfj96edofVPj0v837bsh/57j+y73Ty/wDgHqJXa4oiORMdkaW/NnxZiLX5DmzklIUBuUhs QQB06svCn9uoHoe6reBGCP1jG+vnsUwwSTwHOfozzZrAhNMdJh9y6a/hVH9ZKR6ZZ/ajjgw5KAHm LlPYFiJh0SAXL/yRFVTNpmn/Y8Tj2UXuGOzTRPKBJo8VrQbOcEnOJ1lDkzpw1PUwB3P9TBcYbZoJ lEQ2XfGKCwfxDBhs4uKY5YTOsdBARVA1cdv6qA4EiuJfTg0AM5rfhDr+d743jFQM+un4Hkn/pvYy DB8rtAYm2cuFeLXNbkD6gM68EOqRfffyYj5x9w2FEQbjzCZ4A09iyzadUNd87D4/3LDIwi0SUlST iOoKk9HeIOiCEA33M3zi0sD/g/uZSb/ZdSlBX9F8JmyXSwAvodQQ3nFs1zmD7fc2xLmTFgj3aAws 4SmrfukyrHyboM8LbfNDkp0zsAPh7Nh+axL7tnAZogNVRDXzPjWY2qIECR2eC5km6fTB7s8/9YrQ QuPvWob5fJ7w42RiFLyj/+KjPwC5DO4Ag1k53F2JNhAZrEC63QbKiHnVR/t6F9N7yC+qjNvLwxB/ 1KHXUW547u29vDou1Ac1MEqDWdC/yAYlf6SL1fNTuo0fY7v9iuMV9d4xPEWHP0q1hLHOhiaY6zZl RN/JPZEVGuE6tU4ZqA+GNzG1+z/y/Ruvtz8QE7YptYZq3JoSJfaK23QwYkSXF/D6VyID/I2eZkrM n+4FMgbXtlTRCukIXrIstF4biV5tGmEiFFcR+D393+K5oZxQRyt1nsRdb1av9s4MeHzIIpp23uKZ gHiG0Xsy9dUIPxvybv530FTDKkzMJOE+hAOM+u1bzbRdBM9Tb2KLmBsb0ZRtSN+80wA/+qYJqG3S mZVJdyl0fkbDAbtvlmk7U4wGntNafgvyNTSrovo2EaA3Pd3iGitx/PS115jFXOdhfJPiHbbm7plb 6xLDp3owybZtIVNfYnZNVZb8xmXsqnUmMe4v4LLLH/ZE1Zee4zZtnK6f7HKif3LjPb8bfeEw5Jwj aOHjwj6/U7tvCelf8KcGv5GoieijVaP8ELU27ZiFPeyVNtxWWvxOnD1krrXOEMjDV3jx9cLLgEXq Z+J6G5PXkAR16UTcUoMXX84bJRhpSmY5G16uItNYavYsctSSbTqaxYsS7WiGNzLoX38lJaGKlTCh kxkMSt6o/5Mdd95F64mvcGtRMPUiNVW3aYwh13LibTI1oKYWCCSe0eL506+VWnRq+dvbOe3i3Tpi Hcb3P8u5YG166RIJmWz6z34b1AeoqjULKbpwaXcr71vmy1vOTITdLFYlFjPKAUu3OrT4RszG2Ba3 HTBUdX2H50cEXt7U+c+yvYI74QH7X02OthSt9baypqTgV2aU5x7t5tOnZwRiuutyOIpLZoBo1Ryh U/KHsJfMsh7jclVYpdQlwrYmEA3AaI6GpredjNJo/UK2+eTXaUz6p+V0kl5F7GnV3EXIXXNTfzqv OgigjYgXfNVmcauJZxIW2Ikc0CD3Dewo2svpbunfqdSRjPk/8jWMh8PCkg2GqyrPghn9mURtIBV5 YKAUBxso/qbsDFT/jsK3t16w3saGrSyJru+E+SL+NFdzumzp1JEpyf9eFQhqlUMYEYK789jBFqJD PLpTHThZclRuE51drJEQ56FhYboTs8td0ErsJlFlWJQ/tIEMeslDUjB+kNmJZNKZ78/Ci0dPgGpa aZWcbQdgiKLEiTw2G7wKp6+ugu6t7mrrOTGbkGGoqs7jlKQF4brCgNK2lvIEjT5VW6DxmQLaBB51 iUt7CcyUKY9NUmQROMkAEXWz7ZN9ZcpdlHzi+NUAf1vgbK3no/JHRmCHx6NiYORGc1QWT1udGTt7 Y/Lh7mGFHgA6RkdH034uQJdBmoEBJ6vd/5VXJwA7RmaOiEIg0a3UPoF+EdkK7a0ZkG50f2XDgPA5 3HECUWjh+2ByXmdCChirhzHqIplqlOoPgWjo6xvnT2reMCZQ4MPRtYhYzuqu4TTabpemmYzINTSN cNcHr7YW1gVJnpaVAb7ZdEX/cxCcfopl1AHNbVi5n69Y1xCnfUEeHjvACn3frfZ6p3LTyheFH05X nOZJx1NQEziDVZOtMz0v+q2sGMLAWoUtxM5PS0ei9BxnPdgbF5unaHCCT/gREuTbpq/dMQyO3bgf gWiDTWvf928fHNW/1gLzbKmMjWg1Qtti/K8tChGrzkKzZxiXMpGm1LzVmXe84o5cK/Da9TtJnzIj 5DANYZcd84Dyysd7PWghZrBKtKTHHuNZYxfLvwuHHiMG49ZxKQl0aLcqd2nrDK5QKApMbV7gMbgX 7GqRazVudgkjOYdjp+gZdVFIEMoo+TTMLNmNgAIp0PHVUyAvoaw9EP4mIzoAmJJrYH8iUtyDXOHe JzezDbZKpPxwrnSAV9+Dx7Qr8YnYCUsm0hccDk43smWz74DCAK6nwiPl+ehGeW5E56TqvXYXIKBQ U5giLRNr9WtQPrpMlXYBFLQVZVgpI0MOGPhHYRQ+f693NiY9rG7LMaSxavF0Li4DMgYgOvHuVT/6 ODgZbyMAWju6NBfZgfeuMVgEWB4n963lpsGwUp1cE+zHY96fxdYWgfLRMa0rO4IRjYzt12j2tKK8 gMAkZpwYKqo7Bn9Tyu3KI84UH4Ozt/Go6FXQTzchvTt2vk+2b44Vk9XabCUOsHzokDyVsRjqn7gI 4cyLJQYtFGlHoa5KOBuGSef/NoVUYVWTMcAR5IkXaF0tLtyL7ixOv3sudnCToTNuz/UO0sYNSsCL 76N4bHnOqpPsO7nQeDcmWgd8tWbsf88JQFmy7QTtgxfPBPOlUs86EyQ4AEANfJyhnJ+row0XbcZ+ d0VPK7corZKgGQxVHnhEE+gp4kj4SzdkDrAm0rO0gVkpU22Yw/NEHC4VQRdep/Tkg8XJyM41ZZ5m t8MAQa+nW5tp4UaZpbsWz3OopWwgUij6C/5bj8u5v9UyNq8t6HwyZR3B5tyVB3GOBB8GlWwqjAMd gsjGNbmuWo6Tse2UW7UMIKFXzCA5m5wcZ8cpqSjjaEEb285hqMLxkmDc1PzYvz1AXDeDyN11rgzv JuV4twbcB8opiG/yZGBD1b0ZUUOm9FO/c22bGKZuPW+gQWaRMS49WGSp6HNa3SZk7so0YExgyamZ FDvPHlQfJoLveFHNN1EhNxmuBo2YEvJw3G+NQLMrp59SLqgewTFCmP5qtsl63Vfyh7Sil12zkfn0 dJzjQnKqoYpit/StPj2xM64NtrUITY7aqcS7x7iZxjBeEVM1bxADXPeCR/5EZXlMjZcb+xnjZe14 vEot02gij3pQY36e35S3e8TVKw6B+wpx5g4KOjYixUyJTyGxLp2m0pF6gHpd3qrvKc133crNQN/X 9ITSINydTEjIo6V8Olabrlne4/4eRK6fNUTwCul13rkZdx2vzZQIy7mdpUIsvBR5ifj6+aFMKgM0 kCqLjQtJBXm5ZOHAv48rjGDXAEX8zz7xK9roe/Xg4HZJa46UwnjFxY4PGYLGPLQaCv3NfdbdIs5L aa5JePu2IwY2olwFBn8JW/39SCxoFsBMnkIKyIw+bJlp3qdk2SoAHSIuoupIP2UXZmt5qHQyMioD CGQcPcg4tI3UpJ10ON5OqQqBwIeXKxh7XD40BUoh6xBpW2ZzFZg/zFEEGt2G2Ml6mn2qhL1MyVXu zwKUrYzVbyvdgbCdjOk/L0iCSWU0F3MV30tpCDWBsVhpaqDb9N30vWCIYvn7D8kjZ7SdCwr90wQA ak7JT+oNnTggvbpjuczicmmxO9RQ4bb7/BkISSWlz7VIHt1WQiSHMTnJfbnLaxtQYkvrsIIk8NmW Gw4tpINwKQY/sTAVP+/P7AbIqkSBDhiL72dGLfnoCO1pHB9QIaukf2Y4v3xRH1Jyt4PkxVIzqn/4 X6oHtYliJJLtTe1OeBQQIw0DNtwsT5M4Mu+9z3vger3RnXRXtZc5V3g5S0R9TFIw7BIgLijz60e6 mHU1s3gPzbvbIIGxkiN4xNlZg9/Cgd5RwcRwKE65w9DrXIk4ATyEz72JF5TnYctg4qhbVuolJxZ+ 8ZZrqsl/8kxo3Y1eCxo1N15kZzKtFExAQlr3xH2NxU8vNVZD/X9tUrU7ugXfXgH4BDu/o6fxZmAA b3vf+Ie8FYsPscjiRN3DYEJcyfEJ/dVyLvKBFrZ0bZNNGrutpyo0hK48bdX4WOaFNq7PeETCDnFX B9CbXdw/ZrE6W0lGKkni2OiuX87/ftsw4/46zkJuwAwyG4rYKM5ucrM6pfs2pH0tSXYlmTDvncyt LTzKIvWQeGz0RMWJnKto+kHSMH2tK1YV+dKvjBKUUFyDaDmNEhV6dG5Ib9slac0ocvZ2U1S0xHRw 5lROKdslRFbONAmrQzBgZkoUdar6NEmgcmKvysMqgj7Acwayn2zmswZJmp6NZuZlAZOXXFuXwqP8 TCzy2jUWYUOwDKtFoO0HtCBPR03ChnlmLu88ltc9cf8ioHSs+K8OJ8MttkwyLbY4GLgZvAg0C2Iv 8j70YKiY7gTfr9xJ/kumMXh9f5739yF0JeSKWSBJo6Fjgpnw0wXQqLlSiQlsjqLEEbtmGvGHMk2F fnHOsGFt8Ek0TY8+TIadIA== `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00211.vhd
1
3464
-- NEED RESULT: ENT00211: Wait statement longest static prefix check passed -- NEED RESULT: ENT00211: Wait statement longest static prefix check passed -- NEED RESULT: P1: Wait longest static prefix test completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00211 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.1 (5) -- -- DESIGN UNIT ORDERING: -- -- ENT00211(ARCH00211) -- ENT00211_Test_Bench(ARCH00211_Test_Bench) -- -- REVISION HISTORY: -- -- 10-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00211 is generic (G : integer) ; -- constant CG : integer := G+1; attribute attr : integer ; attribute attr of CG : constant is CG+1; -- end ENT00211 ; -- -- architecture ARCH00211 of ENT00211 is signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- begin P1 : process variable counter : integer := 0 ; variable correct : boolean ; variable savtime : time := 0 ns ; begin case counter is when 0 => s_st_rec3.f1 <= transport c_st_rec3_2.f1 ; s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns ; wait until s_st_rec3.f2 = c_st_rec3_2.f2 ; Test_Report ( "ENT00211", "Wait statement longest static prefix check", ((savtime + 10 ns) = Std.Standard.Now) and (s_st_rec3.f2 = c_st_rec3_2.f2 )) ; -- when 1 => s_st_rec3.f1 <= transport c_st_rec3_1.f1 ; s_st_rec3.f3 <= transport c_st_rec3_2.f3 after 10 ns ; wait until s_st_rec3.f3 = c_st_rec3_2.f3 ; Test_Report ( "ENT00211", "Wait statement longest static prefix check", ((savtime + 10 ns) = Std.Standard.Now) and (s_st_rec3.f3 = c_st_rec3_2.f3 )) ; -- when others => wait ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3 <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end process P1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Wait longest static prefix test completed", chk_st_rec3 = 1 ) ; end if ; end process PGEN_CHKP_1 ; -- -- end ARCH00211 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00211_Test_Bench is end ENT00211_Test_Bench ; -- -- architecture ARCH00211_Test_Bench of ENT00211_Test_Bench is begin L1: block component UUT generic (G : integer) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00211 ( ARCH00211 ) ; begin CIS1 : UUT generic map (lowb+2) ; end block L1 ; end ARCH00211_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00399.vhd
1
16419
-- NEED RESULT: ARCH00399.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00399.P2: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00399: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00399: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00399: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00399: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00399: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00399: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00399: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00399: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P2: Inertial transactions completed entirely passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00399 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00399(ARCH00399) -- ENT00399_Test_Bench(ARCH00399_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00399 is port ( s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- end ENT00399 ; -- -- architecture ARCH00399 of ENT00399 is subtype chk_time_type is Time ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; signal s_st_arr3_vector_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_arr2_vector_select : select_type := 1 ; signal st_arr3_vector_select : select_type := 1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_vector_select <= transport 2 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; st_arr2_vector_select <= transport 3 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 4 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns ; -- when 5 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 5 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 6 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 7 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00399" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_vector_savt <= transport Std.Standard.Now ; chk_st_arr2_vector <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ; wait until (not s_st_arr2_vector(lowb)(highb,false)'Quiet) and (s_st_arr2_vector_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_arr2_vector_select select s_st_arr2_vector(lowb)(highb,false) <= c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, c_st_arr2_vector_1(lowb)(highb,false) after 20 ns when 1, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 2, -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3, -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns when 4, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 6 ; -- CHG2 : process variable correct : boolean ; begin case s_st_arr3_vector_cnt is when 0 => null ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399.P2" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr3_vector_select <= transport 2 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; st_arr3_vector_select <= transport 3 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ; -- when 4 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 4 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns ; -- when 5 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 5 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 6 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 7 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00399" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00399" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr3_vector_savt <= transport Std.Standard.Now ; chk_st_arr3_vector <= transport s_st_arr3_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr3_vector_cnt <= transport s_st_arr3_vector_cnt + 1 ; wait until (not s_st_arr3_vector(highb)(lowb,true)'Quiet) and (s_st_arr3_vector_savt /= Std.Standard.Now) ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions completed entirely", chk_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- with st_arr3_vector_select select s_st_arr3_vector(highb)(lowb,true) <= c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, c_st_arr3_vector_1(highb)(lowb,true) after 20 ns when 1, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 2, -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3, -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns when 4, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 6 ; -- end ARCH00399 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00399_Test_Bench is signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- end ENT00399_Test_Bench ; -- -- architecture ARCH00399_Test_Bench of ENT00399_Test_Bench is begin L1: block component UUT port ( s_st_arr2_vector : inout st_arr2_vector ; s_st_arr3_vector : inout st_arr3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00399 ( ARCH00399 ) ; begin CIS1 : UUT port map ( s_st_arr2_vector , s_st_arr3_vector ) ; end block L1 ; end ARCH00399_Test_Bench ;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/output_blk.vhd
9
27248
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ASsQbDHYZ2jOGQBfw+yVq8wqqC9S8flvFG57NHpo/7pTom6PP2stKonqkWnSO7vA8kWtmthNINjw jxmRNXqPvA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vz4vXKX6Uo3Jo+OUdh0J+nJ9ZsQbwAS6FFdRZ4NoakXkr8JGrdMf+TNoIUHHGp7/FCe2AT8KBfir OAmuJ2mnYUkSus9CIk1N3f2xNZGxWMUHLVp9llVWxyTPFCNJw8bloZoYVwPcsshsLosH3JJKLieu bXmoGKfjAK0EpFmSOdg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1urY7PK74SYzM0sLp/4fH/UoYLTqN+ijKZx6zyoCEH0Mka8oIoN7hmNZ3pfhhg1Kotjg3M2Q75V4 YgYiXCJ2xNcQTdQHJDJyrWsABgBQhY3aaXmYwx1yYV8WFyF5vFsiSsZHLjG/bzlry50FLVKkEgDB o1bOqxMXrztx/962ftJvUpeh/0LGdBqIzwucRIxt3xa9qJKMUD9aYIqJyopik0A+o4fWUyDFeYSD xn8Z+u8dO25nuweau3HNJlcl+Ru5AEViIV7Ph1MPxiwyFuzoRgTMhmr2jxI70ZXWsSgFe8b/xkA1 mtykadoddhqLAH16mkS0J/u66p/7vYoXXfjiGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block r9i6KPozAJVEqoIEsQwwIMPKjqjymvi0XZVg1n+jizv8sZ8ID93bjPSyySy+jwlgbP6VqOu68I7L aalqDed1edeQoYbx09vjJH8P9k3rsMTxKuUnGoMRcUIb8exMZvyUJoyO04bFBHO1hyIWoazXD4yv LmlCbEAphsebT5Y+KMo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RSVlPQT+iw98Bfdg2PU+AtW6nkfTOZjLNLqGw4xAicM/8b+u1x3+yt63XyxhAxgzU1pk21tmcZ/W wUAuSqcTSSkIEhKGvV1NLxCeNzrgzX72Fg2KSzytBPyUlbE3fWG6wlACyavr1N5DaveQP5WOUWv4 EBi21eLoO9hArOR9qaDqc4ZaV50oGlvRzws0GiJVgdN0IsHwH0WyMHvIWt84pv2zxNfyNjpYO5vp +GYcZirzepKVte4TAORWqJAk0EyRNfOiYwyV2dloNFcfOvEjNH+QYQenV6Y3kKJsW4LLIXmvHWbK ULvh8eNrfqNhEbgxAEg38KIp2u8xVwqP7j6gOQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18432) `protect data_block SLreVcJFVgFdAoFXeR6kMnZ/z39bjY+lmGICmGwh3oZ+Xnz0hcHl94R+hJ2svWXFT6vtBpgMNxma rKqyAGJz7pTZforyZ4djUYZkLKB2CMpKmfv07uVOI2YfHaljwoyJl2WsklYsQHZSIOTXi7REpeF1 EY7vUxhphC0LtB+/u+PlK/urxucyHbNmFpcLc3dTXAo46T0MIim0uQDTw/On/Gc4tn/EAHsKWtv/ 4nPyFcmmAlKxsS1hNoJZ65uVJ3lXi58l37M8nD7lLNNf4ggTaj25PBF/dmOmEqDDOYtcAJTHtVYz RqlJvNIxfOL76+UDixcXx2sUPjc5SNpdtROVo9M5C7ZZ5E6boNo4kuNLsznka65ibWGxbLqfC+7U 6RTm3WmbryFO4A1cmf5tZLeiRnjhOHd0KGLMpRSQqC/WK3WompJDhu3R4CM2Di7BodBQL/rRM3zW z8btetcZ2R7P6gwLavq747s9CbFJrRPjhttGrtf8SQdCapvmM+qYupnkR86HgrTUoKiviQKWAZ77 uYKBQNNxDoQCtvNi6VnCJNOA7AZFymGw8x2fWiGN7DKt1u9NDkfPjZ7p3OkblqZH4/h3cntMKDh9 NSl/dXE2hFPlUiCoPltXqs7VKM29wgRxudMkDb2c88a7rOAq/Bes4kLVmCB1Hpxsc+yZuGRm/TGD WYDgON2WuOdvYCklg7XZhz/h14j7BSwxeB92/oRvHjMJykznOzQXEpH2UmKuWA/MxjRfogo2mi4L 5zjv63ZZJwZ37eKjfGHBPYBuC0H8ZtBkHuZpC95eoXpjoQySSnQuBvsBCWvj0bb4dTMh6gy2D9Al Ogi1wY3saiXmfp8iqeKunjaP+8vVglA8KDZpJd2Pba01EKuGFXFebPc2RlhtffyXI2uGLqsA3Ds3 dFYuHYmD5RznNjZYGetnZOcnuQj/sTOYyiIFl15hYkqfbEAWiwmjhxX7l5nXEdQKjRKEp6tVIPg1 3k7ngtK08rbmMA2YrOBCmZE7tmyFkMjVPkIIrE7lcFCA/8odXdLW2Lq+W2XpZw085p/uC0qEAZju XpygRYYL0lfnPuUBWA5Fal2jFdG8ZQOORZsVzSh6DUJF/HKwOx6SoMuKcL9q34NwYKLiXkbzNYxJ nhfKm9gbYvxQZ97JMYn609l9H06+V3AZSfw7CCl7yndiwILMSUw4TqSFfJIN7YbxTmsq1FfzKlVe ASLIadIhiIQACD/eSbi+RHFvH8YkvYd8X/HVNni8+zEOQUhLGkIHJBD8hoJR0y39Pq4Ip3c4A/Hc aLQmXahbecgQH93d3yTXDsGagsiIFsIBs0qxxqZXs1ETcIBDPKu/EzJi8mSn19LN5CyPtZkHcOL5 UWoNEGPxLIvU4l3d2dp+1phv7yFF5f25xDEEasWvnbw/gdiF9NxOQUi+Xc1/l6WShrmx9ad8KrUu ZKepXAZy3lAqQy1aRNZEAmzMDpWkTWa1T3IhOjjAtGSWkS+HbxRKeYb4R4KINcLRsDmYXF6qo7yQ ctgITcZY1qYqdfu69SMZvEI+DDi0z4XXN2/rQ5R1V9kfAQh5vTmA7ridn3J1NZ2j7S83yeKw2B8Z 7Mo28s40zMy/dzpdIYmorNsShh1TStcNOOnY/tXpVYMbldlykWK8mb23BSQu1MOYTe4RGSYBVcdz Xg0vzRpyVqBcWzXbFDxFciPjNM0fjY5oxr4Kt2HxzIFdKN8Tf20cBOFIPWpY917v+L6qG+z4Ieyx IuP5dZO4hwBIUfPwPINr065yd3T1et9XoaHSWq7TQPbri0kMsDIlt7AKEa/F09W7cLAZkvOM1bCy UYaSQfP1n6iQg1dm2nEOLLn+Vp3+P04noc1wHPUB/wqcyrRJE6FjGkfs0W2St4mL44b35uL9qQgG OwKjPzKeqZQZThxKVLNikNew7O8A6R6Qa6AvtBx0Vtbkuam1l+Sp2GHVXOIICFT0gBH0SXDRl8gH cJ7ig3NEr08jTjjLjuYDp7YTGYX48i1gSFEop65HUpGZhkYL92xfSZp+W14UY+IFqOkFWoBnLHeH 7FSlszbA4GmzH6kXmqxvGXOiBIJJ9c/MXWeASsJM7R+/RQ6W1HaGzQJHfMMIiUOUht52deJd0tDH /rGHmyWqB1NAiQY/nNNJkzQIXg65nQVY3Pml31AYr99Cq7YZa12B3VUBo0QQzRlB0Hj4Xx0NRLHc uQY/I/1rSrXfQyo5oJ0t9OQuYvbTZODNLBc1CQMNjKDK1bNEjtHtRBHHE7ZtD3SQiHC6KJkGwRQU 8yNcC7B4T0PUsO4lGpXxwFXYX3JncmI92EJNVygMu0ClEq69wrXwCvUx5KdgqtIQLT2h1KBS0qf4 qmyTk2b2v6gL4s8EA72ZHqs0y8X8RUqNhLrVBUssik/iQpK4byB5Z0mQg0+JzuNTtNW29cLGjWbv fp5uaNsToDK+xgyGIQ6z+1C5bW5KCHL3ylS4p48VrcncvWQ+9zFb434gnrYWy7awYDG0gXBPgx2G jFco/INptnDxQFCzBVSNK0NS411IB++YdiydyaXQR+IZ2pspDGmleOrg38AFF8VL06yu5T523CuZ dOWcLZyQxllgQ+nGdLoNvcR2/2z5p8U/e/DQjJlm4YiNwujj4qBysU9did2QNy/pRTfShDya9QzN 54oX1PM7sMWmAyBu+fu3Su5OaWGeSfCAJtbjvIJuGpLwj6On2E1ZJqxwyJlXakkfGGlNFKFBEYDL ZRJVYNUCPO9R4Ke6O81t4e0dR7ujKl2TR6OoEOhqqZ2aA2qH3lA1dGXG57r6cZptysQeMjnDtw// Js2jPfQkzow6Lf0TS+CV5HHzrL5bA0Et/Ovgh4rUgtHoxAb1lYuWEOlWTFnG9oRjwocSKvSjk23w s9hJtaDzUnQsFB7JCWNYWWrBhTcw4iKmiJDUnk0WKJVLDdrDxcFPECG15MjRKVfqpkGHsHEOIO7e yJdQUHsN0btN/6cZko8sC2kmsuN1DTGNU5SVPmTMu0ZpRTSC33CuY/vBXPCDldcgY3QMMl5DAZt+ XipsTry5CnUZCaF/Qq5B85p6lfKjC1+YJvZlqoXShnle4Yqqj3UDwKlqw4x/N8XENIyj3mLWGekN u4bEpk5aK5I/CsHrUEqKk/RG+J5Ax/Nb4qSRdDhwRXNvN/rAZmV4YDverl4f8Th9FeUkWTQlvX9B 6LFG91JzQHk2Q7cJUqdcMAuS9spmzPFgo7jDbKGvApqg7g4ANpzbRDW0ICqEjC0zyGRUzpiN1y4f ZRNWbfnVDT7JrVXIa9uZqYYnKfcDkkFOxnQiEWKjLOrvXAVIg6NnwBaXkCqvGni3gWh3iNqho41j W4c7CxAK1/KD/u6mo4pqOmG2JXxHEREU8/Q0vdUOv86y/Hi2P5ItffhNmGEf7J+65tYyUiO4kWEk GgmzmoNDD6VkqHvRJOf91ELc7pWlgUcufAnM166N1bhDFT78ozPAtugNeHO7kzUMNNjEEc1CiiBJ M5MLeOh4p+EKhd33wEjohrNCqZs+ET3cjPjShx45qTzg8EneBOmRIyDXGx1IwGxbycF0kxSdHXhp hQM6UO7uhT3NPnX8amFB/73QkV2j5XC/v3kCwLn+MP3cDQ4Dndt8uvaIo1dPmr0Dc2LiNQhDhN9k iLUQojmXy64jE68TCaNLBizMu/SX2IEKYSFgOttcuHFwqHdh5C3+xSsd96Rs+CoEiRzUZJEhVZNL 58p9uVQVHYRM+xgK53nhHUt0Ej/D/iaFbPO/sDvddPTsQk1X7XHJJ3YAnwQC3JQe+2bpa91oZH4f dnfndjkfh6RJAuKxGDXhfTcc2cQPAgGHxP22WXYvr4uajPHBsE/6xNwCYML1fcB40zSIMnPEpZsM qTyZgOSyXoYzQrH7tBAPCIaTil8xKBGvq3cccVjA7XKfDjZk1w7ZRcK4C78lECM8ahN1kfd+iOAf 81Fu8LW8SG5eam6XDF8uEPT+eh85phTHWHfj6l5eDdYeWBuX30Vlr+NDT027SoKG/mZZ4GxzvNva maZtHRbTPOHXg7bL7h7b5/mLkO5LZsGIEBAWIlLfSwkg6vEFNMyuHkdf52rKJKFqXboO6N5LDgeh 9Ccrspegydu8AxZWmq+XwVvN5bJYQeuUHwjCoeCBD9QtJUpQ6VTw9triy61hZioEIWcNO4ALcvPz NvrGRSWeHVWPWdTPzs40TAXATV6QxhZR+yKVxOJ02MlWBSIPfJEDb9uatrbnC2COHp/tTAWTNOeD BXwqg/kEswg1cJRiy4G85ICUra8FYbm0r1SjyYpvcz1eve4udMhKdcDi544pFusMN3kTuqu+rO+W P3BeMOFQRsFVcJZE88COdYDcK6gqZRIpUbtH28wpA6Z2UFEorEPQOARu0ITg6LeLrwhEESZnrQN8 xML+kKhDbUnePSdvDhW7b4/KB83wee96uvYC1V66t0yAgFKyuosAseY5fnLqqL4F/GSN0E8fK6Cz o5bsmvbRp69N0qqGE2HQoBsC3NChJosbBKPvLNs2++CYi2pl6lKDmcHTPNbz7dt9WsWFKGDyZbp4 ib6UKDzrpWmWAWwO4hmCkggqLCl4ZoKL0UTUMCW0DzVd/j2nEB3Fd2c3LghYxfnHB3Pa95RCxx0F Qbzu53LOmTGoZop6wKoK6+e5ABLRZlHYUf+AZYrAhkO1UBa4jVXLICK+oI66iqblfV7qMeVnD8iZ +MFmJvJSriJ8wh1P8XItfUwbNX8QeJJsxZ9mZIkxwxhni0GpVBTIaV0y84pFOcpq4qrP1YaThhum yIMfeo8tWpU8l5383Bn9GPBLhS3ltkDG23SFvLYI1JY0OSJeiJqSxABe1El3ZnRbJxxmhr5bux8G jN5W96D7USqzb+TTegJw9OmsVGMouabvQOVzK6MuBZSpMJxiHDMcVOayxu5MrnXBv8kgcNoW5FOZ FykFmJ3wmKXQPJJ3YrS+Z3rDH5JreB/epqhBMND3oRFuff7WqhItvVR8ExuWhBY9yAdgRtoYmgE8 Nwo07bWhUTlTTHrRTP3szS6tssPYbINVgi5zN/2MOoolEQv8pg1vif2hh08/kvCFvXd6TMqfzMne 2Z+zWjn6LpjP7sU1EltU9c77iUQ44sLXQus4jsDKVptD/BjinFJbSx6i/dArGTRjVRL/JDVVZ+9N ziKLlARY5GjZeKms2BKzYKVnb2EGjuc2E9yDLQsDgBREUxmkhBE27yig+K/ll5ko4WYEd/Ri1ZuC V359qHX5z9ZXyIq2Km4PSB2bbHNDBiXZyBzqsu9pkStkXxiF4d6SROirlPKDCIKkbA14Z6riDV3Y PrgQGNtjCwSTapfK0SZsyu7BetYgTjxDReaqvPypBnsv6i7hBROwQZJ5F07DWcT0NefxLkfzqkbx MnUWw6uCzs+vlXo+JXfTh8NswcrQhgrdOHFnjb0E37+/wIEIy/LxNTa70VWQMf43clCOTJar8YCM ObSyUuBWWB+ELQZx+H9V/61K6+2Z9qwaiSHoJAUmh2KcxbyGekRoXuDNdIm/KYNGNCi3Fz1mrnNX 6brE8pzntQbe6O7GhX6P8ZilPQlN3+5ZvQmu0Dh+CmUv7KK79k/Ur+gt91SM8SzLaZe10sGYndgT hhHLpV6l55ZMgeJI34YpWIwr6+NKgPYMfyaBdxv8saGPBE44UYMCX0WV2EaZt/vDn0xvh2Q22gFC U5Az62TPCdvDjJ0Ch7RSMpNCpBCvdlyGIQ8UxU4P9m5y2u6K5k/KSGtie0boviHLiGDs2XWSsHcS TrlQXsDLvZC8Z8TyKitfUjS+yiJnEzZiHQy5LkqgXQ1JhQGPQqbX8ATcqMCgBoJzrO1d3Yygs+Yj GrESDA1iM0LLXiDvzb52stiKkg43agObGEU28t5unv8SCdXvncce3HNU9Z2Sdm3iaI7kJK4bh/Id U3SLzCq9n7SfNduB0YkpRxQoIIXeXJCBuFBxpJo632li9lWoGF7+OsiAX1vzubFrZOz1GBLojaUh Qu5+g6BI4rXpMx6F2oSvV77BztacX4NT5dRgR0+k1/RmVXVoGxGwDSASZUalyYy8CbQePab5CK4G WMqo/9u7xOn6r3+lHWRXkdKUzR8x3NL7WUHeCbxdqMA6CrzJ9qfW3lyRZcIxdSUhR8t3JJAms0WT 40BX3XD2uxauBUGRFhnBIfrOnDxwTK/54JkY11eY2+Lx/btMw9boaJ5e/dtiGZktjT3py4qreLRy hotdwN798m4AicMB5imJpJReaKNd5HblaqPvCJcdoqWeR88l4qBZMNT+PTplG8G7St+yw4elqjhW pyeFFvWTROkomAXGdcAt5FJ7Z7WceIYJqZvRC1JgBTY44SFS3igmem4wIMdR/E79q+uRxcZperOb ScmOcSBM8aQloDEe93ganrs6TOXS9lXmG3J08MTsH/GMTiZTNzEsfLMgD0V5OX29VZ62J0TYeSRd 6l2g8mtGn2DdUm9sph8+qNKYdGEBoHiA8Me1XkbM+7o9GL4RMoFic1gL0wahjy88nbK/GqHR239F e56siHfFYTby+sa21+98v5n6VQnuNWxfIJl3lG4kJ//4P/FzoWg/BK0FDYI9ilkrrEGWSgjGTYbI W/roBXOYHs0JNMpVBEt+HIpgilIyRfAhyMnoMZVyIiwt10Khi0xhNoEKxuwihBo0fKgu75eP3Wq9 xII+UrVH8Librb2Fr/JYnOZve0+e86P+5SkWOHGuRLpixcd2h5GFzAgMHOisuIxRo3nd4Helm2Cd rm65FnTqfwjdONbDNAqZRCN8/kcKFjP6OCENhYrMbpc1QGDxsrly8a4SrsXs7pxMlM5SucquSRRB 25qVQ/hnTjIQpSAdPdckckQhZE1/jRhEEACxS1RWN1SBGYmDjtEqcA3rSd+lYYpKiVQVIEk5K+8T y7sCcGJaiEUuUH7MzGuZoDP30puxdufIU/MC9lRT0sRt387hPOXqdSdgStF+SPB9Knp7C81O9N3R DbDn/HpMqMpZKfJtkY4+e+r4u8FykM5GGtMHSOguGvfWMAiHex15dgBRDbseFiauJzPy88Etz9Bw oSHjDyhUkE/CqiLkkDe8pU5geHYOaJk4FjAZiztheu389nypmfdF+U6dl57SJL5cOpLsBk1T8vD1 1We9G41CwQBVBCaUdB7d40SA2iGjl9TR7gHMSGuniLtE7rDn6l/6+mOxY2mb4RaHyDa+7ZuXuuYT FeHCUXojrFOhKfcPf6WypI86XXtlu8VN3d9bl8bLGmnQ8P81ASl3ubuHsTodHP6oKUEf+3fvmzow r+aWrasaGxv/H6SqQo7TDgVzX5VHQrmndibZlWHPff9qr5RmoBUzatX5PZtkzas4ekM/JYCM9ao+ zchmul9XZnEtM8yiJzb+lmQn8cE/YcJAit7xYJUgAZiO0kxGgq4ha0FHumXM6bjk86IitdKFKWxD KT7946aL9pVUrE0jxs7mgTkG07Q8dj9Ia+IYER59vh9AoJP6dnr2Uf6u0T0oS9rahxRnrgbqTXIK Bcq1mvjnSkILGrJokFJtObwpsylU/vyYulQeXWBU5PBma88KZOIV6yPamVIj4h74yX8M6HHVeOsl iE5Le9A5SkTUIJPhA9fe19yneTc0N4Beou/1klU4U8CJ3XGoqe1E2MwmIZzb1RzkXXEDZTOyCY2+ wi5CxTmMZXi/JmvE8dd673ziXX+XMH5ukzL6UqzvDwIKvZet+gkryjbtNY/0qSZi90iqCBVFaW6y QDhIsc0owjZ9kQTD8eK+jJi+BFkBz7uq0zaQh+nKml+DGvK3Qw03zKtlq+wrAtORy2ZK4vt48RI5 4Y2GuZPDkPaHFC/DxfcocEHBH6303vyfIYGrzyMYHz8DWpb1v/3EGnM87hmrBOgOa1qjIFL8BwJh BDWIWPxalroeSfz7z+mTZX0EefuH0ctBVgMMOyQj8uqUq2ZfAwq8hE10GQIM23fXAF0Nxon5z0cA pw2bgqhE3PnUvwjC+LiOI6WpoXXX79+czHDiD4gf/0szG9gezP5jWFHnb+wuXonGyPQUV0AwhcbB yzQytCrrPFx2OuQQuAcNBIWrCOGx9ZUkX/jzewzpNoo073KDe5vDCDa7igW9Sd/VZabN+hiD+hZo 6oeUFW5KAyrbySImqnpZ1TFQ5+gTFf8jVXOVPoW9tzewDTXVPi5A7/f/zjX4rIFhFve7iJKAzRM/ gQu1pQtDqeiy2nf4+nQsnEWiHLRnopWC16Dqu97ZPYMd1p6WyPzXh+sGAyi5tixkl/CxSddc7V5x W9bS5HNrU9x/HknPn5b3a08IJqDvrYuRjVYpfW+65pj3tnDKXK6LwEMWrOVVYalJoVioMj8TXoXR 2phtex/XrV8bOvMa4fpz9nH2uPUH59OkM+DLFAehlVdYiu/PG7Qmsp6EDGmZPr7js1j+RpuyC0CM j5XL41l/rQbt3Rb/3+Yi3CsAEreTECibd/ApTMbm7K7CVGcef+iru62KK7aybERVwrz9T+3CiLlM 2l+49ycykvohO3OsVJ4H0j91muajInxpvCN9LoubKbOs1hqG+BWkocm9IwZ3sePG8ptBkE4XVH10 GE9caZSKZcI6uEuNqf62r/k/NvcwTKLf+uVdm3Gc5+O6uKyydoCJfjsrpVMeVfKxRA077RSGnOtd SO/bVUihd4xLGGJISF68/1MlKGhksNCfaf7h869RsKr4DjSyTP7a8/cORIq5eeEqS5XlsT+GJWNO h6YwPmxdy0SsH3jTKsvEWAXngFDwcfbNf/wsuRzkcolfSNOBpgQQXcx3vWaEp7LTNhbpsQZsCaVt 21Vt4hYhCBTDTb2vBjy75BoZyhAn+ERwwSn8fD6yJipjrmwx/RXAyUwrkfpyKleSjVWN6u/cKC86 V96p/bSg/OtMm1rlxRAIjEDwiM4/bAVkM6tWBEaWtErDErzBsdyGa+NJa0vBWuoafOgBL0RJ0KQO yFwlAzQj35dUYCXz13jIj6txQ+DRwEjqb9eeNX9RQqbQW677FH6ihzJpFJ/lQDcbUFzV89nMnKza OwAlsr+04P1pEaqNoP9Z6gWOoo6LLQL2+u7VvSLtn8hCCEXBWEQgf4lfQdDI8MoccTy+WQ43OTvG bdNISc7pja75hLDEmuqMtVDJMNNIvwA4uo0feg5MhE+nUBH9kSRn1VnsWDb8vQbddwMPeEwNShUr FMGZPqZWJJLM6I/EiTFOFAuEAPGZTO2QP3NpKKJbUsXiAUEuLtuBVUPtdgDHNh0y6wZoVv2MaGaF R/rKxUS2JngckpEog3O6rgALIdek/wqkVuqXeamgsycJI9B4W8G2Cc5QQ6LikOxKO0ngH8+0gJyC D3lv5JBtQv6dbx/onZlKsXLFORjEjWjm79mmy7ALuW8Uqh+vxV6B5Gs01nrxCH8ncmd+5iQRV3vk UrBKTAzQt6mUWAz8tmR+Ni9pnWMFo8sT1RBUrUD86Y7ZwkyvcyxdTo3LADx8skpUqPSFjDry5OV1 VpcpEAC1JoiYY6qcwhGCxMg/zDkX+Hxjh9khCRdIMK+BB5LjPWBsUbhXDKhzOuDbfiooy/K+pI5E Zp3X7fLsnD5NI5FcysTTzBXZypv2UVEH1V2zgKLhwUvoyfdDDysfTaMmvCP0I8VV+lFJXq+kwius kl91iM+igB73dHH+8yfuSKcPlcczLBFQVmDnk9vCsHHKhkvUw/7Od6gYhxkq2moT+9RV9ZLpyfb/ /hdREQQhmBHMjFUmsqsQWTGd8GzR4lXtfrzqeQAuGrVWzLmIf4QBbmV8iQz2qBkVTgSswDfh1s9k GbNXMz2DYQ7kFJs0lM1w9/ejAKXJUj3tawcDzDtRhVz0WVxpSAwZ5XqAbkIRhSCHYYoLywsTlbfg 1gGMnNb+pDwhC6nn27aHIICzo/cF3nPeNyajTaxI1usBlbNLG+98g6ojkYNloIW9TaX/iTSXUiHW fv99ZHOuCFzNDNRU9b5bQJg4wSJP19SEFCAKXuwlxLnE5hJHEIvbD+JMZOvcyYRAiLb5xrCK7V4E Guy1lUXLVZL+kE/hHm56vfDCHSxAZvXQptOO9vlKBLAh+6vCzwkPkkM+M5owie/R+DMdNCgbtkKa en38agWqKuuwsE6Lid0ALPNH6p9BPmwldjfgwuyXUuPCHm5hYVkMLUFfFS0K/xKNY5N8ctr62T0J R2Fnp9oKJC+Q5aWI0LlBIbRhwbNw9tzc/cGWvPlbLULga6M52rI8BERzOqtCjhDyGIggYrU2oQCU eTWsv+Ca83VbU1lSRKlQitWGnfnIuMb4bcOEx6en593pKrGJHd9jLdZ7SVKq/LXN5ZyH7Ut9jOFa 2fzFbaQQuOynfFOT1X6Boc3GlUaiAWN/XSG0bgPpE4vdekIwiTd31yU3t2UaHGDxo9bbuduFB30j 9zVx190tqOmpN0V0Yq7J6MFURXSmRWEUvlw1W7MvBP3KvfLDm0C+w+6eTOWJy13N7EhOWJLwgsZX LOH5SoRbf0HElfUcgR0w1HsvRn155Ln6qaY1T7tNKLScVLYZ6OikOg0HmimkLMyWo1jPJM687ZZw DKzU/WLVqLQGJyglM/e8methZ/sE1+WIgx4DmSr+3Lo2QkuPQnjHkHJdgnkxqTp1fCr2nn+pCq9n Civmm6IkJsakh+cV3NMfBcldFEaZUtFwy4/lWOwA5YhYpINg5KPu82bWPV2UMn7/x5E3ub1qwZx9 pnbYfr+PbleU1SBdJpvFQW2eU/Zx/ri6f+bNrZI0gM/ROBXZDhQM1erjDEriJCnNcUJC6/UXOs91 2j3CAD7nbGBU8Dqx5fa3h5PZ2VwNpLH8WEDU9Kvhxmdds9w65GvqsDekGAfRdqRt/xc2Fv9ieta1 etUyNrmxI1/BMxXkONqgvV2R2QDhDGt0BU9qRi3jou9PxMFo4cAMxRD6lnBga9zjPx+d1brsaedz ShqOxbV4G1ayt4eglWGShEl9AG1OFyvVHumxKFkrOQlQLvhJxHF0SM99eF6FVyFj9dP7vHF4PDKG tFMRlcbBLgtJcQXCk0iMiY2yhZm8lJzs8MTCZvmLPJ2WTgEIYJ/ujCASWVdc82qNYDUTwnpD2Yqi Kil3RvxoBn6mIo5LnIteOA20IsRDZlPS1/A/HOzn+Y2+6QFoYH2pZo7P6WDiab2IiKI1TxcsiW+3 H1gFTA3l1/p7QahL3gZivsrtPK46/xN3azvTOH3cs/c9I92BP9fQpviy1J3HUKHXCNDJQ9rHX9ci +eKGW1HjJ/zm082zL76oVEmGLZTHJBg9KkQCrBYTrMUImzvhIDdSHuLdPuT5Gek/5SwvqbiHl8mg RorJNYaw5KB8UM3SHhvRCLBXb2GKNob/0P21CCO988d3RvlWHqJMEyayUR+VplxHX7V9os2Pw8Zl 2nmHw7Vz+QcaSnbbHaSpUuHZz+gPW+w4rx6Lkyn8plfQ7q3JE3m7AHL433PJYsrLB40TblBqGkW0 9FpVdBKHUBU7uyB8Xppnw2bdqqzJDLwpd95UCAWNRCP9aNC740SxYaEt06qWtKVkuVep5cmGoDsk h0SOW7LRGUampEXaeIip6VpG9giWbKd8tBb/dbISbOErTyfFs4WUEZ+C1Be5q1uEy6fLi6LoRAN+ QHK4LowE3pFx7nEkkZbrvQua7SGAseWTbNDsrgSyRddDEfeNkqWMXnPfsRtM8jVbZlTI+0NPhrVM PLYMkrApASjdavC1siO2fszP0P5mds1n3+/TgCh8rzBEXg/Wvj1D5rZhMO1bnU0MUIgOWnVz11kH jw7wFbwCRyPtMzWZHeMj2lJcyvzPfPtrx7ueloMjmUcLwfnuF/yI4eihDf0V30Y4V2yMJ08KRGnY yo2GX8RJiudKUsGVRS0y9R7VEALa+Er7t/i2jhaNfHfxqHKIybSfQ7OhPpbItGeeu5V3QpahjKF5 hCvwf+stKb4hqg83cxS8RGbGuPEyxsXdz+gyhfEzPKGF3AuimgWVhodW0vnBopUQfCxHJLGmlaBO NFxzDoA+zzzI+6+ImV+i23Uo5phWAat5QVgtREPyXakgBBL+HbygIS0/DIFh+xK9itBeFO7wAQTQ nrK+/wpFlK3q0gxw0b7LIISLPEzD1AlIFMnq33Klpjywfwtr0waKa26YGZNqrkD5hf/t7gPQWUwK APO1c58xResajAHG/ID1/t7t3qchS0PFpJ77uK+WWEs1fJo9AFkKQ+dbS6NsXDdCaRyQPoc5Y2Aj SfxpdLGbbAo4/8+yKsVbY+sMInqw71yrbW4i5exGTQvkDEKnu197QqkLjT7y9zvEfis8TpStBeZX wwt8CpK8MUEuA4lvZ/YpFiImEAnu/IIUWgxw8PNMTNCTjdcqoZSMksUx5gBmg7tvLRUTtXZxFEsy ekSwStAj8/VeHcCqBzQsuN3mFxw0bX3rTiQqe478ZObSPm+K0NLW8ejmZbI34KRlR2bRxZJa0Xjz O3MZnmBf5y0x6ZlXIHHiODsUp0Km82a4CMnY/MfZNPEiPJDEXKtXJoQ12HQwjn1jk1nmHhqUoRDf lzY9zWh4nE1cX35L3BNGkm+rVTWnYpfCSad2s7DqGzddqk40MSRpFEizrim56bcK5w3WmqN4xw7e i8g2yIM3YymK7sHPLgoupL0B757KmS1zpWqG/bU/VrmhmJZCqgFval98SyL1Es3LjCWwc0SrqZKl ElXP9Wsw2VJYXDs0Ra2TexVmCqcdhfq6R+BnE2VD5LvblE8J4Eu6k2a8s6ZKkx9vrzTZAGBC3PEN Py7ZX+B240KE5y6YTgn6kO10wpo4bAZqGdE7XdWaJaY12CcWNZi9WZz9eB/CiCpRL2Mt5KTCJreH k1USClPLuBU2jzylPXH64V70MaY+L04gzphdjscWO+ECLMEgOdJjap797VNCqfVW2fJvRnQXjozg WZJ1l2gntxIr/HLKWaWnuiKWbHenfeAI2QC5eImtXfqV3SHK5NTipXnSZhBb4w5XG+kthdq8o71R +iqzALO4TPqKUseW0Ti4GSga20Npk3J6kgLAYsyCfT0J9QNSZkXH44PALlEGUn/5ZiQCGRtkGhbV AZFjssZkjM/OKE0HlelU2GBshL9P2T3mmOzNIKXmEzlzPaHuRsFwgRUG9h8oPG0b6UBI9lpW8XUq 0yjXdIAuaR03zXWAL5CsriBIXXk+Ft43T8idxE+lj3ZgaCUOv39ILdJ86l/cvhjeRbUY+5FgF1er KPKqz+Y1tF32PJ3QxAJJLHooK3s+KDa9RijpTNWvA3yg+zUyD4Y5vmrkRvh8Vmo/P6L+dLY7DvZ2 LYYeN3EZTJKP43Sg7SV2ItsOS4wsIEmKcRVqh7BNT1/kJAEKbH2IRgQfLnKSKAp18RxYgFRreQt3 YPfZMSnzZX5tfNOBXiZ/fpoIgofVj3sHiFmzS4tZveALA5F41c/yz1lp7ykBcdBUqzK2tdtu5ZcT dKCYVAJBXzMfrvhuc6wqDNWrJSIejenOCyX1jVmWNIGiPVmIFj5ypzXJ2mmVblL30ZqWGMj7hTGX tDN0hnhSWOPI62m+UkXKfy9ImayMQ6pHQN2xBrqN/IQVB7XTS4qrEUT4i8fj8B64JPQ3mnD6n0hE 4HMPs4x5rW4QqGgNpdOF2zKpg34YxlPtR4K9IhgsTcUUgWrYt3YQkh0FVimD2zPaN0yshPb9EVwZ 6bzPLskfJ8tY4x8Y22F3UGt0U6yPpN4nq8pBaCXqrdMdCSS8Fq7A2+5YRucmoOx/MWjgvO+XY+Z/ CDp/Ebf9axGiDQeZDffrzvlwJ6PBR8mfP1A+iiult+uz0ycFbCnV6seqbjVb5AY9nWG5spdWBFCC UYfUz7D4VLoZ+eM0bRXK6W0MWnigdNnc+kLT68ukJUOx1fYt/ywqh3oEiWkrWF42rOP/1Ey63nlp e+HH7B2p67hoPxRtSnztL1Y1ENqEgD2cMPCAV+SO1ZFcx//R7VY5dCyMRk8aeLK740gU9pcuDC2B Diuu3m5X+OOMDK+dgMR4TFVU0v1cN0YvNQ1XjOGYoVYCZucs0pEQLrlQ5cAhbQcXPkmKPW1GggbD MdwPjTKTF5ER7p8/xKr8n3lHHMeKv+/0vs3vFpEswDw3vPwv0WN7vh9hHzqctPFmDhG1fR2c038k 9O+q0JP3DipQNh09iWcoFMon/LCgULlg7ez+UCrJLvaT0pdbYAb/Of9cdeUjOcBqO/fqwc7BEbR6 TfnmntEk7Tsz22l5kXtbcUuh7NTUzQ+Xlkl903z0rxF8ezHORSlBNPJz9hx0Vnikdo5Hpz8ikp7H zouO3di0+McnqdSzCHYOIhfj7vfrJi/TPrACsawDJAL7m29u1qvCYHjy6KPnZeaFAhXk+VH6O2bn Fj2+5BYNfMGJYYKtPF4e4htODU79QjdgQns9FO32LWPbV+mLd5ujHqKDQb7dDwGMotYY9D7qU8RV CD8S5OOcO/wmd6W8aKsIe/Er1+s+DXOons1DI3iEFh9t9Xg/oNbcfi8HCU4btUUsuJSACnMas8Zy nX6VYT9kClMgaBGABX83L84T9ET14+XuB7x0t1fL1Qf/BYmWsTiA7GhKjUI+xkSFuH/rQh9NVUp2 gkjPmfv7NhNTMBkIuRa2hd3Eacq0MXGZaXnxPYI8PxPbg8YriizLXBiHKEvRY2KG3PCAC4nnYI59 odWjaW+YLXZlv34f4Gd+5OBHj5xn1aHrJKGVMbwShsNW83iKO5wzlBh/rLrJSz51jvWJtUm1+RJy PLCsFPALp+JuDdCGdMVP6F2Bq75E3Dcg2AujrRNz4aIOaznJVnL20wNuFdpIBIf1D1m9HALVviiv pz+u3kOXrdqFZNHllcdLMVkpwomVuDosCm0Y0YuwZ4mszZuDxn7LO6IN2MFxOrkjHeZg+vAtnCYe sIeCWpIe50/HtYVhJGLALBFRmPBkmQCkVqubggTRDdFRRoaDLw4ERZhPqVXeUwt6Z2bXmZ3oOwhh 7EaS+0he3faD3kRrO51Wf3f8pnKKUk0GKFiXu7bXK6fa8Y7pteW6VqN4CJBRO7DBKQTxMTUUJiEx MjWvTssl2AQAzdyGz/nt5FOstwPEnPLUUIc5ggg699LUH1AEU2mxAyqwQoKP9czkdVmTYJN1ly/2 9VXtWIK1jQhlxwIcXElHWzgHRVFKJ0OFmqGlA86b97ak4nnzlyE4Qcndp4ncJ5k3/+vHdukmQfCW WswIUbOU+QaVthgipHU4nd/DJmBAotrscAatR/aRgpteYU41rJE5PBB9LYh2ntVBfK9c13OXPVgl 4yzDGiR4o639nuWw2LMiXh/f/SfJZhwYJyOBvApzJWLQTFy+vN1BXHfYK7ZEQtZ6DgujOTSxIp8d Vixe62D57arv8jlz8Agvv8ia4PMAC5XD6PFlGsPURz+BB34tdX02qJeKMl+c1tIeBVNKnfP4JMrf cQIC13FxiKKz5Fp38yxTdCM7+FmXc6sP9zwF5mdNgBWDLJHK/sQA5Je8aDY0QBEFyY7ggzlz4daf vFQ8Q5vRgp1LhKTDhfvOIeQuVCe3Jy5otsdse3BiSoti/AF4Zv4vy0K8gU1QnWk1qeR+PW4VUNM7 MCbInYRqcdgnfsJi2mnEzbX6Qj5Gna5mt2TVyUVZcQpJeN+s75OOep8aQbq8CXPp2u7QMsKIN0Rs GdJFqUhozsRtmCMtzN4yTMRdacvQG702Is0mtplmZRewFYwROUtBiGIT/wge11FrVY3MNCLeFEp+ EqZvk2S40Hb87XitzI8ogsbv3z6uwVMDQA5lJ0vX24apLPfFlgndRW7Fx5mJAQUOTf4jvlaBGbnq IycknseSnY5/NjryHTL8fh9IsiVhYtSUbMpSlykys2XETfZPj4+WyhYF9O0hrIgdGw0gMolqlyiV tAcdWzyOyOAd7+SOeEH8PHkdVUSqEVd1wLonFbXXDP5UpFWIrzCU2grZd+56YUVGFuJ/DPXsM/eJ p7Layf2LlhsTq4U6TCTLuifh/MDCSmrY+PJXeHOaeCrDCG4RoDIp6bQEjk+FxnOPrwh1OkeyPszm ut1kjFagWvepw3zl0hsDTg5EWvqp/DL7CXl6rlSercoXIaffU/QmeMZWg5jibWQBo7LNPVF67NFd 5WDUGgjN2sQ5U8AhFzGel9nuS+rVem/NvwFXcbbkw5RanEsaZdb6DHjsyXJYZNC2ZgHM7AAUlApo YJYJ/jpjF+9x6JOOIzTeSseF2J30uhjsfDyg7KV8A//dT9X2kvgTgegFZ9wEKTg67uaFCK2qoXLc Cfw0NeGnGS5Jt0y/IMS9QlgU01v4P5HdSA8LL1YoayL2r7N81whSW+fgEWRvEEewKC6VLcTAyjX2 w/NH1FsZLxbO+vkNwOY7W1No1mpsmJZM849w1vxHqtJnnNBrP+q7DVmGog2xZLsyTbsD4pySES1K h90q4Jr6g7dkiksVxkVBgBawvLYBhysBYdNvLSMSxZWGG3d68tzSCVwdpjzMTGVUC8pr8Csc1B+W 1Etl/uVCR0MoK0S7AaZNNVwnodG+hjiVZXvAH08vb+HowlwURCB+5K2EJ50iru03DgGPdL3wYoNq 2iqc0MtuxXTGzq+/1r9LvMOim8/0/elWzA8yhJIgg1I/7yejKQ9+5Aos2xZYZsw9JHBJErzVPGQ3 As06btw7AjtLkU18wH/1xQ4+8N7uWjegGyT4hUK2XiORXT7KBKQX0mdh9IS3AY2e18hjMuxCA0Zo GHv1E7E7ZEPffkIeeq7zzVyIW7Yh7zVHcJsExzlSJtk8i30FfKjcUi+hKoQuUOhb+V4RpKiy2qmU Utdxw9ZbNj9uH/hehwBx+B71SiLKcESbcPoKyI9j5nqSzrS7tPrtAOkOLg1nwmkPl14TGMFyfSNi x9geeIBZNkhTojkZTpXdbHhXTK8hJmtjbuuBOsf13F2pdeGwmhEIzQu2wbAKxGrqcUf0pVAHwx20 0w1F174BS44mujatW7cFrg9MvLoUMtRs2hpp+HBEh3+tNmK8e1O4MDCmcVyiZpKsZ94EGKMdlnve fCGRJVQz5aDg6BjFxeHC/0w0AJUj5SO80XVrZfI1yI6WabLP5gBFebDeSGrP7q6Na+sQvQjC8jyY PFXYmFyl5FYzbxvm2bL+RQOGhd44EAN8dnB5WbEdp2FknkzoL68bEEI6Xe7d2LGjuvhq30wV/pWi kdnR3iV88lV5qXyUJgtuaF2JM3ZSdqeK4fOGiyFSfSMC/K8INOo/miezAeKt20zfbAEfgYpx4iKX a9XUcXv+E6Q/fu5X+0HBz86pujwzx2iqz9NLJbrEiMeuQjlVtZRKYEhqvXsgKDNUzaBv+/rfnrHT 2gP/1md9dPkH0LLlkuPDsvUWYvDXRfOivjScp+ooE1XiAUMSL0bSfJN/D1FCaaQc2CPllyEl5lH+ 4nC1Xtl5wE+b//aWJzoo8q46ija1mf6d/iX4qlGaGXCQZVCXlgF9lZAAiKpZqckUcxOW7p3mZ/LD Nf+K0+lEOBGS4h5OiMsCmlzaU0kuNBpYPzUwe1GGi/ztfi/ZynUts1pqpmIbMyhE82XysaF3oQ8J YefE5IHLX7DE7KfodnZC49rS/jfnpwEyaROwsmDFl5vLf3XeRnSQOlrtqNYDjqMWXYTcnJji7IRR hQ2dWY0xfQ9aD4wlzQ7+Gwja5o7G64ExeYZN6KizVGL1hcGMGh4TfWw05ZFb2xiL1zccSjOKan+E b9hWXqDnoHLwXK4kKfKh6c8ZzN8UeYSjpeS2buRUnIp0VVTZ92XcQYiac1fKeqycjIdj7h4eBEs9 BaOSq9T00TGBIgKeKJpsrzWNQtp8+MeXC5ZtYKNzhwuZ0p68tMxV/tf4yDJwTC9tXXhlOVTUOkqH oqEA/08BHJ2zVw3guG9j3P1KMyKp3ZQYQbGYV175bi/QdVOlJLjTvE9FyLh6I1TbNN70dkjhBIIY OXZfrDaAIDSDi1DAMZAuRGqjZzQJqbzSrd0g0Zv/0PSPM4zaxVlwMW7rYHTOYEN7omHeoR5mjPF0 b0EvJv9cUfKd4eaWkbVX0TjOtXiUCmHu7uxgA6Ixz2OwHegTgJXCU8d3FOxu3eO7dZk9XDWXJEiZ JZFK1W+lQBwPmaXpFGtF4bFp6bgGV4T9pqeUCHoKFjCXbRsRtdDtHV44/qIL2hRkA/aW1uSQQqsd 81AgLkQrnh/qa1sBVp4oZmKL7frni38Mr+qE/wmpC+THWUeNQUxR5Q+xR5lkkANQvssLT1mfeC0n KYoUp8tHJ+8gipWvuzVuS4KaUnf3jw+6+zLsFR3CnaCtmNsPkseIlOlovKSRzrFeLTKs/1Qqu6XU KFnzjakkqJTpKxQjZWK2jcl5iBTc91TZ2NMGB68kNlXh2SuiAlbh0wHUXpP/un3vXEUOdxIRMubi 1w4MVtlYQFBfYoi7oJhDagNVGTNRE7CMuEc4VVOTI0Vv20q0RX5vYpkQtJTWp/6NFJZg+ecrT/0c YPjlJYUdXXUsBb9Zn8Wblz1hejIsnvn2541hhJXp6yNCmnk4M2PxX+xBy0v0Z08SHnbG8JKeDs1P 1otUZF61to7ZfJpO7TK6BFvrl+d6Wh3F8R+PxuQY/NwrmiZ4jIkRSnBVEqHALzto6HKJIieVWrhE ZWqac2RjAETYvAxQ4rpzOIKl68ltxCDBUZaOrwlREcli2ealDvUcpJPNegUeLmR9fpy06sdbthXy QIck5iu5HtEEvL2dBeRkCap/96GMehzjBqLFv5wNdY3wtEr99OEu4STjRnHytaBj6pUS8VlwaY24 llvdrf2+AcTsDDLdQJwnIOYxgxqUf57Xixyj8NCqpG9wg7n90aNH0rWO1PkzmQOCBNFU1c5iE4Zj Rjzf/8uhjIR5HMO5we1W7u5YIoDez1jZQIEQuMaWC8qaQ8jSoXmJRjs122iSwuxKl4IBfVD0kHQn NEwFoK6NizW2KKr5w+HOHz1kZ9H4InjrSKZPKrF54OX2+3iEhlrNw/OD9oKUMJvB+ilvJ5pf6QYi v7g6VCKCWTK2ynyU4ZXstOtpbnoa/xOOzkMQYMVNU/zh+Zm/pRsToeAjotsRJHCW9dKZYoQYCjb6 NgzDyHtcYG4DJP+f7TJRlMfhXBz5Gma7K3EoKqDO7cNKZFGfmBfiU6nTxpgDZ/Kup1kUuPt/IYZD OCqLYG3Xy78N7wusOwcSs/uY2CZgZxmbAHUMuKWRCg+1uGPL9b1Yn7UFeeEx0ljQFLZPomr+wbJu bkmxzUo/uGmVfDhwRDj+mZUOu1mxynj0ZEy+nolB//qL5ARoS3IX+dmMRkmkiix8HRUykWH+VWN2 ltHrHaHtCjHAHVKn9fe91V7JIm+Y9qHYDhYA7fs2UDGJFFowgMHCIRPa1i5ZlJ4wUZ66HHWkLPlo kDkGYefsIDfGM7H9mzIjDYpiKq9eXMrIII1cQnDTij9FbZMUVU2TrCOYvhOLkmGPGkNzJMzdCLgo Irvjfz/J72sOvO+vvSGf4dHd1h4BUyIEt4YL57oacW66r/12rAk5rlDcD8sUVbAq3lqhTkfU4+ZG jimWlDa9rDuhMTQSRSW5Yerjyf3U8DFLRmJy05Fs30wnnDluOxFv5EzHTy761/1fopmMeKrQZ5Xz BkBVGBOlKP/zhSxkeykbWj+rHnKChCSQ2GWeGjmsxNCrcebtsKXj+aykubVICEnXGUVEeUrmoYrG dAdmNaUmjrMoVokAm428dIQWVGQ4bP69L4zd1SXrR3djnpLCF4o+AReO+4Hknn6JHhw3YHcv6rCY U8WWawTNYgVI2BkBQ2yeXxa+t09/YCKGAWfemyebTBQlFkhiJeVHtt7b/BqVeYByanQkhDtiuR2J XoJLlvbJQZZyvTSCLtu2/7K/KLauBt6bGVVglcVEFu8cFb7BgoW8aBZkOtp/nG0PRUCWdNNKqQ/5 RcfeR5XeS4P1JLFwpNzOPA0sfzcmnn+60wBWl0t1y0Xgcg++ZOB8RAhsEysN5bGi1OruNF7aP8OL zQ+GUh0iphL4I8vbNGf/ZUhRxmu3c1fcXHCE6UcM9eS6WPy+sCLX8jgMBu0SzJ+Sy/zSgXnhQkol NzkVd7mkZgH9DEuwFnndR1EFK2hlF/GDejUSiJa2apfJ8AF8tsVZc/m54A+pbCZFeeJQqOr9WqeD DuWAatlSWFTpkhqZ+16Bb1nUUq7aYsBVh2CDk/DyUhvhufhmxMyoJizOkNdUwMJvF/DeiFRstcjC AO6fNZYMNkfMKZyODhOV0WM9bI8ObhuSUrxN9qP6kMRTI0uANBqFkJ++Q/WPY2EiYKVh9E8+Jx9p FcNrG+08bj60Qdquv6xuaHf8kyjbqnbuXS2J46TMB5PZnrMrd1GF1ASitIy7VTP9ihDVQEmVQpuY ZRHDxKvwQ/+Hd642brf8AWnbi9jNJzg0BQWjal4haWWqoSTsvZCZTz0xezFUIii7JxexEOM/Y37L r9/LNW5a7Xm+N+lpRCgDa4dYxYciDHwOnFUYcCTRwElhIW3kyiMq01pL0/gkCxpl74JVQV5Hn/Fm wg3RsOwMr9sOywoz/73jzvF77gAokS2DcPYuPZWpYZRPZS7mHbL1yDI3LExpRNsrTX2V09AAQUC0 AdOqfHyzSw0vb23wBb4nta1KTPglkM6qFcrqO8KHQyO33FTPmWUQB/GojX4XYV9G68qF5YiWoieN h48ch8Ww1ApRjfzry1PcH2x8ZemXgo9+Nojb9NJQGbin/YBNRvEHrEPXXedkoxtAJPWTccjnN5QB i9tCRIsJOF1W/uu4BdGAF3mmLHbKel2ClnBZLe4KoBnMXICVlTyGl6vo5agcOCewj/l4W6gTdOKO vFMGRKnoZnqrIftkL6WxiXIQBwNSZkhCxj5lUGCOitybKnPM8p6JytLsb5WxGiaIxNToIVscKYTy eeeTXRedfgfRWDubeuPZ29eLOkfeaC7nGyERUAhnlTvefVVxAW+l1Mu1k0xw0XjsjADpUJWzv5zJ BAB/IVHbL6/5HsUarHn8q9halVNA/ieS5A5041+waRlkdQwCFq0tcrhXCvV62kykwv4z80Zht8e+ DPMMvSZejGyU+wIggPbNRSigosfCHvcDRnQka8KskFz7mfVU2WL+czepfophPClWGoCwEnH+qoUS t2APynOMARQaCWB2hOJ/eQIvJ+k4t+KsAa2D8eo1yXwQy1IOT/u2LbXbB8TgK+OmRgD00+GzA3ac qwg/e3rWC7V1uSK+lYp0YE6AfyRat/g/LE0P6w/pW58TU4ELG5lg+lOMymSGh5iDuyktTreOBjBX C6R/W1k3BKFzhdGC8ix9/eS3+X0YZmaW/dB8gfq72YyE2A1Bh8Xn4W+JcoeKS845yJplCFZwHqn0 xw9jvh0J3/5f+it0k+qs2DQpDv9SwIDHknuAYPLyVYdRPufxFhbe+mu9llusYGnBatS+KcFJM1f+ TGQNtXPWELaYU8bwM7Prf3nslhu+j71AARHwPv3rxQC4q6mHXDLTREhbSxYX/O+RKfp6nQEgBXD7 g6lnFnHCCh6BRD0gyDj446C+DpVLIRF/OxjzL6BKAQfS+BDfmprWtVYwezi5kQK5nzvROvakKge8 hwBcNztHK8oH+xnXWGIf2c2Pg7YqDSsPnMiBObuI+VNIzbawRBvMWVDky2ibWwRE6tb9LqqV9Smy A9fyepsgearyyCiMKpjy9AzYYadQ4nsYE+JI6tRvevrUmoFu6dQnCpd3bgDQi4081O6XM+rXkcpu u/X3u28tyC8O1x1UTinQIkVXAYepUaB4aYQZ/PZ0o99yu/qZaLjdrYI9j2UjZmGcR4rkTMD1KqSm eod8WoJUK82FsZBN67s32naZLnIszuOpW6GRxe02fbV0QQHF5Hcw5lwXBjgGeFJSMWlZJdSNevlK UPQ0RiJ8HAVJC2ar9ch7Oo2xynjgu1a/EciNUYjNv5k1dZh1ZuLz5UxmM7HW56rJyUg4Y213UtgQ /dw41g0ZLgba6m2OGhHmYHIRJI8lZPR1MlFH1VdWmgJm01fdqBFR6b/kP8yCHEQFwK167QzeHF8N fC+7f6HsLvyaE/5ystLLWm57UhwEJGnYGIq9DeYaNYiJ8ecx0drXpx41IV4P+wamIjGejaQObx7O sRDSYioUXWLdmEztxlszxgzhrSLvynJMZEAshQT9wG64VW0WiaXIhfB9tG00XmXJ+O5AdIL3FH5E 5W2DS349VS4A+HVl4WOv+oyHBCT5cz4YQS+n9uk8tnnI/sE8wd9+5xCGn04dxtlbvvZl4q+Pmsor 5T/A8SuoUeR1LB1z3+VwG/W79tkDQIuNSVC9WL5wLbg7Vq+1Y/tJhZcLybPJq6AtymvSQf+pDDMf hxoVfdFvCS25sd89JxFakdE+Uya6jq+g4otIqW5vVRDfoqov3vTZE/VB+ledmTLs4QrymjZj68Yi rkSdOC88h1WNzWg9rdLGlxMVEufqOnXMgRtPCS4eduTEbnbnjt6RCXg+qBkvK7AIzI+My0Z6gbLf +LpKagYk2Qe01V6qWA+2SZ6C0E3TQhznzrXuI2lhwrSHFSZ0stBC6/XbYgLRqCmgjC2SwsGuBAfZ 8zcyojFDmv2BFnMMn5lHBOiyazk+ZEp1rnAgCzMLap0XWEgaBfsFoZzUZ+T3tCrnHcyeLfBbAopi gNHIjSy9Y7iYb43N0Bt3JhW+NtQvzfkxrfXsvNwp0Z8OPRbspUjkbrjFkafIUkE39FaLeZGQSuWU w1XLLoU16+6igu6TbLDyjLbMxSwzigzhUkXpOsd/P89w3ceeDTYOgmbvnR3BPotLP2tvrKPKYl3e UKLoZ3T92JjGdzLbziKbeT9FLJn9tlS/rLXqa810bEQMxn6+DmAMJb4ZW6aWNpQTm3Hif1VBaJ4c ctGkEVmMzJlq65dN9xeDN3m4SxVT0kAN3xEx5+6jVBx3NsFkiyWKJ8+wMmxdw6bKD+/rBYCP/Nnc dB8KMTtUMFSsocNt2xeihvW6jHwvE6wLVDcSXAHE41iB8rcJK7pdW/Q9loYHAFjqkA+aI6J5vNmz 7Pr/1e5StNfi8zUiiadvW3xIaADLcam9gVhuVkLcMdRWyH3eLtkkx0WBU5F7GvG8Cz04bS89Tt5l I/a6t+CldYxlyUPxozyS3vRJHPX8RzMSXd27BGKRK7RlyfoI3/hJB+7PnP5aiHsPOg7e+lY6+VAR U4zxGBWOB4v+n6AR7Ss5cZ8uvZh0dr37aClZIKJ3njOW1TxOTKpev/cfOxt0F8RA3vgTHSyHPrIC LzIAf9LmwoUQhkT3BFs3OHqN1Q6JYS/TsRU/lK6hYoNMQYy9j225OBcP71Eq5j8VtQZXC8LFEpfW qKnsY0XFzYrbwiFj6IAKRxKFG/LuWY2q2i36wtoAjwa+CWnfJeRbMc9R7V7ydRLp2l2eAUiJimCi n4ch3NOeLvgjYGz1nG7Y9fEYsEtwn2ygoy8VuRO1poPh1hxA6LW6RdGZ3k+tJHGhfgt9R8NUghuR dBXem+E9572Ku6HbblfcypeR7HMVcTamw6d4mv7V5UUdOY98A3SrVFpwPOpP0Zi3SxkLqFotbJtq RIyoNFt19MvZaU3dCTkiXAe8K5lkM1+0eJLExdbau2JS6FbR2EggBlgXxZFT31sPQOLoNoK7fNQ4 mb2/AzwzCrxaM14RRi20vmYI7T4S7PzT2vhDYhWiRQ5m//lX/KsFjINWfegpFb06ZE5AqPShMYeN k18fq6Ukp2dJwYzYD68bggIV2dfaEhEvarslkzTNdTr2RQD6J8Bnlx3vhbX4i0O/8FGq3JMeJl7f 40R/QUnalo184821fPivS2Ur06Fr+7p22453JFICEfqF/qUwRXcMkitZ7qotfz5QTHEmIzQK1cTl 5b+Tap1g7pKCCF3qj9xwpz52NsRGCy1fl0KAGMcuZwAXBHfvloZbiyexcb96B1yoaLmLyVpmW9GB Rh2Y7y32DxsDmJIYmpAr1u+BV5wnBx6NCZK2U1SI1SK8ffNE9C//9dxxlnVbx+LnU6XOAY788lsb 6ZgUlZeiD3kk5Gpj9b4ysQMxPgB0Jf/Raojj8hHRwCyGyGpii1Mu2dEzxQEOgHv5Bmy3VMAywKJ2 Nylm2qEw24F6biJ0gKV9etdaw7SjZ47xQ8TBBGFV8MLC1xzdpj1PnXJFQoAffgCbW0QFJqfEeeAF raFYLaikeIf37G5MtcwsOMh3L8EB66QYzUPlzWSJ9EiQsF3O9Jn2HvF78cI6E5F/bzYl38VkCYfa xvQjQHmDlMrU8adrf0NHTWpI5+XeUePmx92VyPyMCS2uQsdsAOIm4Dt5nn0E2qnbqtgPrJJp9RQZ OtdEH6SMWEIGMKzSJ51+OZa76EFaWVZLeRf1g4VJRk9nZ68JUe7VxzSBllYhVajuyUdaoQ3he9ka 4LYpeeHM6Dz6yohaIoy2lHpA8zEHnkRlGMIftD24lB36yGlAtip5pHNovGrSrELswZvpF1MJWaHH e0LneaUuMlwZl7MDLGXDfe2wrXm7XyvI6TneJUSByqj0nJS72KUXMpJt/ZL9SWGVYOzJkU0Lxm1j 5az8aS6YUIVrISp3Iq6aZ4OMDMXB `protect end_protected
gpl-3.0
grwlf/vsim
vhdl_ct/ct00577.vhd
1
3450
-- NEED RESULT: ARCH00577: Can declare entities with same name as entities declared in a use'd pkg passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00577 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 10.4 (1) -- 10.4 (4) -- -- DESIGN UNIT ORDERING: -- -- PKG00574_577 -- PKG00574_577/BODY -- ENT00577_Test_Bench(ARCH00577_Test_Bench) -- -- REVISION HISTORY: -- -- 19-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- package PKG00574_577 is type T1 is (one, two, three, four) ; type T2 is (one, two, three, four) ; type T3 is (one, two, three, four) ; type T4 is (one, two, three, four) ; subtype S1 is INTEGER; subtype S2 is INTEGER; subtype S3 is INTEGER; subtype S4 is INTEGER; function F1 return REAL ; function F2 return REAL ; function F3 return REAL ; function F4 return REAL ; end PKG00574_577 ; package body PKG00574_577 is function F1 return REAL is begin return 0.0; end; function F2 return REAL is begin return 0.0; end; function F3 return REAL is begin return 0.0; end; function F4 return REAL is begin return 0.0; end; end PKG00574_577 ; use WORK.STANDARD_TYPES.all ; entity ENT00577_Test_Bench is end ENT00577_Test_Bench ; architecture ARCH00577_Test_Bench of ENT00577_Test_Bench is begin L_X_1 : block use WORK.PKG00574_577; use PKG00574_577.all; type T1 is record -- should be able to define new type T1 TE : BOOLEAN; end record; subtype T2 is REAL range 0.0 to 256.0; -- ditto for subtype called T2 attribute T3 : PKG00574_577.T3 ; -- ditto for attribute calle signal T4 : PKG00574_577.T1; -- ditto for object called T type S1 is record -- should be able to define new type S1 SE : BOOLEAN; end record; subtype S2 is REAL range 0.0 to 256.0; -- ditto for subtype called S2 attribute S3 : PKG00574_577.T3 ; -- ditto for attribute calle signal S4 : PKG00574_577.T1; -- ditto for object called type F1 is record -- should be able to define new type F1 FE : BOOLEAN; end record; subtype F2 is REAL range 0.0 to 256.0; -- ditto for subtype called F2 attribute F3 : PKG00574_577.T3 ; -- ditto for attribute calle signal F4 : PKG00574_577.T1; -- ditto for object called F begin process use PKG00574_577.all; -- This isn't necessary, but should be ok variable T1 : PKG00574_577.T1; -- ditto for object called variable F1 : PKG00574_577.T1; -- ditto for object called variable S1 : PKG00574_577.T1; -- ditto for object called begin test_report ( "ARCH00577" , "Can declare entities with same name as entities "& "declared in a use'd pkg" , True ) ; wait ; end process; end block; end ARCH00577_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00641.vhd
1
4078
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00641 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 6.3 (4) -- -- DESIGN UNIT ORDERING: -- -- ENT00641(ARCH00641) -- ENT00641_Test_Bench(ARCH00641_Test_Bench) -- -- REVISION HISTORY: -- -- 25-AUG-1987 - initial revision -- 11-DEC-1989 - GDT: made "x" a constant instead of a variable so -- global ref within function is ok; removed def of -- "*" from ENT00641. -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; entity ENT00641 is function f1 ( i : integer ) return integer is begin return i + 1 ; end f1 ; -- GDT 12-7-89: Removed the following -- function "*" ( a,b : integer ) return integer is -- begin -- return a+b ; -- end "*" ; -- type ent_enum_type is ( 'W', 'X' , 'Y', 'Z' ) ; begin end ENT00641 ; -- architecture ARCH00641 of ENT00641 is function f ( i : integer ) return integer is begin return i + 2 ; end f ; function "+" ( a,b : integer ) return integer is begin return a/b ; end "+" ; type arch_enum_type is ( 'X', 'Y', 'Z', 'W' ) ; begin B : block type block_enum_type is ( 'Y', 'Z', 'W', 'X' ) ; function f ( i : integer ) return integer is begin return STD.Standard."+" (i,3) ; end f ; function "+" ( a,b : integer ) return integer is begin return a-b ; end "+" ; begin P : process function f ( i : integer ) return integer is -- GDT 12-7-89: variable x : integer ; constant x : integer := i ; -- GDT 12-7-89 function ff return integer is constant x : integer := 8 ; begin if f.x >= ff.x then return f.x ; else return ff.x ; end if ; end ff ; begin -- GDT 12-7-89: x := i ; return STD.Standard."+" (ff,20) ; end f ; function "+" ( a,b : integer ) return integer is begin return STD.Standard."*" (a,b) ; end "+" ; type process_enum_type is ( 'Z', 'W', 'X', 'Y' ) ; variable loop_test_ok : boolean := true ; variable ent_enum : ent_enum_type := 'X' ; variable arch_enum : arch_enum_type := ARCH00641.'X' ; variable block_enum : block_enum_type := B.'X' ; variable process_enum : process_enum_type := P.'X' ; begin Loop1 : for i in 1 to 3 loop Loop2 : for i in 4 to 6 loop if Loop1.i = Loop2.i then loop_test_ok := false ; end if ; end loop Loop2 ; end loop Loop1 ; test_report ( "ARCH00641" , "Expanded names" , (loop_test_ok = true) and (ent_enum_type'pos(ent_enum) = 1) and (arch_enum_type'pos(arch_enum) = 0) and (block_enum_type'pos(block_enum) = 3) and (process_enum_type'pos(process_enum) = 2) and -- (ENT00641.f1(10) = 11) and This expanded form is not legal (ARCH00641.f(10) = 12) and (B.f(10) = 13) and (P.f(10) = 30) and -- (ENT00641."*"(6,3) = 6+3) and This expanded form is not (ARCH00641."+"(6,3) = 6/3) and (B."+"(6,3) = 6-3) and (P."+"(6,3) = 6*3) ) ; wait ; end process P ; end block B ; end ARCH00641 ; -- entity ENT00641_Test_Bench is end ENT00641_Test_Bench ; architecture ARCH00641_Test_Bench of ENT00641_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00641 ( ARCH00641 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00641_Test_Bench ; --
gpl-3.0
grwlf/vsim
vhdl_ct/ct00304.vhd
1
1491
-- NEED RESULT: ARCH00304_Test_Bench: Block with no block declarative item passed -- NEED RESULT: ARCH00304_Test_Bench: Previous block has no concurrent statement passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00304 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.1 (11) -- 9.1 (12) -- -- DESIGN UNIT ORDERING: -- -- ENT00304_Test_Bench(ARCH00304_Test_Bench) -- -- REVISION HISTORY: -- -- 27-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; entity ENT00304_Test_Bench is end ENT00304_Test_Bench ; architecture ARCH00304_Test_Bench of ENT00304_Test_Bench is begin L1: block begin process begin test_report ( "ARCH00304_Test_Bench" , "Block with no block declarative item" , True ) ; wait ; end process ; end block L1 ; L2 : block begin L2_sub : block begin end block L2_sub ; process begin test_report ( "ARCH00304_Test_Bench" , "Previous block has no concurrent statement" , True ) ; wait ; end process ; end block L2 ; end ARCH00304_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00111.vhd
1
13332
-- NEED RESULT: ARCH00111.P1: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111.P2: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111.P3: Multi transport transactions occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: One transport transaction occurred on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: ARCH00111: Old transactions were removed on signal asg with selected name prefixed by an indexed name on LHS passed -- NEED RESULT: P3: Transport transactions entirely completed passed -- NEED RESULT: P2: Transport transactions entirely completed passed -- NEED RESULT: P1: Transport transactions entirely completed passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00111 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 8.3 (2) -- 8.3 (3) -- 8.3 (5) -- 8.3.1 (3) -- -- DESIGN UNIT ORDERING: -- -- ENT00111(ARCH00111) -- ENT00111_Test_Bench(ARCH00111_Test_Bench) -- -- REVISION HISTORY: -- -- 07-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00111 is port ( s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1_vector : chk_sig_type := -1 ; signal chk_st_rec2_vector : chk_sig_type := -1 ; signal chk_st_rec3_vector : chk_sig_type := -1 ; -- end ENT00111 ; -- architecture ARCH00111 of ENT00111 is begin PGEN_CHKP_1 : process ( chk_st_rec1_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions entirely completed", chk_st_rec1_vector = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- P1 : process ( s_st_rec1_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_2(highb).f2 after 10 ns, c_st_rec1_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00111.P1" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_2(highb).f2 after 10 ns , c_st_rec1_vector_1(highb).f2 after 20 ns , c_st_rec1_vector_2(highb).f2 after 30 ns , c_st_rec1_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec1_vector(lowb).f2 <= transport c_st_rec1_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1_vector(lowb).f2 = c_st_rec1_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00111" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec1_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P1 ; -- PGEN_CHKP_2 : process ( chk_st_rec2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions entirely completed", chk_st_rec2_vector = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- P2 : process ( s_st_rec2_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_2(highb).f2 after 10 ns, c_st_rec2_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00111.P2" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_2(highb).f2 after 10 ns , c_st_rec2_vector_1(highb).f2 after 20 ns , c_st_rec2_vector_2(highb).f2 after 30 ns , c_st_rec2_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec2_vector(lowb).f2 <= transport c_st_rec2_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2_vector(lowb).f2 = c_st_rec2_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00111" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec2_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P2 ; -- PGEN_CHKP_3 : process ( chk_st_rec3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions entirely completed", chk_st_rec3_vector = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- P3 : process ( s_st_rec3_vector ) variable correct : boolean ; variable counter : integer := 0 ; variable savtime : time ; -- procedure Proc1 is begin case counter is when 0 => s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_2(highb).f2 after 10 ns, c_st_rec3_vector_1(highb).f2 after 20 ns ; -- when 1 => correct := s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_1(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00111.P3" , "Multi transport transactions occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_2(highb).f2 after 10 ns , c_st_rec3_vector_1(highb).f2 after 20 ns , c_st_rec3_vector_2(highb).f2 after 30 ns , c_st_rec3_vector_1(highb).f2 after 40 ns ; -- when 3 => correct := s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_2(highb).f2 and (savtime + 10 ns) = Std.Standard.Now ; s_st_rec3_vector(lowb).f2 <= transport c_st_rec3_vector_1(highb).f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3_vector(lowb).f2 = c_st_rec3_vector_1(highb).f2 and (savtime + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00111" , "One transport transaction occurred on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00111" , "Old transactions were removed on signal " & "asg with selected name prefixed by an indexed name on LHS", false ) ; -- end case ; -- savtime := Std.Standard.Now ; chk_st_rec3_vector <= transport counter after (1 us - savtime) ; counter := counter + 1; -- end Proc1 ; -- begin Proc1 ; end process P3 ; -- -- end ARCH00111 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00111_Test_Bench is signal s_st_rec1_vector : st_rec1_vector := c_st_rec1_vector_1 ; signal s_st_rec2_vector : st_rec2_vector := c_st_rec2_vector_1 ; signal s_st_rec3_vector : st_rec3_vector := c_st_rec3_vector_1 ; -- end ENT00111_Test_Bench ; -- architecture ARCH00111_Test_Bench of ENT00111_Test_Bench is begin L1: block component UUT port ( s_st_rec1_vector : inout st_rec1_vector ; s_st_rec2_vector : inout st_rec2_vector ; s_st_rec3_vector : inout st_rec3_vector ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00111 ( ARCH00111 ) ; begin CIS1 : UUT port map ( s_st_rec1_vector , s_st_rec2_vector , s_st_rec3_vector ) ; end block L1 ; end ARCH00111_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00354.vhd
1
12986
-- NEED RESULT: ARCH00354.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00354.P2: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00354.P3: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00354: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00354: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00354: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00354: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00354: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00354: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P3: Transport transactions completed entirely passed -- NEED RESULT: P2: Transport transactions completed entirely passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00354 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00354(ARCH00354) -- ENT00354_Test_Bench(ARCH00354_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00354 is port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- end ENT00354 ; -- -- architecture ARCH00354 of ENT00354 is subtype chk_time_type is Time ; signal s_st_rec1_savt : chk_time_type := 0 ns ; signal s_st_rec2_savt : chk_time_type := 0 ns ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec1_cnt : chk_cnt_type := 0 ; signal s_st_rec2_cnt : chk_cnt_type := 0 ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal st_rec1_select : select_type := 1 ; signal st_rec2_select : select_type := 1 ; signal st_rec3_select : select_type := 1 ; -- begin CHG1 : process ( s_st_rec1 ) variable correct : boolean ; begin case s_st_rec1_cnt is when 0 => null ; -- s_st_rec1.f2 <= transport -- c_st_rec1_2.f2 after 10 ns, -- c_st_rec1_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00354.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec1_select <= transport 2 ; -- s_st_rec1.f2 <= transport -- c_st_rec1_2.f2 after 10 ns , -- c_st_rec1_1.f2 after 20 ns , -- c_st_rec1_2.f2 after 30 ns , -- c_st_rec1_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec1.f2 = c_st_rec1_2.f2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; st_rec1_select <= transport 3 ; -- s_st_rec1.f2 <= transport -- c_st_rec1_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec1.f2 = c_st_rec1_1.f2 and (s_st_rec1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00354" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec1_savt <= transport Std.Standard.Now ; chk_st_rec1 <= transport s_st_rec1_cnt after (1 us - Std.Standard.Now) ; s_st_rec1_cnt <= transport s_st_rec1_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec1.f2 <= transport c_st_rec1_2.f2 after 10 ns, c_st_rec1_1.f2 after 20 ns when st_rec1_select = 1 else -- c_st_rec1_2.f2 after 10 ns , c_st_rec1_1.f2 after 20 ns , c_st_rec1_2.f2 after 30 ns , c_st_rec1_1.f2 after 40 ns when st_rec1_select = 2 else -- c_st_rec1_1.f2 after 5 ns ; -- CHG2 : process ( s_st_rec2 ) variable correct : boolean ; begin case s_st_rec2_cnt is when 0 => null ; -- s_st_rec2.f2 <= transport -- c_st_rec2_2.f2 after 10 ns, -- c_st_rec2_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00354.P2" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec2_select <= transport 2 ; -- s_st_rec2.f2 <= transport -- c_st_rec2_2.f2 after 10 ns , -- c_st_rec2_1.f2 after 20 ns , -- c_st_rec2_2.f2 after 30 ns , -- c_st_rec2_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec2.f2 = c_st_rec2_2.f2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; st_rec2_select <= transport 3 ; -- s_st_rec2.f2 <= transport -- c_st_rec2_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec2.f2 = c_st_rec2_1.f2 and (s_st_rec2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00354" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec2_savt <= transport Std.Standard.Now ; chk_st_rec2 <= transport s_st_rec2_cnt after (1 us - Std.Standard.Now) ; s_st_rec2_cnt <= transport s_st_rec2_cnt + 1 ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions completed entirely", chk_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- -- s_st_rec2.f2 <= transport c_st_rec2_2.f2 after 10 ns, c_st_rec2_1.f2 after 20 ns when st_rec2_select = 1 else -- c_st_rec2_2.f2 after 10 ns , c_st_rec2_1.f2 after 20 ns , c_st_rec2_2.f2 after 30 ns , c_st_rec2_1.f2 after 40 ns when st_rec2_select = 2 else -- c_st_rec2_1.f2 after 5 ns ; -- CHG3 : process ( s_st_rec3 ) variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f2 <= transport -- c_st_rec3_2.f2 after 10 ns, -- c_st_rec3_1.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00354.P3" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f2 <= transport -- c_st_rec3_2.f2 after 10 ns , -- c_st_rec3_1.f2 after 20 ns , -- c_st_rec3_2.f2 after 30 ns , -- c_st_rec3_1.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2 = c_st_rec3_2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f2 <= transport -- c_st_rec3_1.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2 = c_st_rec3_1.f2 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00354" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00354" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; -- end process CHG3 ; -- PGEN_CHKP_3 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions completed entirely", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- -- s_st_rec3.f2 <= transport c_st_rec3_2.f2 after 10 ns, c_st_rec3_1.f2 after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f2 after 10 ns , c_st_rec3_1.f2 after 20 ns , c_st_rec3_2.f2 after 30 ns , c_st_rec3_1.f2 after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f2 after 5 ns ; -- end ARCH00354 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00354_Test_Bench is signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- end ENT00354_Test_Bench ; -- -- architecture ARCH00354_Test_Bench of ENT00354_Test_Bench is begin L1: block component UUT port ( s_st_rec1 : inout st_rec1 ; s_st_rec2 : inout st_rec2 ; s_st_rec3 : inout st_rec3 ) ; end component ; -- for CIS1 : UUT use entity WORK.ENT00354 ( ARCH00354 ) ; begin CIS1 : UUT port map ( s_st_rec1 , s_st_rec2 , s_st_rec3 ) ; end block L1 ; end ARCH00354_Test_Bench ;
gpl-3.0
grwlf/vsim
vhdl_ct/ct00453.vhd
1
5108
------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00453 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 7.2.4 (5) -- 7.2.4 (11) -- 7.2.4 (12) -- 7.2.4 (13) -- -- DESIGN UNIT ORDERING: -- -- ENT00453(ARCH00453) -- ENT00453_Test_Bench(ARCH00453_Test_Bench) -- -- REVISION HISTORY: -- -- 29-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- use WORK.STANDARD_TYPES ; use WORK.ARITHMETIC.ALL ; entity ENT00453 is generic ( i_integer_1 : integer := c_int_1 ; i_integer_2 : integer := c_int_2 ; i_intt_1 : intt := c_intt_1 ; i_intt_2 : intt := c_intt_2 ; i_intst_1 : intst := c_intst_1 ; i_intst_2 : intst := c_intst_2 ; i_time_1 : time := c_time_1 ; i_time_2 : time := c_time_2 ; i_t_phys_1 : t_phys := c_t_phys_1 ; i_t_phys_2 : t_phys := c_t_phys_2 ; i_st_phys_1 : st_phys := c_st_phys_1 ; i_st_phys_2 : st_phys := c_st_phys_2 ) ; constant c2_time_1 : time := i_time_1 * i_intst_1 + i_time_2 * i_integer_1 - i_intst_1 * i_time_1 - i_integer_1 * i_time_2 ; constant c2_t_phys_1 : t_phys := i_t_phys_1 * i_intst_1 + c_t_phys_2 * i_integer_1 + i_t_phys_1 * i_intt_2 + i_t_phys_2 * c_int_2 + i_intt_1 * c_t_phys_1 + i_intst_2 * i_t_phys_1 + c_int_1 * i_t_phys_2 + i_integer_2 * i_t_phys_2 ; constant c2_st_phys_1 : st_phys := -(i_st_phys_1 * i_intst_1 + c_t_phys_2 * i_integer_1 + i_t_phys_1 * i_intt_2 + i_st_phys_2 * c_int_2 + i_intt_1 * c_t_phys_1 + i_intst_2 * i_st_phys_1 + c_int_1 * i_st_phys_2 + i_integer_2 * i_t_phys_2 ); end ENT00453 ; architecture ARCH00453 of ENT00453 is begin process variable bool : boolean := true ; variable cons_correct, gen_correct, dyn_correct : boolean := true ; -- variable v_time_1, v2_time_1 : time := i_time_1 ; variable v_time_2, v2_time_2 : time := i_time_2 ; variable v_t_phys_1, v2_t_phys_1 : t_phys := i_t_phys_1 ; variable v_t_phys_2, v2_t_phys_2 : t_phys := i_t_phys_2 ; variable v_st_phys_1, v2_st_phys_1 : st_phys := i_st_phys_1 ; variable v_st_phys_2, v2_st_phys_2 : st_phys := i_st_phys_2 ; variable v_integer_1 : integer := i_integer_1 ; variable v_integer_2 : integer := i_integer_2 ; variable v_intt_1 : intt := i_intt_1 ; variable v_intt_2 : intt := i_intt_2 ; variable v_intst_1 : intst := i_intst_1 ; variable v_intst_2 : intst := i_intst_2 ; -- begin -- static expression case bool is when ( c_time_1 * c_intst_1 + c_time_2 * c_int_1 - c_intst_1 * c_time_1 - c_int_1 * c_time_2 = 0 ns and -- xx and c_t_phys_1 * c_intst_1 + c_t_phys_2 * c_int_1 + c_t_phys_1 * c_intt_2 + c_t_phys_2 * c_int_2 + c_intt_1 * c_t_phys_1 + c_intst_2 * c_t_phys_1 + c_int_1 * c_t_phys_2 + c_int_2 * c_t_phys_2 = 50982 ones and -- xx and -( c_st_phys_1 * c_intst_1 + c_t_phys_2 * c_int_1 + c_t_phys_1 * c_intt_2 + c_st_phys_2 * c_int_2 + c_intt_1 * c_t_phys_1 + c_intst_2 * c_st_phys_1 + c_int_1 * c_st_phys_2 + c_int_2 * c_t_phys_2 ) = -246396 ones --xx ) => null ; when others => cons_correct := false ; end case ; -- generic expression gen_correct := c2_time_1 = 0 ns and -- xx and c2_t_phys_1 = 50982 ones and -- xx and c2_st_phys_1 = -246396 ones ; -- dynamic expression v2_time_1 := v_time_1 * v_intst_1 + v_time_2 * v_integer_1 - v_integer_1 * v_time_2 - v_intst_1 * v_time_1 ; v2_t_phys_1 := v_t_phys_1 * v_intst_1 + c_t_phys_2 * v_integer_1 + v_t_phys_1 * v_intt_2 + v_t_phys_2 * c_int_2 + v_intt_1 * c_t_phys_1 + v_intst_2 * v_t_phys_1 + i_integer_1 * v_t_phys_2 + v_integer_2 * v_t_phys_2 ; v2_st_phys_1 := -( v_st_phys_1 * v_intst_1 + c_t_phys_2 * v_integer_1 + v_t_phys_1 * v_intt_2 + v_st_phys_2 * c_int_2 + v_intt_1 * c_t_phys_1 + v_intst_2 * v_st_phys_1 + i_integer_1 * v_st_phys_2 + v_integer_2 * v_t_phys_2) ; dyn_correct := v2_time_1 = 0 ns and --xx and v2_t_phys_1 = 50982 ones and --xx and v2_st_phys_1 = -246396 ones ;--xx ; STANDARD_TYPES.test_report ( "ARCH00453" , "* predefined for physical and integer types" , dyn_correct and cons_correct and gen_correct ) ; wait ; end process ; end ARCH00453 ; entity ENT00453_Test_Bench is end ENT00453_Test_Bench ; architecture ARCH00453_Test_Bench of ENT00453_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.ENT00453 ( ARCH00453 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00453_Test_Bench ;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/speccy/src/keyboard/keyboard.vhd
1
6262
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity keyboard is port( CLK : in std_logic; RESET : in std_logic; PS2_CLK : in std_logic; PS2_DATA : in std_logic; KEYB_ADDR : in std_logic_vector(7 downto 0); KEYB_DATA : out std_logic_vector(4 downto 0); RESET_TICK : out std_logic; NMI_TICK : out std_logic ); end keyboard; architecture rtl of keyboard is signal CODE : std_logic_vector(7 downto 0); -- Scancode recieved from keyboard signal DONE : std_logic; -- Current scancode valid signal ERROR : std_logic; -- Current scancode corrupted signal LOOKUP : std_logic_vector(7 downto 0); -- bits 7-5 - A8..A15, bits 4-0 - D4..D0 signal RELEASED_KEY : std_logic; signal EXTENDED_KEY : std_logic; type MATRIX_IMAGE is array (natural range <>) of std_logic_vector(4 downto 0); signal MATRIX : MATRIX_IMAGE(0 to 7); -- Speccy keyboard matrix begin u_PS2 : entity work.ps2 port map( CLK => CLK, RESET => RESET, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, CODE => CODE, DONE => DONE, ERROR => ERROR ); decoder : process (CODE) begin case CODE is when X"12" => LOOKUP <= "00000001"; -- left/caps shift when X"1a" => LOOKUP <= "00000010"; -- z when X"22" => LOOKUP <= "00000100"; -- x when X"21" => LOOKUP <= "00001000"; -- c when X"2a" => LOOKUP <= "00010000"; -- v when X"1c" => LOOKUP <= "00100001"; -- a when X"1b" => LOOKUP <= "00100010"; -- s when X"23" => LOOKUP <= "00100100"; -- d when X"2b" => LOOKUP <= "00101000"; -- f when X"34" => LOOKUP <= "00110000"; -- g when X"15" => LOOKUP <= "01000001"; -- q when X"1d" => LOOKUP <= "01000010"; -- w when X"24" => LOOKUP <= "01000100"; -- e when X"2d" => LOOKUP <= "01001000"; -- r when X"2c" => LOOKUP <= "01010000"; -- t when X"16" => LOOKUP <= "01100001"; -- 1 when X"69" => LOOKUP <= "01100001"; -- 1 when X"1e" => LOOKUP <= "01100010"; -- 2 when X"72" => LOOKUP <= "01100010"; -- 2 when X"26" => LOOKUP <= "01100100"; -- 3 when X"7a" => LOOKUP <= "01100100"; -- 3 when X"25" => LOOKUP <= "01101000"; -- 4 when X"6b" => LOOKUP <= "01101000"; -- 4 when X"2e" => LOOKUP <= "01110000"; -- 5 when X"73" => LOOKUP <= "01110000"; -- 5 when X"45" => LOOKUP <= "10000001"; -- 0 when X"70" => LOOKUP <= "10000001"; -- 0 when X"46" => LOOKUP <= "10000010"; -- 9 when X"7d" => LOOKUP <= "10000010"; -- 9 when X"3e" => LOOKUP <= "10000100"; -- 8 when X"75" => LOOKUP <= "10000100"; -- 8 when X"3d" => LOOKUP <= "10001000"; -- 7 when X"6c" => LOOKUP <= "10001000"; -- 7 when X"36" => LOOKUP <= "10010000"; -- 6 when X"74" => LOOKUP <= "10010000"; -- 6 when X"4d" => LOOKUP <= "10100001"; -- p when X"44" => LOOKUP <= "10100010"; -- o when X"43" => LOOKUP <= "10100100"; -- i when X"3c" => LOOKUP <= "10101000"; -- u when X"35" => LOOKUP <= "10110000"; -- y when X"5a" => LOOKUP <= "11000001"; -- return when X"4b" => LOOKUP <= "11000010"; -- l when X"42" => LOOKUP <= "11000100"; -- k when X"3b" => LOOKUP <= "11001000"; -- j when X"33" => LOOKUP <= "11010000"; -- h when X"29" => LOOKUP <= "11100001"; -- Space when X"59" => LOOKUP <= "11100010"; -- right/symbol shift when X"3a" => LOOKUP <= "11100100"; -- m when X"31" => LOOKUP <= "11101000"; -- n when X"32" => LOOKUP <= "11110000"; -- b when others => LOOKUP <= "00000000"; end case; end process; main : process(CLK) begin if rising_edge(CLK) then if RESET = '1' then MATRIX <= (others => (others => '0')); RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; RESET_TICK <= '0'; NMI_TICK <= '0'; else RESET_TICK <= '0'; NMI_TICK <= '0'; if ERROR = '1' then MATRIX <= (others => (others => '0')); RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; elsif DONE = '1' then if CODE = X"F0" then RELEASED_KEY <= '1'; elsif CODE = X"E0" then EXTENDED_KEY <= '1'; elsif CODE = X"07" and RELEASED_KEY = '1' then RESET_TICK <= '1'; elsif CODE = X"78" and RELEASED_KEY = '1' then NMI_TICK <= '1'; else RELEASED_KEY <= '0'; EXTENDED_KEY <= '0'; -- if LOOKUP /= "00000000" then if RELEASED_KEY = '0' then MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) <= MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) or std_logic_vector(unsigned(LOOKUP(4 downto 0))); else MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) <= MATRIX(to_integer(unsigned(LOOKUP(7 downto 5)))) and std_logic_vector(not unsigned(LOOKUP(4 downto 0))); end if; -- end if; end if; end if; end if; end if; end process; keyboard_output : for i in 0 to 4 generate KEYB_DATA(i) <= not ((MATRIX(0)(i) and not KEYB_ADDR(0)) or (MATRIX(1)(i) and not KEYB_ADDR(1)) or (MATRIX(2)(i) and not KEYB_ADDR(2)) or (MATRIX(3)(i) and not KEYB_ADDR(3)) or (MATRIX(4)(i) and not KEYB_ADDR(4)) or (MATRIX(5)(i) and not KEYB_ADDR(5)) or (MATRIX(6)(i) and not KEYB_ADDR(6)) or (MATRIX(7)(i) and not KEYB_ADDR(7)) ); end generate; end;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/VGA Console/mips_vram/mips_vram/simulation/mips_vram_synth.vhd
1
10378
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: mips_vram_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY mips_vram_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE mips_vram_synth_ARCH OF mips_vram_synth IS COMPONENT mips_vram_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL WEB: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEB_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB: STD_LOGIC_VECTOR( 15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB_R: STD_LOGIC_VECTOR( 15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL CHECKER_ENB_R : STD_LOGIC := '0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST_A: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns; END IF; END IF; END PROCESS; BMG_DATA_CHECKER_INST_B: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKB, RST => RSTB, EN => CHECKER_ENB_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(1) ); PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(RSTB='1') THEN CHECKER_ENB_R <= '0'; ELSE CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => CLKA, CLKB => CLKB, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, WEB => WEB, ADDRB => ADDRB, DINB => DINB, CHECK_DATA => CHECK_DATA_TDP ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; WEB_R <= (OTHERS=>'0') AFTER 50 ns; DINB_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; WEB_R <= WEB AFTER 50 ns; DINB_R <= DINB AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: mips_vram_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA, --Port B WEB => WEB_R, ADDRB => ADDRB_R, DINB => DINB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/service/src/vram/vram.vhd
1
6163
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file vram.vhd when simulating -- the core, vram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY vram IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END vram; ARCHITECTURE vram_a OF vram IS -- synthesis translate_off COMPONENT wrapped_vram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_vram USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 12, c_addrb_width => 12, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 4096, c_read_depth_b => 4096, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 4096, c_write_depth_b => 4096, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_vram PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END vram_a;
gpl-3.0
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_03900_bad.vhd
1
4830
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1.1 -- Version history : -- V1 : 2015-04-13 : Mickael Carl (CNES): Creation -- V1.1 : 2016-05-03 : F.Manni (CNES) : add initialization trough reset for Raz, enable and Count_Length ------------------------------------------------------------------------------------------------- -- File name : STD_03900_bad.vhd -- File Creation date : 2015-04-13 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: State machine type definition: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_03900_bad is port ( i_Clock : in std_logic; -- Clock input i_Reset_n : in std_logic; -- Reset input i_Start : in std_logic; -- Start counters signal i_Stop : in std_logic -- Stop counters signal ); end STD_03900_bad; --CODE architecture Behavioral of STD_03900_bad is constant c_Length : std_logic_vector(3 downto 0) := (others => '1'); -- How long we should count signal sm_State : std_logic_vector(3 downto 0); -- State signal signal Raz : std_logic; -- Load the length value and initialize the counter signal Enable : std_logic; -- Counter enable signal signal Length : std_logic_vector(3 downto 0); -- Counter length for counting signal End_Count : std_logic; -- End signal of counter begin -- A simple counter with loading length and enable signal Counter : Counter port map ( i_Clock => i_Clock, i_Reset_n => i_Reset_n, i_Raz => Raz, i_Enable => Enable, i_Length => Length, o_Done => End_Count ); -- FSM process controlling the counter. Start or stop it in function of the input (i_Start & i_Stop), -- load the length value, and wait for it to finish P_FSM : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then sm_State <= "0001"; Raz <= '0'; Enable <= '0'; Count_Length <= (others=>'0'); elsif (rising_edge(i_Clock)) then case sm_State is when "0001" => -- Set the length value Length <= c_Length; sm_State <= "0010"; when "0010" => -- Load the counter and initialize it Raz <= '1'; sm_State <= "0100"; when "0100" => -- Start or stop counting depending on inputs until it finishes Raz <= '0'; if (End_Count = '0') then -- The counter has not finished, wait Enable <= i_Start xor not i_Stop; sm_State <= "0100"; else -- The counter has finished, nothing else to do Enable <= '0'; sm_State <= "1000"; end if; when others => sm_State <= "0001"; end case; end if; end process; end Behavioral; --CODE
gpl-3.0
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_06100_bad.vhd
1
3435
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-08 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_06100_bad.vhd -- File Creation date : 2015-04-08 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Range direction for std_logic_vector: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_06100_bad is port ( i_Clock : in std_logic; -- Main clock signal i_Reset_n : in std_logic; -- Main reset signal i_Enable : in std_logic; -- Enables the counter i_Length : in std_logic_vector(0 downto 3); -- Unsigned Value for Counter Period o_Count : out std_logic_vector(0 downto 3) -- Counter (unsigned value) ); end STD_06100_bad; architecture Behavioral of STD_06100_bad is signal Count : unsigned(0 to 3); -- Counter output signal (unsigned converted) signal Count_Length : unsigned(0 to 3); -- Length input signal (unsigned converted) begin --CODE Count_Length <= unsigned(i_Length); -- Will count undefinitely from 0 to i_Length while i_Enable is asserted P_Count : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then Count <= (others => '0'); elsif (rising_edge(i_Clock)) then if (Count >= Count_Length) then -- Counter restarts from 0 Count <= (others => '0'); elsif (i_Enable = '1') then -- Increment counter value Count <= Count + 1; end if; end if; end process; o_Count <= std_logic_vector(Count); end Behavioral;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/alf/src/gamepad/nes_gamepad.vhd
2
4740
--------------------------------------------------------------------------- -- NES-Controller Module --------------------------------------------------------------------------- -- This file is a part of "Aeon Lite" project -- Dmitriy Schapotschkin aka ILoveSpeccy '2014 -- [email protected] -- Project homepage: www.speccyland.net --------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ------------------ -- Bit - Button -- -- (1 = pressed) ------------------ -- 7 A -- 6 B -- 5 Select -- 4 Start -- 3 Up -- 2 Down -- 1 Left -- 0 Right ------------------ entity nes_gamepad is generic ( CLK_FREQ : integer := 25000000; TICK_FREQ : integer := 20000 ); port ( CLK : in std_logic; RESET : in std_logic; JOY_CLK : out std_logic; JOY_LOAD : out std_logic; JOY_DATA0 : in std_logic; JOY_DATA1 : in std_logic; JOY0_BUTTONS : out std_logic_vector(7 downto 0); JOY1_BUTTONS : out std_logic_vector(7 downto 0); JOY0_CONNECTED : out std_logic; -- 1 when gamepad connected JOY1_CONNECTED : out std_logic ); end nes_gamepad; architecture RTL of nes_gamepad is signal TICK : integer range 0 to (CLK_FREQ / TICK_FREQ); signal STATE : integer range 0 to 17; signal DATA0 : std_logic_vector(7 downto 0); signal DATA1 : std_logic_vector(7 downto 0); begin process (CLK) begin if rising_edge(CLK) then if RESET = '1' then STATE <= 0; JOY_CLK <= '0'; JOY_LOAD <= '0'; TICK <= 0; JOY0_BUTTONS <= "00000000"; JOY0_BUTTONS <= "00000000"; JOY0_CONNECTED <= '0'; JOY1_CONNECTED <= '0'; else TICK <= TICK + 1; if TICK = (CLK_FREQ / TICK_FREQ) then TICK <= 0; STATE <= STATE + 1; case STATE is when 0 => JOY_LOAD <= '1'; when 1 => JOY_LOAD <= '0'; DATA0(7) <= JOY_DATA0; DATA1(7) <= JOY_DATA1; when 2 | 4 | 6 | 8 | 10 | 12 | 14 | 16 => JOY_CLK <= '1'; when 3 => JOY_CLK <= '0'; DATA0(6) <= JOY_DATA0; DATA1(6) <= JOY_DATA1; when 5 => JOY_CLK <= '0'; DATA0(5) <= JOY_DATA0; DATA1(5) <= JOY_DATA1; when 7 => JOY_CLK <= '0'; DATA0(4) <= JOY_DATA0; DATA1(4) <= JOY_DATA1; when 9 => JOY_CLK <= '0'; DATA0(3) <= JOY_DATA0; DATA1(3) <= JOY_DATA1; when 11 => JOY_CLK <= '0'; DATA0(2) <= JOY_DATA0; DATA1(2) <= JOY_DATA1; when 13 => JOY_CLK <= '0'; DATA0(1) <= JOY_DATA0; DATA1(1) <= JOY_DATA1; when 15 => JOY_CLK <= '0'; DATA0(0) <= JOY_DATA0; DATA1(0) <= JOY_DATA1; when 17 => JOY_CLK <= '0'; JOY0_BUTTONS <= "00000000"; JOY1_BUTTONS <= "00000000"; JOY0_CONNECTED <= '0'; JOY1_CONNECTED <= '0'; STATE <= 0; if DATA0 /= "00000000" then -- gamepad connected JOY0_BUTTONS <= not DATA0; JOY0_CONNECTED <= '1'; end if; if DATA1 /= "00000000" then -- gamepad connected JOY1_BUTTONS <= not DATA1; JOY1_CONNECTED <= '1'; end if; when OTHERS => NULL; end case; end if; end if; end if; end process; end RTL;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/ppi/i82c55.vhd
1
21192
-- -- A simulation model of Scramble hardware -- Copyright (c) MikeJ - Feb 2007 -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- You are responsible for any legal issues arising from your use of this code. -- -- The latest version of this file can be found at: www.fpgaarcade.com -- -- Email [email protected] -- -- Revision list -- -- version 001 initial release -- library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity I82C55 is port ( I_ADDR : in std_logic_vector(1 downto 0); -- A1-A0 I_DATA : in std_logic_vector(7 downto 0); -- D7-D0 O_DATA : out std_logic_vector(7 downto 0); O_DATA_OE_L : out std_logic; I_CS_L : in std_logic; I_RD_L : in std_logic; I_WR_L : in std_logic; I_PA : in std_logic_vector(7 downto 0); O_PA : out std_logic_vector(7 downto 0); I_PB : in std_logic_vector(7 downto 0); O_PB : out std_logic_vector(7 downto 0); I_PC : in std_logic_vector(7 downto 0); O_PC : out std_logic_vector(7 downto 0); RESET : in std_logic; ENA : in std_logic; -- (CPU) clk enable CLK : in std_logic ); end; architecture RTL of I82C55 is -- registers signal bit_mask : std_logic_vector(7 downto 0); signal r_porta : std_logic_vector(7 downto 0); signal r_portb : std_logic_vector(7 downto 0); signal r_portc : std_logic_vector(7 downto 0); signal r_control : std_logic_vector(7 downto 0); -- signal porta_we : std_logic; signal portb_we : std_logic; signal porta_re : std_logic; signal portb_re : std_logic; -- signal porta_we_t1 : std_logic; signal portb_we_t1 : std_logic; signal porta_re_t1 : std_logic; signal portb_re_t1 : std_logic; -- signal porta_we_rising : boolean; signal portb_we_rising : boolean; signal porta_re_rising : boolean; signal portb_re_rising : boolean; -- signal groupa_mode : std_logic_vector(1 downto 0); -- port a/c upper signal groupb_mode : std_logic; -- port b/c lower -- signal porta_read : std_logic_vector(7 downto 0); signal portb_read : std_logic_vector(7 downto 0); signal portc_read : std_logic_vector(7 downto 0); signal control_read : std_logic_vector(7 downto 0); signal mode_clear : std_logic; -- signal a_inte1 : std_logic; signal a_inte2 : std_logic; signal b_inte : std_logic; -- signal a_intr : std_logic; signal a_obf_l : std_logic; signal a_ibf : std_logic; signal a_ack_l : std_logic; signal a_stb_l : std_logic; signal a_ack_l_t1 : std_logic; signal a_stb_l_t1 : std_logic; -- signal b_intr : std_logic; signal b_obf_l : std_logic; signal b_ibf : std_logic; signal b_ack_l : std_logic; signal b_stb_l : std_logic; signal b_ack_l_t1 : std_logic; signal b_stb_l_t1 : std_logic; -- signal a_ack_l_rising : boolean; signal a_stb_l_rising : boolean; signal b_ack_l_rising : boolean; signal b_stb_l_rising : boolean; -- signal porta_ipreg : std_logic_vector(7 downto 0); signal portb_ipreg : std_logic_vector(7 downto 0); begin -- -- mode 0 - basic input/output -- mode 1 - strobed input/output -- mode 2/3 - bi-directional bus -- -- control word (write) -- -- D7 mode set flag 1 = active -- D6..5 GROUPA mode selection (mode 0,1,2) -- D4 GROUPA porta 1 = input, 0 = output -- D3 GROUPA portc upper 1 = input, 0 = output -- D2 GROUPB mode selection (mode 0 ,1) -- D1 GROUPB portb 1 = input, 0 = output -- D0 GROUPB portc lower 1 = input, 0 = output -- -- D7 bit set/reset 0 = active -- D6..4 x -- D3..1 bit select -- d0 1 = set, 0 - reset -- -- all output registers including status are reset when mode is changed --1. Port A: --All Modes: Output data is cleared, input data is not cleared. --2. Port B: --Mode 0: Output data is cleared, input data is not cleared. --Mode 1 and 2: Both output and input data are cleared. --3. Port C: --Mode 0:Output data is cleared, input data is not cleared. --Mode 1 and 2: IBF and INTR are cleared and OBF# is set. --Outputs in Port C which are not used for handshaking or interrupt signals are cleared. --Inputs such as STB#, ACK#, or "spare" inputs are not affected. The interrupts for Ports A and B are disabled. p_bit_mask : process(I_DATA) begin bit_mask <= x"01"; case I_DATA(3 downto 1) is when "000" => bit_mask <= x"01"; when "001" => bit_mask <= x"02"; when "010" => bit_mask <= x"04"; when "011" => bit_mask <= x"08"; when "100" => bit_mask <= x"10"; when "101" => bit_mask <= x"20"; when "110" => bit_mask <= x"40"; when "111" => bit_mask <= x"80"; when others => null; end case; end process; p_write_reg_reset : process(RESET, CLK) variable r_portc_masked : std_logic_vector(7 downto 0); variable r_portc_setclr : std_logic_vector(7 downto 0); begin if (RESET = '1') then r_porta <= x"00"; r_portb <= x"00"; r_portc <= x"00"; r_control <= x"9B"; -- 10011011 mode_clear <= '1'; elsif rising_edge(CLK) then r_portc_masked := (not bit_mask) and r_portc; for i in 0 to 7 loop r_portc_setclr(i) := bit_mask(i) and I_DATA(0); end loop; if (ENA = '1') then mode_clear <= '0'; if (I_CS_L = '0') and (I_WR_L = '0') then case I_ADDR is when "00" => r_porta <= I_DATA; when "01" => r_portb <= I_DATA; when "10" => r_portc <= I_DATA; when "11" => if (I_DATA(7) = '0') then -- set/clr r_portc <= r_portc_masked or r_portc_setclr; else --svo: uncommented mode_clear <= '1'; r_porta <= x"00"; r_portb <= x"00"; -- clear port b input reg r_portc <= x"00"; -- clear control sigs r_control <= I_DATA; -- load new mode end if; when others => null; end case; end if; end if; end if; end process; p_decode_control : process(r_control) begin groupa_mode <= r_control(6 downto 5); groupb_mode <= r_control(2); end process; p_oe : process(I_CS_L, I_RD_L) begin O_DATA_OE_L <= '1'; if (I_CS_L = '0') and (I_RD_L = '0') then O_DATA_OE_L <= '0'; end if; end process; p_read : process(I_ADDR, I_CS_L, I_RD_L, porta_read, portb_read, portc_read, control_read) begin -- O_DATA <= x"00"; -- default -- if (I_CS_L = '0') and (I_RD_L = '0') then -- not required case I_ADDR is when "00" => O_DATA <= porta_read; when "01" => O_DATA <= portb_read; when "10" => O_DATA <= portc_read; when "11" => O_DATA <= control_read; when others => null; end case; -- end if; end process; control_read(7) <= '1'; -- always 1 control_read(6 downto 0) <= r_control(6 downto 0); p_rw_control : process(I_CS_L, I_RD_L, I_WR_L, I_ADDR) begin porta_we <= '0'; portb_we <= '0'; porta_re <= '0'; portb_re <= '0'; if (I_CS_L = '0') and (I_ADDR = "00") then porta_we <= not I_WR_L; porta_re <= not I_RD_L; end if; if (I_CS_L = '0') and (I_ADDR = "01") then portb_we <= not I_WR_L; portb_re <= not I_RD_L; end if; end process; p_rw_control_reg : process begin wait until rising_edge(CLK); if (ENA = '1') then porta_we_t1 <= porta_we; portb_we_t1 <= portb_we; porta_re_t1 <= porta_re; portb_re_t1 <= portb_re; a_stb_l_t1 <= a_stb_l; a_ack_l_t1 <= a_ack_l; b_stb_l_t1 <= b_stb_l; b_ack_l_t1 <= b_ack_l; end if; end process; porta_we_rising <= (porta_we = '0') and (porta_we_t1 = '1'); -- falling as inverted portb_we_rising <= (portb_we = '0') and (portb_we_t1 = '1'); -- " porta_re_rising <= (porta_re = '0') and (porta_re_t1 = '1'); -- falling as inverted portb_re_rising <= (portb_re = '0') and (portb_re_t1 = '1'); -- " -- a_stb_l_rising <= (a_stb_l = '1') and (a_stb_l_t1 = '0'); a_ack_l_rising <= (a_ack_l = '1') and (a_ack_l_t1 = '0'); b_stb_l_rising <= (b_stb_l = '1') and (b_stb_l_t1 = '0'); b_ack_l_rising <= (b_ack_l = '1') and (b_ack_l_t1 = '0'); -- -- GROUP A -- in mode 1 -- -- d4=1 (porta = input) -- pc7,6 io (d3=1 input, d3=0 output) -- pc5 output a_ibf -- pc4 input a_stb_l -- pc3 output a_intr -- -- d4=0 (porta = output) -- pc7 output a_obf_l -- pc6 input a_ack_l -- pc5,4 io (d3=1 input, d3=0 output) -- pc3 output a_intr -- -- GROUP B -- in mode 1 -- d1=1 (portb = input) -- pc2 input b_stb_l -- pc1 output b_ibf -- pc0 output b_intr -- -- d1=0 (portb = output) -- pc2 input b_ack_l -- pc1 output b_obf_l -- pc0 output b_intr -- WHEN AN INPUT -- -- stb_l a low on this input latches input data -- ibf a high on this output indicates data latched. set by stb_l and reset by rising edge of RD_L -- intr a high on this output indicates interrupt. set by stb_l high, ibf high and inte high. reset by falling edge of RD_L -- inte A controlled by bit/set PC4 -- inte B controlled by bit/set PC2 -- WHEN AN OUTPUT -- -- obf_l output will go low when cpu has written data -- ack_l input - a low on this clears obf_l -- intr output set when ack_l is high, obf_l is high and inte is one. reset by falling edge of WR_L -- inte A controlled by bit/set PC6 -- inte B controlled by bit/set PC2 -- GROUP A -- in mode 2 -- -- porta = IO -- -- control bits 2..0 still control groupb/c lower 2..0 -- -- -- PC7 output a_obf -- PC6 input a_ack_l -- PC5 output a_ibf -- PC4 input a_stb_l -- PC3 is still interrupt out p_control_flags : process(RESET, CLK) variable we : boolean; variable set1 : boolean; variable set2 : boolean; begin if (RESET = '1') then a_obf_l <= '1'; a_inte1 <= '0'; a_ibf <= '0'; a_inte2 <= '0'; a_intr <= '0'; -- b_inte <= '0'; b_obf_l <= '1'; b_ibf <= '0'; b_intr <= '0'; elsif rising_edge(CLK) then we := (I_CS_L = '0') and (I_WR_L = '0') and (I_ADDR = "11") and (I_DATA(7) = '0'); if (ENA = '1') then if (mode_clear = '1') then a_obf_l <= '1'; a_inte1 <= '0'; a_ibf <= '0'; a_inte2 <= '0'; a_intr <= '0'; -- b_inte <= '0'; b_obf_l <= '1'; b_ibf <= '0'; b_intr <= '0'; else if (bit_mask(7) = '1') and we then a_obf_l <= I_DATA(0); else if porta_we_rising then a_obf_l <= '0'; elsif (a_ack_l = '0') then a_obf_l <= '1'; end if; end if; -- if (bit_mask(6) = '1') and we then a_inte1 <= I_DATA(0); end if; -- bus set when mode1 & input? -- if (bit_mask(5) = '1') and we then a_ibf <= I_DATA(0); else if porta_re_rising then a_ibf <= '0'; elsif (a_stb_l = '0') then a_ibf <= '1'; end if; end if; -- if (bit_mask(4) = '1') and we then a_inte2 <= I_DATA(0); end if; -- bus set when mode1 & output? -- set1 := a_ack_l_rising and (a_obf_l = '1') and (a_inte1 = '1'); set2 := a_stb_l_rising and (a_ibf = '1') and (a_inte2 = '1'); -- if (bit_mask(3) = '1') and we then a_intr <= I_DATA(0); else if (groupa_mode(1) = '1') then if (porta_we = '1') or (porta_re = '1') then a_intr <= '0'; elsif set1 or set2 then a_intr <= '1'; end if; else if (r_control(4) = '0') then -- output if (porta_we = '1') then -- falling ? a_intr <= '0'; elsif set1 then a_intr <= '1'; end if; elsif (r_control(4) = '1') then -- input if (porta_re = '1') then -- falling ? a_intr <= '0'; elsif set2 then a_intr <= '1'; end if; end if; end if; end if; -- if (bit_mask(2) = '1') and we then b_inte <= I_DATA(0); end if; -- bus set? if (bit_mask(1) = '1') and we then b_obf_l <= I_DATA(0); else if (r_control(1) = '0') then -- output if portb_we_rising then b_obf_l <= '0'; elsif (b_ack_l = '0') then b_obf_l <= '1'; end if; else if portb_re_rising then b_ibf <= '0'; elsif (b_stb_l = '0') then b_ibf <= '1'; end if; end if; end if; if (bit_mask(0) = '1') and we then b_intr <= I_DATA(0); else if (r_control(1) = '0') then -- output if (portb_we = '1') then -- falling ? b_intr <= '0'; elsif b_ack_l_rising and (b_obf_l = '1') and (b_inte = '1') then b_intr <= '1'; end if; else if (portb_re = '1') then -- falling ? b_intr <= '0'; elsif b_stb_l_rising and (b_ibf = '1') and (b_inte = '1') then b_intr <= '1'; end if; end if; end if; end if; end if; end if; end process; p_porta : process(r_porta, r_control, groupa_mode, I_PA, porta_ipreg, a_ack_l) begin -- D4 GROUPA porta 1 = input, 0 = output O_PA <= x"FF"; -- if not driven, float high porta_read <= x"00"; if (groupa_mode = "00") then -- simple io if (r_control(4) = '0') then -- output O_PA <= r_porta; end if; porta_read <= I_PA; elsif (groupa_mode = "01") then -- strobed if (r_control(4) = '0') then -- output O_PA <= r_porta; end if; porta_read <= porta_ipreg; else -- if (groupa_mode(1) = '1') then -- bi dir if (a_ack_l = '0') then -- output enable O_PA <= r_porta; end if; porta_read <= porta_ipreg; -- latched data end if; end process; p_portb : process(r_portb, r_control, groupb_mode, I_PB, portb_ipreg) begin O_PB <= x"FF"; -- if not driven, float high portb_read <= x"00"; if (groupb_mode = '0') then -- simple io if (r_control(1) = '0') then -- output O_PB <= r_portb; end if; portb_read <= I_PB; else -- strobed mode if (r_control(1) = '0') then -- output O_PB <= r_portb; end if; portb_read <= portb_ipreg; end if; end process; p_portc_out : process(r_portc, r_control, groupa_mode, groupb_mode, a_obf_l, a_ibf, a_intr,b_obf_l, b_ibf, b_intr) begin O_PC <= x"FF"; -- if not driven, float high -- bits 7..4 if (groupa_mode = "00") then -- simple io if (r_control(3) = '0') then -- output O_PC (7 downto 4) <= r_portc(7 downto 4); end if; elsif (groupa_mode = "01") then -- mode1 if (r_control(4) = '0') then -- port a output O_PC (7) <= a_obf_l; -- 6 is ack_l input if (r_control(3) = '0') then -- port c output O_PC (5 downto 4) <= r_portc(5 downto 4); end if; else -- port a input if (r_control(3) = '0') then -- port c output O_PC (7 downto 6) <= r_portc(7 downto 6); end if; O_PC (5) <= a_ibf; -- 4 is stb_l input end if; else -- if (groupa_mode(1) = '1') then -- mode2 O_PC (7) <= a_obf_l; -- 6 is ack_l input O_PC (5) <= a_ibf; -- 4 is stb_l input end if; -- bit 3 (controlled by group a) if (groupa_mode = "00") then -- group a steals this bit --if (groupb_mode = '0') then -- we will let bit 3 be driven, data sheet is a bit confused about this if (r_control(0) = '0') then -- ouput (note, groupb control bit) O_PC (3) <= r_portc(3); end if; -- else -- stolen O_PC (3) <= a_intr; end if; -- bits 2..0 if (groupb_mode = '0') then -- simple io if (r_control(0) = '0') then -- output O_PC (2 downto 0) <= r_portc(2 downto 0); end if; else -- mode 1 -- 2 is input if (r_control(1) = '0') then -- output O_PC (1) <= b_obf_l; else -- input O_PC (1) <= b_ibf; end if; O_PC (0) <= b_intr; end if; end process; p_portc_in : process(r_portc, I_PC, r_control, groupa_mode, groupb_mode, a_ibf, b_obf_l, a_obf_l, a_inte1, a_inte2, a_intr, b_inte, b_ibf, b_intr) begin portc_read <= x"00"; a_stb_l <= '1'; a_ack_l <= '1'; b_stb_l <= '1'; b_ack_l <= '1'; if (groupa_mode = "01") then -- mode1 or 2 if (r_control(4) = '0') then -- port a output a_ack_l <= I_PC(6); else -- port a input a_stb_l <= I_PC(4); end if; elsif (groupa_mode(1) = '1') then -- mode 2 a_ack_l <= I_PC(6); a_stb_l <= I_PC(4); end if; if (groupb_mode = '1') then if (r_control(1) = '0') then -- output b_ack_l <= I_PC(2); else -- input b_stb_l <= I_PC(2); end if; end if; if (groupa_mode = "00") then -- simple io portc_read(7 downto 3) <= I_PC(7 downto 3); elsif (groupa_mode = "01") then if (r_control(4) = '0') then -- port a output portc_read(7 downto 3) <= a_obf_l & a_inte1 & I_PC(5 downto 4) & a_intr; else -- input portc_read(7 downto 3) <= I_PC(7 downto 6) & a_ibf & a_inte2 & a_intr; end if; else -- mode 2 portc_read(7 downto 3) <= a_obf_l & a_inte1 & a_ibf & a_inte2 & a_intr; end if; if (groupb_mode = '0') then -- simple io portc_read(2 downto 0) <= I_PC(2 downto 0); else if (r_control(1) = '0') then -- output portc_read(2 downto 0) <= b_inte & b_obf_l & b_intr; else -- input portc_read(2 downto 0) <= b_inte & b_ibf & b_intr; end if; end if; end process; p_ipreg : process begin wait until rising_edge(CLK); -- pc4 input a_stb_l -- pc2 input b_stb_l if (ENA = '1') then if (a_stb_l = '0') then porta_ipreg <= I_PA; end if; if (mode_clear = '1') then portb_ipreg <= (others => '0'); elsif (b_stb_l = '0') then portb_ipreg <= I_PB; end if; end if; end process; end architecture RTL; -- $Id: i82c55.vhd 294 2008-02-12 20:27:35Z svofski $
gpl-3.0
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_05900_bad.vhd
1
3394
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-10 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_05900_bad.vhd -- File Creation date : 2015-04-10 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Range for integers: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_05900_bad is port ( i_Clock : in std_logic; -- Main clock signal i_Reset_n : in std_logic; -- Main reset signal i_Enable : in std_logic; -- Enables the counter i_Length : in std_logic_vector(7 downto 0); -- Unsigned Value for Counter Period o_Count : out std_logic_vector(7 downto 0) -- Counter (unsigned value) ); end STD_05900_bad; architecture Behavioral of STD_05900_bad is signal Count : integer; -- Counter output signal signal Count_Length : integer; -- Length input signal begin Count_Length <= to_integer(unsigned(i_Length)); -- Will count undefinitely from 0 to i_Length while i_Enable is asserted P_Count:process(i_Reset_n, i_Clock) begin if (i_Reset_n='0') then Count <= 0; elsif (rising_edge(i_Clock)) then if (Count>=Count_Length) then -- Counter restarts from 0 Count <= 0; elsif (i_Enable='1') then -- Increment counter value Count <= Count + 1; end if; end if; end process; o_Count <= std_logic_vector(to_unsigned(Count, o_Count'length)); end Behavioral;
gpl-3.0
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_06100_good.vhd
1
3448
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-08 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_06100_good.vhd -- File Creation date : 2015-04-08 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Range direction for std_logic_vector: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_06100_good is port ( i_Clock : in std_logic; -- Main clock signal i_Reset_n : in std_logic; -- Main reset signal i_Enable : in std_logic; -- Enables the counter i_Length : in std_logic_vector(3 downto 0); -- Unsigned Value for Counter Period o_Count : out std_logic_vector(3 downto 0) -- Counter (unsigned value) ); end STD_06100_good; architecture Behavioral of STD_06100_good is signal Count : unsigned(3 downto 0); -- Counter output signal (unsigned converted) signal Count_Length : unsigned(3 downto 0); -- Length input signal (unsigned converted) begin --CODE Count_Length <= unsigned(i_Length); -- Will count undefinitely from 0 to i_Length while i_Enable is asserted P_Count : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then Count <= (others => '0'); elsif (rising_edge(i_Clock)) then if (Count >= Count_Length) then -- Counter restarts from 0 Count <= (others => '0'); elsif (i_Enable = '1') then -- Increment counter value Count <= Count + 1; end if; end if; end process; o_Count <= std_logic_vector(Count); end Behavioral;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/speccy/src/cpu/T80a.vhd
8
7490
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- Z80 compatible microprocessor core, asynchronous top level -- -- Version : 0247 -- -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- -- 0211 : Fixed interrupt cycle -- -- 0235 : Updated for T80 interface change -- -- 0238 : Updated for T80 interface change -- -- 0240 : Updated for T80 interface change -- -- 0242 : Updated for T80 interface change -- -- 0247 : Fixed bus req/ack cycle -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T80a is generic( Mode : integer := 0 -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB ); port( RESET_n : in std_logic; CLK_n : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; MREQ_n : out std_logic; IORQ_n : out std_logic; RD_n : out std_logic; WR_n : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; A : out std_logic_vector(15 downto 0); D : inout std_logic_vector(7 downto 0) ); end T80a; architecture rtl of T80a is signal CEN : std_logic; signal Reset_s : std_logic; signal IntCycle_n : std_logic; signal IORQ : std_logic; signal NoRead : std_logic; signal Write : std_logic; signal MREQ : std_logic; signal MReq_Inhibit : std_logic; signal Req_Inhibit : std_logic; signal RD : std_logic; signal MREQ_n_i : std_logic; signal IORQ_n_i : std_logic; signal RD_n_i : std_logic; signal WR_n_i : std_logic; signal RFSH_n_i : std_logic; signal BUSAK_n_i : std_logic; signal A_i : std_logic_vector(15 downto 0); signal DO : std_logic_vector(7 downto 0); signal DI_Reg : std_logic_vector (7 downto 0); -- Input synchroniser signal Wait_s : std_logic; signal MCycle : std_logic_vector(2 downto 0); signal TState : std_logic_vector(2 downto 0); begin CEN <= '1'; BUSAK_n <= BUSAK_n_i; MREQ_n_i <= not MREQ or (Req_Inhibit and MReq_Inhibit); RD_n_i <= not RD or Req_Inhibit; MREQ_n <= MREQ_n_i when BUSAK_n_i = '1' else 'Z'; IORQ_n <= IORQ_n_i when BUSAK_n_i = '1' else 'Z'; RD_n <= RD_n_i when BUSAK_n_i = '1' else 'Z'; WR_n <= WR_n_i when BUSAK_n_i = '1' else 'Z'; RFSH_n <= RFSH_n_i when BUSAK_n_i = '1' else 'Z'; A <= A_i when BUSAK_n_i = '1' else (others => 'Z'); D <= DO when Write = '1' and BUSAK_n_i = '1' else (others => 'Z'); process (RESET_n, CLK_n) begin if RESET_n = '0' then Reset_s <= '0'; elsif CLK_n'event and CLK_n = '1' then Reset_s <= '1'; end if; end process; u0 : T80 generic map( Mode => Mode, IOWait => 1) port map( CEN => CEN, M1_n => M1_n, IORQ => IORQ, NoRead => NoRead, Write => Write, RFSH_n => RFSH_n_i, HALT_n => HALT_n, WAIT_n => Wait_s, INT_n => INT_n, NMI_n => NMI_n, RESET_n => Reset_s, BUSRQ_n => BUSRQ_n, BUSAK_n => BUSAK_n_i, CLK_n => CLK_n, A => A_i, DInst => D, DI => DI_Reg, DO => DO, MC => MCycle, TS => TState, IntCycle_n => IntCycle_n); process (CLK_n) begin if CLK_n'event and CLK_n = '0' then Wait_s <= WAIT_n; if TState = "011" and BUSAK_n_i = '1' then DI_Reg <= to_x01(D); end if; end if; end process; process (Reset_s,CLK_n) begin if Reset_s = '0' then WR_n_i <= '1'; elsif CLK_n'event and CLK_n = '1' then WR_n_i <= '1'; if TState = "001" then -- To short for IO writes !!!!!!!!!!!!!!!!!!! WR_n_i <= not Write; end if; end if; end process; process (Reset_s,CLK_n) begin if Reset_s = '0' then Req_Inhibit <= '0'; elsif CLK_n'event and CLK_n = '1' then if MCycle = "001" and TState = "010" then Req_Inhibit <= '1'; else Req_Inhibit <= '0'; end if; end if; end process; process (Reset_s,CLK_n) begin if Reset_s = '0' then MReq_Inhibit <= '0'; elsif CLK_n'event and CLK_n = '0' then if MCycle = "001" and TState = "010" then MReq_Inhibit <= '1'; else MReq_Inhibit <= '0'; end if; end if; end process; process(Reset_s,CLK_n) begin if Reset_s = '0' then RD <= '0'; IORQ_n_i <= '1'; MREQ <= '0'; elsif CLK_n'event and CLK_n = '0' then if MCycle = "001" then if TState = "001" then RD <= IntCycle_n; MREQ <= IntCycle_n; IORQ_n_i <= IntCycle_n; end if; if TState = "011" then RD <= '0'; IORQ_n_i <= '1'; MREQ <= '1'; end if; if TState = "100" then MREQ <= '0'; end if; else if TState = "001" and NoRead = '0' then RD <= not Write; IORQ_n_i <= not IORQ; MREQ <= not IORQ; end if; if TState = "011" then RD <= '0'; IORQ_n_i <= '1'; MREQ <= '0'; end if; end if; end if; end process; end;
gpl-3.0
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/ay8910/ay8910.vhd
2
14079
-- ##################################################################################### -- -- #### #### ##### -- ## ## ## ## -- ## ## ##### ## ## ##### ## ###### ##### ##### ##### ## ## -- ## ## ## ## ## ## ## ## ## ## ## ## ## ## ## ## ## ## ## -- ## ## ## ## ## ## ## ## ##### ## ## ## ## ## ## ## ## -- ## ## ## ## ## ## ###### ## ###### ###### ## ## ###### -- ## ## ## ## ## ## ## ## ## ## ## ## ## -- ## ## ## ## ## ### ## ## ## ## ## ## ## ## ## ## ## ## ## -- #### ######## ##### # ##### ##### ## ##### ##### ##### ##### -- -- ##################################################################################### library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ay8910 is port( ----------------------------------------- CLK : in std_logic; -- System Clock CLC : in std_logic; -- PSG Clock RESET : in std_logic; -- Chip Reset (set all Registers to '0', active low) BDIR : in std_logic; -- Bus Direction (0 - read , 1 - write) CS : in std_logic; -- Chip Select (active low) BC : in std_logic; -- Bus control DI : in std_logic_vector(7 downto 0); -- Data In DO : out std_logic_vector(7 downto 0); -- Data Out OUT_A : out std_logic_vector(7 downto 0); -- PSG Output channel A OUT_B : out std_logic_vector(7 downto 0); -- PSG Output channel B OUT_C : out std_logic_vector(7 downto 0) -- PSG Output channel C ); ----------------------------------------- end ay8910; architecture rtl of ay8910 is signal ClockDiv : unsigned (3 downto 0); -- Divide CLC ----------------------- AY Registers ---------------------- signal Period_A : std_logic_vector (11 downto 0); -- Channel A Tone Period (R1:R0) signal Period_B : std_logic_vector (11 downto 0); -- Channel B Tone Period (R3:R2) signal Period_C : std_logic_vector (11 downto 0); -- Channel C Tone Period (R5:R4) signal Period_N : std_logic_vector (4 downto 0); -- Noise Period (R6) signal Enable : std_logic_vector (7 downto 0); -- Enable (R7) signal Volume_A : std_logic_vector (4 downto 0); -- Channel A Amplitude (R10) signal Volume_B : std_logic_vector (4 downto 0); -- Channel B Amplitude (R11) signal Volume_C : std_logic_vector (4 downto 0); -- Channel C Amplitude (R12) signal Period_E : std_logic_vector (15 downto 0); -- Envelope Period (R14:R13) signal Shape : std_logic_vector (3 downto 0); -- Envelope Shape/Cycle (R15) signal Port_A : std_logic_vector (7 downto 0); -- I/O Port A Data Store (R16) signal Port_B : std_logic_vector (7 downto 0); -- I/O Port B Data Store (R17) ----------------------------------------------------------- signal Address : std_logic_vector (3 downto 0); -- Selected Register alias Continue : std_logic is Shape(3); ------------- Envelope Control alias Attack : std_logic is Shape(2); -- alias Alternate : std_logic is Shape(1); -- alias Hold : std_logic is Shape(0); ------------- signal Reset_Req : std_logic; ------------------------- Envelope Reset Required signal Reset_Ack : std_logic; ------------------------- Envelope Reset Acknoledge signal Volume_E : std_logic_vector (3 downto 0); -- Envelope Volume signal Freq_A : std_logic; -- Tone Generator A Output signal Freq_B : std_logic; -- Tone Generator B Output signal Freq_C : std_logic; -- Tone Generator C Output signal Freq_N : std_logic; -- Noise Generator Output function VolumeTable (value : std_logic_vector(3 downto 0)) return std_logic_vector is variable result : std_logic_vector (7 downto 0); begin case value is ----------------------------------- Volume Table when "1111" => result := "11111111"; when "1110" => result := "10110100"; when "1101" => result := "01111111"; when "1100" => result := "01011010"; when "1011" => result := "00111111"; when "1010" => result := "00101101"; when "1001" => result := "00011111"; when "1000" => result := "00010110"; when "0111" => result := "00001111"; when "0110" => result := "00001011"; when "0101" => result := "00000111"; when "0100" => result := "00000101"; when "0011" => result := "00000011"; when "0010" => result := "00000010"; when "0001" => result := "00000001"; when "0000" => result := "00000000"; when others => null;--------------------------- end case; return result; end VolumeTable; begin ------------------------- Write to AY --------------------- process (RESET , CLK) begin if RESET = '0' then Address <= "0000"; Period_A <= "000000000000"; Period_B <= "000000000000"; Period_C <= "000000000000"; Period_N <= "00000"; Enable <= "00000000"; Volume_A <= "00000"; Volume_B <= "00000"; Volume_C <= "00000"; Period_E <= "0000000000000000"; Shape <= "0000"; Port_A <= "00000000"; Port_B <= "00000000"; Reset_Req <= '0'; elsif rising_edge(CLK) then if CS = '0' and BDIR = '1' then if BC = '1' then Address <= DI (3 downto 0); ----------------- Latch Address else case Address is ------------------------------- Latch Registers when "0000" => Period_A (7 downto 0) <= DI; when "0001" => Period_A (11 downto 8) <= DI (3 downto 0); when "0010" => Period_B (7 downto 0) <= DI; when "0011" => Period_B (11 downto 8) <= DI (3 downto 0); when "0100" => Period_C (7 downto 0) <= DI; when "0101" => Period_C (11 downto 8) <= DI (3 downto 0); when "0110" => Period_N <= DI (4 downto 0); when "0111" => Enable <= DI; when "1000" => Volume_A <= DI (4 downto 0); when "1001" => Volume_B <= DI (4 downto 0); when "1010" => Volume_C <= DI (4 downto 0); when "1011" => Period_E (7 downto 0) <= DI; when "1100" => Period_E (15 downto 8) <= DI; when "1101" => Shape <= DI (3 downto 0); Reset_Req <= not Reset_Ack; -- Reset Envelope Generator when "1110" => Port_A <= DI; when "1111" => Port_B <= DI; when others => null; end case; end if; end if; end if; end process; ------------------------- Read from AY -------------------- DO <= Period_A (7 downto 0) when Address = "0000" and CS = '0' and BDIR = '0' and BC = '1' else "0000" & Period_A (11 downto 8) when Address = "0001" and CS = '0' and BDIR = '0' and BC = '1' else Period_B (7 downto 0) when Address = "0010" and CS = '0' and BDIR = '0' and BC = '1' else "0000" & Period_B (11 downto 8) when Address = "0011" and CS = '0' and BDIR = '0' and BC = '1' else Period_C (7 downto 0) when Address = "0100" and CS = '0' and BDIR = '0' and BC = '1' else "0000" & Period_C (11 downto 8) when Address = "0101" and CS = '0' and BDIR = '0' and BC = '1' else "000" & Period_N when Address = "0110" and CS = '0' and BDIR = '0' and BC = '1' else Enable when Address = "0111" and CS = '0' and BDIR = '0' and BC = '1' else "000" & Volume_A when Address = "1000" and CS = '0' and BDIR = '0' and BC = '1' else "000" & Volume_B when Address = "1001" and CS = '0' and BDIR = '0' and BC = '1' else "000" & Volume_C when Address = "1010" and CS = '0' and BDIR = '0' and BC = '1' else Period_E (7 downto 0) when Address = "1011" and CS = '0' and BDIR = '0' and BC = '1' else Period_E (15 downto 8) when Address = "1100" and CS = '0' and BDIR = '0' and BC = '1' else "0000" & Shape when Address = "1101" and CS = '0' and BDIR = '0' and BC = '1' else -- Port_A when Address = "1110" and CS = '0' and BDIR = '0' and BC = '1' else -- Port_B when Address = "1111" and CS = '0' and BDIR = '0' and BC = '1' else "11111111"; -------------------------- Divide CLC --------------------- process (RESET , CLK) begin if RESET = '0' then ClockDiv <= "0000"; elsif rising_edge(CLK) then if CLC = '1' then ClockDiv <= ClockDiv - 1; end if; end if; end process; ------------------------ Tone Generator ------------------- process (RESET , CLK) variable Counter_A : unsigned (11 downto 0); variable Counter_B : unsigned (11 downto 0); variable Counter_C : unsigned (11 downto 0); begin if RESET = '0' then Counter_A := "000000000000"; Counter_B := "000000000000"; Counter_C := "000000000000"; Freq_A <= '0'; Freq_B <= '0'; Freq_C <= '0'; elsif rising_edge(CLK) then if ClockDiv(2 downto 0) = "000" and CLC = '1' then -- Channel A Counter if (Counter_A /= X"000") then Counter_A := Counter_A - 1; elsif (Period_A /= X"000") then Counter_A := unsigned(Period_A) - 1; end if; if (Counter_A = X"000") then Freq_A <= not Freq_A; end if; -- Channel B Counter if (Counter_B /= X"000") then Counter_B := Counter_B - 1; elsif (Period_B /= X"000") then Counter_B := unsigned(Period_B) - 1; end if; if (Counter_B = X"000") then Freq_B <= not Freq_B; end if; -- Channel C Counter if (Counter_C /= X"000") then Counter_C := Counter_C - 1; elsif (Period_C /= X"000") then Counter_C := unsigned(Period_C) - 1; end if; if (Counter_C = X"000") then Freq_C <= not Freq_C; end if; end if; end if; end process; ----------------------- Noise Generator ------------------- process (RESET , CLK) variable NoiseShift : unsigned (16 downto 0); variable Counter_N : unsigned (4 downto 0); begin if RESET = '0' then Counter_N := "00000"; NoiseShift := "00000000000000001"; elsif rising_edge(CLK) then if ClockDiv(2 downto 0) = "000" and CLC = '1' then if (Counter_N /= "00000") then Counter_N := Counter_N - 1; elsif (Period_N /= "00000") then Counter_N := unsigned(Period_N) - 1; end if; if Counter_N = "00000" then NoiseShift := (NoiseShift(0) xor NoiseShift(2)) & NoiseShift(16 downto 1); end if; Freq_N <= NoiseShift(0); end if; end if; end process; ---------------------- Envelope Generator ----------------- process (RESET , CLK) variable EnvCounter : unsigned(15 downto 0); variable EnvWave : unsigned(4 downto 0); begin if RESET = '0' then EnvCounter := "0000000000000000"; EnvWave := "11111"; Volume_E <= "0000"; Reset_Ack <= '0'; elsif rising_edge(CLK) then if ClockDiv = "0000" and CLC = '1' then ------------ Envelope Period Counter ----------- if (EnvCounter /= X"0000" and Reset_Req = Reset_Ack) then EnvCounter := EnvCounter - 1; elsif (Period_E /= X"0000") then EnvCounter := unsigned(Period_E) - 1; end if; ------------ Envelope Phase Counter ------------ if (Reset_Req /= Reset_Ack) then EnvWave := (others => '1'); elsif (EnvCounter = X"0000" and (EnvWave(4) = '1' or (Hold = '0' and Continue = '1'))) then EnvWave := EnvWave - 1; end if; ---------- Envelope Amplitude Counter ---------- for I in 3 downto 0 loop if (EnvWave(4) = '0' and Continue = '0') then Volume_E(I) <= '0'; elsif (EnvWave(4) = '1' or (Alternate xor Hold) = '0') then Volume_E(I) <= EnvWave(I) xor Attack; else Volume_E(I) <= EnvWave(I) xor Attack xor '1'; end if; end loop; Reset_Ack <= Reset_Req; end if; end if; end process; --------------------------- Mixer ------------------------- process (RESET , CLK) begin if RESET = '0' then OUT_A <= "00000000"; OUT_B <= "00000000"; OUT_C <= "00000000"; elsif rising_edge(CLK) then if CLC = '1' then if (((Enable(0) or Freq_A) and (Enable(3) or Freq_N)) = '0') then OUT_A <= "00000000"; elsif (Volume_A(4) = '0') then OUT_A <= VolumeTable(Volume_A(3 downto 0)); else OUT_A <= VolumeTable(Volume_E); end if; if (((Enable(1) or Freq_B) and (Enable(4) or Freq_N)) = '0') then OUT_B <= "00000000"; elsif (Volume_B(4) = '0') then OUT_B <= VolumeTable(Volume_B(3 downto 0)); else OUT_B <= VolumeTable(Volume_E); end if; if (((Enable(2) or Freq_C) and (Enable(5) or Freq_N)) = '0') then OUT_C <= "00000000"; elsif (Volume_C(4) = '0') then OUT_C <= VolumeTable(Volume_C(3 downto 0)); else OUT_C <= VolumeTable(Volume_E); end if; end if; end if; end process; end rtl;
gpl-3.0
simpway/HDLC-ICEC
firmware/HDLC_TXRX_WRAPPER.vhd
1
11541
--!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- --! Physics Department -- --! Omega Group -- --!----------------------------------------------------------------------------- --| --! author: Kai Chen ([email protected]) --! --! --!----------------------------------------------------------------------------- -- -- Create Date: 21:33:01 2015/11/18 -- Design Name: -- Module Name: HDLC_TXRX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: The MODULE to send data to IC/EC bit, and receive data from -- IC/EC bit. The data encoding and decoding to/from HDLC shold -- be done in software. This module only send and receive data -- to a multi-bytes register. users can change its width. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; use work.pcie_package.all; use work.FELIX_gbt_package.all; entity HDLC_TXRX_WRAPPER is generic ( REG_WIDTH : integer := 256; GBT_NUM : integer := 24 ); port ( txclk_40m : in std_logic; rxclk_40m : in std_logic; ICECBUSY : out std_logic_vector(15 downto 0); ICEC_INT_RX_DATA : out txrx64b_24ch_type; register_map_control: in register_map_control_type; ICEC_RX_4b : in txrx4b_type; ICEC_TX_4b : out txrx4b_type ); end HDLC_TXRX_WRAPPER; architecture behv of HDLC_TXRX_WRAPPER is type txrx256b_24ch_type is array (23 downto 0) of std_logic_vector(REG_WIDTH-1 downto 0); signal rx_ic_reg : txrx256b_24ch_type; signal tx_ic_reg : txrx256b_24ch_type; signal rx_ec_reg : txrx256b_24ch_type; signal tx_ec_reg : txrx256b_24ch_type; signal ic_trig : std_logic_vector(23 downto 0); signal ec_trig : std_logic_vector(23 downto 0); signal IC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0); signal EC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0); signal ic_ch_sel : std_logic_vector(4 downto 0); signal ec_ch_sel : std_logic_vector(4 downto 0); signal ICEC_TX_4b_i : txrx4b_type(0 to GBT_NUM-1); signal ICEC_RX_4b_i : txrx4b_type(0 to GBT_NUM-1); signal ic_trig_i : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_r : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_2r : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_3r : std_logic_vector(23 downto 0):=x"000000"; signal ic_sel_rx_i : std_logic_vector(23 downto 0):=x"000000"; signal IC_busy_pull : std_logic_vector(23 downto 0):=x"000000"; signal ic_int : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_i : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_r : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_2r : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_3r : std_logic_vector(23 downto 0):=x"000000"; signal EC_busy_pull : std_logic_vector(23 downto 0):=x"000000"; signal ec_int : std_logic_vector(23 downto 0):=x"000000"; signal muxsel : std_logic_vector(23 downto 0); begin ic_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(4 downto 0); ic_sel_rx_i(4 downto 0) <= register_map_control.ICEC_TRIG(20 downto 16); ec_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(12 downto 8); tx_ec_reg(0) <= register_map_control.EC_TXDATA03 & register_map_control.EC_TXDATA02 & register_map_control.EC_TXDATA01 & register_map_control.EC_TXDATA00; tx_ec_reg(1) <= register_map_control.EC_TXDATA13 & register_map_control.EC_TXDATA12 & register_map_control.EC_TXDATA11 & register_map_control.EC_TXDATA10; tx_ec_reg(2) <= register_map_control.EC_TXDATA23 & register_map_control.EC_TXDATA22 & register_map_control.EC_TXDATA21 & register_map_control.EC_TXDATA20; tx_ec_reg(3) <= register_map_control.EC_TXDATA33 & register_map_control.EC_TXDATA32 & register_map_control.EC_TXDATA31 & register_map_control.EC_TXDATA30; tx_ec_reg(4) <= register_map_control.EC_TXDATA43 & register_map_control.EC_TXDATA42 & register_map_control.EC_TXDATA41 & register_map_control.EC_TXDATA40; ch_gen : for i in GBT_NUM-1 downto 0 generate tx_ic_reg(i) <= register_map_control.IC_TXDATA03 & register_map_control.IC_TXDATA02 & register_map_control.IC_TXDATA01 & register_map_control.IC_TXDATA00; process (txclk_40m) begin if txclk_40m'event and txclk_40m='1' then ic_trig_r(i) <= ic_trig_i(i); ic_trig_2r(i) <= ic_trig_r(i); ic_trig_3r(i) <= ic_trig_2r(i); IC_busy_pull(i) <= ic_trig_r(i) and (not ic_trig_3r(i)); ic_trig(i) <= ic_trig_r(i) and (not ic_trig_2r(i)); ec_trig_r(i) <= ec_trig_i(i); ec_trig_2r(i) <= ec_trig_r(i); ec_trig_3r(i) <= ec_trig_2r(i); EC_busy_pull(i) <= ec_trig_r(i) and (not ec_trig_3r(i)); ec_trig(i) <= ec_trig_r(i) and (not ec_trig_2r(i)); end if; end process; process(rxclk_40m) begin if rxclk_40m'event and rxclk_40m='1' then if ic_busy_pull(i) = '1' then ICECBUSY(i) <= '1'; elsif ic_int(i)='1' then ICECBUSY(i) <= '0'; end if; if ec_busy_pull(i)= '1' then ICECBUSY(i+8) <= '1'; elsif ec_int(i)='1' then ICECBUSY(i+8) <= '0'; end if; end if; end process; end generate; ICEC_INT_RX_DATA(3) <= IC_RX_REG_BUF(255 downto 192); ICEC_INT_RX_DATA(2) <= IC_RX_REG_BUF(191 downto 128); ICEC_INT_RX_DATA(1) <= IC_RX_REG_BUF(127 downto 64); ICEC_INT_RX_DATA(0) <= IC_RX_REG_BUF(63 downto 0); ICEC_INT_RX_DATA(7) <= rx_ec_reg(0)(255 downto 192); ICEC_INT_RX_DATA(6) <= rx_ec_reg(0)(191 downto 128); ICEC_INT_RX_DATA(5) <= rx_ec_reg(0)(127 downto 64); ICEC_INT_RX_DATA(4) <= rx_ec_reg(0)(63 downto 0); ICEC_INT_RX_DATA(11) <= rx_ec_reg(1)(255 downto 192); ICEC_INT_RX_DATA(10) <= rx_ec_reg(1)(191 downto 128); ICEC_INT_RX_DATA(9) <= rx_ec_reg(1)(127 downto 64); ICEC_INT_RX_DATA(8) <= rx_ec_reg(1)(63 downto 0); ICEC_INT_RX_DATA(15) <= rx_ec_reg(2)(255 downto 192); ICEC_INT_RX_DATA(14) <= rx_ec_reg(2)(191 downto 128); ICEC_INT_RX_DATA(13) <= rx_ec_reg(2)(127 downto 64); ICEC_INT_RX_DATA(12) <= rx_ec_reg(2)(63 downto 0); ICEC_INT_RX_DATA(19) <= rx_ec_reg(3)(255 downto 192); ICEC_INT_RX_DATA(18) <= rx_ec_reg(3)(191 downto 128); ICEC_INT_RX_DATA(17) <= rx_ec_reg(3)(127 downto 64); ICEC_INT_RX_DATA(16) <= rx_ec_reg(3)(63 downto 0); ICEC_INT_RX_DATA(23) <= rx_ec_reg(4)(255 downto 192); ICEC_INT_RX_DATA(22) <= rx_ec_reg(4)(191 downto 128); ICEC_INT_RX_DATA(21) <= rx_ec_reg(4)(127 downto 64); ICEC_INT_RX_DATA(20) <= rx_ec_reg(4)(63 downto 0); IC_RX_REG_BUF <= rx_ic_reg(0) when ic_sel_rx_i(0) = '1' else rx_ic_reg(1) when ic_sel_rx_i(1) = '1' else rx_ic_reg(2) when ic_sel_rx_i(2) = '1' else rx_ic_reg(3) when ic_sel_rx_i(3) = '1' else rx_ic_reg(4) when ic_sel_rx_i(4) = '1' else IC_RX_REG_BUF; -- EC_RX_REG_BUF <= rx_ec_reg(0) when ec_ch_sel = "00000" else -- rx_ec_reg(1) when ec_ch_sel = "00001" else -- rx_ec_reg(2) when ec_ch_sel = "00010" else -- rx_ec_reg(3) when ec_ch_sel = "00011" else -- rx_ec_reg(4) when ec_ch_sel = "00100" else -- rx_ec_reg(5) when ec_ch_sel = "00101" else -- rx_ec_reg(6) when ec_ch_sel = "00110" else -- rx_ec_reg(7) when ec_ch_sel = "00111" else -- rx_ec_reg(8) when ec_ch_sel = "01000" else -- rx_ec_reg(9) when ec_ch_sel = "01001" else -- rx_ec_reg(10) when ec_ch_sel = "01010" else -- rx_ec_reg(11) when ec_ch_sel = "01011" else -- rx_ec_reg(12) when ec_ch_sel = "01100" else -- rx_ec_reg(13) when ec_ch_sel = "01101" else -- rx_ec_reg(14) when ec_ch_sel = "01110" else -- rx_ec_reg(15) when ec_ch_sel = "01111" else -- rx_ec_reg(16) when ec_ch_sel = "10000" else -- rx_ec_reg(17) when ec_ch_sel = "10001" else -- rx_ec_reg(18) when ec_ch_sel = "10010" else -- rx_ec_reg(19) when ec_ch_sel = "10011" else -- rx_ec_reg(20) when ec_ch_sel = "10100" else -- rx_ec_reg(21) when ec_ch_sel = "10101" else -- rx_ec_reg(22) when ec_ch_sel = "10110" else -- rx_ec_reg(23) when ec_ch_sel = "10111" else -- rx_ec_reg(0); ICEC_HDLC_TXRX_GEN : for i in GBT_NUM-1 downto 0 generate IC_HDLC_TXRX_inst : entity work.HDLC_TXRX generic map( REG_WIDTH => REG_WIDTH ) port map( rx_long_data_reg_o => rx_ic_reg(i), tx_long_data_reg_i => tx_ic_reg(i), tx_trig_i => ic_trig(i), --one tx40m cycle rx_trig_o => ic_int(i), --one rx40m cycle txclk_40m => txclk_40m, rxclk_40m => rxclk_40m, IC_RX_2b => ICEC_RX_4b(i)(3 downto 2), IC_TX_2b => ICEC_TX_4b(i)(3 downto 2) ); EC_HDLC_TXRX_inst : entity work.HDLC_TXRX generic map( REG_WIDTH => 256 ) port map( rx_long_data_reg_o => rx_ec_reg(i), tx_long_data_reg_i => tx_ec_reg(i), tx_trig_i => ec_trig(i), --one tx40m cycle rx_trig_o => ec_int(i), --one rx40m cycle txclk_40m => txclk_40m, rxclk_40m => rxclk_40m, IC_RX_2b => ICEC_RX_4b_i(i)(1 downto 0), IC_TX_2b => ICEC_TX_4b_i(i)(1 downto 0) ); process(rxclk_40m) begin if rxclk_40m'event and rxclk_40m='1' then --if muxsel(i)='0' then ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(0); ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(1); --else -- ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(0); --ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(1); --end if; end if; end process; ICEC_TX_4b(i)(0) <= ICEC_TX_4b_i(i)(1); ICEC_TX_4b(i)(1) <= ICEC_TX_4b_i(i)(0); end generate; end behv;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_axi_iic_0_0/interrupt_control_v3_1/hdl/src/vhdl/interrupt_control.vhd
8
57387
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. This module has been optimized -- for the 64 bit wide PLB bus. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- BEGIN_CHANGELOG EDK_I_SP2 -- -- Initial Release -- -- END_CHANGELOG ------------------------------------------------------------------------------- -- @BEGIN_CHANGELOG EDK_K_SP3 -- -- Updated to use proc_common_v4_0 library -- -- @END_CHANGELOG ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- DET 11/5/2003 v1_00_e -- ~~~~~~ -- - Revamped register topology to take advantage of 64 bit wide data bus -- interface. This required adding the Bus2IP_BE_sa input port to -- provide byte lane qualifiers for write operations. -- ^^^^^^ -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed proc_common library reference to v2_00_a -- - Removed ipif_common library reference -- ^^^^^^ -- GAB 06/29/2005 v2_00_a -- ~~~~~~ -- - Modified plb_interrupt_control of plb_ipif_v1_00_f to make -- a common version that supports 32,64, and 128-Bit Data Bus Widths. -- - Changed to use ieee.numeric_std library and removed -- ieee.std_logic_arith.all -- ^^^^^^ -- GAB 09/01/2006 v2_00_a -- ~~~~~~ -- - Modified wrack and strobe for toggling set interrupt bits to reduce LUTs -- - Removed strobe from interrupt enable registers where it was not needed -- ^^^^^^ -- GAB 07/02/2008 v3_1 -- ~~~~~~ -- - Modified to used proc_common_v4_0 library -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of Interrupt Control to v3.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.all; ---------------------------------------------------------------------- entity interrupt_control is Generic( C_NUM_CE : integer range 4 to 16 := 4; -- Number of register chip enables required -- For C_IPIF_DWIDTH=32 Set C_NUM_CE = 16 -- For C_IPIF_DWIDTH=64 Set C_NUM_CE = 8 -- For C_IPIF_DWIDTH=128 Set C_NUM_CE = 4 C_NUM_IPIF_IRPT_SRC : integer range 1 to 29 := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2 -- pass through (inverting) ); -- Interrupt Modes --1, -- pass through (non-inverting) --2, -- pass through (inverting) --3, -- registered level (non-inverting) --4, -- registered level (inverting) --5, -- positive edge detect --6 -- negative edge detect C_INCLUDE_DEV_PENCODER : boolean := false; -- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : boolean := false; -- Specifies device ISC hierarchy -- Exclusion of Device ISC requires -- exclusion of Priority encoder C_IPIF_DWIDTH : integer range 32 to 128 := 128 ); port( -- Inputs From the IPIF Bus bus2ip_clk : In std_logic; bus2ip_reset : In std_logic; bus2ip_data : In std_logic_vector(0 to C_IPIF_DWIDTH-1); bus2ip_be : In std_logic_vector(0 to (C_IPIF_DWIDTH/8)-1); interrupt_rdce : In std_logic_vector(0 to C_NUM_CE-1); interrupt_wrce : In std_logic_vector(0 to C_NUM_CE-1); -- Interrupt inputs from the IPIF sources that will -- get registered in this design ipif_reg_interrupts : In std_logic_vector(0 to 1); -- Level Interrupt inputs from the IPIF sources ipif_lvl_interrupts : In std_logic_vector (0 to C_NUM_IPIF_IRPT_SRC-1); -- Inputs from the IP Interface ip2bus_intrevent : In std_logic_vector (0 to C_IP_INTR_MODE_ARRAY'length-1); -- Final Device Interrupt Output intr2bus_devintr : Out std_logic; -- Status Reply Outputs to the Bus intr2bus_dbus : Out std_logic_vector(0 to C_IPIF_DWIDTH-1); intr2bus_wrack : Out std_logic; intr2bus_rdack : Out std_logic; intr2bus_error : Out std_logic; intr2bus_retry : Out std_logic; intr2bus_toutsup : Out std_logic ); end interrupt_control; ------------------------------------------------------------------------------- architecture implementation of interrupt_control is ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: get_max_allowed_irpt_width -- -- Function Description: -- This function determines the maximum number of interrupts that -- can be processed from the User IP based on the IPIF data bus width -- and the number of interrupt entries desired. -- ------------------------------------------------------------------- function get_max_allowed_irpt_width(data_bus_width : integer; num_intrpts_entered : integer) return integer is Variable temp_max : Integer; begin If (data_bus_width >= num_intrpts_entered) Then temp_max := num_intrpts_entered; else temp_max := data_bus_width; End if; return(temp_max); end function get_max_allowed_irpt_width; ------------------------------------------------------------------------------- -- Function data_port_map -- This function will return an index within a 'reg_width' divided port -- having a width of 'port_width' based on an address 'offset'. -- For instance if the port_width is 128-bits and the register width -- reg_width = 32 bits and the register address offset=16 (0x10), this -- function will return a index of 0. -- -- Address Offset Returned Index Return Index Returned Index -- (128 Bit Bus) (64 Bit Bus) (32 Bit Bus) -- 0x00 0 0 0 -- 0x04 1 1 0 -- 0x08 2 0 0 -- 0x0C 3 1 0 -- 0x10 0 0 0 -- 0x14 1 1 0 -- 0x18 2 0 0 -- 0x1C 3 1 0 ------------------------------------------------------------------------------- function data_port_map(offset : integer; reg_width : integer; port_width : integer) return integer is variable upper_index : integer; variable vector_range : integer; variable reg_offset : std_logic_vector(0 to 7); variable word_offset_i : integer; begin -- Calculate index position to start decoding the address offset upper_index := log2(port_width/8); -- Calculate the number of bits to look at in decoding -- the address offset vector_range := max2(1,log2(port_width/reg_width)); -- Convert address offset into a std_logic_vector in order to -- strip out a set of bits for decoding reg_offset := std_logic_vector(to_unsigned(offset,8)); -- Calculate an index representing the word position of -- a register with respect to the port width. word_offset_i := to_integer(unsigned(reg_offset(reg_offset'length - upper_index to (reg_offset'length - upper_index) + vector_range - 1))); return word_offset_i; end data_port_map; ------------------------------------------------------------------------------- -- Type declarations ------------------------------------------------------------------------------- -- no Types ------------------------------------------------------------------------------- -- Constant declarations ------------------------------------------------------------------------------- -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- figure out if 32 bits wide or 64 bits wide Constant LSB_BYTLE_LANE_COL_OFFSET : integer := (C_IPIF_DWIDTH/32)-1; Constant CHIP_SEL_SCALE_FACTOR : integer := (C_IPIF_DWIDTH/32); constant BITS_PER_REG : integer := 32; constant BYTES_PER_REG : integer := BITS_PER_REG/8; -- Register Index Constant DEVICE_ISR_INDEX : integer := 0; Constant DEVICE_IPR_INDEX : integer := 1; Constant DEVICE_IER_INDEX : integer := 2; Constant DEVICE_IAR_INDEX : integer := 3; --NOT USED RSVD Constant DEVICE_SIE_INDEX : integer := 4; --NOT USED RSVD Constant DEVICE_CIE_INDEX : integer := 5; --NOT USED RSVD Constant DEVICE_IIR_INDEX : integer := 6; Constant DEVICE_GIE_INDEX : integer := 7; Constant IP_ISR_INDEX : integer := 8; Constant IP_IPR_INDEX : integer := 9; --NOT USED RSVD Constant IP_IER_INDEX : integer := 10; Constant IP_IAR_INDEX : integer := 11; --NOT USED RSVD Constant IP_SIE_INDEX : integer := 12; --NOT USED RSVD Constant IP_CIE_INDEX : integer := 13; --NOT USED RSVD Constant IP_IIR_INDEX : integer := 14; --NOT USED RSVD Constant IP_GIE_INDEX : integer := 15; --NOT USED RSVD -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer := DEVICE_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IPR : integer := DEVICE_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IER : integer := DEVICE_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_IAR : integer := DEVICE_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_SIE : integer := DEVICE_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_CIE : integer := DEVICE_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_IIR : integer := DEVICE_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant DEVICE_GIE : integer := DEVICE_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant IP_ISR : integer := IP_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IPR : integer := IP_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IER : integer := IP_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_IAR : integer := IP_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_SIE : integer := IP_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_CIE : integer := IP_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_IIR : integer := IP_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; Constant IP_GIE : integer := IP_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; -- Register Address Offset Constant DEVICE_ISR_OFFSET : integer := DEVICE_ISR_INDEX * BYTES_PER_REG; Constant DEVICE_IPR_OFFSET : integer := DEVICE_IPR_INDEX * BYTES_PER_REG; Constant DEVICE_IER_OFFSET : integer := DEVICE_IER_INDEX * BYTES_PER_REG; Constant DEVICE_IAR_OFFSET : integer := DEVICE_IAR_INDEX * BYTES_PER_REG; Constant DEVICE_SIE_OFFSET : integer := DEVICE_SIE_INDEX * BYTES_PER_REG; Constant DEVICE_CIE_OFFSET : integer := DEVICE_CIE_INDEX * BYTES_PER_REG; Constant DEVICE_IIR_OFFSET : integer := DEVICE_IIR_INDEX * BYTES_PER_REG; Constant DEVICE_GIE_OFFSET : integer := DEVICE_GIE_INDEX * BYTES_PER_REG; Constant IP_ISR_OFFSET : integer := IP_ISR_INDEX * BYTES_PER_REG; Constant IP_IPR_OFFSET : integer := IP_IPR_INDEX * BYTES_PER_REG; Constant IP_IER_OFFSET : integer := IP_IER_INDEX * BYTES_PER_REG; Constant IP_IAR_OFFSET : integer := IP_IAR_INDEX * BYTES_PER_REG; Constant IP_SIE_OFFSET : integer := IP_SIE_INDEX * BYTES_PER_REG; Constant IP_CIE_OFFSET : integer := IP_CIE_INDEX * BYTES_PER_REG; Constant IP_IIR_OFFSET : integer := IP_IIR_INDEX * BYTES_PER_REG; Constant IP_GIE_OFFSET : integer := IP_GIE_INDEX * BYTES_PER_REG; -- Column Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR_COL : integer := data_port_map(DEVICE_ISR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IPR_COL : integer := data_port_map(DEVICE_IPR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IER_COL : integer := data_port_map(DEVICE_IER_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IAR_COL : integer := data_port_map(DEVICE_IAR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_SIE_COL : integer := data_port_map(DEVICE_SIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_CIE_COL : integer := data_port_map(DEVICE_CIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IIR_COL : integer := data_port_map(DEVICE_IIR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_GIE_COL : integer := data_port_map(DEVICE_GIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_ISR_COL : integer := data_port_map(IP_ISR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IPR_COL : integer := data_port_map(IP_IPR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IER_COL : integer := data_port_map(IP_IER_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IAR_COL : integer := data_port_map(IP_IAR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_SIE_COL : integer := data_port_map(IP_SIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_CIE_COL : integer := data_port_map(IP_CIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IIR_COL : integer := data_port_map(IP_IIR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_GIE_COL : integer := data_port_map(IP_GIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); -- Generic to constant mapping Constant DBUS_WIDTH_MINUS1 : Integer := C_IPIF_DWIDTH - 1; Constant NUM_USER_DESIRED_IRPTS : Integer := C_IP_INTR_MODE_ARRAY'length; -- Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IP_IRPT_HIGH_INDEX : Integer := get_max_allowed_irpt_width(C_IPIF_DWIDTH, NUM_USER_DESIRED_IRPTS) -1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" ------------------------------------------------------------------------------- -- Signal declarations ------------------------------------------------------------------------------- Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector (IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector (0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector (DBUS_WIDTH_MINUS1 downto 0); Signal irpt_rdack : std_logic; Signal irpt_wrack : std_logic; signal ip_irpt_status_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector (PRIORITY_ENC_WIDTH-1 downto 0); Signal column_sel : std_logic_vector (0 to LSB_BYTLE_LANE_COL_OFFSET); signal interrupt_wrce_strb : std_logic; signal irpt_wrack_d1 : std_logic; signal irpt_rdack_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; REG_WRACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_wrack_d1 <= '0'; Intr2Bus_WrAck <= '0'; else irpt_wrack_d1 <= irpt_wrack; Intr2Bus_WrAck <= interrupt_wrce_strb; end if; end if; end process REG_WRACK_PROCESS; interrupt_wrce_strb <= irpt_wrack and not irpt_wrack_d1; REG_RDACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_rdack_d1 <= '0'; Intr2Bus_RdAck <= '0'; else irpt_rdack_d1 <= irpt_rdack; Intr2Bus_RdAck <= irpt_rdack and not irpt_rdack_d1; end if; end if; end process REG_RDACK_PROCESS; ------------------------------------------------------------- -- Combinational Process -- -- Label: ASSIGN_COL -- -- Process Description: -- -- ------------------------------------------------------------- ASSIGN_COL : process (Bus2IP_BE) begin -- Assign the 32-bit column selects from BE inputs for i in 0 to LSB_BYTLE_LANE_COL_OFFSET loop column_sel(i) <= Bus2IP_BE(i*4); end loop; end process ASSIGN_COL; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to DBUS_WIDTH_MINUS1 loop Intr2Bus_DBus(DBUS_WIDTH_MINUS1-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then -- toggle selected ISR bits from the DBus inputs -- (GAB) ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data((BITS_PER_REG * IP_ISR_COL) +(BITS_PER_REG - 1) - irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ip_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) - IP_IRPT_HIGH_INDEX to (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then for i in 0 to 1 loop -- (GAB) ipif_irpt_status_reg(i) <= (Bus2IP_Data ( (BITS_PER_REG * DEVICE_ISR_COL) +(BITS_PER_REG - 1) - i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ipif_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) - IPIF_IRPT_HIGH_INDEX to (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1' )then --interrupt_wrce_strb = '1') Then -- load input data from the DBus inputs -- (GAB) ipif_glbl_irpt_enable_reg <= Bus2IP_Data(BITS_PER_REG * DEVICE_GIE_COL); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= std_logic_vector(to_unsigned(irpt_position, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= std_logic_vector(to_unsigned(NO_INTR_VALUE, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_ISR) and column_sel(DEVICE_ISR_COL) ) or ( Interrupt_WrCE(DEVICE_IER) and column_sel(DEVICE_IER_COL) ) or ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, column_sel, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_ISR_COL) - BITS_PER_REG)) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1' and column_sel(DEVICE_IPR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_pending_value(i+32); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IPR_COL) - BITS_PER_REG)) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IER_COL) - BITS_PER_REG)) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1' and column_sel(DEVICE_IIR_COL) = '1') Then -- irpt_read_data(32+PRIORITY_ENC_WIDTH-1 downto 32) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_read_data( (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG) + PRIORITY_ENC_WIDTH-1 downto (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG)) <= ipif_priority_encode_value; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(DBUS_WIDTH_MINUS1) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg,column_sel) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(31) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_xadc_wiz_0_0/interrupt_control_v2_01_a/hdl/src/vhdl/cpu_xadc_wiz_0_0_interrupt_control.vhd
1
56950
------------------------------------------------------------------------------- --cpu_xadc_wiz_0_0_interrupt_control.vhd version v2.01.a ------------------------------------------------------------------------------- -- -- *************************************************************************** -- ** Copyright(C) 2005 by Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This text contains proprietary, confidential ** -- ** information of Xilinx, Inc. , is distributed by ** -- ** under license from Xilinx, Inc., and may be used, ** -- ** copied and/or disclosed only pursuant to the terms ** -- ** of a valid license agreement with Xilinx, Inc. ** -- ** ** -- ** Unmodified source code is guaranteed to place and route, ** -- ** function and run at speed according to the datasheet ** -- ** specification. Source code is provided "as-is", with no ** -- ** obligation on the part of Xilinx to provide support. ** -- ** ** -- ** Xilinx Hotline support of source code IP shall only include ** -- ** standard level Xilinx Hotline support, and will only address ** -- ** issues and questions related to the standard released Netlist ** -- ** version of the core (and thus indirectly, the original core source). ** -- ** ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Support Hotline will only be able ** -- ** to confirm the problem in the Netlist version of the core. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: cpu_xadc_wiz_0_0_interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. This module has been optimized -- for the 64 bit wide PLB bus. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- cpu_xadc_wiz_0_0_interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- BEGIN_CHANGELOG EDK_I_SP2 -- -- Initial Release -- -- END_CHANGELOG ------------------------------------------------------------------------------- -- @BEGIN_CHANGELOG EDK_K_SP3 -- -- Updated to use work library -- -- @END_CHANGELOG ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- DET 11/5/2003 v1_00_e -- ~~~~~~ -- - Revamped register topology to take advantage of 64 bit wide data bus -- interface. This required adding the Bus2IP_BE_sa input port to -- provide byte lane qualifiers for write operations. -- ^^^^^^ -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed proc_common library reference to v2_00_a -- - Removed ipif_common library reference -- ^^^^^^ -- GAB 06/29/2005 v2_00_a -- ~~~~~~ -- - Modified plb_cpu_xadc_wiz_0_0_interrupt_control of plb_ipif_v1_00_f to make -- a common version that supports 32,64, and 128-Bit Data Bus Widths. -- - Changed to use ieee.numeric_std library and removed -- ieee.std_logic_arith.all -- ^^^^^^ -- GAB 09/01/2006 v2_00_a -- ~~~~~~ -- - Modified wrack and strobe for toggling set interrupt bits to reduce LUTs -- - Removed strobe from interrupt enable registers where it was not needed -- ^^^^^^ -- GAB 07/02/2008 v2_01_a -- ~~~~~~ -- - Modified to used proc_common_v3_00_a library -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; library work; Use work.cpu_xadc_wiz_0_0_proc_common_pkg.all; use work.cpu_xadc_wiz_0_0_ipif_pkg.all; ---------------------------------------------------------------------- entity cpu_xadc_wiz_0_0_interrupt_control is Generic( C_NUM_CE : integer range 4 to 16 := 4; -- Number of register chip enables required -- For C_IPIF_DWIDTH=32 Set C_NUM_CE = 16 -- For C_IPIF_DWIDTH=64 Set C_NUM_CE = 8 -- For C_IPIF_DWIDTH=128 Set C_NUM_CE = 4 C_NUM_IPIF_IRPT_SRC : integer range 1 to 29 := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2 -- pass through (inverting) ); -- Interrupt Modes --1, -- pass through (non-inverting) --2, -- pass through (inverting) --3, -- registered level (non-inverting) --4, -- registered level (inverting) --5, -- positive edge detect --6 -- negative edge detect C_INCLUDE_DEV_PENCODER : boolean := false; -- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : boolean := false; -- Specifies device ISC hierarchy -- Exclusion of Device ISC requires -- exclusion of Priority encoder C_IPIF_DWIDTH : integer range 32 to 128 := 128 ); port( -- Inputs From the IPIF Bus Bus2IP_Clk : In std_logic; Bus2IP_Reset : In std_logic; Bus2IP_Data : In std_logic_vector(0 to C_IPIF_DWIDTH-1); Bus2IP_BE : In std_logic_vector(0 to (C_IPIF_DWIDTH/8)-1); Interrupt_RdCE : In std_logic_vector(0 to C_NUM_CE-1); Interrupt_WrCE : In std_logic_vector(0 to C_NUM_CE-1); -- Interrupt inputs from the IPIF sources that will -- get registered in this design IPIF_Reg_Interrupts : In std_logic_vector(0 to 1); -- Level Interrupt inputs from the IPIF sources IPIF_Lvl_Interrupts : In std_logic_vector (0 to C_NUM_IPIF_IRPT_SRC-1); -- Inputs from the IP Interface IP2Bus_IntrEvent : In std_logic_vector (0 to C_IP_INTR_MODE_ARRAY'length-1); -- Final Device Interrupt Output Intr2Bus_DevIntr : Out std_logic; -- Status Reply Outputs to the Bus Intr2Bus_DBus : Out std_logic_vector(0 to C_IPIF_DWIDTH-1); Intr2Bus_WrAck : Out std_logic; Intr2Bus_RdAck : Out std_logic; Intr2Bus_Error : Out std_logic; Intr2Bus_Retry : Out std_logic; Intr2Bus_ToutSup : Out std_logic ); end cpu_xadc_wiz_0_0_interrupt_control; ------------------------------------------------------------------------------- architecture implementation of cpu_xadc_wiz_0_0_interrupt_control is ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: get_max_allowed_irpt_width -- -- Function Description: -- This function determines the maximum number of interrupts that -- can be processed from the User IP based on the IPIF data bus width -- and the number of interrupt entries desired. -- ------------------------------------------------------------------- function get_max_allowed_irpt_width(data_bus_width : integer; num_intrpts_entered : integer) return integer is Variable temp_max : Integer; begin If (data_bus_width >= num_intrpts_entered) Then temp_max := num_intrpts_entered; else temp_max := data_bus_width; End if; return(temp_max); end function get_max_allowed_irpt_width; ------------------------------------------------------------------------------- -- Function data_port_map -- This function will return an index within a 'reg_width' divided port -- having a width of 'port_width' based on an address 'offset'. -- For instance if the port_width is 128-bits and the register width -- reg_width = 32 bits and the register address offset=16 (0x10), this -- function will return a index of 0. -- -- Address Offset Returned Index Return Index Returned Index -- (128 Bit Bus) (64 Bit Bus) (32 Bit Bus) -- 0x00 0 0 0 -- 0x04 1 1 0 -- 0x08 2 0 0 -- 0x0C 3 1 0 -- 0x10 0 0 0 -- 0x14 1 1 0 -- 0x18 2 0 0 -- 0x1C 3 1 0 ------------------------------------------------------------------------------- function data_port_map(offset : integer; reg_width : integer; port_width : integer) return integer is variable upper_index : integer; variable vector_range : integer; variable reg_offset : std_logic_vector(0 to 7); variable word_offset_i : integer; begin -- Calculate index position to start decoding the address offset upper_index := log2(port_width/8); -- Calculate the number of bits to look at in decoding -- the address offset vector_range := max2(1,log2(port_width/reg_width)); -- Convert address offset into a std_logic_vector in order to -- strip out a set of bits for decoding reg_offset := std_logic_vector(to_unsigned(offset,8)); -- Calculate an index representing the word position of -- a register with respect to the port width. word_offset_i := to_integer(unsigned(reg_offset(reg_offset'length - upper_index to (reg_offset'length - upper_index) + vector_range - 1))); return word_offset_i; end data_port_map; ------------------------------------------------------------------------------- -- Type declarations ------------------------------------------------------------------------------- -- no Types ------------------------------------------------------------------------------- -- Constant declarations ------------------------------------------------------------------------------- -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- figure out if 32 bits wide or 64 bits wide Constant LSB_BYTLE_LANE_COL_OFFSET : integer := (C_IPIF_DWIDTH/32)-1; Constant CHIP_SEL_SCALE_FACTOR : integer := (C_IPIF_DWIDTH/32); constant BITS_PER_REG : integer := 32; constant BYTES_PER_REG : integer := BITS_PER_REG/8; -- Register Index Constant DEVICE_ISR_INDEX : integer := 0; Constant DEVICE_IPR_INDEX : integer := 1; Constant DEVICE_IER_INDEX : integer := 2; Constant DEVICE_IAR_INDEX : integer := 3; --NOT USED RSVD Constant DEVICE_SIE_INDEX : integer := 4; --NOT USED RSVD Constant DEVICE_CIE_INDEX : integer := 5; --NOT USED RSVD Constant DEVICE_IIR_INDEX : integer := 6; Constant DEVICE_GIE_INDEX : integer := 7; Constant IP_ISR_INDEX : integer := 8; Constant IP_IPR_INDEX : integer := 9; --NOT USED RSVD Constant IP_IER_INDEX : integer := 10; Constant IP_IAR_INDEX : integer := 11; --NOT USED RSVD Constant IP_SIE_INDEX : integer := 12; --NOT USED RSVD Constant IP_CIE_INDEX : integer := 13; --NOT USED RSVD Constant IP_IIR_INDEX : integer := 14; --NOT USED RSVD Constant IP_GIE_INDEX : integer := 15; --NOT USED RSVD -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer := DEVICE_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IPR : integer := DEVICE_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IER : integer := DEVICE_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_IAR : integer := DEVICE_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_SIE : integer := DEVICE_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_CIE : integer := DEVICE_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_IIR : integer := DEVICE_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant DEVICE_GIE : integer := DEVICE_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant IP_ISR : integer := IP_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IPR : integer := IP_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IER : integer := IP_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_IAR : integer := IP_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_SIE : integer := IP_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_CIE : integer := IP_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_IIR : integer := IP_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; Constant IP_GIE : integer := IP_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; -- Register Address Offset Constant DEVICE_ISR_OFFSET : integer := DEVICE_ISR_INDEX * BYTES_PER_REG; Constant DEVICE_IPR_OFFSET : integer := DEVICE_IPR_INDEX * BYTES_PER_REG; Constant DEVICE_IER_OFFSET : integer := DEVICE_IER_INDEX * BYTES_PER_REG; Constant DEVICE_IAR_OFFSET : integer := DEVICE_IAR_INDEX * BYTES_PER_REG; Constant DEVICE_SIE_OFFSET : integer := DEVICE_SIE_INDEX * BYTES_PER_REG; Constant DEVICE_CIE_OFFSET : integer := DEVICE_CIE_INDEX * BYTES_PER_REG; Constant DEVICE_IIR_OFFSET : integer := DEVICE_IIR_INDEX * BYTES_PER_REG; Constant DEVICE_GIE_OFFSET : integer := DEVICE_GIE_INDEX * BYTES_PER_REG; Constant IP_ISR_OFFSET : integer := IP_ISR_INDEX * BYTES_PER_REG; Constant IP_IPR_OFFSET : integer := IP_IPR_INDEX * BYTES_PER_REG; Constant IP_IER_OFFSET : integer := IP_IER_INDEX * BYTES_PER_REG; Constant IP_IAR_OFFSET : integer := IP_IAR_INDEX * BYTES_PER_REG; Constant IP_SIE_OFFSET : integer := IP_SIE_INDEX * BYTES_PER_REG; Constant IP_CIE_OFFSET : integer := IP_CIE_INDEX * BYTES_PER_REG; Constant IP_IIR_OFFSET : integer := IP_IIR_INDEX * BYTES_PER_REG; Constant IP_GIE_OFFSET : integer := IP_GIE_INDEX * BYTES_PER_REG; -- Column Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR_COL : integer := data_port_map(DEVICE_ISR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IPR_COL : integer := data_port_map(DEVICE_IPR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IER_COL : integer := data_port_map(DEVICE_IER_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IAR_COL : integer := data_port_map(DEVICE_IAR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_SIE_COL : integer := data_port_map(DEVICE_SIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_CIE_COL : integer := data_port_map(DEVICE_CIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IIR_COL : integer := data_port_map(DEVICE_IIR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_GIE_COL : integer := data_port_map(DEVICE_GIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_ISR_COL : integer := data_port_map(IP_ISR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IPR_COL : integer := data_port_map(IP_IPR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IER_COL : integer := data_port_map(IP_IER_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IAR_COL : integer := data_port_map(IP_IAR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_SIE_COL : integer := data_port_map(IP_SIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_CIE_COL : integer := data_port_map(IP_CIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IIR_COL : integer := data_port_map(IP_IIR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_GIE_COL : integer := data_port_map(IP_GIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); -- Generic to constant mapping Constant DBUS_WIDTH_MINUS1 : Integer := C_IPIF_DWIDTH - 1; Constant NUM_USER_DESIRED_IRPTS : Integer := C_IP_INTR_MODE_ARRAY'length; -- Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IP_IRPT_HIGH_INDEX : Integer := get_max_allowed_irpt_width(C_IPIF_DWIDTH, NUM_USER_DESIRED_IRPTS) -1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" ------------------------------------------------------------------------------- -- Signal declarations ------------------------------------------------------------------------------- Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector (IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector (0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector (DBUS_WIDTH_MINUS1 downto 0); Signal irpt_rdack : std_logic; Signal irpt_wrack : std_logic; signal ip_irpt_status_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector (PRIORITY_ENC_WIDTH-1 downto 0); Signal column_sel : std_logic_vector (0 to LSB_BYTLE_LANE_COL_OFFSET); signal interrupt_wrce_strb : std_logic; signal irpt_wrack_d1 : std_logic; signal irpt_rdack_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; REG_WRACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_wrack_d1 <= '0'; Intr2Bus_WrAck <= '0'; else irpt_wrack_d1 <= irpt_wrack; Intr2Bus_WrAck <= interrupt_wrce_strb; end if; end if; end process REG_WRACK_PROCESS; interrupt_wrce_strb <= irpt_wrack and not irpt_wrack_d1; REG_RDACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_rdack_d1 <= '0'; Intr2Bus_RdAck <= '0'; else irpt_rdack_d1 <= irpt_rdack; Intr2Bus_RdAck <= irpt_rdack and not irpt_rdack_d1; end if; end if; end process REG_RDACK_PROCESS; ------------------------------------------------------------- -- Combinational Process -- -- Label: ASSIGN_COL -- -- Process Description: -- -- ------------------------------------------------------------- ASSIGN_COL : process (Bus2IP_BE) begin -- Assign the 32-bit column selects from BE inputs for i in 0 to LSB_BYTLE_LANE_COL_OFFSET loop column_sel(i) <= Bus2IP_BE(i*4); end loop; end process ASSIGN_COL; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to DBUS_WIDTH_MINUS1 loop Intr2Bus_DBus(DBUS_WIDTH_MINUS1-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then -- toggle selected ISR bits from the DBus inputs -- (GAB) ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data((BITS_PER_REG * IP_ISR_COL) +(BITS_PER_REG - 1) - irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ip_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) - IP_IRPT_HIGH_INDEX to (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then for i in 0 to 1 loop -- (GAB) ipif_irpt_status_reg(i) <= (Bus2IP_Data ( (BITS_PER_REG * DEVICE_ISR_COL) +(BITS_PER_REG - 1) - i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ipif_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) - IPIF_IRPT_HIGH_INDEX to (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1' )then --interrupt_wrce_strb = '1') Then -- load input data from the DBus inputs -- (GAB) ipif_glbl_irpt_enable_reg <= Bus2IP_Data(BITS_PER_REG * DEVICE_GIE_COL); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= std_logic_vector(to_unsigned(irpt_position, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= std_logic_vector(to_unsigned(NO_INTR_VALUE, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_ISR) and column_sel(DEVICE_ISR_COL) ) or ( Interrupt_WrCE(DEVICE_IER) and column_sel(DEVICE_IER_COL) ) or ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, column_sel, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_ISR_COL) - BITS_PER_REG)) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1' and column_sel(DEVICE_IPR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_pending_value(i+32); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IPR_COL) - BITS_PER_REG)) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IER_COL) - BITS_PER_REG)) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1' and column_sel(DEVICE_IIR_COL) = '1') Then -- irpt_read_data(32+PRIORITY_ENC_WIDTH-1 downto 32) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_read_data( (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG) + PRIORITY_ENC_WIDTH-1 downto (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG)) <= ipif_priority_encode_value; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(DBUS_WIDTH_MINUS1) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg,column_sel) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(31) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
gpl-3.0
MyAUTComputerArchitectureCourse/SEMI-MIPS
src/mips/datapath/alu/components/multiplication_component.vhd
1
1981
library IEEE; use IEEE.std_logic_1164.all; entity MULTIPLICATION_COMPONENT is port( INPUT1 : in std_logic_vector(7 downto 0); INPUT2 : in std_logic_vector(7 downto 0); OUTPUT : out std_logic_vector(15 downto 0) ); end entity; architecture MULTIPLICATION_COMPONENT_ARCH of MULTIPLICATION_COMPONENT is component ADDER_SUBTRACTOR_COMPONENT is port( CARRY_IN : in std_logic; INPUT1 : in std_logic_vector(15 downto 0); INPUT2 : in std_logic_vector(15 downto 0); IS_SUB : in std_logic; -- 0 for add and 1 for subtraction SUM : out std_logic_vector(15 downto 0); CARRY_OUT : out std_logic; OVERFLOW : out std_logic ); end component; type arraySignals is array (0 to 7) of std_logic; type arr_8_8 is array (0 to 7) of std_logic_vector(7 downto 0); type arr_8_16 is array (0 to 7) of std_logic_vector(15 downto 0); signal cables : arraySignals; signal khar, gav, ain, summ : arr_8_8; signal gav16, ain16, summ16 : arr_8_16; begin MAKING_IN: for W in 0 to 7 generate ANDING: for J in 0 to 7 generate gav(W)(j) <= INPUT1(J) and INPUT2(W); end generate; end generate; ain(0)(7) <= '0'; KHAAR: for L in 0 to 6 generate ain(0)(L) <= gav(0)(L + 1); end generate; output(0) <= gav(0)(0); CONNECT: for I in 0 to 6 generate gav16(I + 1) <= "00000000" & gav(I + 1); ain16(I) <= "00000000" & ain(I); summ(I) <= summ16(I)(7 downto 0); MODULE: ADDER_SUBTRACTOR_COMPONENT port map('0',gav16(I + 1) ,ain16(I) , '0', summ16(I), cables(I), open); ain(I + 1)(7) <= cables(I); MAKING: for K in 0 to 6 generate ain(I + 1)(K) <= summ(I)(K + 1); end generate; output(I + 1) <= summ(I)(0); end generate; -- AH: -- for Q in 0 to COMPONENT_SIZE/2 - 1 generate -- output(Q + COMPONENT_SIZE/2) <= summ(COMPONENT_SIZE/2 - 2)(Q); -- end generate; AH: for Q in 0 to 6 generate output(Q + 8) <= summ(6)(Q + 1); end generate; output(15) <= cables(6); end architecture;
gpl-3.0
peteut/nvc
test/regress/assert2.vhd
5
302
entity assert2 is end entity; architecture test of assert2 is signal x : integer; begin process is begin x <= 5; wait for 1 ns; x <= 12; wait for 1 ns; wait; end process; assert x < 10 report "x >= 10" severity warning; end architecture;
gpl-3.0
peteut/nvc
test/sem/issue162.vhd
5
1143
package ambiguous is end package; package body ambiguous is procedure proc(arg1 : integer; arg2 : boolean := false) is begin end procedure; procedure proc(arg2 : boolean := false) is begin end procedure; procedure calling_proc is begin proc; -- Works proc(false); -- Works proc(1, false); -- Works proc(arg1 => 1, arg2 => true); -- Works proc(arg2 => true); -- Adding the named argument cause error on ambiguous call end procedure; function fun(arg1 : integer; arg2 : boolean := false) return integer is begin return 1; end function; function fun(arg2 : boolean := false) return integer is begin return 0; end function; function calling_fun_works(arg2 : boolean := false) return integer is begin -- Works assert fun(true) = 0; assert fun(1, true) = 0; assert fun(arg1 => 1, arg2 => true) = 0; return 0; end function; function calling_fun(arg2 : boolean := false) return integer is begin return fun(arg2 => true); -- Adding named argument cause error on ambiguous call end function; end package body;
gpl-3.0
peteut/nvc
test/simp/issue331.vhd
1
2144
-- test_ng.vhd entity TEST_NG is generic ( INFO_BITS : integer := 1; INFO_1_VAL : integer := 0 ); port ( I_INFO_0 : in bit_vector(INFO_BITS-1 downto 0); I_INFO_1 : in bit_vector(INFO_BITS-1 downto 0); O_INFO_0 : out bit_vector(INFO_BITS-1 downto 0); O_INFO_1 : out bit_vector(INFO_BITS-1 downto 0) ); end TEST_NG; architecture MODEL of TEST_NG is type INFO_RANGE_TYPE is record DATA_LO : integer; DATA_HI : integer; end record; type VEC_RANGE_TYPE is record DATA_LO : integer; DATA_HI : integer; INFO_0 : INFO_RANGE_TYPE; INFO_1 : INFO_RANGE_TYPE; end record; function SET_VEC_RANGE return VEC_RANGE_TYPE is variable d_pos : integer; variable v : VEC_RANGE_TYPE; procedure SET_INFO_RANGE(INFO_RANGE: inout INFO_RANGE_TYPE; BITS: in integer) is begin INFO_RANGE.DATA_LO := d_pos; INFO_RANGE.DATA_HI := d_pos + BITS-1; d_pos := d_pos + BITS; end procedure; begin d_pos := 0; v.DATA_LO := d_pos; SET_INFO_RANGE(v.INFO_0, INFO_BITS); if (INFO_1_VAL /= 0) then SET_INFO_RANGE(v.INFO_1, INFO_BITS); end if; v.DATA_HI := d_pos - 1; if (INFO_1_VAL = 0) then SET_INFO_RANGE(v.INFO_1, INFO_BITS); end if; return v; end function; constant VEC_RANGE : VEC_RANGE_TYPE := SET_VEC_RANGE; signal i_data : bit_vector(VEC_RANGE.DATA_HI downto VEC_RANGE.DATA_LO); begin i_data(VEC_RANGE.INFO_0.DATA_HI downto VEC_RANGE.INFO_0.DATA_LO) <= I_INFO_0; O_INFO_0 <= i_data(VEC_RANGE.INFO_0.DATA_HI downto VEC_RANGE.INFO_0.DATA_LO); INFO_1: if (INFO_1_VAL /= 0) generate i_data(VEC_RANGE.INFO_1.DATA_HI downto VEC_RANGE.INFO_1.DATA_LO) <= I_INFO_1; O_INFO_1 <= i_data(VEC_RANGE.INFO_1.DATA_HI downto VEC_RANGE.INFO_1.DATA_LO); end generate; end MODEL;
gpl-3.0
peteut/nvc
test/regress/issue335.vhd
2
637
entity issue335 is end entity; use std.textio.all; architecture a of issue335 is begin main : process is variable tmp : integer; variable l : line; begin l := new string'("1"); report integer'image(l.all'length) & ", '" & l.all & "'"; assert l.all = "1"; read(l, tmp); assert tmp = 1; l := new string'("22"); report integer'image(l.all'length) & ", '" & l.all & "'"; assert l.all = "22"; -- Uncomment this to make it work l := new string'("333"); report integer'image(l.all'length) & ", '" & l.all & "'"; assert l.all = "333"; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/sem/protected2.vhd
3
931
package p is type t_protected is protected end protected; constant c : t_protected; -- Error end package; package body p is type t_protected is protected body end protected body; end package body; use work.p.all; entity e1 is end entity; architecture a1 of e1 is type bad_file_type is file of t_protected; -- Error type t_protected_array is array (0 to 1) of t_protected; -- Error type t_protected_record is record a : integer; b : t_protected; -- Error c : real; end record; signal s : t_protected; -- Error attribute s : t_protected; -- Error component bad_gen is generic ( g1 : t_protected -- Error ); end component; component bad_port is port ( p1 : t_protected -- Error ); end component; begin end architecture;
gpl-3.0
peteut/nvc
test/simp/context.vhd
4
367
-- Library foo package pack is end package; ------------------------------------------------------------------------------- -- Library bar context test_context is library foo; use foo.pack.all; end context; ------------------------------------------------------------------------------- -- Library bar context foo.test_context; entity foo is end entity;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_axi_iic_0_0/axi_lite_ipif_v3_0/hdl/src/vhdl/address_decoder.vhd
16
22444
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: address_decoder.vhd -- Version: v2.0 -- Description: Address decoder utilizing unconstrained arrays for Base -- Address specification and ce number. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 08/09/2010 -- -- - updated the core with optimziation. Closed CR 574507 -- - combined the CE generation logic to further optimize the code. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_base_v5_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; --library proc_common_base_v5_0; --use proc_common_base_v5_0.proc_common_pkg.clog2; --use proc_common_base_v5_0.pselect_f; --use proc_common_base_v5_0.ipif_pkg.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_BUS_AWIDTH -- Address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Bus_clk -- Clock -- Bus_rst -- Reset -- Address_In_Erly -- Adddress in -- Address_Valid_Erly -- Address is valid -- Bus_RNW -- Read or write registered -- Bus_RNW_Erly -- Read or Write -- CS_CE_ld_enable -- chip select and chip enable registered -- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear -- RW_CE_ld_enable -- Read or Write Chip Enable -- CS_for_gaps -- CS generation for the gaps between address ranges -- CS_Out -- Chip select -- RdCE_Out -- Read Chip enable -- WrCE_Out -- Write chip enable ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity address_decoder is generic ( C_BUS_AWIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF"; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_1000_0000", -- IP user0 base address X"0000_0000_1000_01FF", -- IP user0 high address X"0000_0000_1000_0200", -- IP user1 base address X"0000_0000_1000_02FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 8, -- User0 CE Number 1 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; -- PLB Interface signals Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly : in std_logic; Bus_RNW : in std_logic; Bus_RNW_Erly : in std_logic; -- Registering control signals CS_CE_ld_enable : in std_logic; Clear_CS_CE_Reg : in std_logic; RW_CE_ld_enable : in std_logic; CS_for_gaps : out std_logic; -- Decode output signals CS_Out : out std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); RdCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); WrCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) ); end entity address_decoder; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of address_decoder is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- local type declarations ---------------------------------------------------- type decode_bit_array_type is Array(natural range 0 to ( (C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer; type short_addr_array_type is Array(natural range 0 to C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of std_logic_vector(0 to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- This function converts a 64 bit address range array to a AWIDTH bit -- address range array. ------------------------------------------------------------------------------- function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE; awidth : integer) return short_addr_array_type is variable temp_addr : std_logic_vector(0 to 63); variable slv_array : short_addr_array_type; begin for array_index in 0 to slv64_addr_array'length-1 loop temp_addr := slv64_addr_array(array_index); slv_array(array_index) := temp_addr((64-awidth) to 63); end loop; return(slv_array); end function slv64_2_slv_awidth; ------------------------------------------------------------------------------- --Function Addr_bits --function to convert an address range (base address and an upper address) --into the number of upper address bits needed for decoding a device --select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1)) return integer is variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1); begin addr_nor := x xor y; for i in 0 to C_BUS_AWIDTH-1 loop if addr_nor(i)='1' then return i; end if; end loop; --coverage off return(C_BUS_AWIDTH); --coverage on end function Addr_Bits; ------------------------------------------------------------------------------- --Function Get_Addr_Bits --function calculates the array which has the decode bits for the each address --range. ------------------------------------------------------------------------------- function Get_Addr_Bits (baseaddrs : short_addr_array_type) return decode_bit_array_type is variable num_bits : decode_bit_array_type; begin for i in 0 to ((baseaddrs'length)/2)-1 loop num_bits(i) := Addr_Bits (baseaddrs(i*2), baseaddrs(i*2+1)); end loop; return(num_bits); end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- NEEDED_ADDR_BITS -- -- Function Description: -- This function calculates the number of address bits required -- to support the CE generation logic. This is determined by -- multiplying the number of CEs for an address space by the -- data width of the address space (in bytes). Each address -- space entry is processed and the biggest of the spaces is -- used to set the number of address bits required to be latched -- and used for CE decoding. A minimum value of 1 is returned by -- this function. -- ------------------------------------------------------------------------------- function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE) return integer is constant NUM_CE_ENTRIES : integer := CE_ARRAY'length; variable biggest : integer := 2; variable req_ce_addr_size : integer := 0; variable num_addr_bits : integer := 0; begin for i in 0 to NUM_CE_ENTRIES-1 loop req_ce_addr_size := ce_array(i) * 4; if (req_ce_addr_size > biggest) Then biggest := req_ce_addr_size; end if; end loop; num_addr_bits := clog2(biggest); return(num_addr_bits); end function NEEDED_ADDR_BITS; ----------------------------------------------------------------------------- -- Function calc_high_address -- -- This function is used to calculate the high address of the each address -- range ----------------------------------------------------------------------------- function calc_high_address (high_address : short_addr_array_type; index : integer) return std_logic_vector is variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1); begin If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31); else calc_high_addr := high_address(index*2+2); end if; return(calc_high_addr); end function calc_high_address; ---------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type := slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY, C_BUS_AWIDTH); constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2; constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY); constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant NUM_S_H_ADDR_BITS : integer := needed_addr_bits(C_ARD_NUM_CE_ARRAY); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal pselect_hit_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal cs_out_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); -- signal cs_ce_clr : std_logic; signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1); signal Bus_RNW_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP -- Register clears cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg; addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- MEM_DECODE_GEN: Universal Address Decode Block ------------------------------------------------------------------------------- MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate --------------- constant CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index); constant CE_ADDR_SIZE : Integer range 0 to 15 := clog2(C_ARD_NUM_CE_ARRAY(bar_index)); constant OFFSET : integer := 2; constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1) := ARD_ADDR_RANGE_ARRAY(bar_index*2+1); constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1) := calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index); --constant DECODE_BITS_0 : integer:= DECODE_BITS(0); --------- begin --------- -- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address -- ----------------- GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate -- Instantiate the basic Base Address Decoders MEM_SELECT_I: entity axi_lite_ipif_v3_0.pselect_f generic map ( C_AB => DECODE_BITS(bar_index), C_AW => C_BUS_AWIDTH, C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2), C_FAMILY => C_FAMILY ) port map ( A => Address_In_Erly, -- [in] AValid => Address_Valid_Erly, -- [in] CS => pselect_hit_i(bar_index) -- [out] ); end generate GEN_FOR_MULTI_CS; -- GEN_FOR_ONE_CS: below logic decodes the CS for single address range -- --------------- GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate pselect_hit_i(bar_index) <= Address_Valid_Erly; end generate GEN_FOR_ONE_CS; -- Instantate backend registers for the Chip Selects BKEND_CS_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then cs_out_i(bar_index) <= '0'; elsif(CS_CE_ld_enable='1')then cs_out_i(bar_index) <= pselect_hit_i(bar_index); end if; end if; end process BKEND_CS_REG; ------------------------------------------------------------------------- -- PER_CE_GEN: Now expand the individual CEs for each base address. ------------------------------------------------------------------------- PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate ----------- begin ----------- ---------------------------------------------------------------------- -- CE decoders for multiple CE's ---------------------------------------------------------------------- MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin CE_I : entity axi_lite_ipif_v3_0.pselect_f generic map ( C_AB => CE_ADDR_SIZE , C_AW => CE_ADDR_SIZE , C_BAR => BAR , C_FAMILY => C_FAMILY ) port map ( A => addr_out_s_h (NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE to NUM_S_H_ADDR_BITS - OFFSET - 1) , AValid => pselect_hit_i(bar_index) , CS => ce_expnd_i(CE_INDEX_START+j) ); end generate MULTIPLE_CES_THIS_CS_GEN; -------------------------------------- ---------------------------------------------------------------------- -- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE ---------------------------------------------------------------------- SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index); end generate; ------------- end generate PER_CE_GEN; ------------------------ end generate MEM_DECODE_GEN; -- RNW_REG_P: Register the incoming RNW signal at the time of registering the -- address. This is need to generate the CE's separately. RNW_REG_P:process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(RW_CE_ld_enable='1')then Bus_RNW_reg <= Bus_RNW_Erly; end if; end if; end process RNW_REG_P; --------------------------------------------------------------------------- -- GEN_BKEND_CE_REGISTERS -- This ForGen implements the backend registering for -- the CE, RdCE, and WrCE output buses. --------------------------------------------------------------------------- GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); ------ begin ------ BKEND_RDCE_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(cs_ce_clr='1')then ce_out_i(ce_index) <= '0'; elsif(RW_CE_ld_enable='1')then ce_out_i(ce_index) <= ce_expnd_i(ce_index); end if; end if; end process BKEND_RDCE_REG; rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg; wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg; ------------------------------- end generate GEN_BKEND_CE_REGISTERS; ------------------------------------------------------------------------------- CS_for_gaps <= '0'; -- Removed the GAP adecoder logic --------------------------------- CS_Out <= cs_out_i ; RdCE_Out <= rdce_out_i ; WrCE_Out <= wrce_out_i ; end architecture IMP;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_axi_epc_0_0/axi_lite_ipif_v3_0/hdl/src/vhdl/address_decoder.vhd
16
22444
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: address_decoder.vhd -- Version: v2.0 -- Description: Address decoder utilizing unconstrained arrays for Base -- Address specification and ce number. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 08/09/2010 -- -- - updated the core with optimziation. Closed CR 574507 -- - combined the CE generation logic to further optimize the code. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_base_v5_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; --library proc_common_base_v5_0; --use proc_common_base_v5_0.proc_common_pkg.clog2; --use proc_common_base_v5_0.pselect_f; --use proc_common_base_v5_0.ipif_pkg.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_BUS_AWIDTH -- Address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- Bus_clk -- Clock -- Bus_rst -- Reset -- Address_In_Erly -- Adddress in -- Address_Valid_Erly -- Address is valid -- Bus_RNW -- Read or write registered -- Bus_RNW_Erly -- Read or Write -- CS_CE_ld_enable -- chip select and chip enable registered -- Clear_CS_CE_Reg -- Clear_CS_CE_Reg clear -- RW_CE_ld_enable -- Read or Write Chip Enable -- CS_for_gaps -- CS generation for the gaps between address ranges -- CS_Out -- Chip select -- RdCE_Out -- Read Chip enable -- WrCE_Out -- Write chip enable ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Declaration ------------------------------------------------------------------------------- entity address_decoder is generic ( C_BUS_AWIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(0 to 31) := X"000001FF"; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_1000_0000", -- IP user0 base address X"0000_0000_1000_01FF", -- IP user0 high address X"0000_0000_1000_0200", -- IP user1 base address X"0000_0000_1000_02FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 8, -- User0 CE Number 1 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( Bus_clk : in std_logic; Bus_rst : in std_logic; -- PLB Interface signals Address_In_Erly : in std_logic_vector(0 to C_BUS_AWIDTH-1); Address_Valid_Erly : in std_logic; Bus_RNW : in std_logic; Bus_RNW_Erly : in std_logic; -- Registering control signals CS_CE_ld_enable : in std_logic; Clear_CS_CE_Reg : in std_logic; RW_CE_ld_enable : in std_logic; CS_for_gaps : out std_logic; -- Decode output signals CS_Out : out std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); RdCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1); WrCE_Out : out std_logic_vector (0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) ); end entity address_decoder; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of address_decoder is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- local type declarations ---------------------------------------------------- type decode_bit_array_type is Array(natural range 0 to ( (C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1) of integer; type short_addr_array_type is Array(natural range 0 to C_ARD_ADDR_RANGE_ARRAY'LENGTH-1) of std_logic_vector(0 to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- This function converts a 64 bit address range array to a AWIDTH bit -- address range array. ------------------------------------------------------------------------------- function slv64_2_slv_awidth(slv64_addr_array : SLV64_ARRAY_TYPE; awidth : integer) return short_addr_array_type is variable temp_addr : std_logic_vector(0 to 63); variable slv_array : short_addr_array_type; begin for array_index in 0 to slv64_addr_array'length-1 loop temp_addr := slv64_addr_array(array_index); slv_array(array_index) := temp_addr((64-awidth) to 63); end loop; return(slv_array); end function slv64_2_slv_awidth; ------------------------------------------------------------------------------- --Function Addr_bits --function to convert an address range (base address and an upper address) --into the number of upper address bits needed for decoding a device --select signal. will handle slices and big or little endian ------------------------------------------------------------------------------- function Addr_Bits (x,y : std_logic_vector(0 to C_BUS_AWIDTH-1)) return integer is variable addr_nor : std_logic_vector(0 to C_BUS_AWIDTH-1); begin addr_nor := x xor y; for i in 0 to C_BUS_AWIDTH-1 loop if addr_nor(i)='1' then return i; end if; end loop; --coverage off return(C_BUS_AWIDTH); --coverage on end function Addr_Bits; ------------------------------------------------------------------------------- --Function Get_Addr_Bits --function calculates the array which has the decode bits for the each address --range. ------------------------------------------------------------------------------- function Get_Addr_Bits (baseaddrs : short_addr_array_type) return decode_bit_array_type is variable num_bits : decode_bit_array_type; begin for i in 0 to ((baseaddrs'length)/2)-1 loop num_bits(i) := Addr_Bits (baseaddrs(i*2), baseaddrs(i*2+1)); end loop; return(num_bits); end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- NEEDED_ADDR_BITS -- -- Function Description: -- This function calculates the number of address bits required -- to support the CE generation logic. This is determined by -- multiplying the number of CEs for an address space by the -- data width of the address space (in bytes). Each address -- space entry is processed and the biggest of the spaces is -- used to set the number of address bits required to be latched -- and used for CE decoding. A minimum value of 1 is returned by -- this function. -- ------------------------------------------------------------------------------- function needed_addr_bits (ce_array : INTEGER_ARRAY_TYPE) return integer is constant NUM_CE_ENTRIES : integer := CE_ARRAY'length; variable biggest : integer := 2; variable req_ce_addr_size : integer := 0; variable num_addr_bits : integer := 0; begin for i in 0 to NUM_CE_ENTRIES-1 loop req_ce_addr_size := ce_array(i) * 4; if (req_ce_addr_size > biggest) Then biggest := req_ce_addr_size; end if; end loop; num_addr_bits := clog2(biggest); return(num_addr_bits); end function NEEDED_ADDR_BITS; ----------------------------------------------------------------------------- -- Function calc_high_address -- -- This function is used to calculate the high address of the each address -- range ----------------------------------------------------------------------------- function calc_high_address (high_address : short_addr_array_type; index : integer) return std_logic_vector is variable calc_high_addr : std_logic_vector(0 to C_BUS_AWIDTH-1); begin If (index = (C_ARD_ADDR_RANGE_ARRAY'length/2-1)) Then calc_high_addr := C_S_AXI_MIN_SIZE(32-C_BUS_AWIDTH to 31); else calc_high_addr := high_address(index*2+2); end if; return(calc_high_addr); end function calc_high_address; ---------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : short_addr_array_type := slv64_2_slv_awidth(C_ARD_ADDR_RANGE_ARRAY, C_BUS_AWIDTH); constant NUM_BASE_ADDRS : integer := (C_ARD_ADDR_RANGE_ARRAY'length)/2; constant DECODE_BITS : decode_bit_array_type := Get_Addr_Bits(ARD_ADDR_RANGE_ARRAY); constant NUM_CE_SIGNALS : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant NUM_S_H_ADDR_BITS : integer := needed_addr_bits(C_ARD_NUM_CE_ARRAY); ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- signal pselect_hit_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal cs_out_i : std_logic_vector (0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1); signal ce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal rdce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal ce_out_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); -- signal cs_ce_clr : std_logic; signal addr_out_s_h : std_logic_vector(0 to NUM_S_H_ADDR_BITS-1); signal Bus_RNW_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP -- Register clears cs_ce_clr <= not Bus_rst or Clear_CS_CE_Reg; addr_out_s_h <= Address_In_Erly(C_BUS_AWIDTH-NUM_S_H_ADDR_BITS to C_BUS_AWIDTH-1); ------------------------------------------------------------------------------- -- MEM_DECODE_GEN: Universal Address Decode Block ------------------------------------------------------------------------------- MEM_DECODE_GEN: for bar_index in 0 to NUM_BASE_ADDRS-1 generate --------------- constant CE_INDEX_START : integer := calc_start_ce_index(C_ARD_NUM_CE_ARRAY,bar_index); constant CE_ADDR_SIZE : Integer range 0 to 15 := clog2(C_ARD_NUM_CE_ARRAY(bar_index)); constant OFFSET : integer := 2; constant BASE_ADDR_x : std_logic_vector(0 to C_BUS_AWIDTH-1) := ARD_ADDR_RANGE_ARRAY(bar_index*2+1); constant HIGH_ADDR_X : std_logic_vector(0 to C_BUS_AWIDTH-1) := calc_high_address(ARD_ADDR_RANGE_ARRAY,bar_index); --constant DECODE_BITS_0 : integer:= DECODE_BITS(0); --------- begin --------- -- GEN_FOR_MULTI_CS: Below logic generates the CS for decoded address -- ----------------- GEN_FOR_MULTI_CS : if C_ARD_ADDR_RANGE_ARRAY'length > 2 generate -- Instantiate the basic Base Address Decoders MEM_SELECT_I: entity axi_lite_ipif_v3_0.pselect_f generic map ( C_AB => DECODE_BITS(bar_index), C_AW => C_BUS_AWIDTH, C_BAR => ARD_ADDR_RANGE_ARRAY(bar_index*2), C_FAMILY => C_FAMILY ) port map ( A => Address_In_Erly, -- [in] AValid => Address_Valid_Erly, -- [in] CS => pselect_hit_i(bar_index) -- [out] ); end generate GEN_FOR_MULTI_CS; -- GEN_FOR_ONE_CS: below logic decodes the CS for single address range -- --------------- GEN_FOR_ONE_CS : if C_ARD_ADDR_RANGE_ARRAY'length = 2 generate pselect_hit_i(bar_index) <= Address_Valid_Erly; end generate GEN_FOR_ONE_CS; -- Instantate backend registers for the Chip Selects BKEND_CS_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(Bus_Rst='0' or Clear_CS_CE_Reg = '1')then cs_out_i(bar_index) <= '0'; elsif(CS_CE_ld_enable='1')then cs_out_i(bar_index) <= pselect_hit_i(bar_index); end if; end if; end process BKEND_CS_REG; ------------------------------------------------------------------------- -- PER_CE_GEN: Now expand the individual CEs for each base address. ------------------------------------------------------------------------- PER_CE_GEN: for j in 0 to C_ARD_NUM_CE_ARRAY(bar_index) - 1 generate ----------- begin ----------- ---------------------------------------------------------------------- -- CE decoders for multiple CE's ---------------------------------------------------------------------- MULTIPLE_CES_THIS_CS_GEN : if CE_ADDR_SIZE > 0 generate constant BAR : std_logic_vector(0 to CE_ADDR_SIZE-1) := std_logic_vector(to_unsigned(j,CE_ADDR_SIZE)); begin CE_I : entity axi_lite_ipif_v3_0.pselect_f generic map ( C_AB => CE_ADDR_SIZE , C_AW => CE_ADDR_SIZE , C_BAR => BAR , C_FAMILY => C_FAMILY ) port map ( A => addr_out_s_h (NUM_S_H_ADDR_BITS-OFFSET-CE_ADDR_SIZE to NUM_S_H_ADDR_BITS - OFFSET - 1) , AValid => pselect_hit_i(bar_index) , CS => ce_expnd_i(CE_INDEX_START+j) ); end generate MULTIPLE_CES_THIS_CS_GEN; -------------------------------------- ---------------------------------------------------------------------- -- SINGLE_CE_THIS_CS_GEN: CE decoders for single CE ---------------------------------------------------------------------- SINGLE_CE_THIS_CS_GEN : if CE_ADDR_SIZE = 0 generate ce_expnd_i(CE_INDEX_START+j) <= pselect_hit_i(bar_index); end generate; ------------- end generate PER_CE_GEN; ------------------------ end generate MEM_DECODE_GEN; -- RNW_REG_P: Register the incoming RNW signal at the time of registering the -- address. This is need to generate the CE's separately. RNW_REG_P:process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(RW_CE_ld_enable='1')then Bus_RNW_reg <= Bus_RNW_Erly; end if; end if; end process RNW_REG_P; --------------------------------------------------------------------------- -- GEN_BKEND_CE_REGISTERS -- This ForGen implements the backend registering for -- the CE, RdCE, and WrCE output buses. --------------------------------------------------------------------------- GEN_BKEND_CE_REGISTERS : for ce_index in 0 to NUM_CE_SIGNALS-1 generate signal rdce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); signal wrce_expnd_i : std_logic_vector(0 to NUM_CE_SIGNALS-1); ------ begin ------ BKEND_RDCE_REG : process(Bus_Clk) begin if(Bus_Clk'EVENT and Bus_Clk = '1')then if(cs_ce_clr='1')then ce_out_i(ce_index) <= '0'; elsif(RW_CE_ld_enable='1')then ce_out_i(ce_index) <= ce_expnd_i(ce_index); end if; end if; end process BKEND_RDCE_REG; rdce_out_i(ce_index) <= ce_out_i(ce_index) and Bus_RNW_reg; wrce_out_i(ce_index) <= ce_out_i(ce_index) and not Bus_RNW_reg; ------------------------------- end generate GEN_BKEND_CE_REGISTERS; ------------------------------------------------------------------------------- CS_for_gaps <= '0'; -- Removed the GAP adecoder logic --------------------------------- CS_Out <= cs_out_i ; RdCE_Out <= rdce_out_i ; WrCE_Out <= wrce_out_i ; end architecture IMP;
gpl-3.0
peteut/nvc
test/regress/bounds8.vhd
5
337
entity bounds8 is end entity; architecture test of bounds8 is signal a : bit_vector(3 downto 0); signal b : bit_vector(7 downto 0); begin b <= X"10"; process is variable n : integer; begin n := 7; wait for 1 ns; a <= b(n downto 0); wait; end process; end architecture;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_xlconcat_0_0/synth/cpu_xlconcat_0_0.vhd
1
8839
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlconcat:2.1 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlconcat; ENTITY cpu_xlconcat_0_0 IS PORT ( In0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END cpu_xlconcat_0_0; ARCHITECTURE cpu_xlconcat_0_0_arch OF cpu_xlconcat_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF cpu_xlconcat_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlconcat IS GENERIC ( IN0_WIDTH : INTEGER; IN1_WIDTH : INTEGER; IN2_WIDTH : INTEGER; IN3_WIDTH : INTEGER; IN4_WIDTH : INTEGER; IN5_WIDTH : INTEGER; IN6_WIDTH : INTEGER; IN7_WIDTH : INTEGER; IN8_WIDTH : INTEGER; IN9_WIDTH : INTEGER; IN10_WIDTH : INTEGER; IN11_WIDTH : INTEGER; IN12_WIDTH : INTEGER; IN13_WIDTH : INTEGER; IN14_WIDTH : INTEGER; IN15_WIDTH : INTEGER; IN16_WIDTH : INTEGER; IN17_WIDTH : INTEGER; IN18_WIDTH : INTEGER; IN19_WIDTH : INTEGER; IN20_WIDTH : INTEGER; IN21_WIDTH : INTEGER; IN22_WIDTH : INTEGER; IN23_WIDTH : INTEGER; IN24_WIDTH : INTEGER; IN25_WIDTH : INTEGER; IN26_WIDTH : INTEGER; IN27_WIDTH : INTEGER; IN28_WIDTH : INTEGER; IN29_WIDTH : INTEGER; IN30_WIDTH : INTEGER; IN31_WIDTH : INTEGER; dout_width : INTEGER; NUM_PORTS : INTEGER ); PORT ( In0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In5 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In6 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In7 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In8 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In9 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In10 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In11 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In12 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In13 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In14 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In15 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In16 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In17 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In18 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In19 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In20 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In21 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In22 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In23 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In24 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In25 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In26 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In27 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In28 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In29 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In30 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); In31 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END COMPONENT xlconcat; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF cpu_xlconcat_0_0_arch: ARCHITECTURE IS "xlconcat,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF cpu_xlconcat_0_0_arch : ARCHITECTURE IS "cpu_xlconcat_0_0,xlconcat,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF cpu_xlconcat_0_0_arch: ARCHITECTURE IS "cpu_xlconcat_0_0,xlconcat,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlconcat,x_ipVersion=2.1,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,IN0_WIDTH=1,IN1_WIDTH=1,IN2_WIDTH=1,IN3_WIDTH=1,IN4_WIDTH=1,IN5_WIDTH=1,IN6_WIDTH=1,IN7_WIDTH=1,IN8_WIDTH=1,IN9_WIDTH=1,IN10_WIDTH=1,IN11_WIDTH=1,IN12_WIDTH=1,IN13_WIDTH=1,IN14_WIDTH=1,IN15_WIDTH=1,IN16_WIDTH=1,IN17_WIDTH=1,IN18_WIDTH=1,IN19_WIDTH=1,IN20_WIDTH=1,IN21_WIDTH=1,IN22_WIDTH=1,IN23_WIDTH=1,IN24_WIDTH=1,IN25_WIDTH=1,IN26_WIDTH=1,IN27_WIDTH=1,IN28_WIDTH=1,IN29_WIDTH=1,IN30_WIDTH=1,IN31_WIDTH=1,dout_width=6,NUM_PORTS=6}"; BEGIN U0 : xlconcat GENERIC MAP ( IN0_WIDTH => 1, IN1_WIDTH => 1, IN2_WIDTH => 1, IN3_WIDTH => 1, IN4_WIDTH => 1, IN5_WIDTH => 1, IN6_WIDTH => 1, IN7_WIDTH => 1, IN8_WIDTH => 1, IN9_WIDTH => 1, IN10_WIDTH => 1, IN11_WIDTH => 1, IN12_WIDTH => 1, IN13_WIDTH => 1, IN14_WIDTH => 1, IN15_WIDTH => 1, IN16_WIDTH => 1, IN17_WIDTH => 1, IN18_WIDTH => 1, IN19_WIDTH => 1, IN20_WIDTH => 1, IN21_WIDTH => 1, IN22_WIDTH => 1, IN23_WIDTH => 1, IN24_WIDTH => 1, IN25_WIDTH => 1, IN26_WIDTH => 1, IN27_WIDTH => 1, IN28_WIDTH => 1, IN29_WIDTH => 1, IN30_WIDTH => 1, IN31_WIDTH => 1, dout_width => 6, NUM_PORTS => 6 ) PORT MAP ( In0 => In0, In1 => In1, In2 => In2, In3 => In3, In4 => In4, In5 => In5, In6 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In7 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In8 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In9 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In10 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In11 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In12 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In13 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In14 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In15 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In16 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In17 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In18 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In19 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In20 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In21 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In22 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In23 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In24 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In25 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In26 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In27 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In28 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In29 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In30 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), In31 => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), dout => dout ); END cpu_xlconcat_0_0_arch;
gpl-3.0
peteut/nvc
test/regress/issue112.vhd
4
2894
entity t1 is port( A,B,C : in bit; D : out bit ); end t1; architecture rtl of t1 is begin D<='1' when A='1' and B='1' and C='1' else '0'; end rtl; entity test2 is port( A,B,C : in bit_vector(7 downto 0); D0 : out bit_vector(7 downto 0); D1 : out bit_vector(7 downto 0); D2 : out bit_vector(7 downto 0); D3 : out bit_vector(7 downto 0); D4 : out bit_vector(7 downto 0); D5 : out bit_vector(7 downto 0); D6 : out bit_vector(7 downto 0); D7 : out bit_vector(7 downto 0) ); end test2; architecture rtl of test2 is type T_IN_DSP_PC_ARR is array(0 to 7,0 to 7) of bit; signal s_pout : T_IN_DSP_PC_ARR; begin D0 <= s_pout(0,7)&s_pout(0,6)&s_pout(0,5)&s_pout(0,4)&s_pout(0,3)&s_pout(0,2)&s_pout(0,1)&s_pout(0,0); D1 <= s_pout(1,7)&s_pout(1,6)&s_pout(1,5)&s_pout(1,4)&s_pout(1,3)&s_pout(1,2)&s_pout(1,1)&s_pout(1,0); D2 <= s_pout(2,7)&s_pout(2,6)&s_pout(2,5)&s_pout(2,4)&s_pout(2,3)&s_pout(2,2)&s_pout(2,1)&s_pout(2,0); D3 <= s_pout(3,7)&s_pout(3,6)&s_pout(3,5)&s_pout(3,4)&s_pout(3,3)&s_pout(3,2)&s_pout(3,1)&s_pout(3,0); D4 <= s_pout(4,7)&s_pout(4,6)&s_pout(4,5)&s_pout(4,4)&s_pout(4,3)&s_pout(4,2)&s_pout(4,1)&s_pout(4,0); D5 <= s_pout(5,7)&s_pout(5,6)&s_pout(5,5)&s_pout(5,4)&s_pout(5,3)&s_pout(5,2)&s_pout(5,1)&s_pout(5,0); D6 <= s_pout(6,7)&s_pout(6,6)&s_pout(6,5)&s_pout(6,4)&s_pout(6,3)&s_pout(6,2)&s_pout(6,1)&s_pout(6,0); D7 <= s_pout(7,7)&s_pout(7,6)&s_pout(7,5)&s_pout(7,4)&s_pout(7,3)&s_pout(7,2)&s_pout(7,1)&s_pout(7,0); VGEN: for V in 0 to 7 generate UGEN: for I in 0 to 7 generate signal C_C :bit; begin C_C <= '0' when I=0 else C(I-1); UX: entity work.t1 port map(A(I),B(I),C_C,s_pout(V,I)); end generate UGEN; end generate VGEN; end rtl; entity issue112 is end entity; architecture test of issue112 is signal A, B, C : bit_vector(7 downto 0); signal D0 : bit_vector(7 downto 0); signal D1 : bit_vector(7 downto 0); signal D2 : bit_vector(7 downto 0); signal D3 : bit_vector(7 downto 0); signal D4 : bit_vector(7 downto 0); signal D5 : bit_vector(7 downto 0); signal D6 : bit_vector(7 downto 0); signal D7 : bit_vector(7 downto 0); begin test2_1: entity work.test2 port map ( A => A, B => B, C => C, D0 => D0, D1 => D1, D2 => D2, D3 => D3, D4 => D4, D5 => D5, D6 => D6, D7 => D7); process is begin wait for 1 ns; assert D0 = X"00"; A <= X"0f"; B <= X"03"; C <= X"01"; wait for 1 ns; assert D0 = X"02"; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/protected3.vhd
5
1073
entity protected3 is end entity; architecture test of protected3 is type SharedCounter is protected procedure increment (N: Integer := 1); procedure decrement (N: Integer := 1); impure function value return Integer; end protected SharedCounter; type SharedCounter is protected body variable counter: Integer := 0; variable dummy: Integer; procedure increment (N: Integer := 1) is begin counter := counter + N; end procedure increment; procedure decrement (N: Integer := 1) is begin counter := counter - N; end procedure decrement; impure function value return Integer is begin return counter; end function value; end protected body; procedure do_inc(p : inout SharedCounter) is begin p.increment; end procedure; shared variable x : SharedCounter; begin process is begin do_inc(x); assert x.value = 1; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/group/recref1.vhd
3
357
entity recref1 is end entity; architecture test of recref1 is type rec is record x : bit_vector(1 to 3); y : integer; end record; signal s : rec; -- 0..3 signal t : rec; -- 4..7 signal u : rec; -- 8..11 begin s.x <= "101"; s.y <= 4; end architecture;
gpl-3.0
peteut/nvc
test/lower/assign1.vhd
4
455
entity assign1 is end entity; architecture test of assign1 is begin process is variable x : integer := 64; variable y : integer := -4; begin wait for 4 ns; assert x = 64; assert y = -4; x := y * 2; assert x = -8; x := 5; y := 7; assert x = 5; assert y = 7; wait for 1 ns; assert x + y = 12; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/record3.vhd
5
492
entity record3 is end entity; architecture test of record3 is procedure add1(x : inout integer) is begin x := x + 1; end procedure; type rec is record a, b : integer; end record; procedure foo(r : inout rec) is begin add1(r.a); add1(r.b); end procedure; begin process is variable r : rec; begin r := (1, 2); foo(r); assert r.a = 2; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/file2.vhd
5
528
entity file2 is end entity; architecture test of file2 is subtype bit_vec5 is bit_vector(1 to 5); type ft is file of bit_vec5; begin process is file f : ft; variable v : bit_vec5; begin file_open(f, "test.bin", WRITE_MODE); v := "10100"; write(f, v); file_close(f); v := "00000"; file_open(f, "test.bin", READ_MODE); read(f, v); file_close(f); assert v = "10100"; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/attr3.vhd
5
507
entity attr3 is end entity; architecture test of attr3 is type int_vec is array (integer range <>) of integer; procedure foo(x : in int_vec) is begin for i in x'reverse_range loop report integer'image(i); end loop; end procedure; begin process is variable a : int_vec(1 to 5); begin for i in a'reverse_range loop report integer'image(i); end loop; foo(a); wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/proc8.vhd
5
953
entity proc8 is end entity; architecture test of proc8 is type int_vec is array (integer range <>) of integer; subtype int_vec4 is int_vec(1 to 4); procedure p1(signal y : in int_vec) is begin for i in y'range loop report integer'image(y(i)); end loop; end procedure; procedure p1b(variable y : in int_vec4) is begin for i in y'range loop report integer'image(y(i)); end loop; end procedure; procedure p2(signal x : in int_vec4) is begin p1(x); end procedure; procedure p3(signal x : out int_vec) is begin x <= (6, 7, 8, 9); end procedure; signal s : int_vec4 := (1, 2, 3, 4); begin process is variable k : int_vec4 := (-1, -2, -3, -4); begin p2(s); p3(s); wait for 1 ns; assert s = (6, 7, 8, 9); p1b(k); wait; end process; end architecture;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_axi_epc_0_0/axi_lite_ipif_v3_0/hdl/src/vhdl/pselect_f.vhd
28
10116
-- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end imp;
gpl-3.0
peteut/nvc
test/regress/file1.vhd
5
1344
entity file1 is end entity; architecture test of file1 is type char_file is file of character; file f1 : char_file; type string_file is file of string; file f2 : string_file; file f3 : string_file open WRITE_MODE is "test2.txt"; begin process is variable c : character; variable s : string(1 to 3); variable len : natural; variable status : file_open_status; begin file_open(f1, "test.txt", WRITE_MODE); write(f1, 'x'); write(f1, 'y'); write(f1, LF); file_close(f1); file_open(f1, "test.txt"); read(f1, c); assert c = 'x'; read(f1, c); assert c = 'y'; read(f1, c); assert c = LF; assert endfile(f1); file_close(f1); file_open(f2, "test.txt", READ_MODE); read(f2, s, len); assert s = "xy" & LF; assert len = 3; file_close(f2); write(f3, "hello"); file_close(f3); file_open(status, f3, "test2.txt", READ_MODE); assert status = OPEN_OK; read(f3, s, len); assert len = 3; assert s = "hel"; file_close(f3); file_open(status, f3, "not_here", READ_MODE); assert status = NAME_ERROR; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/sem/issue53.vhd
5
175
entity c is port (i : in bit); begin assert (i = '0') report "not '0'" severity note; -- OK assert (i = '1') report "not '1'" severity note; -- OK end entity c;
gpl-3.0
peteut/nvc
test/elab/issue159.vhd
5
526
-- file dummy.vhd package COMPONENTS is component DUMMY_MODULE port (I : in bit; O : out bit); end component; end package; entity DUMMY_MODULE is port (I: in bit; O: out bit); end entity; architecture RTL of DUMMY_MODULE is begin O <= I; end architecture; -- file dummy_top.vhd library DUMMY; use DUMMY.COMPONENTS.DUMMY_MODULE; entity DUMMY_TOP is port (I : in bit; O : out bit); end entity; architecture RTL of DUMMY_TOP is begin U: DUMMY_MODULE port map(I=>I, O=>O); end architecture;
gpl-3.0
peteut/nvc
test/regress/issue338b.vhd
2
672
entity issue338b is end entity; architecture a of issue338b is begin main : process procedure proc(s : string; variable value : out integer) is begin if s = "" then value := 0; else value := 1; end if; end; function func(s : string) return integer is begin if s = "" then return 0; end if; return 1; end; constant s1 : string := "foobar"; constant s0 : string := ""; variable value : integer; begin assert func(s1) = 1; assert func(s0) = 0; proc(s1, value); assert value = 1; proc(s0, value); assert value = 0; wait; end process; end;
gpl-3.0
peteut/nvc
test/regress/wait7.vhd
5
817
entity wait7 is end entity; architecture test of wait7 is signal state : integer := 0; signal x : integer := 0; begin wakeup: process is begin wait until x = 1; state <= 1; wait until x = 5; state <= 2; wait until x > 10; state <= 3; wait; end process; stim: process is begin x <= -1; wait for 1 ns; assert state = 0; x <= 6; wait for 1 ns; assert state = 0; x <= 1; wait for 1 ns; assert state = 1; x <= 0; wait for 1 ns; assert state = 1; x <= 5; wait for 1 ns; assert state = 2; x <= 50; wait for 1 ns; assert state = 3; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/sem/ambiguous.vhd
4
4603
entity e is end entity; architecture a of e is type foo is (a, b, c); type bar is (a, b, c); signal x : foo := a; signal y : bar := b; begin process is begin x <= c; y <= a; end process; process is begin x <= foo'(a); y <= bar'(a); end process; process is type baz is (a, b, c, d); variable z : baz := b; begin z := d; z := a; x <= a; end process; process is begin x <= bar'(c); -- Error! end process; process is type small is range 10 downto -5; variable z : small := -5; variable a : boolean; begin a := z = -5; a := -5 = z; end process; process is variable a : bit_vector(3 downto 0); variable x : character; variable b : boolean; begin b := x = '1'; -- OK b := '1' = x; -- OK b := a = ('0', '1', '0', '1'); -- OK b := ('0', '1', '0', '1') = a; -- OK b := ('0', '1') = ('0', '1'); -- Error end process; process is subtype some_foo is foo range a to b; subtype less_foo is some_foo range a to a; subtype all_foo is foo; variable f : some_foo; variable g : all_foo; variable h : less_foo; begin f := a; -- OK f := c; -- OK at semantic check g := f; -- OK g := h; -- OK end process; process is type weird is ( '¢', '¦' ); variable x : weird; variable y : character; begin x := '¢'; y := '¢'; report "foo¥bar"; end process; process is type t is (false, true); begin for i in false to false loop -- Error end loop; end process; process is function now return integer; begin for i in now to now loop -- Error end loop; end process; process is function false return integer is begin return 1; end function; begin for i in false to false loop -- Error end loop; end process; process is function "="(a, b : foo) return boolean is begin return false; end function; variable x, y : foo; begin assert x = y; -- OK end process; end architecture; package pack is type my_int is range 1 to 10; end package; use work.pack.all; package pack2 is function "<"(a, b: my_int) return boolean; end package; use work.pack2.all; use work.pack.all; architecture a2 of e is function ">"(a, b: my_int) return boolean; begin process is variable x, y : my_int; begin assert x > y; -- OK assert x < y; -- Error end process; end architecture; architecture a3 of e is type unsigned is array (natural range <>) of bit; function "*"(a, b : unsigned) return bit_vector; function "*"(a, b : bit_vector) return bit_vector; function "*"(a, b : unsigned) return unsigned; function "+"(a, b : unsigned) return bit_vector; function "+"(a, b : bit_vector) return bit_vector; function "+"(a, b : unsigned) return unsigned; signal x, y, z : bit_vector(7 downto 0); begin x <= unsigned(y) * unsigned(z) + unsigned(z); end architecture; -- Test case reduced from Altera model architecture a4 of e is function resolved (x : bit_vector) return bit; subtype rbit is resolved bit; type rbit_vector is array (natural range <>) of rbit; function "and" (x, y : rbit_vector) return rbit_vector; signal mdio_wr : rbit; signal reg_addr : rbit_vector(15 downto 0); begin process is begin assert ((X"0000" & mdio_wr) and reg_addr) /= X"0000"; end process; end architecture; architecture issue61 of e is type ubit_vector is array (natural range <>) of bit; begin process is variable x: bit_vector(4 downto 0); variable y: ubit_vector(6 downto 0); begin y := ubit_vector(x & ('0' & '1')); y := ubit_vector((x & '0') & '1'); y := ubit_vector(x & '0' & '1'); wait; end process; end architecture; architecture cassign of e is function "="(x, y : bit) return bit; signal x, y, z : bit; begin x <= '1' when y = z else '0'; -- OK end architecture; -- -*- coding: latin-1; -*-
gpl-3.0
peteut/nvc
test/regress/issue201.vhd
5
925
package pkg is type prot_t is protected procedure proc(x : out integer); end protected; end package; package body pkg is type prot_t is protected body procedure proc(x : out integer) is function nested_fun return integer is begin return 5; end function; procedure nested_proc is begin x := nested_fun; end procedure; function nested_ifun return integer is begin return 0; end function; begin nested_proc; end procedure; end protected body; end package body; ------------------------------------------------------------------------------- entity issue201 is end entity; use work.pkg.all; architecture test of issue201 is shared variable p : prot_t; begin process is variable x : integer; begin p.proc(x); assert x = 5; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/regress/real2.vhd
5
488
entity real2 is end entity; architecture test of real2 is type real_vec is array (integer range <>) of real; type real_rec is record x, y : real; end record; begin process is variable a, b : real_vec(1 to 3); variable r : real_rec; begin a := (1.0, 1.2, 3.4); b := (0.9, 0.2, 4.1); assert b < a; r.x := 2.0; r.y := 3.0; assert r = (2.0, 3.0); wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/elab/issue157.vhd
5
470
package COMPONENTS is component DUMMY_MODULE port (I : in bit; O : out bit); end component; end package; entity DUMMY_MODULE is port (I: in bit; O: out bit); end entity; architecture RTL of DUMMY_MODULE is begin O <= I; end architecture; use WORK.COMPONENTS.DUMMY_MODULE; entity DUMMY_TOP is port (I : in bit; O : out bit); end entity; architecture RTL of DUMMY_TOP is begin U: DUMMY_MODULE port map(I=>I, O=>O); end architecture;
gpl-3.0
peteut/nvc
test/sem/issue311.vhd
1
830
package TEST is type EVENT_TYPE is (EVENT_1, EVENT_2); end TEST; use WORK.TEST; entity TEST_NG is end TEST_NG; architecture MODEL of TEST_NG is constant init_event : TEST.EVENT_TYPE := TEST.EVENT_1; -- OK begin end MODEL; ------------------------------------------------------------------------------- package p1 is type EVENT_TYPE is (EVENT_1, EVENT_2); end package; package p2 is type EVENT_TYPE is (EVENT_1, EVENT_2); end package; use work.p1.all; use work.p2.all; entity e is end entity; architecture a of e is constant event1 : P1.EVENT_TYPE := P1.EVENT_1; -- OK constant event2 : P2.EVENT_TYPE := P2.EVENT_1; -- OK --constant event3 : EVENT_TYPE := EVENT_1; -- Error (not currently caught) constant event4 : P1.EVENT_TYPE := P2.EVENT_1; -- Error begin end architecture;
gpl-3.0
peteut/nvc
test/elab/libbind3.vhd
5
481
-- library foo package pack is end package; entity sub2 is end entity; architecture a of sub2 is begin end architecture; use work.pack.all; entity sub1 is end entity; architecture a of sub1 is component sub2 is end component; begin sub_i: component sub2; end architecture; -- library bar library foo; entity binding1 is end entity; architecture test of binding1 is component sub1 is end component; begin sub_i: component sub1; end architecture;
gpl-3.0
peteut/nvc
test/parse/concat.vhd
4
213
architecture a of b is signal x : bit_vector(1 to 3); signal y : bit_vector(1 to 2); begin x <= y & '0'; process is begin p := q & g & ('1', '1'); end process; end architecture;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_auto_pc_1/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd
17
90319
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block q4Zl53GBBQ95xdVv14oldsD+c8BE6hl9SOJ06v+xSguLIAqqL93WRPiMol9ggWi5ZcK4muvRUl0n qCvnW+z2Rw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P5PjRLhXmEqF2Dt5gIu4E3gVjuuphnLBzKaita/ebfjhb14HdyqhkqEP7NdXtRn9G6Hb8IuyDbBP aB5lCpiWn2mHvgukLQ5iizyTRiy0sKCEl8YiiyqfAO14CM9nFuX3Ms2dRrqTAiw87KDsicn95RLU FRZiS/HctqJkPsooTnY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JVyBIXkYksYBLEVDvKxFPYxMhWR0DBv2nIghm33FysKrTAiQlQJMuigMq+UVHLgrZbBEfTEbo/MK shlNhaDYnTP/Sz4mu0ZVl/I852GM6mjaeAWRd2MKeakab1e6YB/Y1iFTRbapAMj7rBaxL9olxHTj VYJZbPzHpYzK+jdbGgghRNqn+uJFBNzk8FKH+aKRJpkHPhJtKMtFeIP/kNfrOMhAWMrjxo5XxZnY yUduEL4Mms1Yf9HUT8WVXjEl05H5cnRGhKiG59o00t8yyr9gN7yFJeJVKZiCQlor2/oPKpKMTnKs WTwM3T9ZZ3koXw/h50dhPUUuea33+CH+8VKn1Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HCOgNssaNvBSEtueoj/wDaNGlPim9E5Vx9eBFWCHeAgoeBJsoynXMIx3wmdR9s4mrAr4q0IxxTKn 3IwyHhN4BPlNQ5czmjTykIg/V0Uh7G8nAHdkYla5Pe3l6NW5Fh/W4AdiJb6ZDoN7NJPTTyBbgz2M HCeeBWD/SeFZIQQFqig= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fadQC4u9Ea6najALS1X+mJrnU/RjWJkLyT3bGIUHkvejn53j73dF1vbunqpAxAppDw/WiFKknXhC 55dWyyAEtBAA3QW+8pFO+tINwnbedf9KH4LIjr/Rm+ZxuA9v4+aspUQifdOHwgeXxMURmX/ZPsbt 0kn/y0lQKFNIkoQlOWF9L0Uym+TL7WBa5HPxm25IqpDvZyqWM2B4w8uhzGxcuo23nZKlFjoTWIzH 9E9p+vo2JaVmY/bcJNWq+wrQNsYDrHiwKSZW/pcBZwqCO9VuOsLIiEba7J6RXz//ZVxdPpXCGTMo X++o83P5wwso4fjJASKBH64OGvTZwQZj+E+OGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120) `protect data_block RnRszZqSUFEOxoRzKSJPlQF3K4zG7rFkdJTSNUDOnNDvtaZqmRVVRVwmoGd8Mj9dXD5D9Lcuzifq XQ2Atz1NYNPLApWkZCfQCSMUbLnhENKBLq0CWhLxugmtRHz200PJimc2ykKaK6Qmr7c8u5Z1o6ii cmlOntN94sOi8zf6Kt/cQ3wD/HLpPf6RfMefU7u0elqyHjiAMaLOHoXBswqazx/lAlH83WrB8pSs EOE0i77aPRCcBWH4JQQjOkP277+EC/pyITFdIJTJuQQdQiSf/qhxJmV+a3aDVUFeWlMKhYXBp96N o0mbqf5j4OsY2jMyEn13s4ohHV51432gsJZQlcuA7n8rXFzJulBzdc5dlE8/REZBZuA0i9rg3b/7 A/RRodH+1xzQej8g05ySz+BN7O6Je73inhlmoX8JR1Hy4HXyyzwZb04mv2W7dWpg2WlK86x1lF3b WuCAWMFvO5mZuMsJM3dgkG6ilMEjMQmhLwjNbhmX3nuZey+7Bp7O9PKvhi4f+nidgLNRWoPtyQdg I8aQf+0Lio9BJaGx8N9O8xf/l85If1s5akKNZzvuT+06L+DBEJe96/mb1BiM55gJHqgKBUCfFIzv q+58oSrrGUy6JhIDCZ2L9uOh/TJe7BXsjp9+zeCck5Qx7qpDkaO3cWvBBkmPMiQP4sw1NghIknHk TweRbZparwD1uc1RJT3qqmm6UhobCf0GULu0m5IvDU4GBisBC8m7esj+A+u72qI4VIvjLzrrEB2g cRmWYab7TSKe+PKfkoA4LTMIQwy57QRG6oGxZqIS8JIVBkPVEtrGkRd+9fpNvLIhdXivbHQSM751 jUEhAOTWPdoNmHtN7jjTNLGUpUFRjc+b/Q++yJRhCZH8ujrPOMucheuN0Unpu3LcoGcy9PXXGyqw fKMNM/OG3TxvWfaNSTBI4SGkRz8NWwZJmwrJyPxd5sxPtIR5oOBqiB+uW88Sae4+ngwylPTQjhz4 xr97wq7a52Fj7/HMxs8+DAetyJ6uwnhAOJIsBo1PTdiDK+V+Ya1yMmbqFa2rPqTfryz9JBaD2BJ+ 8DRVp6SHqf6Y6xaQbasxCUr+8SJ+ErBBFNuXALbfuoTdXyN9cw3x6Tyd+3JvlHdxrZScHLSs5qqq SWFiK2C2K6NDDF9n3GuXGr1I7bmCChePKT18hDZ1sd9ui4s/4WuMilS9oO0VFGLCPnjuoQ1p4B3a lvuvt2u2htCQMt2L5XaMty0nw0/wKUMbDsgZOtRaM0r1IyyqEmRzJ++zvOhU1eo6bnUnOqY8NGW/ ikkO49EDm4EX5tbC7umaiKvzQ10bAVXVhbmyXWxekkAP49DhJhKtaPB1qC4mQoxZFBykcgEr62/R bk17l0DWQmDuyqEw/5CaCsS3N7MRg6GF7kVWQ2W3jZnRwGMc41puwtDK/8tJIcPUR/ultSsGkmLy bKsIJNF+9w03om8ft7UO087+hDhdI0kiOqSgFUMBKZCrQET7+kM30/Mge7Yn0zVPngv4WheIsblW 5pOZzTNibgj9ThYMDKiOoXPD80tBFrFNuxp3UdZRVnp8P+RTOCmrzSxbjyrNyIuOVPxUUxdhAaa6 T3sSdyhhr/LS7i99rx1APVwOTttCQJn2KgNQBstmjvdl2m0DUKuGCqAzZBu4OlkVyBhi5FKeCE6J QgHlVwVqIGVA+8vedNuyYe+KPXT0YV91C8LYjOcTyo49AgDgO9hAD+7xNGzMzib/1kaI39sKsGpa X46C944HY36KevhABBMHPjxYl3y2O9HnnxmNGGVEvEAjuC/P4tO3wGHYOOdM8i8mOF16ODgFT7ca z7mhCPSp8esrMapKi5OfgRShhxrJZeKiDVjZ7+fa5oN2OPiL6O9W35B8XcI5wTA94DddiYsf/e9J sMDvsrlWmb2bPp4rUyxdiB6uuHUOlCnQp6odP6aAEpucN814f/gxDk7wZZaIqWIZonDJ8puQqxGi I0oEoLHEJPaVk+9PNyflNotZEFdbZ8UPzZxKgW2aXN6uBmQMfTVXK1i7Et3X4tZzCBLPSCyvMKm1 /eluJineu8gIGunUHO1leXzbtRxfqk5qH/TR8by6Pbv5BnWmDWgOdtprCvKHOGptswSzIpQfXjA0 Nb6jZpajp01LaaGfew8xleuIvPTAagG6HKahRkgPTbKZnEBhJ1cw6bnhH8DxCa0MLLeLKfK/Vpl6 x+6XiayWB1Re0QZv3H7knF3eo7/+KudnwApKWPPLbpwOMjcHPWJzzcERwMaEQhnK5pq2fH/Vl2Za kY7PkNbkZBc8V/iJWgIR8KjGAx7GA5tqjRq/5szM3Ud58o/SHE6ln0Jgqd8eoHu5f1ipftEc2jUC ihqwU5aPFu1MtcwOB9stDrQTZwWUfceLYg9ctknejAebpkkc8p8kyjsofhBqon0NmhmBP55f8+lB q4XWi5jyjjSYX3QovroGx7pPTwWG5TRkF4y5cczZSte8NFaqsrO3Jedu7cbNVAxUz5egGOnCBU2a 5DNwQO2gxLGbJeraUyCM4FXharjSRGZZJvAI9Ozy0hnYsQ0BbW9OeT1vsxQEyqchFSGPBf+3i7vS x+0yaatgeJ25eS0UtYEBBOpFkxbLSmr+4oSHPoX50U2fo59RjwOvex62wCLCcoJj2nMZhmsLC9TA 08Ea5PiKa6Pkqd70TBQm4F5v5s7+I137bL2rhnZAH4ccAQBuRFI9a8tZwUV6b/gehC40FEwZjPz4 sv5Pl8pENBEFvQvGfDKjz1qwk2Enc2YqLPyeEsWypUvy+2ituZcOQTMgk5C49bULEgDlXvJCzJtf soohqVhL9zAtOXkGE3fYyNO1DqUr2wcUEguVQrnsjhQ4yxHqYFKZYKl+3rfwTFsrXhtz6vZ5opIE N9mB6N3tvaqeNJSi5cQYz5n1An7u4QvG/VxIlz0Lcy24zZ4uwdm9XRLHXdvlgyZ7OcmERWK8NqJa dtSOHFl+1E7nhpEMyiHFUPK0I0v3fGRrq9VOvE+rOIPaoToa+kN8hAQEuEBjOKEBgfLbGoPRCUpp 4FAbOq9GMBSk7sUUpR2SKagbffqu1lSKMFdck+Iv69bLUtmNnSOX7C9j8nd2Ff3fQrxeqC9ajG+A KcYP46Z7hB/TVIosisZuZvgXJXGXMe9kj7DisfOz7uant4UzSPX21rTiP+m/G6SFWwYhNm88HSDb bsnwkyZ7UAMgFq+UMbeAoU6WZYPIqkylB8iQoxX4M4U1DJ9X267SE5ix1H6xV4gRumFGpWPq1jKx 6oIAx7ujRSM9/HVpDiOHSsgCBG29UlN3lgbSpjF1gx31/TZhqsnYb44Fmbcgdntu5P7d7lmBY3/Z oaqWOl1gBbgswZMf5nOiTV+t9MyKJbp04ICq3TXOfIlxSENDz+fATNejw8PY+tOu0psc2UpBYfGt el319LvsEO8VKbi3km4HBm9N2B3SQaL6svplKnzQ/Yrn75SMIup0i7MRLR3N1UjcAQNEfah62Ye2 BtoWcmqOqalukYxE5Jr5g6Dd/GdcDmE4vaACce0eOpo5cq53D2t9NiX2dhJ4AMOJZ2m4LKo1x+/9 LfhTlD5EtHk6mPs1kT6LBt0L7QFYNc/0iRM5s65fbYetBHwZTwj00pMc7mM7gwAVkKEuDKZPWHky 0SuapqE2l/sf9QdY8blkZjaFNDVSpxDbrVKPagZDoWc5RG116MUtduduCjF0XMPeMFuRTrCuyVLQ zYo/3vAh33BL1lrwliCNbqmF9OgUNaH9zsxxaNu6fxKT5Xh+rFyOLsRy+C8tOXbCb69/WD6kusmF 7eZNudDqA9jWQAIusYQGuQHzforBL2FA0daB9d1pkdGW3dqKCRKshhNpYpEAlkGuG789gcsApe6V jJ6Ml8wRU94Eydp7Im1ekINmlO0MYktDufx591JzZpfR1uELydGoGawSP6D33cJleeCJCsvkMFNF owxpH/NMXLmgY1PfYkRZO09DgFBQlq58NGkLAH/2wvWqp2z0GUZy//dRL8K/dgxIIIiGFdldPjdF 8yAMwhSEqGeAbn18H1hGhbldS1Own7adOERvH2GzHcmmYN4l3ddxb08hGWarxmhsBnpk+r033zBv 8uphUWq7ACjCPCeqsZ1vittKSI3nRQzomD6ltSNsAQoMj63KUe0pjL6ztIUgJvAKgasUMOdU3Orm bLY2kmfYRCe6dRwmtUbRwxRZyFZTAeiEOsaBh5rfEOXvVK+MGJYmHSqXWcwMJAHO6ctRAhJHZ1td TevrSXKTtFD3BUoi15lICYrb+sAg83sgyXmeCGakUvjQj+/Ts+NSBrjqFCZlwitB58vHVNk5Nzrg WarKrJKUCNnWWuv5Of0frzXanZmrwafeB8hV1VVnT6oEKNIwXvtJrmkbuvNXrNNrXlK866VWiECO gaxuGoh/Q42CABdqJcTy8eYJ4wSGYi/PO+v4PAiAE74aqChjowlwlU3HgymZH3Q9uWmTTmhIlIIt FXZW8iH868KAs4MbUwNgb3wE91X0B3F11mnoCQ877REQ34WJ1tQjA7rWK3BZh174ya+DJTwoy6wO UTg+SLzssZy6esxOnK/5jCYysSQr4Ktoz+n4M8h62pTw9F7McfsWGTH1qOTLDxAvNWa1FTUzxPU6 2c6XJ6MKF381yuXY+VVeVMyvi7WQm2OIYro5ApcJr7jPjK5C3BSj0oEjdIjw027pR+bW0YP/OUn6 35JSfNTBXzcypQ7pM1x4wZGy73slV2XcLBZZ/tEFndYoUCLtE6GGEOjHv4gkLrFs8uxWwtQlnyuU dg8gUTZf6SGFM92TN7dVlWqLqjBlvfGrbERgpooMXTers9EnH6oFZHySdBeb86GIgjRS6FeeZWmz dVxLfwt0qqKCNxMhMX6IBQpSZWj/iM7DIKvAZFI/ziR5+RhgO+SckkwgF4Qz5wiQGbhk0Ot0tYil R8PDxCDH6NDOC8n7uMUe8Leby06zY9/aXUxtbCE2fFD7qyJLHzD5MVTPWouinVQMtiZ2MC71svLe 3YWkn1PZ8G0ddy1kWRXvmL6LsutONDSoy3Tb0gGCppNlKB8keco2/oeRbOebwmxFV1SPri7IT96F xEKdnX7FD3iizWoY7r0aBfHnoASQyqViRFqOWxec2/XVfj4v3Ll3WjSznboevsmvcHwRHXukTn4V pd9Lhow0kdfUB6idEWMzq1+cUXHx5ph/TM6hrof6wnTVBO7si2YbdlPSOSR8ClVZKP/QlwwThx3f Q9UJuEsFxBuV3O8puC9byhmAIUIDC3MvCcMgTiCFIW/c83pETaJ+e+m21LDDmel0tREkVogrj3K7 GWVYO1nzNFVSuOvu4jAOfkgXj13fpi3CGLa778YAqrWAoep6KvKdd75ECkFC+145g7E2AptWVD1F mguACqisZDsd4oaH4zj+PJIwKggL8fsw3q5talorqrEwE7JqLyEAfXkGT1Mh+h3GlDj2htbMuS3L FbVzW2YQmRdROrgeIzGPWQSLuU3wdFMAKYY2uN1kHvFoa6q1Ldk8PIBRKa5zo5rJL+66qnhu+kSb KrDm5Xep9Vq2HWiv6OM0FLyLF6bOEfA72UP520Gqui7zwZXi7mzR2ELAFqXjvY31MaXTk6FD2uRe eUCAR5ALo8ysxx03GK9ETZFiyD5bhHNWEJrX2SkYYTwd3AiqAep9bzt180FWUJ8T7dJmphghZXsP SsG2wCEeWy9r9VBpZja4Ww1LtjAzOmtSIwIJiF2ppTiM6Acal/F3SRbH7oBWPWhB1BMRJs+zldN/ hWXsnUlrDRE2ZFv7fDlAQlUAD2VGZ0bGexbckumecCGzQrIDLKxa2Zj9/6k8MYOSwQBFoGbNO0RM ukGG1KJXVmispSESR5s3OmPjANP181vhrt295rnLd10aemC7EBUxQmx3FmmaWGIAhH7AI/XGUZ/l oSSfKUURuEtnBvBXJWfthh64uORwsaEB+8KdiZiusnxjL73jSLzKAPSU3fg0l+WPhDRJ0eNSxTsx 5xuvFVTa2QNikEiMblgUZ3xdCLz3hBxfDbIBVve5NNZeCT11DebqBOYCN/SkXtvH4baczquooA2F NVP6NcutwuU/mRRvB4is+yBMWyOTrWjdLASEgwZetchubzD5MUT/VQD5JxP08Oe7nXLB8Gvsr/RJ xKFOTwxH0EzVq+BBLZcA4sEL9jMKII/KtECsIGrrVxr0ENDH2nKm30kNfyDFhesl4VreowDdeK7v yco6jRvSDI3laC7A4Ko1ilSwHGTO37uZg47mwn60XLvLuTS4P7MBMZT78BiHE5mbK0x/AQtkCOMe EQrEGt6p8by714GsmogcX1jFPvjGr3O/qi5Rdb07wpNv5oU1s3XS82G9TRxx2g3pGvIwiyCRNjge S8sKphkKqpJ7BeANR6YHYyMsbjlKcnYb2d2BZtHp2byWxFNTo2GbikX6uNo3I299KbV9JLrUfLZ6 I8699ZQZOpmSHgPAzsEDTi2LBHWUEUdby/QBJu8pN5cEGP/ebIyz5o3z6nKcFCM6tRWD2swWa/0K HB7LWMhZLMCaEGVUS9uYYyflAacPCrvNKomD8I+JVv6eJtbRs03OgwnNhZOi670hZm62laSDLQhc EOWd5Dw3HHa4SrvkHyG1qWBjfEomJVyTbDoERYDMpHfPnkkhci0kHXfqnRcbFjlokZQ2RXUpqLH2 EdmItX98c04y/0pz8gL7DrEuUSI4oY0N5ljxO5N6Y9SiuPeHTYuNH3FWhc/go1ZjUXxUr5PYUDFC rDK2YVZ+PV+tpkR3VsGEjQ3v8uq8T1FwI3U7tuo8Q0XPvoOLut71DpKA8LeABfGS6MZ4M9xL54gs w1T2NKw0BP4ko1hg4UmaiJox5Rt4tiHcu7DgryNX/BhFW6DdAWuhtnPfSSELuqPM9kd1LYffMteR 47pSqqHzLX64FwNSVKcZCyEYj1DcsLzTd9Uvb4VNv0KZkpFQBgpK972T1kz+kFNZitawQKtQsr1d e/RJMzmj7oHbmKd33BgGZSshAJJOxBuzNornVUimuAIvWkitudS/qsFzd5lDqlNj6FrX2B/8eofb SDC45Yemh7NjhWcPQOeqqINZaWndQBlWWaI/tfiDphwB4k6PKV6bcz+Cer8ZBHycUV+LoLJOk5Ls sfFqIdYRr2WTgzOJvjzasiiGOFJMk3KBQyRo5n8cvcusC78HSnI+PDG7G9zXbP7MZxYYGvVoZ6Kf PsLJJwjP/CBZcRiQLeDtn+aJdQt5nP43H6B9swjSfhunXxanDYyemcmFrfBI9v9bGLcH7EwspQsd gx+ij+A8WsfD40/3rkyh/KLCyWHF3s3VF3uu32rKKSUfo5NXlShyfqA0Ns0hlmKRnVGvGEmi6tsz vbEe+u1j4xvzYF6fxdvJv1nSSeWwGJwQ5i9WUqeUQrdoFSqZYT9lCrWyhCuxaLGvFmJOKOECdeQ6 xzbH7NYjPECa3raSS4lVhQwDiyYPWDj2BpFi3bCLICvAf5OfVTE0sanMmv7e0rpLRFOOXJr71uI5 3S26BPiHajf4Vx/4RbTAdSlZLh/YjRJlHkT1GL1DTSB7Z7Xs9dBPLiDexVT2AIMqgWFQJL1pVF9a ToSXKmxiBd5jtW9JLdkyHdReF12CKcn9LX9GO3IF6Xg8nfnKHqcbX9naRHRkAcAT1kKzJj5Fp9Nl ykBdf1NBIfA0AYmf8cKI/jO1dReGtqGjnA4yPMBtrWkX6aLmCvvCQ/wumh9WFcp1icHjWzL329NR HjHqnoWyOegj4eDc1cEesROcRb1xRRW1kRo5oByyb2xiJXUhDdI9W5+dZ5i92BgTZv/CqvWMn7w5 w/EDKtJufyVcCJrOthzMpJ5raW90u4AkXqO77cEK6PaLOz8O2WKiLEAFLyt0DPlg6T1smE1i1rt8 uD0m1jGK7Lc2O9B9V6v27im9bjuL0XK5KiG7+3y7T7t99kUYaEKR8UuVlSMs2qmR26hTc/An4WzH ITyX9lOXNBJJNvyY+DNdhLaxGy1ZQeJxb45Qnm2k5HDNpc7C1CzsLEr5ZlZ4Z4ODMeJXcGD0u+mT IYsCkaBK5DxNblKDdrApXVZZaaHWzlwsHFkmJ5AV/QebxTt6Jkmkz1ytBZUzPxGZHs9UxkWNNPhx sB4MTCw+hBD/ASPgLSr5Uw/jJ3sxG72KrShX/uTKvT34Mpfhn2Eco5AoSyZ1yPbNLPPSFJVSVPwa xmYJabIDKS0PwsKyvAddmaT6y8AoMiGQ8j4E9jHskTjYQC11iMKLU66kNhDRucggBpVOdMPv7Rhr MK84KLiH+dWiR2F7+vI+vc6/y+B4/MnsxsGB//gEwOmQuCmcOwbeJMRXZPmgqyIPFT1/R71Q2Ygf z6lZ+XdFTaMMK9LNOjHRHLRAzBZRco/dnNG1iE8PgtY6Gb8m8Z6CMSp9PZ9P4Sqp5/8+cbIvlXUC lMy422EOLaLc5qwmJzVFBnLAOQTae44yTEA5TF1L/j09Tsaopn/kklsu2+WA0X/1xlsMSBLd2XW1 bRYfl4tbTlaI4rzvx4Hw026ZcJU3je+5tpmeCHE0DsHEVe76WDlbC0c9Rrs8c7Ub1JHt734TjPTl vK4T5NxTLLNMPucj8jcqSSoPNC+QBwyL8GH+sRYZJ7p/UJ79o4h7viOzzCZiJSUr1jbYUVGoOwji N0qJCIVXZ1smw5uhTCmIg1546rdGtyK+f6p9u0DgFRB/bFOg0daJdDcsGMpOHWoWwWWV4PzyFmCI WPu6xC/UaNZNIQ+6labj4Jb57t3PZ7/IP2hyLuY5ymIWRuBLsVr5O5CJ7h6Y1AdWvMgn2vyXt8aZ O6V2R2PhfYMhrZBIcIVlurROl7W8goE1LpcgMGk7cxrQj9UzCfG/aXElzppFTGIJzyLhGTbVEc2m MbTqsEh+iA+dY70S3S6A9kBN7ryocjBr6qzlTk6kSI3mmZDEwDtlx+7/q3CwTvOhXgJAPe1+GO9L OtQXTpDl9AUGhzWWpNuZoGpbqxAVKtUJetuwFbvlZb7LZ1jpb8dAl9frwxPcKWERsKs5+M7HYk1Y 8/DQynbtSQ67Ql5hW6tgYh6A41NZWm9TmjejHV59XG69boeN02cNKNRNn6fh/N3PWTU+X18O2C9D nFB/bBkCGyLHluxLvYJA9qNQb3VL0nwnIXctB+JMvoRhf+ti//JBjh5Ju+ZcB15x1Gw/cDuKZwrm RIz6/OEkrH3WX9mThunow156UjxhL00lLMuAXGjzjb9CTFgODDOh+UlrP+z2XWAWsuO39dG3AC6e eizQ+5x6JofnS5kqaLMU/QCfKSBC6VWO6mZOdEZe/tZN5jPnM7/HGnCfKNjetZtx94EIoPRvYBdV YJLF9+rKNiF8GkyW11HJjIuzUHi4kjfLAOS9mBssrv1xvmzCtmHV3xyYNa63MZF7l6dCtyyv26ls V1Ew1N4JeBvepTwr3K9FXIqgrM6LHv2BvUkqcGLVGtYID0pJZRMY3B720HJWcL8DF+34buhpopl7 cp82JDD+XKO12fqjv6+zz7v1ae34E4aeNBmPaXwkArLiPlAZxnaw3tuXtTfQQSaBilMmxV+k+drC BDjCjvY9KHuFQVRSU8WHxtguggrzDVQe7n/saK2B3d4Q579ksX5kffoL8/wge9n39eCMJGpaT8GH MXxjysAvYEwyj+EoarKxSGGCCZWrwhgIN3yDg6+d2B42hzgInttv3zSHFWb/1kInyajZcS3Yzs0r qa55nJ8+f9iDlVftOz6A1iGVblvF99rj5VikM7PpU2bjOMS7nzTZyl/eFtWr5F2pQymEycEdhwzR y+g8PhkYCuNqNQXc2x4APtqWzNBkw3Nw6ex8ffLI2YeIh5GjK7JJkEDBfPlB/elKKxnf5uYOqDM1 XQAxgQMwUNcbH5IGDruNb2d5xnKpNyPTkRKD4cdeLO9TFgwBKJ6bVyF3YGyedMaIrYLPYULnRMV6 BF3YZhEt1TUqY0DsF/nl9blvENcfC05pJ8TW2XhKgu2YoNvpgk+bMypkrUCMRlmd2m36EY1qcKX9 5sfGRv2cNhI02sUBVZXYyHuw8J9wiSGt7jw44SsnzFxAbE6Hs9EQ2wY3eCOdVqcoyth2Ky0DfK9N Z86jYhnb6uN7Ube6D+K7QOkuSWONTqkkpcrzHPsbALG/mFI9peiVZGPexUUsYzslJx1V6sPiMizb 6xhfZpoqOTHkRv1DKLP908u7kc4Uk8fTolZWwCQMALJf/fwMRy2MTpH90Y+vFr4GEkwck9KJj5UE v0BeU0KBcAQZD/eTRuXbj88KER3FM3cvGY6V5KwOxnLwoTJ30+TJkUAEsaln9nI2rbBE6Z5S1o0r ZQZRfxgpVnX8QKwIfPE+w4Ei8vD3awproGec74aBIzSvWh6Fe0U79L4LXgQpxggNCgaR2wtSO1C+ LV90zqRGNCbZrWXyFgVuAN+xTK0LqZLmF26SQ55k1OJXllaR99RXxs8PGz3UCyhnZKnPyFyynJPW VM8dHBaA07lum8P6KP0OXFOQO3VuHl3/qjEVPPtzzTcCiDwVRhT6We4c2arOv4CCfEQ0+nyPIpRK j6zqIqOie9lGHOGIcqQqXUHNL5WJk7Ojni+fm8SrfnL4JY3qgSoCJyuoVIoiOVFm+tt4iO3u88lL msiSeQRF+pFLrbt8nkABJ/08JgeJKkq6FXI6yT+zzpLsei/UG/ClPEtEf9wu1SURZ7x9WXPqocwQ VYSnpLAGat/l589teUaxfpBz2KflCNI29JGeP0eGYuZTdj5yQZsbMsYHAJjW8Nz98KBuwhqVec79 +Zgu03g76SVXQuxpPSaX2ngeTgB1Cq3tIUentZjsNoZIg4r8I9o2JsgrrJ2EE7NRr89LCRrauyFH wHaCTrgDOoRrYQHBTpvRUzJpfcmlq5+mu9nTCkqgPt0cgQW8InswL7jLlZlnmxIFdfkYICoKMAAI izYsnmvgEF1q01p7IUTq89s2QXOYFRzXMqGEijj/yrdV82HZoaC7gltim+E4VO41uiCtaaRS36n5 Sd08aPDA8EhZyrj1YfSYj4fzxu+MoL66JMRlEYvDpH5oYOPogPm2fNDss2QKEPoA1ao2stHWL9e2 Fn+YVxXBK1znvBKHn/1ksujeMbheV+vqmU0PwUTM++fogMzsmG4Br4XJlmcJkctA1hBruNtrfcWq jhptqi2mr2o8bdp1JEshDFjcD0RTbhpRtlf7riS7LmfABETCXYH2SqE4hIMikxazgx/utkpG3U5M mPiVtYFsCi0SjFERMifyBGjaxnghpJ3AzHMrrGFGbZwpCxkMwmyQ5gtA4IQCz2NFcUjMnzXDHFxw Q7UQTSlZ54LhLD2sIPXahJjXXzbiZpbRO5D2W1P4mAipyg+coHiutu02lMWisBhBdydlbATk0XVC EG1FQrpTL6I5G1f4xaE42iU2FG+KH/3iOBNCN4szjCDIUDd0a22Mzye7B2T5Y0IPFLlcPDKGiDmx 0UpofrqGqm4CWAeS+losaSBrZ9pb0Oayle/1gABjQFz4lukzIvw1gjPnduCl3Aytnup6Gi88yIqU FReW2U8v5dzYtMEcYBOhbPcCsTeWGSaAuqAcpL/zx4WS03YoxoYQbr1Cppjgod1W+lw030l48Xos 1G/cpN/9YcvZx0KgYQaxfcxbMgIbZOrzYDF4caATtnSbwxNv/qLvHiwS3FCUJCPPECouQ6wqLm0s gWX9+kpAzfd+S6fnZd4jswter/qSkMEotL7mIhxzEmmS/fry7NpPCV2giDtiEP6yYruqzVVC0TRg 7WkS+f0QZdcaun2Q8jy71+35NUcAXSYsvOPLaHFBQuirU1iXDosDbgG5/4byvm9XK88Ant+hn9IQ WbnS0HdDuRI67gS3Sz94X0w7ZloiSzmg2UTPE7fv8hm8wAkiZsJbct8jVZTge0IIZOzAXLarGCwb xlEfxyG6MNJ4i6OTQgdJRY3ZlRyQxOHgb4u9w/Fhqkd3HE7y6KpagKPan1unMuE/9ZZqJEoJNss5 4izVuAPwvMZtM7IGEvSLSTKhIHcnqbB/71vTHY5ubsbsqK46fYPUcET7kilPgPbhZK8U63iwiWgL I5MAAXLbkZBT/+QPWDqtO1siqoiwfrdZvb0siL9CzwKBeXHy1j9981nv0jt+dl9CLXPzHYj1hiqL k66KixpPFnOoOp2RgrLDhLzRHaE133l52yVQClFBVFTzL88CxFWkTXeDWvaR+TtXaCh6FsUHohDa 0fflVndYHTxob4VuImVq9V0VqZY2Saz5j4bMIyf+mgR44sM1Hq9zaThzzuImSm0hmI8Qm+5QfGJQ naevCFbX3w42dR8fZdRvcesCmN6BB90/TwuUGvlz9xL7oxVV6UbI+KDesRcn1c5+o5yasvqnfim8 zq9mHsv3EI394RRIzdF7UDOKkeai9wATYUby+3UQClvUh8/+6zmwCl8mf6bG3db8TtnjrBjC1lAD a4zcz+Skbs/nwWo8+wFC2BBm+ftemyZa4emqRTgrtCfGVSYghEuk0ZnC33c9Jof1U79bID/si9rM ClUdjInxEqLfvbvZs+xGiWxlYpCUA0QYjs6Uvmcyuj34VXp+tM4EJabR1nit/FDd65UsyTcZQ6Rp AEVP8xOmoeQILZxe5IU+SJAT2qFxfP+jmIFEjOP2e+OL5YRhIMAhfjhStQY9EiKp0IMzhgAPKh4f 0HdjSC+R0UJoKev9RQnAtCnDMJHhlg7mTEL03v0zOopdhc0abJHJhDc66cqhPYGfYm1D5RntJWPz +YXVPaD5FOlRzSdD6fdI3reuK5We3cyaxjrqCjEzglHnYawh2v/tQjhzUw6ZzClDSXlctIpwvx5z 9xrXLCl072Un41q9evGUKaQuKvRTe3CMHHb6aAahB003Pvk/GKrxHKsBRBEWWyyr+ZhYETPlCUgz cKfW47ITaP1Fom7ohBnWBvyrn9bkvnW6w4Y19agDueik2Xq6juRnJcMTKWyIKJ1o0kJZTmTOEDUP jww1M/vBL6k1+RPhu9cgIvA8b1HkIpugKFT3WR7GKSPXa2qdfoJMqFO52l/pajjJUSMGD94Xg7wg ApiCKCMyaTwwWAc9v5A+c/6XZKlImN1iEHMC86/rH99BnxhQ+Ms9ip0HYgjJv/JT6uNmGMUoe+kJ +lBDlAs5fCl/9ZLCYI/OEu1vbcbAD5WNFwWrNQjxVqDNYJTscqEBUHbLTTn3NyRujc7hoFtOaCBI 3yuM2uf3sesFbTr8it1Hcj+JqSxDrQ4UH3MhX7pdroUjF0GVQ3TxmtYsbMaINFdL6W5qj6vnM7jt nJL8CmBbaJlw8CgW2RxdM87YNhMqRkxTocWXDYIcc6sxWV60Wrwhc2CdH8OHFxRRyRCV3yMgakiE XHca4zxkgxGNtg6dtTL9+mrzUoVVDJxi+sxq0almvwPAufkZ2y81/i57C4TpZfJ71Gy/c6BQEGEu ncxl/H5p0vE9ozo6TwUdSqHifGlbfwKErxIETowfjCZSDWm4wrABzSQDAVQILyX7KpBZNrePLuau R0WVW2oKu9JZr8gNHcXNdt1Mc5aGTwXjsKZSjochTQTD0RPrGN/LoEEmaGiimcpvnPVI6Pvg5xoX 0vd4YrNhMeLBzsy3lEfwrwM+t6Gv+idKo0s147yEokC0ipHC0UrRxALYJ/+jtHhrKZuA8KF3iBoh MKHHiG4o6iekkw8bAztQdRrNcCfn4liOUuxrxae5BKIeEp+3fXqWiu2iMrUzA1S9iPuxNPTe49pL JrEMNrhoB0jy/pWhH0r4NwpQTkdyNbFw2W+XQLIndCa5MSefmsjrbnThOXp8UZVr1jBXrpEYJEeu WtstcZ2AM9biCaa/6Vb5ha/X1RWPiQq1PT2rzfiIlSWDFiWK8sHukRhw4+MaCYYxLo/yRBWxFmI9 rWLkuhp6B/BCEcbZpAwMZVjVFVyAHy75KPg9lFoie/uaVgiL1TTfkL4donFNVG3Ddt9qn1Y8gzqL jBswM8fsfxuEP/MD+FvpGYTe4ffSW1mAzm+XowVUXeqeiQtUvaaoPfRBP1Sbe1itnNeMXPxIlILG fZlzIYoA4BaFUPx/e5BC+xF5GKR7i2aGVAThm2rt9zo5IxcGrga7AZgyL7NopVMsXZ9fj27Gifsl N9IU3f2zZU56MLlxJo7hRBI9Ck6+In/ucFYybC4gRmxtWowtLI7rE/xxDr5o9OeO8eNr5td1UThE 7DPTp6PKUVQawTigsGG+Hkfwo8QXuO3IX1K+KfI+PIFy2LKlRb5GnkloxGQHlL2Dd71Tp+pkDpYI qaeqd1wdbJKpZSHfJIciQgRj5mj/Ptpd4xRsA1bkJIBKEZBTu8GqD42cnd9A/obyKToYdZyplL+x q8A/YzKImct58IvCrNClXaAPJbM1nc60UZVpEXTG40PQNleYCozTShSAKh2X3YBt1P28Iv82yIUc dE/O/9MrtylJuPMnUKB5J/AVEMFLJZfGxJzAg7WHThNwYFlthFP1d2xXSu4nh3hEA5KWA5bKjDDW HP3Aw3bwpeHv9zZfOETz6spl057bKVcZQvRmdmVSyqWLQON//QIQpwI+Jv1zyn7gCc+sE9+Oy9cX SbkqDQifnu6qMrCLeMEjcFOVGbyryChl/IsWk9OvFQRoErYr24qIqoJlYmeouZb9UYZNqryFzLFG MB+C2mdfv4LmIA694Ha/cV8j0+Lohbgh9eDhCmUvtLrZDbZ+w/MslWYHtySxbBZrhZBT6vsb8I3f BCz+9a98TmG61PVSpTjrRe7kZf+9mOBsduiGQRCm4CcLgWu+3OQ9hGePNn449qqgaEs6e+aBI7uo ORaR3WdCkYU6qRd9X1IJWI0QFLF9squGPtt8pNGjsNs8ov/KuwmpOVeQKRHy1mKG0hBU1XVBNY7V EiRbHG+VfRCWbt+ItsEbgPMEExolZlrLNN/pTDwvRVbTGaVCRvNsmTOErEcwLoBmCbS84ZdeouUr u6h0hVA9MPVvttTrdq61YpT10N/NXPu4xQcTFZpfxmvzIzB8pJGDIjtEyffOl6o8pLK7bp6CufZI uF7pW1Wjlc4ipQBNKUjyNBU/tLNddvObrs8V54Gr9r3NEKve6JIyV4Hq7LIm0mkSWAWxhKQpqjrw eH/FOG++emy5JL3/5TXYTGAVC21r0vgPC8LId3d42lXdwI+Y1Hm0y6v8N/XngGCS7awJNuW33BMb 3VaEbL8LQdqkWuSJwGr2upFb+k9tsNTLFIZDiHFYb/qbuOPg5DaTuFt3IyqjuPn0NojHeHJ/ZzJX QrARaz1228ISiqWVm/zyw5CNCrF8OgACByTYH4u5yD3wH0NttNxGoA9KzynDz6rfw//OjS11h5mP fumoEk1wYQ7CMxqvB1Tg2V9VKJZTkdezwO+QllP3FaQPLJUWS4/gzvtXtbpDgBSpVJ0S0E81zuol Ef9rK2Lht8gc/rMIL22eb39QMR4l+CRJbZZrPPlsHv2fiWgZ/6kXGpuTA0iByoF1gDWvI+c6VBa7 ufSjTd0yHd6XWR/3I0HxSGI8iF6lG7JT7dJI7OIu3GbW4h3SKgkQCHojOnFwZUdjoX37/E8u3EBf uSn/BakDfQjX9+sFzJiZDT9h+wEaL+j2w8BpV5lzUzKmyf3n7wmwPAf1FTBBqRlZrcv/IRd9NnLc J61RkTgk9sVKP4NSe/aRt2Y5v0KxYEsuNlsVTCR5Fga28FHKoGYsLRG/gONi1C3Ig3ih+n1/KtzW 6xsvm2+ZlMQ3oyXon0gY2jmP5tXI+SOa0p4bvSB2fhH6UPKTHXkDBTmUqO0nEKcdqUoFCyBhT8E3 Fh3oH6ZxyhnADYrREMdgdB5s4lLrVtovz0SkVbE3MNw87XvuCADuAPs6WhGQkbVTp4lhMOgcfKvu V/nhAVVHWJkdOGqwPI2rtplVfnzJCEDFnQPPiDvcsXWJTuVQFu78laHXzRm6jLbvNRW0KHXNgJan Uc9MhYYOyN16bzy4+jjtKYqKA5/TpngDrxt1ZI5L2hEWW0PFFMwgsjwNFOF+y42eGAeum7rUkxmL F4oK6kRuE6odF7EpcCDJsCWPU2/PFBHlNsqYabTyzMV+cJVM69J0bG2g0kJdYAmQVanb6sMBVptT 1pOx/Ds3AtAq8lbRmWu/6h/dbwvSCnefcKp6JsoXSdeRplbGFryIJfZ4/PELeazp4NFuBygbDUGb 7SavuZfHYBq5zA059zSrK6YNlEkn53Qu1F8siBZZens7qT4tJDqKm9Igs4zQ/vCRNLmjC1mJWIvV P+X0Quy+j8xf/tCIjV6reTrQ9Dz9upOfeHdzNSIOwIRTaiHyA+HFWCDYY7If+vnaaG/Nx73WEeyA bdFny8NvVx7+hcIpUH5QtZmfIyuZ8/Eto7IeL2EF4TXlEqqim/sr/kCVtbkXYpl9Gq9bb7llx5Ln o7+S/qoM3snHex3OMDr5E8T8/HqCxt1IJsqSiAYW6+8LcrYc9fjZf+rUimzBDIV+bjD20Mho78T1 kX9+uhjsw55h1JKUxKIaLlaE9m7Hc7hb0ENslySGEX/hROmXdyyNGeNoJhd3PSiRQEiX7rd5+qbr QSB6cIWuIVLukdDhfwWvOQeTqDYcLHDswkEGPaLuF5B1Qgd48J6weHVSzMvFCqCn52G0+FwTRiGn rtol7mHtPtl9W87Gdc0M8nX+URQl73v2S7+YtErbG3UJoCAkAE2BqP2NXfxlyQtIiXS5NjleSM9K K0UHKhvI9wbL70XDOLvHyCh0YsoZKUR1EsVlpth6ARCHoHQmhx71yToRRbX9cHi0wZXXcOl9qo74 C7M0VQtfarIkPeJ35c688mIW9F0y+8AnM6axbzMSwfOxUtceyZS1TS0EdzAfnS7P/A9fHm34Q7EE e2ZtWvf5X4stQcnYEJjFYvBSCMf7xKVRFK4fstDVfdPxil7UlrzFDDnGR5D8dTBCk5Aj3dw80HOO pVeFFXHQmCInqN97AsZkDZ+2rbgsOPNYhjChw4esGmscF2H3mkhzfEmvd6fDIZzy/RN87Js4yqEY EvY1WypPwcGh648Zvomda93+jc65zx4UkowcC/bQyuZWPdt5OkWzvKo88HhuWqUOLHrlUVJOlMbA +kuu86jebq0aKQrTAq7RDV+bpaHN2s1aWupff/lGHSEOxA0uwGzVbUzq312vE8OOHGZFPV9FPelv 1f/2K6ItMRrMXEocAAOXjJQtHE0so6lzN6/KTdD4OZ9OOONgJirAz7+J3xI2juRwkMJ1/sT1h3o5 mad0hMrnCccYSVKOCsObE3Vy86EQuX4Rhyq7M/bQDfdHnPFsQuEXtwXxOsQ50xz4YR5nA7UzVYiM /868iquWhu36QZsJSuLLFZVUGAvjW+v5jZ0Wyfglj9GBxnK4nRv3cCPf1PqDRmw/3c7JPU/wFUVo +RvfjeKFORvJVcuxjIMyMxWmG763hT7r9/7Q24Vvqe0dUvy+Q2bJNnHKA7yTxvDs7N/w28yAXp5r y0RL0q5k49KLt1iTx+jKz4Az2N5h+0H72rG1fciw3MoGV/p9yJeXhg8Sfphb/13HV+kizzTg7150 6HSYh7XsxVQjLjWR0YjRkmFWgzOvN5NiqiZjeh61LR7UqtNPuAaDLsIKXEex0bX561IYLXxPMd2i 1OEwtNezL3XgIbdXHOcg9/d9YMaKtBTh8y0jKdtCfCNpwFHIFlWugGkZ2jIEKDTp5A4HuoadFRgB lhomArnD3vfRhK7iYvoI0JhpBMBrFvMZS/AN/9K8hr0aGqnEmPYQsPacnPkHAvhywn9eknTfTjkC CFWn1dtPFo+fslf4VYgdXavxWLNevIcEv2htN3at965cDjaAeKCdeMxdTZf9eDEtolVGtY23LKCv BpcdwnXyiVnLwVyTHopKJxKDnjA1pvnlgGoyHy3KigZntCWHT5z6KgMDNIuoW8ElWamTwmxpN3Dk vDPycwtup55slm6EhcHLHLPZrlGEIR8sfdPTsECqQlXkZDZKBM0sxcLTrdpC1kHpbCz679LKzUhu Egj6f8WSu2w6kXtgsIW5wnUI1LHjWWJ0AVAsVRfJ8TTZP7pOIHANmi70hlE9kuoMQIHkjQrnMs+P V4heGE8tGQZ8uVY1PpltE7hNuDSybegDyWrp3Hts9DHzkX/ktJrq3V/n+mo5QOsM+UXBLe0UsWfy zUUSTLKaXo7EwqGwu0AnpAuzrjXaes3GL44XW5jphYjnIgPqdZrjoXwDfulkKqajvDfN22pXNokf qMyqDFqok/tUmKJLJBrXMmO0wPy8uAIEX7gYvsKoWHiZCneIg3Rfymh0o7ashZw5Scbxaw5JNJEc Tkod+2rxf9NeBy2LLxpBcycKj5eFvflEtLvLgMO3KKU8F3nYINx89BgT8OFgqDZunPStWuqXakTp wTFppBHPA2kXPiukl7O0SrLYulVI0rZpNH0+hTADeuvD8n5mOuE1JU/ZG1xyJHOM1u0D9KRV3/sN WpJesvcjRo74ReXh62W6/JCW2ceGvA9F+tKzBKShmMqOBXEfKHG5ooQu32NT4OxSt6rBifUbLq8v MHvv/tVUl8tZNlUTsZikgTrbghx/fE6cqrSmOhLfnCIPD1CcgGD0uteXDXIcjv9TMU/W8tJWMoN/ PyDAru+v1CT4OXiBe6GObm6n3hP0xMHHeh73b7DZWr1v3yj6uUcQVCVtkDiXZnmZwJJjhi6KRcVi jFEw7uqiyhufYDOplIUWTHQtS+L2fvU0ppzY0Pyz3DWh6o8IVEn8tYgDqwFUEbQWoixirJLrfKv6 9UE21V8hR1bt68KxL7ssX0kWmeXEqaDsbMAQLLOg3HF+wmK8lnpUPrKEFbYK3eg18PHH4U32q0BI wbADCWegrb5204ynIoY9WrTTvt2nLU5xdljB6GKk6JH4xmSGhI/gDczCHPgc+0MbkZuvA2SGxtuK FkgFOc5Vt1J++HwY+hrW+yZbN821kenQL3V58S3tBe6nMPnftU9jM36SntzL3lsJbqNtX6mBRqkB 8R/9HIPv/y85Lx2EG6w+vWYcKsM1QtnfXGlaO2vwxPj0PxJ+y0bWw3gwnnJGHD3i6FmviT+IItxx rvwCiI77jdl0EFEXeuEOMuCWAeRv59n8dzubvn0GjlwuhaNt88Amqs6OqZL9a4GqKINmDIZU5Ea5 UdbEabGiWhzg/3sW59Eux/jCUSROUJG/o+yQuv1kdlbIUdbBU0sUrMx78UlB3fUA+bxlvW+gZabi 7HTPnjqlPgoFmpOwGEBfsRIV/rS61ihfXckXvao8YpjtO4o7UM3xEP6yqmbbcvLRvlxMf8KvY0zH pVrj1MTl+iKz7gHZ+2Ilzm96G44kNw9X0Bao2MaFOtickI6WrZOMha24pR9aL3usi5PUkdLSUOX/ SlikKkmDE3SdU5Wg/D8oE4WJx0qhpQeg9bQEHUVd1x7mJ/8Cd8KIM7DVrPurSvIBWssXuq67wTXu 1EgPwcXgB8AFcQIMmH9Wy0kRlcUkvZhGDhlwVwHXkbFNzWHcfi2Ks1fSHLEpkitreWSwO9xAKWKm RwPUbEujFGPBRx2giQvHh1waOpb4Vd1lGaWzhz5ESKu5HezuA27CSZY5dqjBLsu9lP5B29Qf4Bxq oZkOveTOiU/cQJkKixQ092wV+Yq+A21GKc3BNrvZWSiPlluIh1AZHjhGB11EyqWDNuwSRxkTWkk2 gyhJWJBtBty8N7+FRIZgPk6PeHIyh39xhS7Mnf4mDrcGW+QQuMPYRhJRfW6jfcDT7VkrBWYh28m2 ah1RykHE952VwUbdqapH+fw92v0aTft+3QCySBwmIG+af6EQb1ylcTWbxKEezFJj8vE8RQE9NWU9 AzWPg46BqOD94BXTeG/5Jqkg38U93cDi0wDibyV1w0vxA3xFPjdLZ1hkpFp4Sp2UgnGHTbtQImC7 eai+Zmu1ZcMLIl6pZMzDVchGRGdkL+Kz+qKhcfPmBD+DHOVTUbyDItWunNQj0nTPipoMW2Jg0JuB 2JEA61ZPmfBrX8383TIEUnr87SiSh1vHZLrLum3Kp8A6L67mD2Je4WQ+sdQDigUWTu6R0u7+B3FD JWIrN8mOlXMnAlwZfmNHa/0nj6psxZAqSiyU2iosdxwCsCSRLb/2D1i2nxtadncTi7UEBrrEW/HA OmXmtzliS5uuEhvbBGalvSBI5lD7XqNoAmIOuatOjxyDURb2P8npwlBMarwgHMrZr4rBVhpkteYx hJcHT6An8U5pIuVbZ4jmZMC6y/2YdZlp5V09ooDGPKcwvyRzlvoI8t+zz8Eb9G1Ih8l0cJ6py43P tEGhMpdVVGToJB4vWIuIPTHdygrqsdZ2wIpRApjTn/WXjycqq+bw8qtjRhBM71HQS9Fo/2QOWPIV pNCYLOpvq2NVnSOI5VH+VoMP4+mX1ZA1VLucwVJptZ8ylF/JGTQZZfv4JUxJ8SDcEAbdDshs0bNo dUTSUtUg9nI83Ae7lueXDXnVY7vgBSilrI9WwS9+e2iFpx1A4lBxyEDKSfEph/9e7CLmVZJ29RXp baJBp3WePmr6aWsDDW+0WAj4s4UtyYLkybXueFiw0J5jjIkzZXsnhE6Ez41NA1IE6Nbohox0Vle9 H+g6cWnEEd4LMbLVGoDN4hoCpEMAr+iTQcX0ZoHjQeeyzuRELAbjwnapffd48+2103iTUH+tB4ub d5XkJ55lkRNNkhmQBoC44LFjHim1FU87KZmUsWszXCkyiE3llNRcakSUaitF8X46m23rcujlTdCG IILnB5C50Ycp1epgeBKbMxk+wwmbhX4++Vz1SExuQqnoooJPiSaZ1k5DfAU7+FCYr7i19vVxfLzl grzDVh1KnnyFgEyzIzCWMBQNK4O7LogI9dI44EVU5hboXKX4/rlVX/gG+9l1b9OIpvVzsX9kU8Ao VdAB3SuUgcLZ/YoDYPowwEJoPW1P0dviX7ziYgwHDoFIJCZExaAYpKZb2mjZ6HxHfqLKc55BFkQD E82eu68v86JA33YNAHmMWEXzoACTfC3t+4Oca0JULc3az6B6dGSf41RZ9FBor/UYLfom136kR1Jv ikMSW33xAbPuIWCb88NFFh8P6cQL8ujJHvhaYxmKdkOuos2yPRU4QXcqi3HBw3+YrM4AjPTlPIND oIusRQAxrtqp4YIDcgWKX3Wk5mNF6UKPsPo+hDCwItMs4u+e/TykXSdZDZfWarKQkDp+yoDTb+9Z BP2PHrTifmPe2Fqc4zMNCUEGu/QIsWZ5zKwpTKOO2UjDvTv4EzrY3j4nFI8MR5S56++Lj+V80zI0 N6zKYA1AN1Akti2y4TeJPXVPAggnTXhmnjekdw4syoLKt7m7UWg2ZyZIcqRJfHA8qj1FfVdlyya9 XpboM7vnupgsN/dtAXQ1/rZMirRWyUEaMjiNxbpDZ1rRy4AT/e8C+h71tzmtIJaDSOEbt381z0NV zVRGpIW+XzjcYKAjpxCFw0YYoaDBDHPNHm7zUQaW/F5Gmq+5pL4MWwOpHAAKj9sHP7daWxbb53mB d8ez2szOtj1yduykWn5S/m5Ljkhk/DO4dG4eiZcqSYfw8Yk1eJaD0Ih95gmm/E1UD9908SYveKUw oAlfaCKI4h0r/VN/QVQywCDsVW0RMrevzRcKzar9Gyl95WrCT4JJLCbdVq+Si/CtOHpmSWVmxYnG bu/a0hOeEF7bwbhMb2sPVUtgpL40L/q5acoXiLgRrcZxyRbxvmry7XNpbB1S0rXXcKTd97yR5j8X kKrUSVQsozZhioP1RhU3iO9FjZ69YjbdRFoiH9dta8b86E7QlQ2eairsGAE1/RP7MckeJPSqiAD6 e8DmCBtGnX5beVowhUU8WTe0q6DyJCbA/NH7YpiKUvLBWREbGGQ0qqiHBn6TfBfiV6fnavVAanle J0lZNpjcQgWDynRup/BMg/DQFygQ2x9AFudIqMUIlaTX8b/PDKDMoWE+b+CLY3SwzUwXnZALlcwU breJMNje73N3Z+atyaIZMsXYDx886RjWyZ1b9QVJxbPJwYhA+z1VebFg00bIgZxLvdR8EBGrxt1d Eo0w6WkmRe9551KI18svsUm6S+zIZG9ZKp2zRC8z0cWsi+wm4df1nCm74sh7srT65/bW9ugleHCc h+XlMD9meQnrRXKQoj93oOGXYWUOqtRfTK2c48tYSQesVx9lIc1xeiH8CckaETMGCCWf40PAWetx QGYffTjP0w4U2RHqwZrVIpU68/fRRfU1j+I5x0Q/lC8o/dSy4z7dyK4tqYCCJhQBztlyRjZuTUUv 9M/owbzk5lzuYLGB11loQwoWT5Jnke/7/QNJjrx5P8ieuiDJLUQSRgnj/NSQptLoPuzNEM/uNCyG +CVFAFLWSCUq4p/JBaHdvNg4/wTTs0msT44Gy4jdQ/cvatIUXncnrvQ5BSatpCKvILiU/P/q81Rq viZIQ9Gf7o8i8Jy/oDh5piohRxGhGd+Uu1zEqNr0rkAybt3VrKSLrmSsfph5cHKll1sGPWyGtJuD Nz9uVYLzY8RMDzmflVdSWvtMJk0RGtQwJl2VgCGiJKvHJZLIT0SYDjuXmbJlnli3RQfprE4Zxd1z TuMANSZkE62/IqRgkXvsPZ4U0xlK0ziKveaRE9yn1J8FfDQCeuuGZd4dJ/64Cro11JV0rP7RXOiV SuVGXVKmThMkeCB1pPrFJZVi8YMH2KpdZX9pishOv52E3iQ2YtRcITK9zl2flHXhafizPE1iX9rF wn5Q+gNG/aO7g1mDPwgWIGZvHCkswai50vuKRDAecxZKkkrkWduhY8NoV+RSZy7dcPM1t3uZOZ3n Ib0T84Vlx+CvFfcSYhjPP9lIlLnxjtOMty7h+meXb01/JEHnlE8Jb+IkbkKB6pHCjZ2Rdz/YJqkd Z0IVMJLRanR6uCNXv3JGhm15vUVt1+XycQzhhMQEArAodFGLoA6jlK3mGlREC2ylbhvWw2j3AYjv UXi8SJyq4JYJXhEUUQFcKw/GC4Y55HpMs2vPI2+SwWNTN3g+QxgnTh2HLRg1t+scN+983jBvUgmg I1vu6GVLSRncq1a9BXWONw/eBploKeH29tel/5EfqdgnlwyEtU/UxOp4n5TKlDUXxo7a10txsHF5 MlvkHic5d4wUZlfLXZy+BnBZhTdS1Btk9wDavtGaiGdSIF+a83EHI+VGUEu/z/9BT/6pb3BebmKG SNh1ZPoc8r5CinhY1+xMqjcEvkboWZEnHQGvrBEh+H3tTkRgEi92hOMeM+hL8Cvpl9vCUIqoWZ/C VKnMevrSPWa1fawFwV0hMht4bsTwZAwYNAHnCiMD/ew1yUnKthVgvSHUdWmbq3zKRe1fhbAABgdE /z2vRE5C0EcduVBS9lnxF1Tv4VTOlhgszwli76Y3oSc1ACMehv3g1l5IIj4LsYL635zdbuStLpST m0QTqYBfcJItnqt5uOh9Y6fcbpQMCiEff1E1LhYfdX9sHoZ1xyEKEy/laJERbqKSpZ7EAdQRqi4R fL+ynbJ/3j9jXBx8djYWCcg0sUxXx59sgROvkn7jUG57V8Tz5+9ft5LhovaR1ryd9Ucn6AKzacHB PBQk3/vCaHMBmkaJQh/tRag8FrFyMUQ42ZFVUA7WldbH6syiNr6dW+52xesg1YSrpmVaTdJkW2X/ ltj0hTphc1wnfGtsam4/pNAsGnVnWDJeirShjjtdGZ9TFLrslC9rifrJggnBaxKnLwDEnvvHBdBx RptJg4zXvTdjCMhmMR24e5+DAnTKd69EspvTkfnzXU/WJ/yL+gaS5AADdPnkkts7wvXEn5Ve4NYO lz2riFCz1IscYTSEdQvOz8G94PftWFYcpsnZ7tsXLdl6a7QBwwgu9v6NRC9leP5dKC27J8qbg6Wo +hE9HfKU2+GvztEo4bnwx/psOIBRK5N0pKbtrLxOkf4EyxE6QFUQC2P2f8nYCssEKTtCfkgclCYO cXSmip0UQyEVIPOAGL6ZO8Zr5CQfaU9AwNNTdH6TVaoEVkialjS35MSkyH8HKfJcml/emXEMlmlQ 8nrxPbwrAZlvnmi0h0QUb6j1RQZgEjg5o2jU2mhEXCIdoIXau4PUizdFAKV0X+nAuvzWN7kelGLX QivSHAgoGcg353UpwniHASV2CP1o454bD567TP6SsrcSKsxqueILbHjt9XyXL+0dZpU+Q30rskEA 31Met15ZjiDBn6fM4qp0gaVQndadmLyYpvixmb46VQktqTrn3k+luID+EIZH3DFqBkMx1eCI1Vv7 wTtmGKBmTSUAajqLeJwMnx5dYLy2dVL6HxjWdw4FxvUuhQ3ZbAXltiNJNjYWHn3cIT0B4ojsfobt 3s5Lldt7ZGlY31nGAT/fz5LV1o111KvPaZIo00llxaGgbYW3aTv+4Ff/FDjEQZ+1/7YCnisHjjbW 9AxooPrKAGGFdIh5ObAS4rvnnQbrxtDnAmY8OJFCkBOcRjejcJfPcVA11WtZLO9kEUsT48d6nsqy +dEor67qgtNuihJNi8XC4sw4zHRFWdamofoDFwMs71VGc7ggsMdaRBcbcM3hgwfND9JbyfNJoN6b O/gnOQbgllzB20jc1+hkHS+dVQVF2ZcK7gq7ViIzPh7wbjUeNcKC7hKuT33lup47NCGjs6YdYjjK 8mQiEGWUHaMhYzXE8padD888jgW0MmXsvMYCB/FjzW12vlq3/FyxV8anlsMqPd12OPQRjaQUrM52 ZBxLg3/ySOuSlbWuqRLcwXca6uW2INxUPaqn7OXFCDy6qRj5Fjxmp68nbuZhpqaA9RF/xRhj68vq QYetNaQ2yBZKd9h2mXoVCZqPD1BUNm4D+CUwPvj1RDpKpn8JOWNvxITvdSks5KyNaNZvx6KWmqZf IFbreQPpGy/DgV0UPFrC1uMrLr/kVrFSRDXMVzqdqMmAeUb1J9fmZ7uUvlDbw1uk9xepHybetpxl cXhwdu4xyEF/ObrvCl9DIxZxZOCBsW5wsP0b3fBgBcD/kCwWmaCZpEko3Nb5faufLkGSOK2TQmzH lF5pO+i5jkRQLmQx/azNT+9oe+qzI7gQR9TI3INLg460LUN469dMOBTBQfM5iFM8gMmF+INXfvNC j+st0ATk5o1tVYElRxEaDrT2TMs14VsKr5/ndsHnr/ygpX98nrrep8SaNtb4jhlfAIZbE7NqdL// eG0Oqjj7x9TCzN2VrEKDmbHkd609GJzuZMp+5GDKNwc5JrpUypE0sG74DLBMNN3tEXevjTfjdsrJ rbBT12ZfGhMAkZxqPh4i6jiCXQt6OZfzU3nlLZOreVlq0xKWYYQ0HFWQcgX527pOxmeDoBolU18c WKWbF3yxOQE61evYzpMkViWejzt+XCr4SUZcWOMp7C6R3NjeTQeaKZM2dZZgmi2ArZJHAPd93rjB MN5uF6tHNJ4PFgqrSYhpPGaQkR6QKSg3PXjOvsGEiKs6r2sK3U2TMcltis8KIfBSdcgzWCxwtE37 t/7WLA9FM3VdRqOQciWggHZkjZ/QVHadiHVLx0HfAaKtc+/RHXSzfslSHYG39TG7ZjTqe7putug/ Qrhl/RMl6g+3Cg8Dc5N6ouAFHZCPFBCSlrMOB5yLYxXjtx7UVXsl9pftdxR2YfQlhEbrLgn4Xar0 UyxyeStCrfTEVJ0m5y17WIx3PdXScQxVtI9FeWsSHjHejcU1yFgp1Rv05/qmVN/L08JBM0KQdBsr l6myyQi3BeBGgD7H425Nk7QdyHJUJmZMe8H+M53kn2kIEPQMEojHlBWjee4zTOx7ou6bE9dB31xn N0jcwaOhiKEoJMK7PGDR3zgnkYyD7yGFl0GFNWq2LbYhpsidwYIlSOcJQMAnKQeNUL3r/65CHdQi Wil9VUFdxBtDimrctsHbGQOxlI7jAiyHQLkwVuIRK43q/jZVg1Kg96o02slPXvcqqs3g5BINBnUc hViL2O0f9oaa1Zu6foLDP+dDGGK92XoM4BkkTy6WKoUesumAYFhug/w4Ynf+cFCWMgBqBIYsbn5l bHb0NJYOO5gq+tx33lKVk6BtoX1AAaL0eokg4oV8K5wy7y2ahqRE5vZPFn92QN8e5ExWT6M6iqdB NFmKdQ6BlvI7cb37Ff5cdDFU5FNu9eX+yaOQUfEauDbCQ8SLO1NH39FulyvLwnhop9pJ0chFqcHY oPZT4HFfCLzT3IogDfv+sQRahRunXmZpLx40ZC7RBHxPkwSs4UlPTb4xz0SwSPj7a6iMzeyYNBev p/9vN93sZMWWTMJogkXLL2YkiuoJwXjm+y/P8U3CKTYA4SvXuVuQGQp6mNQddurKm2RZfN6gAuab RmZkEhyEBjT28r0EJjYaJTMr658QYuFixnNFy1akOOCX66NxQ5kLTozD4FsS+3HYjR7Jry+XeDo4 lLGytKIVf6DWzWUKRLz73+3xBxG55UB90r8dQtCCtsiJONjUeIUfpE6NqYxAiaa1gk3sTdJiGUwU FWVhuD1NSCnjVfTundJNNBfQoqRLEi/s53TAqHVi3M7k8IoNwV5OA44ehmYlPbaB6lrdvSTcaL/+ I4PxtkXYvdRSETj0cBXo77ttvDwZA7qXFRVjDcuZSZtZI1vS1cVPjkGBBSDfkhjI6KBeIsvhBjCj MrMRBzCz6Mdh3OQCdsdetnjzzPG1nYTRfTLC5UWifcDp3sLz0jfwh1kmOVc9mXJRP6QTzYsH8dYx uNxoubbzuiTQnAIRR9edX9mjM0yb+6T62DBCv9SSS8uyn2kwF9vKK7pUKqIangALjfD0fgvp+JEC oAOA+1N+cSybkmV89Va5h4ASxc1rCv896MUAHs+F+rnmqBBwdqWtwM538HHYXeElhJLt75fSz/Tr T0k73pi2jq4sBGnk3lY1hisfiKAWttHfC23EFXxVgS9Cru4TQAEYfwcaQKQNsIOaxM8fMha895sF Y4adhvslPhfXQE98S3/8mV343Fsq0K9/i2MKQWhI6Jk1ZFaz7LzeF3EsXJzJhyybLwiVaSVUwd1Q o6Fur4u3GdEPVZc6FfhSlnDzXM1RffjES1bmemm5ZKY9Na+Xnh76NWWo0a85co8bI6dNaOWnAKHR 7913OfSL485kuiJ0Ai+bKoBzgoEYrRtKzNIjdtnGAkf8JH+8C9inWDAks0hyJ7bqdfla6L+dzqlu +jKbnysPAhN4udHmqhSacIqzaPQdhYzrhxmMyzBUSVAt05o8GjkiQi2ZkkSp/cZsuMpSg/Mx9IHl TWMEGZbQxYIHBtBiORfSUIkAKzM1Lq5mXFmAaRukG1i0Kyc5lrlQyLQ9ym8WcAdoUURmEAphFnZ4 tVPaLK0K++n0RMsgC/+v0ZW1UbwiCK5M1BsGvNFP/A1JEjRflXDbRp8jL4+U565y/Pvr19pNMzgP v2NsYIw5bb5FURCELEkWm2HgDlYY8bOZQ3fVbJKf9UZ/I+Jj20DIUNbjyN5+7hKMXpF0Lrh9tY0f 7IXQTIaubSxCZ8Y+g3WO6CXGVU/a+HIoQaocq9IolwE05+/ILCqkqhyFRDGfcZceeqDImBuxnOB1 vSMTQPLggECTVET2p/aV2ZJp0pnJrWB6OrPKrJPAH4yRUdg8HwQxfHJCe1GfcQiGgcyjTaxySjNQ T6zv38VDuiKRi4RdkAWSbS97cev0N+ufAU5FZc5M7dLlDUQtysLPm+KmHllDFT3lNjRASpHLzFcK 9pNCkDVDladKa/OFVNXssHN/aVOpy6TrxbpVvpBdCSphTisAA3C9lnlWwIeuBpNkhqgazPdI/Zej xdub07qUYG1YkhLyZ5tCHUiNeMrwtfAcYQ822uJ+yiVHzl41KNXfg+Y9LL97MQd3Nmx+1B02r0U3 qt7a72DaDDwXBOyObLzvw5qbvzTawc5dzF5/KtNwCxkiSwSPFDer7swtrW1hpTJozrFIe4tEv3iD pYC6p8qd8aqCFFwS1O1eO1MnyO1BpOu75C2CCGBaC/UztnGZhNGBHMM7zkwhFK0I54ya2c8SjAxj 66mP2buZEuJiy/Yv8c2i4I3VnGeit6idLvxPgwsGF7EqAt2oL3L+UOTCQL0fTrLMoRokT5WwtTCN jrHixBcWqVjmjYfAsisYwU8eLAFfCNorKofz9Iv5f2iXHxGd7ebUAgm5uPju6ApI4u5RUacNhFCx u4X5xAcyY9JzTgM9DRGSt/OWaY+CyvloKeAmj79EZe/tj8f5H0TWBqPifT7ZWFkV+rR81IvZBjXT UyBean5puRrN0D1AjjqlKs5WmQiNaAnO3SWSehMBdj8AnfKSyaXZZGEqlvFsC559NV26+Z7vHpcG y9eDCGDuKcrz8bpc0oZWX3FeZqYC0x7IOejvangx0SISD9A0dzhquUYAZ0VKEnrTPIUnHp52PbTg zc/iZ7qsmqShw1XDPlZ0R83SyOC3zjtc4zTRMVb7uqGN5w6/MddXKhLRPAaWCzyXCDacG7cSfO2Y ISD0EUOBi7jGFjp0em/pt/RahUIM9xy0sXxAz9UKkw1b8VvJo9/uDElI2JhjppM2t1z6mbiy/xUP JOMvEl9HEIRf5tx1SkIaNQqZ3J97ea4IGLTjReZitnwCPb/l9Iu8uDMVDPRTtjOZILUYYnvyzLvl 0vj4WXI/omd5/uwDPj0kr0cHdZXzi4glii6GQQr+ch0s2rXMyjfdfZvEG+6H8si33jp9BlRO+TaZ EQIUASrEg2wPlxK5bN2sy4TUxRMp6Zd8Ct493tsrNouz385+zbv4m5SzNVa+3Hj700oAkm7+DOrQ YDkDaOyu0j/azhxb2UndoKpdwczpf/YbyxWApZRNGxBSQq8M1aVCGZ1liMH0mM60hIXzK1NrOPXD 36afkot5gLxze+5QQ6fKy1X4TNFMNZB/kSSkktnxqDDMkhHbdxh5RBEGePnYyhikkkKjOsyOBwUf vHBf/7tn2xAg89/Kg7JL3/oCEuKlpR6kKad52STAaoQHWXZT5qK5yVowGMkh1TS4F9qUvXr+4BG1 cw1t0CXBVuEgdGgaB4yOMFmYQWISHBWGCLeEQYiZUxElnz1buD9x7l53vPHvloLhPXXJ8IQGGwjx vdTkPkHPyCtiycjinQnEwDRhnbyB52bdCF7pi6JdQp9GU5280/VwznH/aF+ScVtZrXVYbATkS2dy Lbv7gbYIHyUi3CqYl+NR+Ln2F79fVI4GpE8dCdnvz3cifD0lKMtcfYxn6spK6KLvesmiGMBwh0qR 8P9y52fLoTvxOU5s9pPsFxfn8Hc+DS65a84XhBNar9aGwceE9d6Mjh5cPt+RkVUwJc351xsZU/+A BecrvmwiPyEJZcvGdE2SdeQBOVTWKJOkaN2YOvroE6bN6SVlExPgWQz6yrtnpIvRemqx9PtKMbbs bHSFoGE9XqNjodo43hZZhskUaS9WAdr4u/4rPLSczj0yoAA/ZJMMHbzkcOqY6qo7Cnt14+VIMXBV cMQ/ZzflcpQaGFYmBOoQg4o9UDwskrKuSzZ7RtS+rX10bukAyMBReqWQiQPdE8mxdu+UnJ2yT6Hf YpgW/FHD+sCDXjbIeTSsV6tQKuGLBize6qqsHZmjVS48Y3T8GEXu0hFHaMfxlyWoh+A/ZXBw0z/Z T2/eoG+Zu+j35Cf7X++gbryW6ayEvRFCR173sJSQVVVHJxHGZ9zh7uTwvbNziU2ceUxfbWgEAN0l H/QlFRwBVAjUjbgoPG6YIL7ukYqpofQh+0G74CCvLbdRB2Gb8P0jwZVFbmXRvZHYD+TVzV51AAV3 LOlfPIWMk6zIyn8uUfyCzdEdqlwqDszZ1zZA/2ITd8sU20Zw8bpFhcIV8ooLBMzah/9cYPzGAuxh MylpB6I+lsyACcI/aGC1G8JxsNKqTcTFx30/0BZKLwByxWwmDIdnXrJ3uPk6jB95w7ZU5Lu139q+ Nh4nuZBkFIQix//yWDzbxkcpmoUW6Pak5UIzD55G9XtMG5mEg9Fct6eRiS08cjFeAr5sFjO0ZC0p L4Q2UPNSOjhUZkLZQVQBvmW9Rmq6R1uMnzU0WZXWOVwMRZzhhBBuxAyRiiO+oXzwE1hs2kBaJcmq jiiu7EeQQjQ89DuC5seydGZUQIoeE2Q/sbm6TD9tf5jDJFD3f2Qz5Q7gqIJt7nrJOWO7EO2rKYt0 QUq1TsNyHrT9tNGSRUvwkLd9RihrcDv+Yg8o7mgq6w3VFUamYLmAQLjldwxdwQvIZwCPL3yDPkQc L4UhyBA+Oc+1HuqskDv/OWa/Ymru0OPnmPoMUpX4f7aQzDCkVAzjQWbMICbPDRw9ELN+hz+jUhSp rfv2xCuOW2at+znESP0R+egbsH1axqsjaOtX4zvwhUjxzEpsNvBfmfdrAz67RdzwT8P+k8EHcD8k 2f390jM5SF4DQ4sY8Z7x694RRW8Qg49IXnnptAJg2lIBKTMrOLrpSXf//pkyNO8oF0WM+29tv6tI weEOj8H9OYc/Q5bsEghdymXq8i3MWmSa33HuqaKJDAM3Rvfzw1VATAL5zORuOKQuP7YTG9DvqPAn g5EPdxt9fObHOr8XxXPejql1NTUE1m3vhBouWIgbSBSpR5CVWXKFZBOKUq3SdgG4HWNdwOZIzJqQ fhq2s7o0wodlZ7ybWVJXxNfrNDg/B5cD9W9Y0ipbYAAaZYCjHBA3jge2bV4gZ+vDt9DkVTaQjuoa aD3Wcf5auj/XsUHhiWLDNi8pkYZ7zvakqAlgia41Zxr02PKHU/jui55q9b0Tv7zC2Xei0ODwcihd VRQW9TiIMYeUqItIOZJ0ARrLybc+6bYi6j908+Bn9ZnWMwPP+6HQuHaFjJLbs/JQ+xbIbaNFc5vN QZrZ3iXrVjyUiJ6bO/Ze2fK4/8g+uVpCu2l+uVUx4mW7dIgru4sOe83mPFNK9j09PbKIRcwS0iIC fs0feffOwwdhsas11DuUbS4PclF2LWlwF1LV2g5BQ2KENZYCue+B6/plgzkovvaJmWq7+8pbMmuC Xjq4NEShqoHDIQeU55o0eRIzogEA1GnpwcdND6d+t9mgy6KxSIGca0jzlEnmq+e0i60uOdXwQLav LNEh70V7cJ2RvCKrZ3+L6TCu8QIuFrqG0oeBQNV1cfTZJAvAsw/hKzS2C1UsFd4LTNp7/w2cESqn dP35qvgjBecNFDKWXJ0K+khTPhhGGvZ1NqsUVhsKQEzSsLSbTNBsdX66Y6cVwe//NQRX5EDIwbg7 uQO8C20Q9g7uG7jcXfpxoXM63ViTIwwO2lhFvgxeCX+t8pjFe2FblVqdMR0EFGtj110Zl77T4xkz PLrUvBNVUQf3sBIDkRttB52Jg+38LmBUTKdyjXLHkPCyM2l2nG7Qy6SEkudF8hrdNmKDIHa32cIX Fn4/JfNcZkTPQ0j+mnZFI3Sjq4OKOGseqCbkUZh3QLJlNc1i3BHzfNmPvEXaPppinPG3njunGq33 IxGXNrYtA2vIfjoqXh6VQimQDKz4CykZ9A7dUnPOY61fBEA2GDpm9iEcbKGG+MHb6xfs7XqxJdDG 0EtbbWCD/Vc8kmIss+/5+ni0atg14dXHLPbaDO7IU1jwxT0xHXtUIfHmLikg5p2x5v38d8v7XXz0 gAUcrg+1yvkbsVHtEXvi3jxLNvRB85uAAN4FkeqjTqtFoEuZj20IBY9JOU5FMG/0DNGT0++pEaes aUZ9C5hyFtS90PE60d8wdLu9OgnZJuvjS4fp/U5ivCYrPV9vNtriWMPm+JCz6AticpoaWavzkLRQ XGpGsPlOn3SmPR1fIiYZkTk2LXZRFnCWZAMhKZYb41FuO7qm5LfOWtoOZ294on1MVHsMedTg5l79 gXBmSiVD0SVmpZtmxfhHUxWhIBTEsPLki8ped99UuA4zzLGCP8/aC4yAjPhN1TqJngXsvQoTaYP3 qJrakuuEE+YXRJT+bgN0R+yx9Y7ly6L97ceDl3rsA/JdIziC5lzLTr6jvmCJOZKC5k9R8QIGFSjX 4VSq0adPYrVr9YQSloAIPyI/YcQ4MSE43EjpQE5TLidW2WE3PDfI1B7GiUuaqpkhpSWSZFtzT/4O 2bL0gQxAoFw0Vfv9ySBf9c20ScfyC3twyJQaQHwLfVJtER1kzy52LqdndrNqu+peLXTSmLq1G3AK 349Jq5ZewoMzZoC7atuWm6ZZ6R+DsaxjMA9tl6wZ60vaFcU+Lt+RH2G4v49rRoZ6EWXI2ApFcBjf frtC16QKAGvco1/D8e/CVR/eWwzgNkCCpNZzTzqKeFT0lrTiJx0iO4a1rvgac3JsVJwZk5WRJ5pV VCo+9gMh3GAuOmczKP4MOPsMZHQ2kzCyPYXwYMxG+XLs+8tU0gf9XQTJ+ttiol4L71rvtSyaWzlG Agy2ux9gL3UEofSOHJsN9u+Y77giScBihIO7MIl7hv9cgYqVGX3tDE+is803+UrfsTY9CUH2sGJi dJ7wG8MgVpZMq0M1fWipBIQu7NbrLjTO7yWpHQSgsbu2n/bgO2Mdta06e62bnHDVzFLnZNBiSgvw nZNYhJ7nJ1S6MFRqMAzLJWnP9GDj7kgzdJzQ2E9A1rFHWr5DrC7dTG0yBisvvvwKu5lS6v2kqyfm 7aD9hl6DvQ1iGAbkv/sKzMZEauTT4JbPgUT+NxMoLrfToL/U3tgTx3RN/Xbmr6xuMZwgwFly8oiF jcSVtqalW9AFSvaZ4Y0TsHth24NQlX8yHbgRKphpRrWOMIrdngOovnVb+K8RLv0DC2aj5QddDp7O GFJuEUVxwE/BuFHGux/eq2dAY7w80sUMTRD8jxK69F1gpmpn1i2QfRwC7HkT7DbSD3jxTFGPNf5M VmL45i/b53H285HGbw9TwYHFDZhXoEqrZtltti2noijvNuJ+FdLKpUdzJwlT0hzd71jKQprOstCl KIF0vJWnZZjvSa14BMvzaFSBq9EEwUSPeQorWdvHhO+r5YhcF5NyXvZ8+/EKG54YqX5aQagr1Cyt 6rsIW72WKeFNvEgfK+ihQxcbxAO1CQenAvQyp5ay/f8ZJD/HUnCIgAt8B5nkUH36wNBbUiv6Pi/D m8u1zWA2HZDqRNIA7Fh7wpM2mU8zlyH0PzNqckX69amK7Diih030mE3jPdDCW3l03H26g+voZ7qa ypy7ae8mxJNmzbAnJKXo1vncHqRUTleKc/pi4Frntxq/Fk4McymdPQ43jOkXf/fE900jeQ05cwGc rU239jzUhZmvfMtQHaqXIrIt5t/QRZ4mCrG9TsqFQWpE+XsqfP5oP4T9og2YtHC+DCNKnrYZhWcE TRkMLXTnJ4NqUexiMyhQNdN+DI2z55vPr980XFlhmuJobLmiy1aN89QoKqzs4jwKu5uoEGW0ue7H GEsMbsmLUTPZ/XtmSscH4kQYr22IyBCLb3yJMMtu5pNmajRcTlo3iAdDhiTHrpLWH9kZpv7ZvG8y kAqaY9SeFDmqw4A4gN7yxo+mIE7ETwQkLqqEujpQ44jvWMkhBi0SNtURtWoOsZE4Ds/tbRSQJR4S wjg4NYkgGWVakJxCeqd33zTi7H6/X8IGHAA8p5ys12f2m2anqTzBD+CUJsOKPQXcAlxBsmjp9zy6 HrerEi6Pk88eC+mEZzR8aTw+CCXNVEA6qQZQ3mjip5Hu8uJuxA5fuAMMirdWmb41LTFZe/Zo/x3c yWtHb1ARD5MBlwA4tn74GpjFGrt/0GhDxJTGQsl/s5Bcos6XOGm6UXBOf0LIP5oSWb+OAFuZzW7v S+XGAoopCYicIFvrpnI+MGeRINUStUbrlZ5QE41DjEsxMxUqEUlO3YDBLlR7yjnelXjEFqk0YHZS JE2/mOw9b4D8ET/zIrl5+pjUjk8UJ8qIfhVaeh78HXrclap8F2YCVQkR6UHUNMmJ7pj6KCpM0e/W wa43AIrCLeeQUUppjzNynlZ/vR1Smq94gF7Of5uiRlh3qvxJEUjYgr9wWZl5P7zwKHVyuzuZW4ql 1imgqF1mA1Oc0u2K+so4deHaYSgKnoqxP4XpF7B2lZsKR8bZXDP1WaiNNG7lc4tH4CQFPeEZqjsr Qjc2AMF2SUazvaOvuyKXQYZG3c0il1ttdkVS1EJoN2MRF+HoAW7G8NELFN25cDjdDapTmVmsakdD ohVhciRT3yWIsrPKpM0KHUnKkeTQa27xIA7J1O6oBtrx1ARbSmQVfCwljPI2NngkiO6vDmkYycRu eoWPPMb/w3o6cKB4Ul73Z+ywRFj7OPo+bB5ekrJF+p7sfexAkutl/wcy+x7O82TWWwwYh5vm77wh e463FxFcyZKHmxo7BWmzYMYeO8VZWkWID6nOmVjynXTWUcqpUGWizOM0IVFzWtUj3+LJLcUjA3mQ HFCSQiyhyk8NdQ697NesgpYCVPHbOmI/SEbY9/pBaIt4xWS1Q9Uya5lM2yPwXaX/pPknfxgG0Ufw 6BNX1oXHwmlfmonRJf/K1IalDWmJefs1h5R0I2UVZ2TfFn89ywaZQt4a4KW0Or7utpsMCWY8HgQS wvxeyQerqOIDWu4plwW1KolazuZq0boegOTCkKDTLwSveiE1otAbKGkZSt4quP7EGvlCFDBpvypb N9aqCaVyvwxczgGalZCb0BwJGHEq7BKVNQ070WobKOLugfCK4bMe2LYBwHWgl2wGNPm4a8P2AHCt /kPealIUwPBMg//6l5PpjQpS6mlCWpRu4/Fg4v6TERFKBhqC2Gg5cIV0Vd+lkb+uTJSrR0oZt02a d9u9kHPfJbsmqRhXqyFmOI0QTMvgs0OlK3eU1QoS+2/pQuuttFj7F78SYLVrs21fhZDzR6t+aSpn 5rHzcIz9zuzMOqXghQRXfnLdQFnGoOgX1R13ypIEt91NkyDRx4UszV7A+lBCxFQJqi6KpIBKRubl 6ukZVv6uRz5MGjEULtxyZ63i8DsEULxyS4T7lZk4Tv/0rNLLxYjSIuK4bi3u5UAtGr7kPj1Sy0wJ UuyDaeD+6SmY35q5SLUEHfnMQfuGIaGoKgD8nL2LUDGrez4NMKUmwtrM5F9AIQqmYlvdWLjiz+/S s61wBVFSr6FRihsXCoCaJ9FEjB8Fs+oFe4Se2kz8eB8wWf9OJ8vXBbL1QdZBa0kgCFoClUZ64QZU Rbco8T1AdywpgMIZRRsw/bkpyR55J9IFhmg1+n7WGhvEXlTPZJfieMx2BLttS/0G11rxEvbPnZPZ F+UUnBkE27G2L0XKuDJbP51yeNfSdVL/cAKugEgPkG9j/pDPZhlUi0DPk0Jie/LEZP54C3kygAwy 3JO+o8MjlkpFEziO9FkCNl6IOkfXAMWHvcq1WHDvOkWzl4ui8/QH8rjxSeMqX2OAOm78c+P1UpAf xHOqvDTUY3tPPrP2oeVSJjKboGmapF16Vg7IlkpSAJeifyKlKCt+tCMP27cSZuJYUAMk6ofijCno nmr2OzopjwFmEpohjNY8hp3Su1nACDIu+kvc6VDg4bgVA9sFOcdFFSxObfxduYCrHno00CGbxp1P osSjPmDk8fQwAzWdwLTOtgDeA5o/K86v1qLJ8sZ91HLpIWsHqgC27BaGmf7//+YWwueTv5d3A3Y4 8scdwtsyYPXS2GhAVGri2hpXhs3qwPUxShYJr/RXvbxt5qiG+MGsy7Hk2nR20ksZPRs8SILhP8UW ml4YCy0dJTZl1otdQUJVUtIk0tE/8YfD68fWm88HXMPfhI9PbLcKBxl9L9vMtVAN7Ezvp8YkbjaZ RkPXq1O9Io/cUPB5MwZ6wPb4V8Mq2e5d287SKKXqE7Fnf9UGgFoOyS0ILQhw+GvJgQeSkw1zph6u Ph2PN0UK17BhecGtKwT/2DhlGWNDPSwNNMUwzsgjszIzBj2gMZiL3x/xsCiVrkFL4uXvjlIAk+jk 2vUuJvNuw51bNg1oIkHKyXSImgy0ITTnDLhIvEV3FJ9J2LoAhNSaeW0zl9prgwZQjctGeiY/DvVl F312X0PZQG6WHdB1/ez88ijEggaARoOOOJURUnwK6V0eSyYjh6rusedKHVeFEk+RoNfMGoF+5M3B 9XeMQz4Fs1yJONIP6O2yp3jgPaIegT4dWhFcnL60XCLMhG8ndhf0VJkyq/66wNJmyBqaINE7WzDY 2SRmH0Fap/Qi7hWzLPCn+6moOASYkHk3FmEF9burNltO2wO3HbifDHFupqyonBQrsHItHUuQapqo BCDPTUJwN9YVbBRbavZrYDzCJHR2jWIfibY7t2bgqcLpw2MLnwKW4TlQnBjxKNsAuPbj/yd9pZNJ OvdKCruDItd1RhZvGe3qkbkjhFrRJzQ3HFHw7hJPXOealPWDo3akHUY6q2lIZJh/oeVPQQIFFh9C ZMOU5NUPArCDtNlAHZiX9aTvm3uExzfDNPlOFjoLkzBhTu7zVkiWhr5etQSJpcdBjFoXCi95PTrP NL/V6kXT1V71pz+TKMo8C1yODX34yaC+ixeVce0aUBTAzMAGeORpS8B8hu3Zdow3IclQn0ND1obU TGlR8Nhqi3rHQconuu6XYF2zxZLPvQZgDfwf8Bbe+CYKVObkmDEKI9dQpOraEiYizniwpNzlMJUw GwCebm8bxEG0pVizHwNamjFJmuJV2mxalfjWDR5WGS4W6GJUeIQYVhzj0T4ZhAIQ2n5pa58bL2Tq Wn31xO8QRCaSK3CtPjiwqyIf1QaxyR05StY4zM06GViX13QIgdyAh6zBzlTUKl4AyVJ5PE+AvMQk 6MUpWhLnjgx3KfZTBU9+Ff9ZPegzo0Q8PYe2QgS8NEvBRqVUAS5bc6Jn65HRYMNPh3DSsHsp0D99 B1VkhM8uKiTwYuirBIYIy6uTdShfz2ruOUV05B+22/jvPc6nr/KONF6a4O4BM69OzaQghGt70Cfp FnSY+ZPnBpxznn1f8+D5qkgXf86/p0p8nYgEbi0dtQAyW/jH1fbk2n2aP40WdtVrk3JVy1RQWrmy gA0YlwJhjx3JNKZdlpSs+GUQLsbbfGNferhsI/G50GWSVLILfPQtMlKm2iS2ITU896fEjMi8cLse 2gSjQ+nUZv8VZq5zmTnKfWOayE2ywIiqK5jFoeJfA9lbnf1GcJiK536YBeQ+sIBmTQojUDb9XSoh ulqc51oQnrFDwGx8r0lxzpX0a5R6HxY+93EZzjGp274MseDM9JIZSFWhtIICFkIn3In98lythGI0 4zCF6B8jffl8TqaqobELPaYsGpvVe7e+qJ3ti4nF0GOOlyGJsMj/Jm0c3lYObfUm/lgaWSBoyO+v mtngUkpZ1NvxdXn+6BoMpjJD6ZAAeK3el0rXCcR+yJAi3uhBGXYHTwU8v0jekorwoKda/dOuQpBb 7qKuB0ZNpzQ670dXEeTw/6V5gDyKpusFcYbFOmCmJYDCOlO5XBeri9Q/MMSmuGsWKSmJOMJPNxeS xzmq4aHeoZXrckhEw1+dNoqViC4/x80jKRDlZv2zHvExhazIrkgc5U8/ReYNA5zsiCco9El474MQ uNZkwq6tZkznznpGr57eCgdXoh2mmIpDX8VYdJiPfVKGBJJpMGL6Jlq+S7LSgQXP54aY6YXluzwg 1iR/9Aejlw3ESC2GBFEeidhdX4ly8V4AeLGjSJLF7zr7edMtIzfM17NyuwZcDxUfNmlHl2Ii38OH 442TtTiCdzPbZ/3ie26INHaMC2Dl7aJcHDoqe6MF3NcRmtT4N0/ShbweBWAqW3lxjwhxx6AvwmkL qiDPUcArUP7/DAQ6mXFzmYC9lJDKxQStLDpAgQOsY6A391gEjLoItwMrYjxCqhTYMU2AcqiW7hkS jSpxsgqfLGC9M2/t7dj1TaUCikiqqk0OXXxoEhLABKMypLDU+DAzVdF7L93tUhzgYCJGjcOnw507 n++QnAMlT7OK2CHyD7UlQmQJUHb/TlfGu1LnSzmkyjpyiQW2nxKbQbu/Og7X1pd1zeLNiZPXyfdI eeY7JFxJIW4AshMHieJ8mv0ZPvMskiPl98iCR+LuhV5BdBsrW/r4xU5eUGx7rtKjqWCjpb2CvpMq a+x6eVAz7nzej2ME5fApBdXnd2D87pASFG+VsXQsyM4bk8X7JQJoQFkM2RgppOEQvnuhjCSY/XhF GvVtEX6wKgao+mwVZOozxb5krr8zjbhnqk6YjEEpMNsWXKaX5f68eG7JgVs3oiJFjVoEjlxroJF+ IW8jyea9esOtYONSRQFO6rCG0jckoKkqb3ASxQa/tA9Ajwi5l1JjqHocy7NWkX3VoqyCqWLXPYp5 krElncUB+m4W5T6/zjLec4UZ8N720ueZmaP7ngQf8nJMTI+carYvJRD/E5dW+hw8s2waaQQR3Cjs PHVvl9pJwh6uflqWFcgySUn6W1d1lBsCcTZI5klq9+OhgQLL3gmqLUHMLwH2wH0jXPzj9K6VeSPc fy4H07KdHaAeHourrfSF246rhIdu/YaAqvas8rCuRJW7G9ulN+z+cXmUcm4901oc1nsa52WTq3AS +qOZQs+w+eI6wn19GBtUqGdWCkyVwC/xXe7moUGasK5P3EgfUCcrPuDS/KGjaFds8BIlcq2gtQRP M8gRC6VID6IeGK+uCufEbytrAu7bh1kbGkvUH5KabDERNBDeYdY1+/g7oPEYILo72C9z2xdzwlzV BQmiMtza56trjnmpcnK/P4IFWiLo3wDgmLjNvJijH0okcrWZihuAEuhU9wjl051IvxstKm5S0aN3 Y0BMqFjFytvNPcBT4XCtJr2iUY3vUMPNtfbAdTNZzBaKvDEqBbazhFnXZOY4XrV7U8tmWLCCr+H1 uVR2mzpxMDBGOz0xbCDuKIbCCg5y9PeZBDcKAm73MGxS0FYv3gtdkW8R8gHUtwJ1cpUm78fSzpAT gwD4PlzXvW32dbXjv5BIfYPjFg5eFr7FG38yFA1gVKvXfZeL83AIaGaK+pJS/ZVj+4cCvth4ss3E 3ugrKa3QsV4et68/1EWQLD7h8zhuC5fCI+pIlREkM8pXx9zmH0jeZAbVpc+QM8HaGQOjEgXwh8xF +ouI8f5pcXn+dWsBiDBXMgTD0CqcIb11logri9Gd0QLEzLLX8LZfbhnVYWz5ej1+e3S4oYLBp98q 5ZzYUCVnn/o55jH3qDzhbzijs/hpcr7L2ytvtkHVOxB/wJvfQ1VJLvIROZuBxq7AA++FEBJtvPEO vi9p6PASAx+jyjh2uB+ZK7JRjgVQB25c5UKr3G04VjAnoowowcwa356g5WdTyVdKBB1vxlTy/EE1 VBjfFXx0OfGMV1Vu9DdEsN1EIK5ICsEbhOf1EORl98TyF7WO5/jn3jVNHM0gRu5vgjZz0fAckAqh tI038ZkDYO+CONjDQgVZnchn5GmyjYiTUZCMjHbA9411xH1w3KZPzsyHROixV0lAVG9t/rL5Ab8Q gGexHrDL4yzJ9GhftsRl/Icig1DrEZI2LLk3+6MPO9I4kTgtKhm15LdhiONrAKt1n+MyeSrfeuAQ gwO8VTcIDBJcuGHU7QsXEjSc0tGg1/qZJxxnDXv0rU5B052/wo4oyhvVIIRk8ndsekk/lSN29+4d O9j6x8N1NVyc2OK1Qqn0USAHsc2dtyUugMjDMpqvOqzGcNl7p3Fz/oZut34YyWJg0DH8LkDcWcCI RpPeg4dcOeTJ8UtHGVZUH1qvy3S+xwuEaVFG+d2vnTMYeyP0MSlUQxreml9UVO3rz8Con4F2RsdT jjVLtrkk0rZp5uMBQelBhtRnJo3unsrjfq8dBj3FII2yIMd7IIn9e170zVmEeyF38oRrsfm5VwYx otfMvnbgIBWIytpp/RayVm3qP/+wJHc/4U5pML8o4GPQZr7YweISSQsWKukTlyP7dxDGwsYOvbXn mPrUeZLf1R4gFKmPagH71TV4QIIxLJ/G98dZLaW+bbWEwU/TUKJU5t1WNVCpMPO5JsymXYB+Mevq oI5mXOaIYZKzIjhDrC5dN4Fs/rcm5GnH8T7fx3NPBgy3xljsk/bZPVwAhwwyDF4nqjund1VauoH5 /lANtvV26BBpwXK0Bs/m51wBBroPPmSx7M4bBICENh7u/e6UiZUPDCBhtLp2v3uAaDTkivs8pHr8 826q/sb0CmHyVIZ3fMHxBwiBmnNrx78+OtRHUeTiNRUB8yL2RbmY6ZQUoTH7MoJt9biY5Y/AIq0c 6Qc758AKaaTtiP6KlTOBzMOR+ayNlrMypxQqARcWVGo74CX+dRVhEAFVL/Mtw/HqQrIqrKAnOjUJ U39elIU3g4LJwh3POEnXjq+W/BxsVBMkwOqVrpWcKQ5EHbOP7jTXgfh+F0ReV/O1uEFOopbFSbEo u6gO5vyaUxHHZ9MS3sGCOi9/akp87iBb6LCpPJ81CojXBvTCtmIAoSltf7uRTeOtO71pY7EW3vtu +2K9CyTRCedktPvxWSQ2ein0qh5i52dqyqGh6dH6slfLy9CrJqLwNK2v+0ambUczLQ5woyPN7CP4 7zIlC3IOnnZGHUYXjPSF5AYKXyw7zc+YvHNaJ3jv4QraG7Rrg9aax7PDuBVFu+No9UGuTaC6sqyd /dloOqMp4fMpyG9K/UH1yOMdg6OFqBC1BuUU76XcKogRtKiDtmCSdHXm9gY2lT0L4uOLZlU5WJvn FyB+Qv9eFYkX+Cr91JgWjkG1cF/rF9S9LtV0I+QIHmSCaWZ9ayThcMMzNwJMdzymk7r3gvZ9jQDQ 82tYKVxDwNK5yH/Pq+weoXLOBSgoUQsVprh3vLSTO02bHHICOyPcxI2dbFWbhwe/gp6a1qRpP3S8 pLYuPCz8ED0Kg/TYS+SkSwu7rO11cMPoxt+tHJBHacaiejstpiSuh4CGkXB+Fwxxs470i2di6fZw PmPXvl2eu9GAHStkeCzmuyPI6ITr3sAiAF2ykKrVVHUYClp2r84yh4NMFtga2oTB4Uk9DTVukgN0 bBGDLQKntPsXIvV6UXeJD4GxNclw+lDicw+j2NjEwf+Hzfv2zWInqMs3FsPN0/Y7QhHJurwWH2Xj RVVZhzf8J2Mom1IChe19VeoH3Y9M2WlxlxOBfRw82hsw3UNbMkFiv1ncwGjtv+v9OnyT7CLVYbK7 JIyTGMmI6E53/VuWR2fr+4bf0stHisbKPC/6iqzvLJkwKu2T9RhvAs6TSD0HKTrVuBuMEdfxZCtl 5qi3WVkbGsE/dezTW9yZjq3wJ5Ntl3W68aCX7IEKDdPBAu8tC/C9f21tp3YZ2ClsH71+6iziMx4D wCH1EwNGVVIpztoDxeB5y/lOzpL4SpMmMYgBow+mIwhK1Zu6J5rFFd6E2WcHvdvE0CLWAYI4veAa UEzlGipRNkYqeohdX2ZpbcvFGA8WibBVC4Eis4CNqNM3uNoZCMwEIme/j07AiQLkVqes05ud20ef FKTW5tlnEwDb7Ukyf3POZHBQmzwVpZPspkkcKU9xF2VV9/Ix1Ruww+bPZEIMXS9oD5Mr+4NRolHj MC8rc9EPFk4cFOqOwkRJAYzu8/UxSmxHVXX8dvcE3l4rjtj2G8HLUWd0MUW818maFeywtIDGeevM ptW9t2D3EpNZRThG1LIAr6j5mrmrgzZhMhSMpMIEEylY5tRuWveXPxBYzIgymaeiaVq+LnRNMq4G rRKYKlamjOWGcG+OGj2Njn0GpbWUSC/Nz3u7H85gMzgsxqk4cr8QcSqC1/SyrCWdZO1xDGk+1koU Xng+BlgdGZXrAZVVtGDZtE43BxalSCUaItoVGlZlikQ44PcY7ly9XySirW7k055daNab41BsLjyA 3irk1hw8L+Qf80H/PUvetV+mRjYSroc0Ox2ulwVAdrfEjZXsMEYLh8I+jmcZ5uIZPkFmi/7iOmhm nnVF/Pd2CqmAXH05m0TUkdyuUvSgubSOjlavfcOmJDZuZAkS6+F5BpH1FXFQwTQ9EwZ0O1piscbc sFCULJo24NGNGA7uRD7KvDH4LIH8lypG2NOBQvcM//GOhiP0f45KKiVhqxc/p/AAQ/bzPsfbdz3h RijuUfGnMmbwRvhqIwio0G7gZ3RX7YsNCTr7B7VdNLLcFB+iTultX6fE1kCxEgOKDEgwys3+4I9R 4B3oAtODxxIcOTmWUgrZDEiBwqJyyHEtlm8+tHJH+gT8LPT7bc6LgIE2rVU20Oof+vH4DTmzm+Pc 4MZF62AtyEoynIRBKUqpXO3Qs6wyOyzdRLRU0DGdWCXZ/q5vqNoAUBauNw0ohxuhDXXQHdLnIMSO 1y11FDtHWsDmzLVUJJz+hmjTP5Ae7VSbhXD653tI8hfreusgiD0PGn/xvtSVccRawEDXn7Twyc28 iqNMk4hF2uvB6bqOWeEU0ls6QbY62u/jpKZJmROy2GWg5qe0G/kV5xENfjE9at5z1Ii8zCFXFQBr xlQnkYLvHwiptKTZNQZDvwceszOXm5Iw/GhQ4aDdm6iMlAR3V2pCVaeSzDrhYT1SiHLi31vNFtY8 r1fWp/o+rVkZPUIKtQ60B6kJhNX53hVW7nOQYIki/LE1WZUQyAxO7VroLiK6+6PDNOj8Ems7D5WW YBGGLGZvhZPUF9sQd2rVSKF42V7IxpA5nQ7bP2VASl37kNVx1m20K78ABgkTUAiuBDcPgmltX1uU NnDH2BA64JGlN/Oqyx4BWZMlWoVGOjz7sxrcXczU6o+YSa31EKVW6CfOKuwsLxpjIMFv6pn/upzj oW/deFez8riJyzYTKgLmGksxXP81kEJ6mI1/26jbehxv1Iq49FfpG88VnIVE7QuLdEk/vuL+qZ+G j/I6kj6WaI8SMGMS3k0/x2MZLLYFpeXIdXX9V6SauyFnct/lXAObKzWspfOX79Dg8CMg9Yv9GyYN N0CWHn8bLPpaUvLCGWVevmi2yXCxp3lOYYPFgIIesauBQ6n8YSPKQsndJvMyeTYIIeIXOsczU0d6 lMquacltJaetZfH/s5i3NTe+BJ0LzrW2keBsGA1r1Lx152Fk6yi5ZiEz+QdfIsK+W0qBgT0/2K3A fEK3um4+jLypdlVFFQ0nWLbwnuSbND5ket6xv/oR7dNjfi/cMF8oTSeuCbtYYEP0emmApaKljqNC r9X9jC/5Ce9sxKDPd1JQmPWJZggmex8E0pMnIYqa6GuX3KwD9t6pf6wFZDBTlsoJ4CeQlGEcv2tG Hz95NceBQ+jWKKRmuU9mjfFo0vxDz4q+1XVOQzzaz9fLzv9q6krM4SZerpb+ndH/q1T5ddYzfwyn BhWoECc9Mg+gxmhgO38mrTz6dllKmZeWrhKvmfr4rcVjUVyaRY67gxkimI9ntR4yGvb3d5F/6TC4 QHslLytsSHg7ZU5qpXiiawDDtiO3VFz/Juhw5WmWnBLONg2+o+KE5WWVkqOo4bYFmG2TkG8rw7lS 5RdBLHpZXP9RQZG3R3WIfgbk5nYbHTSbptr4jHh6o1L2sqx+1/YW82Oec6ycLbwVoT5wx2zJ3AYk R4Ukt+YduZMrh12fsJoGgyXTi3f5zrXpnzVdeSdLt7VRyEonCZ6wNHG9oDpdwJqu4TgmMnDhiB/Q TlRI6+63uXaUUwmLeXz/NyhGtxbI6sLo6YQa4qtSfqPG2LBQPM+4mQGqhr4NAun56SebI9pRSJTw d4P2Fm2g5yawm3COHA6EAJLPo/d6IAOzWzWrEE4mrel3YXvqKPHuBPY3AqtZzfN+vqK+KjpWKQvo qfB5t0otQVEZ1wJGOUe5JgWc1nZc20jqaZh/apBt9a+QKARj/fi2OFBF4UgBxX6jNQly0RurQpFg IXS7uDLU8TfIrYJ7Ohbn2HW1fKve0k0TKTjB1QALFGc1p/vUKjlkHcHUkexJPb2PuQnafORQe84b SnFboAuAW2IaiTT3XSw0lx2ZLiFIdHTURk94NdFEVOMXUwY2ljeYyKt16McufkoB7PklxHOxMkB6 nzBl2bslZS0WLihV2Q7D9/jeg3qUoGt5wY1J4Qszgy2KPlB5Sc+eS+OAPwY4ImC5rcOlv1sjjBpt 2BAVF51bnzsF3cMSNwV6FUGC/Kw4DPT4ssx/Xh5+jX30Ue0DJTSJ7TEZi/cCLE2JgFcRYY+2nSGw oDAFPpmmgmmbNbCv8IciVVf3EnUJVrK31exSuneSnie8mQzfJu/+kxjIOaNX+Rc4941WTxBWJ+GK OpRO2x/dCnThklLXv4SaC4aYGpoROX/R+BKGqIdOGFa6XCI7VhXYh8Mg+aL6GDbOtWaGphSpGMpR WdEkPtvG+fGq/CkMXVqyJbq+ZQqtItIUD+J4XxMhO+ww/5yREWAcGg+n4UYk1RD0yah25a2Z5pjt fn15SKFZkxxEkuXTTA7viwoySXHJk4D6IjQcFymVZoZnOEuNtQqoBMKDdeZOCZE6ro9/LbdoYx6n n84Z+k5GzISzmRmYTAalI/du57uloZThouVukSXAIKXpTDChDkQpCJAdnXY/aQ1eQIkSE/JvyRW0 k4Bkyt2dILcHjKZ5Tm1PvtLjWQ43IS28lxazwK4j2KgZFNc0hs8I5CkGgvJKB/697SIF985lXWLn LdyKcJd2l8Br+i12gZcF/4VzPxZkhhYgbBqmLRP9zp1VBuL4/bwuZ4qDmhDQrxN76fGqmePVUeQs o1OQ0qF1JTCD/qzWjebIaBmrRH3IU/VP7O5sQMFUfoeBJNxHnXr/AyuaMNxIT9YXYMXZuSy3x1NG leiT5zDaQAJgo/TD8D815EtJUpYn+xxXEPaQ4gQtaCFT54eC3J/srWOZQWf8eT6ceNubzHsXXVu4 qvkkNkUIQ6DKxSNiKZcETPyIHxGvx9LVHVG+ksclYsWXptP2hAXiTd+UsNNzPv2HM9EX0sGPPaRI MlUNYE5pN22TGXw7S3G9xNyEbhmEk8E45uxo+OftFtjj22QnW/sVzEJCpBpp+pd/gN3zmJbXSTUL XNOeP/n3Vy3H0oJlekRfG7ZSWibdiFYH5rYJD9jVlT+TXJy4rTU8/SFiQG8jDB3Kcse7gs3hzTzn BrnH/nh4MI7IyABqQZemC9C8xL3NxeaM0mX4FSbAJfLCHPXXjyPz990lNmL5arhIquh8aNRoRQsh hlxrlou/Hzue9vmPHRdCf1sloAIiZRDKeNdBj+vJn+ipE0vOJJYSyqReMgRIjcFGRmN4MI0RDt+S ciwjZaKsP4qjELGv9MKx+RMUYDPB1vK1Ii7+ukJ4ekWvu3F/86xeWVpxVKvdq/85OvUHJryk2xf/ 6MEjrYZ0etoakG3PM6Edc4tyKx7+NYrc+TT99RhbCbdnzXpUp3OnRftru788PBJ+qhohoxpbggFE khe8gWzDF9BTJgpDxp+D6OUo1rD7FnKYcDYAFOxGjPjvwB/SZ2zEy9eDpaTa13PRI9TIPN2WOTkh BlohtKQc75dd3un69slOZrzAYVVei2hUll0PSpLQs9gE43kB5pw4ZbPPFfsNktw59un7c+uc71Im O6wLz47rZBMYahbOP1OsgZBdFA05D5DP+hZ3SJMgHIaSO43484jA8d+7WjpjOpq5sbLUhqHbeyAY Tzo8TUi+tm/LucVuaARZ6cLwIkMMwE/FAIxdSzzpGiOoCwQ/ihAxTYpo/2rYT23x28K94/d/9LCf KLKHduqMdOLKBiM9QRWS+2ecrmxsfGWqMCs6cnvXMt89WbjRxrbJPxQv2d26Ysyqz64ufU8/EzCd Hl7uzxI6XENfrHW0JYLHOHPbTUNwqynAJInp3cw0eG4rTfyhT7euOR+NcwDiBc/abprvrp/UJuSJ 15D/tSPidFH/L83tQHGBmH1scZgJhQJlhrj/xoh9F0cUhWA/S0y944fNjKjQOK2pS4f2+ZiNGgzb 9GnNc85x9uzI6n2e7zyfoltXwpaXbQXCBufyiNRpnueMRsBdWN2f+VFYzPY1+fSMaigBzgQtWsuJ +33SAUb6zCeSHbK79lx8jY0hFg6pjeqIkOxYgxUpg/xiheT0tjr/U4FAn15XfTX9554G5py7MkqD l30ohowIpHbEPrPwVaFdKQETvf0fWfseAKca8zRKLZ7T3DZZ+CM5ki7iRdHzeCtOKBjW2yRROCtS gdFhi5MkuaIeIteZaBHzQpkXTSLGk/sZLAbGKzy+epjAuAcY3FyYuFOvWlF3M9SttNXs4W7S0ksY 2AKAEJZ/9BTt/9d4ViODVkgD7xoQw6xDxwj/BEBYtaOsUGMDSEXPqg8NuM/BmwYFre1Oqr1UwmKd zXoIOR2dNLRvfxBMFWOopginnUbc5DppvSJ+BaPOotPiLjwhmM1GUQNtplGOv3pZLoY8s2r/utwc mO6wl9VUFd9t0iQeD3v7txcH2IoP2SI1+x1MAMOIa8fdkWWpE/trgTXrn+EIaM9RnUeq0nIH7Zcu u0nJ3KPvz5ux3BvU/6RFH26afi0EAxA1gtZr358YRHv3Ik/ufW8yNxFc3iERBELiYfFl2XVxa6/o NFEIZI1NPEts5vpoT2BNiNBLWEMXntDa3b9wEngqvb4nywgdtmz0/bcoZbyuWUziBpizBljdJKJN +l1PPVEQYB2w9IYERdiEJOgJM4X6kEJ+FGAotwkk0ZsGT8/nfr32B9SUkfrMWSdeqlxYy8nk0c92 Utarf7JXSddPHaUy/1VKZuHArzfcGIkVU8VHDuF1l/H9ZGJkeqw47DjgtJyKIRy/U+Msso3PAtH4 r5xc679NlPIxV0PstKAII3NdoR6EK5kDgyykuRzSf+sFNwiFuYoQ6/6/Qnk5Bvs0oO/NoEjYGYp0 PqumITU39juBxK/TnzR0KvgRWQVmAyhxrmX01GEuOh5Vb2a4g4ieeNEqfRz2xWyfGcdypK6PiGkB kNSl9QsVBlVlvtL4mkW15Dd1mGUzzxl4tzM3AX9gIKDQr9cS9eH+mgFhlLWu/IwesaHioq8jCnFm lQsdFxQAmOUi6KgW2K2xpHy+8U2gUJ8s2cOaCx6eYYYfoNrTr9lMNvwQ8kM/cpWTo7jEdxmJWTLY AdZmMwxqxY4xS7wDGZsPjRQ6tjiVlA2QxD5xyNgqfMctrYo8veS8OM9BIiUeQEL72RCcIknd5KYD Pz71AzEIKQWb16p2fZKju/UbyzI+anDg6jVhNHM1r66/CJmh2V+YBaD0hDiep5ePwGSmkGRb8gbR Ohhm5vKvP7KUq0LemWEwcu9U8TbtRSvL8OVZo8vpGtDNP1B0b8aNtqyrDph3y/juxod5LVqLD4Pj nV+TFtjE4EGLD7Qsic6omPb2D/QdwtdrBscBHGN2gmNgzo/AjXeMdbxs+a+zasIXAyiwXMhmDRrm aXbHxAVpbZyZReQGM5dtQuYSP7mNfOFx9gby4wAhCyzbMTeA8amwYhFRoYwkl7GQUYS1yiA7c9z/ 9XL7+vb0qVqyxTtBWYJ0OUfR3gywBMJ7zI+oG//18Bn/H3AyACLG4dOeYWJCSn2jeVIgvHc2Hy/y igJzcJhegZUymi/c+7qfrwE/X4a2jxqrJDg+PdyJlIyvJDpgWK+Pb9BID+WiOHjY/SdWpIHWXEP7 RGcBADOwWJKueRSr+uaJjjF0yPhghK+MRD1LrxRIgI8rkjpfBixlRQtc0OMC94OO+0RWxUCbuRoe +yOHFCtAsh2WE2Qcz49TtDGMoef0MS0ThfKE4+Fdgw7JNlZoxaOlTX66TQ/Y+F62J7L6YnnKEJso Fyq9D9pDx1A1CMvAiHssrWzi66FN6wWXN7Ah6tIAe6R4rBIKrM+65CpengRncTLK1+aXl8Zr334f S3ZcFtwBDo/cQ/ehmhp40p6Vv/yUsNoGB1ijEnPDVUZfZdS1kunSPBHc8bDV0Py7sCBIsnEsZbY2 scIXmYrj93Ri64j09sVnlYGrgh9On8DG/tF0hyP/IrUvVzMQ174WSBvZ9d1l5FX3U4I/rRzkVCMW wceLKxowKhCtQwUXXmSyCtjTIZS+RLuIwz5Ah5aAIxUyAy85O7NlIFD5UAUXeBULmiduW5jNoWXP WWfoIzuIphXK62Ww4CI0RFxdw+mx//79LD60HtqiZBis/aavrLgpLIDxJXbbmTJlEsyqtNWcD+8z kldldpKhNckjEchcXPycibmOgDrqWSfg740HMnV2yzLaAh2WAVnPaEXn/01xIZQGBy0FzDowswWM BFNlzz9LA29fq9TqSJfFO9UczrSK+zcMZeOVDQy8rJLNN63MOSaLY1jx3+LkVwy75UxyvXbDug0p 0nv9DgBJFfUtj9d4aNREb4mYvtCUWs3eZXLMahwRKzAg1FpBk7hG8wfqK5mCmmNt5C6Em+2bwGED JwxaBhYJLu80+Jc3HAr44icLmMyDezjvznn9HcE+g3EuX4GuvUG899+XpD9zAbrqpcIqsIdqI8IA Mfv4++20is1/G4r8kakqHOv9SOgObAdWqfj3nKuHGBcwlbPpAH1ymEIrp7hy0NUQAVbhEU7rZzzr tZrUoSUIMDGTwuuGCoTDTs+on/zl1wynk1XAZGgmCnlPyJeR3NyMPf7xz5Td42JL0oD6Nu030DcE /DaZNYDYq7eYZrwCi/qC1MUU54b8AJZoSuwjSsomd2gko7cnbRedsVExVYp9ve6IsJSCy9CZRH8A JdSufll2R7e14+xLygYpeoRR8EKCQ78czkmyfNfMM9ydemFzvHeY2Mnum4YLCVrMFz5+5hHsywGB oqpSL4HU7jC6LuSmsA2vmd2hagW1IZLVDxOKai6v2k/kWkiNtlO4Y5P2QWizSGhVv7FdVbxbgjyI k6uWgoJ37prieLAFxYUAyMtwvD+eJM7pf1N7MoarcrZmUqE8RIT/56p4kXX9y+BCllTvbI3GBYTX G2QyVXW95Mfg+82qdhE7wgQV/xTabWYEKVFo1MACV5Dh/47D8qKlMyz3sUObIyMBUXxwP8eHVP6a vJwDXRh6g8Kh9P4rUvh5I913T4BV6mhetgxLp1BFdZI1B0odqVyyuQ5HrzgxX51DImXvHLrSq2q6 WDgIff2QcS23sP8kaNPbl+DNxeYPoFYftBW3dZnfBlTsKmUfDNvkJ+6zugEqcR67UGCPOiikP1Ny bgivh1IqnPSa1kgV53QXO8JtxOyMJxRyxV+gE79kG3ilGAkZFDFpH78n8xICALlH1HHdeM4o3Ihv RE2AtJKIdALYE1iOv9o08Nqv3uOJ6Whc268B6WoJS3IvE5mpOYshCXNlRPRlodOoGP1DL4fJYImS X5uJVpqzNpeJkaNYDvVzUpTcOAk915ur+1rJv3IcQKY0ZHzHUBnMLrV+EZFsi1aH5QyxECdnaS0P YcdoP/1U0tGr/DTB1MPcXutUtIJObIr2PxH8uWwvBLYEQQSWEqM3CyLjhUwrbZO7yT9T5wPTqPqe UCGWn0+RIqgbrFb96KrCHpsv/wfwUQudIK/DOX3KGR8uMCc6nUr9YTORfs9Cg2Gar74Tg9zBExYS +SO+BQpAJjCcrGcxHSmXLRdCl+U8N+JjNmNCjFTTg7PawjrDFv3ZIl9kNy4u7ati68k9p7XaNkDJ f/tzYKxb8ZT1fG5OlPuf05i0DJ+4vyq1JPgcoZqElXsFJH7JzTaPLkBi3Ged9L2oro1oGqlOV43k 65GvddSRkxok1jh4hJmxNnJyikYb2A99Y6EpWHL4t80j3s+P9XTVxOjW68yUJ+N1TEXBTgwem7sj lR6aL/LQ8fWaroruIGzMFse6+SViArP9rY1DRrcenGFytdI3jDXEyd+jtocGpbInWP8ONJx4mqu4 TuSXk/dmW3ki/cuLP9H6e4Khz+O2XXV+rDVtjGMdOnspUIW0CfJPSxIOXF3ZUFZygr4FysZpPm2Q 3fib2xZlUbgZ9YcEfU8qvJAecABNnNCbbZFssWcUEntfCj3b1dqUMHE8bH2q32HtzLWMvj2nHzdk sle+6KLGB4tHU46GJGfEpPYsDKF6liLm9ID7GJ1BP7RM7yGif/4mcvBM5eu1ySibqwmJMiwgHrZr YnEB1ABmbZSjm9r6nsyFqzeRS7OVHwKr5TqHjBD/4KONcCuN51QvvLOThBEaKURuzIxxmyyGxeYV lb7vJwhwDj1ugnC8t+ZstrbJa5GiT72/lOElUjJk6vpvEVVkTL/0BNDRgtum/Pn294Dz8l5mRkBt VLlCe1mfAIGGpg8CnnqT9DzoMUjVJz2iiIWqSR/OnmeM53gIMbo6lPtVXcEDRudCs/JcLXDX0Ot6 Cm2QJujF9zq0pG/5fxGBXU7ZvppA5g+jKJXQkiMNw7K8ueSbsvbyLocdgW49CYDQC6Ox/XMDIwXF NT0jb+TZMNgwMLNMpN+qKZldQEfvZsYtcdjqIYUj1C4iywGd7mAAmusChOPv6XWsAAT6nedGBrEV 22xWy1Q214NL12x06LMvhyHnvdCL6sobpxDCVd/6nDuB7JKA/fYO237kSY3jSj0yC+aBES14vfmC +J6iYSyVKGUrRVG2dfCWQ8YU50uebR93gzRjx+79vjDumdobk1Wyl/hFZ7KVLoxNmP6bBnwK+OEM NetoSPK1oJquo1UcJQwiYFK1skpvs6NNV25FMKy8oTH6pI8ceJ2jaRy4IGVa1C4ice37DWq3juIS Qrfdug+TX2UpjF/edvcoYOQnS+qU3U3eilUX8KWUuvLonXFOaHkfdQW3johoWKBR1pXAOsQT2FKE OAFOuo/gDJfXe3BEYawk5SktVz04tX9ABi1USDsvx7ehyKH4i3FyHhUjeBdbuH09kdws6YIeX7pA biEXrLCNhDqZSyfDdTMPDeuErpO8Vk7Odeco2PdkJr+DPeK6UHsy0B2R+5+Eaw6KxeWHmLEd3Tyq VmMa86M9X4Y203ycyzwGLpbq9Et9sYK6E7osMp/fGiTeMypZRd5+5QYbarDFNyP5gfdhNYy4Gmx9 kmCkq5vAI9FBndiMAoptTxNIOjaAljdbbdEIosH2lLlRpjPIP8xwJfmNZuGEGIVgQcpvBskx6k5a 6JsVPhpeR5jQLd9ZvTAjrmSZBbgplWHAzOLfl3qF/KUGO2yZ5wWW2I6XsEm6FD/EfthD2WyRrG+T v76Bn9jIo75gKPU/fzXWG/hHfWjhdnuH3XBvMnRSreJama0hyYM3BM2q/sKlNL4U6sPwwTnqqxGZ VZSS1+IlpzzMfFdRp2J2c7cPQa/U970NSl+SZw2lCXTRZWgPqBtymP/gD4yeUM+cGDuhF/1tcgIq EqmBz2gJJIxMTOYXR9awv/i2Jy2ekiZmTuYMOgh+Yy1HTJNLuehHAIVvOHb9+y0D64B5jyi4tqI1 YKPxJCfMm1EjMp3/hj6mwvcSZ5r7GrXioUfU/rmOm7twsswb4AGVRdpmY5s1SqQI6/wPRhNGpnUL jW2q8VSv/DAJON8rvbulTtJ+rXLHpAt/4fPWx8sinY5JZxkVfukMXEYNuReEqRjPfPmxEd6svSE7 2mGZ6WqsNyavnKOsjDUV0pTGshuOvdnTHH885Xo9K5spNGZkLJfPSxyfGR3nXvkk6MOZDeT8WYtN 4wsRlodI7AdAD6oJKSokdB4qjU2OKb41FGdLZHjz4DZGjuC18AMa97CCaRObYwcdiufbuMeFKXbD LDs5x9NcAzGMZLuuSz03IcTsaAYorghaE/znyaKGMYE3fZ8DaCebMYWJuiq66/4wpMBq3TJ/T8cA ILKewFfoA1rfXd6ikmOxh6Z6gTw2r5Qy+oI3+7/+wQnRtpmwRbeKG28Z3OsAMXG8bMFL4A8kbBAA ylKPWdixP9CFZ+9ah7hk9N5KYx1fcZLjyh3sE2jxe8auaJ0AaBixUS8eKaBpO1o78rj6nayZWJ2d aPdeQfQTt4+bHEOwe4EEir92BhB/kKNu97xsopctZt10kPelDmASLHZNjOxiHBIWLpN2yo2wMCF2 9ox4wLg8Iuej9eO1HF15xBgakc2aCf3PW3iYTrwbTxNijAZV/iWSlgtQwpcpC0IX1tBNcnHsiAVx ZYzrMskLCsruRiR676GiytGOfu0p25YZP62e2pGNvoCHY+9d31eT+qK4KsMWX7w6oinVjtsjC3EJ ZuMV2QIS8V4X1y1oKtUOczQSgO5MLJa8JOMZjzDWR8naqC8nrF0dqF7o46R/TuYMUiDOdUPjLnFw lLVilrsLCJnLnGPcBhs4kFc13OZlTYsX1QALMOSERzRIyxrb+tCGJA50Ul7A+lssi6ILrRBXs3dO Zs1wyVMGeT7r1Vp0Kf3LnIsc467Rngu3DPu2iuIHFW1jN1ZjQPeBg7eIGi4ryTkGWeV87KUWGefO WwKZbylRIFvuK1f3lqd6uUV8KQVxzvVYH6MRqPl+vbNyP8+EI3+qlsMhq4D67UcwGAY4jjn6ERVr UgiWKwmS/OS0ibPGJ/4RP2nJaZiaC8WjR9nRUvlqp+60eZzGtAHcPDy2MN7je2wk+kCVzu3b8rhj o7nLoFeSV97t/lbk7Owdl/I8BYn4MOEmAxtLpadJTNtzOePhr6JFU2EUtdeFvOPGYQWRXr9ymUQf 643Snmr6gEWpnHCktTXFZw/2f3gbhd0SUt1z3D+VhaGUUeDHuPUEo5MXVgwdbBoZJkTJyuobYSAN 3tpHSD24qbpxYN6OLv0RbuxAUV+s25tg2N0LvdHGxV5EoKZ3TMtfNNb4VnDkDtpMVyW8FFCISC1i vvlzGAvL3ueHPDghGrnoBAUlFEfhGM28aqEcprUaXRYoscW3jvXAZg9ft2oa8lahIZHrAkc1jyPM le9NOugY7/Xicxa+tMQNBSezF4cd4lUJtBPWixjUL/jrSBc4IkUhxWRrTJs9H89eiwsoxkBHd4Zf 1Ps/S6Qo8YjxNTJZbBtMSRX+nA61cBAmnNMkWKPPoOm0CEA2I/UTGZdO2t5sUqEvgahLz49wyzFz ommaB/lrMaCdsiYWVUEnu9Gjtz2y5tEmv9Op4dke2BpywgSz3P3ZhLiNSXduFXv1jONyApEsXFol IXout5ldP5T3Lkr4XGXD/6H6xRT/nkdvmS8AhpaKTcLsOJJD+rcCbGxd0/0K7rImzB6Rn/AzpqYP LfOdj3q7vZ6lwBQMoi6ripI1O039IrdiiW1UIVFDlujsv3wNufS5xFi7cgT8fX6Ffb0B5lvYbFmG WXCm3TXWOjAD6Pz67LckyuZfbaLc+ZxLynvKWllca9hJ0L1+24HvTvRed5t46TQExsXfYUxnPxMQ ZCgb01Vtl6MsAxJopgy4WEBXiZjl5bAwK+OpHzqXK0qDR2rrz/9rbQ5s44n/ZNtAFtol479X5ik4 8jApSQjwPGdwbdwxar8ZIwoKpWcs95HIoH+0D5tETLOzp1zUpYnME4w/LWSpW+j60lHtLSXhcKrO PnOXOqvhDPtAVs+cRaplGleggnDpt0UhYBSmIQRd+btmFvqCJbGVTFvVzmSH1jXyXxuxWHNtzvUc 2qIOJ7ZvLQIbqQ5LWGSyh+PJClMWgRgmwZFNlNS6gaSILuSwgHomxnFlIFFBH4UeYvJRfOOfEyAA WzwcLrwGOsHQFhzwCPGc8Qbxfby01+YxzyZ6uJOhZaE3ySLwg3Kr5dJ63ziV+QyQTH4nJKYUUZQU 6cinymt9LqFeTyya305a1wTae7BNvH+g1bqHmr6uET9UqdPZSs1yLLMCvTYUX7uz32b4nhzFRA6v IaRd4Krp87W4CSB1pNmZRWWzEo3WYLX9+YoG7KGhuHL+KM/sTgrG19qC60DZkrIwj0uEGFAyMqiV rDzW3jPV5UeaiUboNHNsPAQq/dQpptpLg5SIqJwohYuaNr2RAXn6dFWT8ruqiUNmx+D7jPDZC5d7 Hj86xkRVseNaMIcMZBbJfDLAA6LmX9kFBT65kWKGtkn+PXYtcodxnOfJv+Sl3M9Cppd1nRHVy/4N aNPpgqEvxOkH9En6nHaPeWwThNN368RBsVKSmvQsC4ivkKKw+wrvdck/SwzF9ROknKnVix37zXh3 UZMRXUopwx+PvHULVFET0Oq2Ns9k2e2RYEsrX0xrQLPR6WzuxsidK4BSnKAxF9t3A5XvL5dFyLLs rMxjXEPtUdpbQggqvQSeX30DwIyorMNXFUQX63n2qIZamYyzGVziCLpHZIqI4iL+jOwY6prdzMnl BWOkfOKCX4X5BS3mJ7HzUTeOtRK6TRzt0T6QM9IgBXWwXikVfp7A48s+gY9YJWYP631uhnwQNJhx Dl6RTfBc0Oe5+L+Oj+Ew5qakby/shb3PjQqBD/0eebFTM72F+KlJT6MT+z0zuntdrYO5bMZUCc6b AleUsK+AP+Zm0tnBsQGOzQKOWeAQD7C6TWyhqCOfzdIrtVpNsbjE0lIuQK+49aQVL8R1CazjYgHZ 5PVADeYPC+U4/IYjK9TnMJ+RJ0TP+qtHfdgONWLMDnk4NZybOCguDdAcsSKy9DnfZsha/7M833SM /LaNyK0uZuK4z2/1UBIiiLxgmn1Tzu2STBJyDVD8wS5cdnJmV2Xe+6Knl5CF4kp1jJOy23pTJBHo llYrB7YYQmZm6l8AM+TjvnRZIskMCzzctSttK3d90JH4fVJ5sCVxvDZY/MriyHMZLlsIK2fnHEjn FqwjuSBh3aNTAnL+vvPY33kJ5HdxGqBtWq5i+jf66su00AoXB7oDmqqvTSmiIe92r1bc2H4H7osj l63LR+27PZcE0VbpRcGLrmym9Dx1mGo/ZNTT9GAw0Kbs1SSKbdCDXTGp3hxylk41jMh4UAwvMp9p PSzvNlwNiUUT2bEuRUHW7+E7iA+ViGSrv1EyIlbnZEv46GlPJot9yy5DHC5hEvHQcOUS6Kuixyt2 3RcQBiM3L7Zs3mPiV51CEfYUIollvvGJbIGfssCjXwdrCPT/TFS0lRHQJURpTxcFJWMyZ8OnxbWW oVTyZYL3eAGPFM+jafdlNnF7+zOFusXg/kVZxfalE9ITbH/wVlztmbTNsAs4QMQfCOQPeSewDg+n FRKOTvUXi8wHqZmJbF24WTqp2U4J5k2xc9a2vBKbUPzcVd8//cCYmKlY0mmxXO65DQoap1qbA5az lAG5C5EFoUW4d4TyuSSEV/WRJ59u7MyC2KnzacMd7m5TDaCx2jdp+d2uI4sT2u3ELEUGUsKlslGV AYePlg+4/R627hIX/yBTd7RtbktD0rgEtXfwEKla6XwHYyVqTgvoEriXLY+F9G0gxmX7tY0P+UOG UY5Lby6oyHWr0JUAeIJvMtqZWZX1t8ZEbZey3E5TxmfeaHXS6ZvZcnyXZnLOhrTv8vx2Zh3wDj6a ebhG4Q4eli7o/D2No/nQxLsXSabO+6H3SK5AiOOPi0xFW+UGA6SXT4R6XxBTKWBCGCionJoumczf e4+9xdEF/7lLDViVCBx48PEMnWdhVT6pWz04kkVJOkollqw3eoBGvyxPtNw7jr57w7ve7diUjYqO ELZCTN+2um2ByI6zLEgc09K4wM5jN0jd5Rad/3NWl0WMmZUg5Bmjku1boDDQnViS5aCdheICLigT +UTwO95KX2V3BoPZ/aw6/B3OWAnfMdXe5BRAnvZyHKaA1q/3E9WkvIJ+gKYH8IEPZLfVdsR2w5Jp KxSAn0S7yI5oZHiPUd2OedD94i+pgpQT/vV9gpnEF0D3NXUBwzCiErwHcoZ29F3nCTHPWCgWtTo1 zFSYvfgrYErFKqdqKn/mWxogtiZP7M2hi+VM7IEeF2QiWWJ5us4DrJx+3udxDXLDS1a4FeXCxz2I LAa3ptDeE4ty8opTSZW1xcHyCenhSUPqw4iyeeZMRYlz4Rs62sjNhRogkChrhDI+yRV8wWu1H0SP 7eLW8D1FJv4rj8DGIlXxkL13ErgaWeZZgW9Qx91pg3ZsBSeBrfmqFce4DWU1WcI+8Y3bnvMp/Z3M U7G+DPO/adngrbHdTVfH7KV/eOa9WXxnv+8Ue3ajLwVKyC6OX7veihcbZNsHgZ2UrCyJcAAaMLm1 C+xTvpY0PpgbTp3HrvwZocW0vZpYGqGCEWAQsG03pMreJ2m6mMIuwdu4Sl0H7GObv6pXeyb0Hqjr kF57qxRClocroG9Ue6RJCkiwCog/iZWSc0XdfgDK2qPVpPAA63H4/X/Uz6zDpL4D7xcF9AcuRIqY O14/hZyOEk0mUL4dU180aXb2UfDneYP/oGQyrJFcKdO29pIev5bU1bHaPRUza0RfQ9EahoALOvdX NKhBm4m5CzO/qJu9tTpj4I5K68sqxLf9zXoqesQc+hBwZJAQ/oq0b+St2LOcvjBGMu6FizHzIH8h dtwJXnbKaFYh2ZmxAsLGGWjGNwpInehY1YTgc4JIw9DbUFtokE5zMpPiCqQz4t1TJCDH0ab7H4DN 8/+nM8GH1iGbm4uYsLj6mTm50AoVQPkhS50m7JM0WgoBSMHDSpwLfmB5yOtSK+Yq88lMORG1QlEk 1VbowXi6xzaT12abaMLGCmY5Hxxz7Z+auCnNcwO0VBMNhSrz95OH6pnW7Q+fL9ty6K64ZX4CBaDO smONmoggKd0OPJqp0MAZW9dTX+6H/sgwYPHH9k/yhyADZDRYq5FCbFQnwl3apZjo1K8whtj5Mjqq cbZKZ04A9ztPLokCQoinDz5Ily3zF0Hr6+3zcJS0xTA/BqlSk3tyLjqgFrswm12xZmzsZVX31J9m olhUUYXAJ4nT9cfbW7htQZe0ovtG7rVEFQbNSv8TTM3FepNOPEpmqz5NsWMopA9Sxm1qYo3XY7zZ GRQAKFcPQopmSXgeMAOtod4Wdwe739sPK0h8ljHxQBjukhmxHoENgupJesPPq46fby8Y9oD6+4PZ aq6Cwg2ER879+rhnT8B2W5M3+GeUScADAKY9tJp9N0i9RHpS3kgnY1nLw8UwFZZQ40eRCUEH48H4 L6IY19/+mp0G27hDwKGp++jXbL3TurTqJtsoMtS5nRcwS5QDgKae1jDNydPZ0YjlRohIXMFahyHb qgd1kMk1IKKaAL47aO9qBiLHo2SvQkoBhHnFEa8KU/EWI78vUJXInqMNRBdg8n6nuHuA5ifzQcbH FHWZe1dTGLMB8ComqH69ybwlIgwbmJRRYsc4N/Er9aG4Qfymjzv1uJyGANzlBmrMp1qXYWAqDTml LbvWq0xS9neaUZDyw7Zs63AfKP769YxS6hm6B2QoeymGxJKoPvaWUy+qHlaykp0yQbqEG5n42UDq K6B57tFy+rCbFysBOk4MN6dicV4J72VHsZzVMCyOdw6Ql7rty9nd9F7Le1Mzgy0+Kc4o0qF90Cio YTt7Bcjbt4eL7/THb/Fe+kOnzTWUcFYjYQyKL4Y2lJyW5aIv80qVCUtRy20fzqZ7QGig0urm9Pv9 BxsfOlozM7CTDy6126mPTvGnfwp/p7hHpW44yzRLKpXmERby8oiRCvbIQfjLJ/qnQeNcmwE1iDEa mK1Tj2HH+OmFYIb2hPIAXBsmWdZYiMwsTEARN3DBEa/+TdX+9TIYziWAQoMvBFa+ZfglTwVc0Hoi QJ1KL2kE1WLgB4iKYUFkNFI9z8uOPmT6L++oEqFz7MLdWQTbmrONKkjHKByW368z0wBr1Kg7YLED xRIW1ZO7NIHzzzRPtkZRfcjMEIh2DxQ1vcb70PpoOoDa6yYD2dVNcKpruqjXL4H7WryD9cH8ytES RWT+p9WnUqxT1S24bNzojMi9fghzMyaKmYl3OjLm9e0K4iVUcJ8Oo0K3/97V4Yjhg/mNtawcBy7N As3hGH6z4IXjjiXjH+6f6Dn/opT553XQ7EBNU7Z2ECTo6R9Qhbs/2pRAhRWqwqfqOUdPjLOIgFd1 FD3dMzPO+chQeaHonMCwumBz+wKT5gpl6yrcoh8Ov2/IP4XbRBF33yEHcsQUHvTpiljubrVMAR6S dvSKTl7gs1AlW6ZHlVwpbcTqzpIsItZaKwMVo8xLdqScQkeLzyfYP1J0fbgFOWjojjp+F3YSg2Wo 4TCZ5EfLXLB1HULWeFULKdoi5O04lRSXGN07+6I36IZUAC/LgjSFQiETBH4EDAEvTQZpYx8QXZgF uno2ZC/2ae8cpqotmPefzIP2tnXmCmEdFNMxDCPRsA0gxV7kCZvUISuuALgzMAd6HObV2xa8Ob/X sViYasilOQPXirkUuKEWOC7b06kg1sUqeZwR+WM1/6aMaUWLxC5l44HSQ08Y6mjPwykWVzRPnEY4 TqHRjmeZCa9ZPpNVWvhzHrxzSr3Y6dKCfKiDz7clJN6mSp9Qrbu3jrYpmy6T9qsyXnTwiafVuDqP M55DpaZTJVs+Ivgz4mFidaeqYgULRLzB3Sboj4ovMwBuP7cWx3Dk5SWFz1Vn3A8y2Bj5mbQWWW+I ugzwNw6hmGkm47le83//3uAveUhcL0fYGv6muFArn4J6/s0NA0jsUzscPPifxur2wkuEmuPBLosN sSK7BbvCxMJQnV/AkNa3rf4uGERm59P1Xiq58YX3RyVxM/MlvgogwJsdPcyzgeERW+yCxCMVtu8a CiPpc6I7SoFSvvOZVx2bsgR1K7dOsmaQHZSjbWmgwQGid7WFh7lJdZs6T2X8VPAad/GHo1LLhj4h vyp57nESfjsVVf09KmRhnJwCslGvV1VpKHISiIyhRIex2pMyPJlJJ40uXuI6SPtcQA827TOk8O+y 6nQpbqnu3H5/fUlL9ihhhl8BVAGiXDG+inz+7NaZ3a+mPUPh8mqt7T/H7YqxEmJpmnExtiHkwzaZ DB1ohVxXbnDZtS6SQ1cQFtKyri0FJiId2Js0RtYqzKwyONU023xFsmH/PVWTDYYI/UXoWv5LyJPH uiH65BtEgkSsAaVK9E76QraJXOvJWZgntdxE/abxNvq+DdIUI1+YwIZdpkOwnHTQYz8KNx8WnpBk Z/56mhy71DC37PAu4ome0StHnmCfJvnNN12QrH4qfJ+w+3cmqzcLwFp8kfziVAJJwCn6qSmB5Wsw Qa0OqVxuKnTD8tB0CnBILnc3sgNiMhCuL9KaUcdAibQ6f9aTml9xD44YZ/AI146TjOlQ9GYp7wpy 36KA/IeRVtEtwGW1gkPkDwNmA/2U5tXF41glielEOso04OandfOPB11JxTdJqxWMO1/7307C5MxC biIRCVgdGFwG76SzflzdIUHiUpJUa6VNqNCk+X+b2Ia+qlSBnpqo+nBE3UGWtxipOEMVqVtaD+YJ R/iWRqmcwObzYwY6D6BhMpvZjViHlWH1CdiGjKPOyKbmJ3zkEDrLw5I7MTT3bmXjtGGD66G08+nQ OeJRev4jrezbZjWOpfqe7FfFLrD92ngcZE9rGb3FBVKXaIjtyHLIJxn9BmdN93I0eHPt3RWmlodZ 2v6ao8+TR3GzMwfDBbznobYPITeevBb5ILNvBlr4fRobomQbDubpT7+Ct1VxDW1kcdfY8KH2U1Z+ byPRHiOoBwe0mTzB4i+7hc+1UmE48NOUFVIBVywrCA3iv3vx8sF+L1aaoFRHkHfvU67aKMhYhUSG PNUAA9RUJS2Jaet5l7mJJgRcEbe0JjLMWQmglv0WuMxBltFmKhC9mzelLB4HGtv2dhk+fqju1NuQ vsptLMoSxZe1Q0y6qDVgD5aqCWs0+ped1ZesJ/G0oGTRo1X/sRgAhsZF5W87q2/4UTA0hrHXVs1J WEd1YuzU30SR2TdnUb/ik+6x+Rzdt+Kkzv+Ti2Xmsw3FKA2rmy1LDnJBOMaAd68tQEEg6RTyQLHD FpPKpE9Ur3auAcKwAs6IPYqbaOdsOs/PAv78afDrgUNseY2sLqL0ZtjuYb05Pe0xKV9B68qAxzzO kqIYg63lAj+GxgP0LBFh0iOFvr6+9JDQEPMZJmwEWthqhz2+hyYZp90rtw4zNjOFpwr8SB+NtK2O aZHLH1r6PeLuOQfWWtdO4iWmoooqYNP3xH6kGAolsie5FCwr3XfYGY3WczeTzfUxAX7PZ3iMw32M IEWzwnlEi097s6xP8/XRn/b3NWAz5cen84UYuoJIK0gqOXfGibrlKOaRlkfQO4OjbbY/fu61NF6F CXkfNoU1c2oJoDdZXRbzbH6dlfGeR7rvEgAho34vatZlXBvhJcU+5FBtA3GFehIqCW+EemkHJSj0 XbLek9I0+Cdcx/PpXtd4v+6UJh0B+wpFeUicBEzgpbTYhNGVjdG3mkjmU2KKCAtWtb73H22LceV5 zKmwtucdOnVIXG0qfBVx3JFT2wJ7JodAnjNu2WLmdao2c5PMH35lHbWgB4IeUXlC4rPER6Ehtkox EjfwKGQ3TAbN/E2XpfzqqhsmdArLUx8ZhUdVjyNKQ72Mdl8Ozbqfj5HbnXJ8v0TP9iDtCDSDAKfX 5EikL2Vmp/MpEl2jgUVPJX7vHOrZlxbR6lpU+uXgX6TAv1cKKKMt4FijsXmMLMtCMtnBRObPGztk pGGdLWgplf1MORtKCtfptxHqIdvgVYK+VC0T+tAHQyYXPpyfmOnGRAgDX13yHvGJsdBQqh+O3vUj MSxR6/r5orFz3gH/y5FMtYLKjZ4hDjh0LBX08mDjd35YJzrqznFx0YCgf4CZMeVt9X2TieyjC7cg uuEfR8QzLNuAjfjRbXCCRPgOvZPV8cPVKEScGGk0JNLQdUW5cuCJ7GTxfUxNbQEnWvuWF+7OKcKO VFKey3ImXC9bDI/aK2Asv9oOXMPUHCOwa4e9UFS+GzUQ+4+ZMthEhmUNJyY0LndVb5SeQqEF0lm8 HHGUJ37subjmTMrT1AcL31esJho/nwYM/2CJJKf3bOdWOKo6ohRnVBoBZuP/bRhjmO0gnR6gPLWe dghltZDAyWxtUVveYvlx2stsEl814dqRLLua1mMvOm9YhEh4RnPCytGG3kbXSWEHw/TbiVQX8ClT BTOq1M4EzHVNemca6ey/BCe3ZtUkENnjNUNHpblG6HjUXkKEoOQC/CUstlfAQo7xTHe6LNddvwFz qMK26kiEG9chD/9rIpdQD5oBjIgojI0hcVrFdC6vkWPjzlITmK4W8uUubUGCPLppXkbTJXSSok3w 5WLpPB2TEpwB0VQbRlEUeYQqtRJnajrrZv/f1PUvqMH9yV9UeWe9G/z504b1RlZR6RyAE92kl9G8 KF8s/4oBHa3YEP/XKPTYq0jYIdv9uapCtERUJfjGFOZWkGatyD1FZC75K+diyxxO9GpChc5aMwg1 HgSl7OaA88aPSTZ9Zoa5OIObx8MOdlgwlbNWIze+XTlFJBc68fqmWH3wJRuIjS6q1tNX1Xr1Z/6U 3RvDw4+Kcix03ltzQ7PJo1nkrdouADnAwt/yfwIgGSZyq5JsOnfd7lNxC8dHi09f2wX3Ryz+8+Xc bUs60h4BrwXoiaSTGlClfj+PdXIXV68h5WgIi2S1cXY6/1InA7XLGmQs22ZSKrmxi/kf9qm4GPXD tOEWw7GwwGYvb2j2Bmi/8U4S4fVMhzkc4g+Pu9GrUiVuR4CojM4AzLyVLTBfiLEhHUkgB46TrFYe Jr01QAGP7MqrWMEI7tb2bphKauCiFkvXznLQGGnPWSHgo7tA1B8tUUMghjSb3WIuOW1PCfNecKL2 22jnAyk0W1TiAzK7gOxjKcBPOYBshR40cYU7rLPEOEGtfKQaE5sxACu4T58mnXUfP/Zcu+nlLveH Vl79LgnFnIHWzHLsDkzFq7UJ8e3+ZUfcCQPvp0YbRlJjlBWwyglwZazgSwyZPTYgvUqgt4GKGpqS ZH6P778xp8CtWwpw0TpnzGWMgkAn3aynfWnbZv/nxkYyfVjAvr6/13ZaMzfHr/A6Vqw8R+CO0uhF 496wnZY9R0RATfLRjL2wGRPaMfeBI3bLWZcmPNBaJ0CWcg3RU/5VjLAekH/2HzX21m9qD1XSa1QG RtgpLmKhya7xrookXnGrkme0/6oR3fb3S00RvQIuybtpfyEu+MJakaQ5vj+uRfpR1/L7Mh8++CGL EaExL7hIOPxYTI0OlIRrrfBxYPx70++I+Umpa7vWgvxq5aqtMmmoJBisNIt0b5sMZsDeFQccjr7v UxHG79to1MzxNhW8cg8niXni33Pj9v4WkyrWaV/ZVyhVPazq18qfpTiUcwsG0bG+Ag/RnwXLyRux ANQp3Sf2qKne7YKgF1jF5si34o7u6i2EUR+EOglKlAMQgIpG/HznZaFAKluhceINLOJSkHiRQzLJ 0/EXcuPUueceNU9vr3/WjO65RB3NM47YTEqNjNLxkWrOvkozjC1/RDQWgT0TJq/4A+rwjrFH3CHF zCsokdLZFV6gS9Xk7WT8V1wd14Dy01267uieE0pZ0WJLtMV5rONYlsJ8+x23/6efIpoktkgx3ayk 1C+FtEpVsqGZA43bum4ZmC880Pnhy3qeRyhhyd4eXBGnKpvdVV3neZJziAYPkzDLWYLyDWd5ZhjV sFOCzU7pP0Xp1KOnwUbCgTLrh3D39bqQluONaSmr8f5InS7qin6XnPBYFAHg1uPUVbu5/iZXIBLp AhW6ruoLPP6aqFkDzz5heRR32aiXOlQahZTOFYoDsSh9wv9ZnigkTq9BUCvCTTqRAbDqePdpVzKP roCDHkOo1KAaxyHkfnqYz2gW0B80ArLFTbytx7VXfqTIIGtKP0XHG2vRQ8IlJjr0wY8gVbDcYjJy 79Tmc1VjERTOZGLQB52UHMFAXXjAg63B/O0symF67bMASVriXyBiuKV0amudTOrjexhhiqbtKgfg M+1AeoRi3zyil6DKocrN6gZOEt0bTDDfZFS6/NPucqhtvx01CwLD9Vm8GSt3M3oXDGLJT5LAu7RZ MOn1U4fkWiHEetzGARKoH2addCWzc2Q8TfL0eu7l7jDZXPgnVLHmqnSuHT40wAqaKanWPALcit33 9uXdBzyYogFyTd6Tw9zGfLdGeZA5ZFoYqRqPxPiIPz7wgqOAo3zU443/Z4pnV/GWGSDoCitIACok F6N8be3u1G3wv6170pNZtJ7dhsyn67HBGsgUZ3tPypTpDksG843lKimIZnBtybGiSyYte7R6GBG9 c8mJly37eCWr6tmktJ+68pvzNsh7+PWF/g1U3TnjJCXojq2Yd+EU1CWZapv7IZq912hGPglk84i1 e8pf5ncE0FdnBgcSM6h08sqIoRaygr0cb15GLnSsdLbIRbCytadgdZEiKQChxxnnv/DgeHSqdhr7 rEf8o7lsN3HOev824o+Dc6si0Dhpx16qpoGUqIl9pqzXDzlGVmFcp+P1XxHwWZ760tyRMInJpvvI bKSuOA5XoC2j1QvAQiOqOn4uPYVDDTj5Yn3Hn5ExUb1v6AY4o4t+Lv4LoDfn3r5hR3ynv8aU+SVh 4Dzh6qeEAOXtUS09c4t5SOSobZocaM9Nrfz4fpgiiZlqyeT9kXJSpF0FqCD1S5uK9sqbpO6i9FA+ b+48V3lOVpcvm4MCQgY1CdFdeSX54YpaF3ATH7QAHIw0DHjuvMHcoNwFGpiQuEi1pDyaw5kqXr7u xPTKaWlGR6bsJ5thkILHREQEJbgnMk6PHL+OcQ8Q+lb/x7Is1YoTwcJ7tBvHgK7pYRCFknhYoWIV KYKU2PQsJXOiOw8eJLkSvj66Ld3s+nZ1Q519WHCXP32dt6pMOgOZMKH7CEZlKGAp3thalmYOWjWl qPQbzJ5Y00s+wYsu6v3cUrONuKh6aTNjw6cNvqNIxfq7If1n6joMgnhitrZC+CpsjmFohSYKubOe DDVW1xn9d0l90OqOQeYT9TBUaWvf3Ui9AKft+UcEywgcSy9jg2IeegIzR2lFYTWW8HvDXAyvTCy+ tiO9oMpK7qA0ToDUZkpU9rKAsUUxeOJ7AiLgwt2ZvvmvsxTN8MSmoINFaObvnIDTKcfZw8DzvZCJ aA+kL2sCsINktUp1vlRyK8tpP4eu4bphw67kLeKKrSRx2dOgsAEBgvOYzoW/RUv8ShUueHRERm3D kEws3gnYyxDpmT1Bv46AWx/QHs1tE0eGBzxl1sjrX2lBIuguTnjxqloBhNOPY+z7Jm4vGwZGPcx1 TOgUJzal34xK32EjjgUs/zaGkCqZ1BEEDnJIUUr4BEDU/rvs55ZBGlCkfOg5/1W2t3bNIn6KrhWL 5G1HQ/1hg3OMqyfhWTLWXydE2mo17e7HntwKBeDczmUqz7Wd1HWsDSnYXv6KyEZIkw5swv0/RTAF ibi1JgvI3dRMqgHMv9BpHCzNCGx1MYXSF7J/xF13aOUmNIVyn2Tr9iz9pjFKSPeCr5VaLjnYhCGG 0kJTG/ImhaqH/C2BkmkBxSyxf4YDGm5x9Z0dRiYDchPlWeTkAeoSWtQiR9a34q7ytWebs1frqtaY EWtb1G1JAAUkO3RGXTMCgZRd4uh1dnBMnlO361Lpk5JsEV2T6c6OywqtSX9wXC/6b+j0IDYz4mOr osSUs3vnoFEF3sTPIoNYMe6c8glfqe/iVESvdIRiLNME3fpRRO5btFwwgP4/oujGvlXFY7vK58Hc ySp9DUC4t0mOraKDxgKSwWd0E9upstRWAWBPhb3mE4gpbxnN0cxowVeRsCgZiRyjCM+IGILwIvpM tfDhOtBKorETvEfVXnCuNIiw5BnH5Wvw6fi29AIg26mRQT5qxXGVFVOHadHu9M/OTVpdSUxp4XtG E4OyCTRoSbIFTmyE6J+0UzqnyqXj3p5fySsJNgwma/d3JXGfGoEwQMKj0TpHiZPQ768Ubp5kqoA0 oYdrXpflTrR6WApskYVp1H5/TpUbePU8BcIU6ji5UZTq5HdqQJzr3BY6OL+Fh8Y+Fiz/vL172ZKA ut+um6XPqRgPbVZQNJnlq+Q1x7ZFvXQfECM8EVkU1l8UDYlxZsNgVs4ODbuybLozng/UZB5ynXqf Yg8132nndCfBxCfffMIoFdxgIg/ML1Dpw1TIB88bZPmLX5NAfcGEIiJKHHr5lDRjAJhq7ysM6OIJ 3YVOWKz8MkUlSkSlw1Umie3sf56w2kdIbusQstpu1J+Gou05fSXDaEnRlExeyQv2M01ne1MiN1Ka fLTixZf9+FqqdHllWatUfQMfC9rN9a+IvL0PX+w63lohYTOkgzVDp3DexIqdQpGOpWGmcpFqlkhT gLqLJGgLAM9cLuANkUtXXgAmUIafpWoYtdFSs18hKZEKAsoS9HJEUjK2gLkTbJnFnBGZKHnERAPt Iu2f6toHxYKWZjEvC/Wr43UsdjyzJlCcm08s71H0WG3/uernoJPMYaMM+dKxlIKCcoji7Lg1ujt1 5usV0JITLWugn5qN8x20ENDaJ3ssWLuGends1qa7Fe+9CFHCuuA786DXw/Bk0t8MfD834IjioQVu xOx46X2R1dbyCpt4pyQR8BR1DVhRpJ8FHszl5o6dvhc+D4HF30K2gR9VDhFCblmh8lNV1RRcyEic wd6tALLKLMeWl/DKUvACwzvVnIoDGT08RXcLHKMOwW/wpfpYgr8uaM358y4+eYYrgdkZOWTBBqe+ NZLjYzONtJLtJxEMCqOrj449kABJH7wV5tIdp0kO55Uvm5xOel81DYU+7EJn/6t1Hx2dlPgH+otx H+fbCaMXIDtLlIPIboARU3bsjKZWJUML4nadYQFXl3qV140L/UYQCB5Nl2OtZDEbGLurM7WVis28 URLJhqa66VdH0oEjjaP0bt1Yo+fmQkw3ohIo2I24m3Uc40O+WHnmtwL2egTa/weeQlBdq0PT66Ov V73NYM+bmgUnakKuzwSmfGsvUOoWn7dNAjgk5OfuK4iU/kSM7olwVDNd9d4Vygo3S5e3Gw3s7UtY bWwqnPwsDeQteMEo5cycv1SIFTP08qIgug1Hc2FSMF09xYsDIlJtCFCngQvGc6zHFLyUDIJFNkhl 0WaUxR5LniOCz2VQXiPyWY72n8ezkHBc8rPH32+NEjdGxIRmyw+9kiXJoZVFcWGidsi02QrstRtL L5gDQSpWtfjzYwKup53+gyxJ2Q8NLtVyWDOOy5x6Jf2lBzwhSrU/hWUYuiR4Vt4a/aVrrdeCUrmo 9U+YUHWsaSlmkETQuCpEp75NTENlbmznWpiyXf7EulxQLHPs/X2twLqLhJr02k8UANw4ftVPpaS0 Sjtqu9ERYdFHc3JGT7XiVWZVXpi7aUylGo0v+BH6wV66CyGxJKJgoWa/6A1MfZVrHvBMxnsXFuH/ dG5mwvyiP+5br6vTj8x1myGRUEJr1XcuwIxqs0lFO2JTWLPilcz73ddlkSc6I79gJehrCStGnwfc WkRgVHL1axIGQMWMtgF/cN5vhyygnE2daUgZyTaBBAP47Sfw3axP6MpIggUSBI3oPraXZXfGytQf g9f9HykONUGu9NbjP2ke2kHPcl9Iidib9AXBL/yyfLj5Cvg9Macpdc3tM7aCF6oL3C+Ehfdn4YPm p5E7XyPegbenfXyDnp0RfF8B0cELgLXdUvEKuipDyfzJ//mLPvwm0glFiW1/GyCCkt8oOG0ZCGsu IPy0xuiCbAMzKW/QtNKr6eKxQNlmLthejauqUcC7geCOonxm/h5Vb7O/NgSgBqgBCX2jsiMy5SkN IAaC2fgOhrbwE47fqdXAzeuMnBBE123bJsQ8WCrHtRIXD+yI1I/dRdVZtgeyIhJsjEajHqtz1vKx JMsyesMsQGznAlWQl8Am4zBAKn5XztuDC2dzcqxgUqU73QktTvDMPrBznDsh3TsVGw00U7wRpWox GxpR5jOYnFjI5JlWMryLgMRECAfqpNnmMcYwrzlRyTgKoBrUXvjYx1wLmdYKGQlB5uxLHtlWf+5Q HkFDiaPtjvJ3C57UfItbf5Um5vyEMiZlQ5j2tyKocjpd3JQN48Yi7o9hsXKNgtdYEP24CF2xUHPx bA8UlZj76hksuftryW3RaR4sYmvh0rTz52hDGs+Bz3IqOHD8IZFMezq0zQW9UAPAOAaIvFP1dvIl aLbHughCPKsp2IA6sG+MITf4dnSmxaaDbWfpQiJnjbtAObE7wUCHlYeLrpZchc5jr4k8ceZIfyYD kUC5wilYKgOA7HRcA/dU22gOurJlKTDJkGBO0r6Ng3syfWtaT7q3y+jIUzVB+kj1P6IurF9v6q1E VxMjRc//35GEx+F3xfVHta0kZLffwcC/zQCDwaWbakiUvdSK8a/qfl2YvxM4Hd0GwUzvbmWf1+tM qQFAdBdn99ZAiUk/IzCBvcH/2CQ5teBs4/rfjECYWZeRnhZel8NQhA+MEsnFtlriig8db4FKnBR5 +E9mpcgLRfU0Vp221abZgGozEI94I8NTVna6v83ayybvO0P0EasyLYEebuF87DdUe9QbEWZNpY+j QyKLO/NXDL6hNj7uodfwHnyckOGLz9YOidrXVLfxks+7jvjY5ziOyPTlZEiflZq4RjMM6lUrpG3B wCQO6N2m9VWkpVhpwpdzuu13zJ+8Puq00yTXYc8BLHud0SqlU4O2DJnJICl7VNdJm6uYFiD1+siT oDBPMDiXigMppqEsNBIzEVV7EQ8QynrD7j6xyzj3dRbcTMG/38ssq03airJp8QyB1K2r1wKW4qNV TfnrA2zI4fzGdeeeTQ6loQAGjxmrQcWpRwwNkAHGnhSOM8KrR6pBeFVSx7QuH0qQUjzxrgqNzrnJ TwtEnZcOo1DDG4eKuPMKdozDiO6ht8guwxtEQpke51weDEZgo9zSjG8BPE/mAc6fpPf27q3YABuV K0op7KOje2AkI+KawptPX8FX1mt9rFni/XvioJ1Vpk1JwfsNLuwlFZtlMWWHBfATcnl/29olQQ39 clCdcCyspgGhk8T3OVFHhBHUIm0PrjEZY8CBkkH6MLwS3BMuZkEyREjnJncju1/Mkr/3Wz7eMug+ ObLvKg8hbLk/JeGuwQRd8jxdJ9RcxtS9iWRyLmaBQaXw2GDIuHxxUQH1olP7nUAxPlDLb+zIjq36 tL9eev4d/tsuiEkMBgNNiIuJny56OWrZk5f7buhOupe8rpM9iIUNG3m31EYRy7+IDUchwzOjENUR i9rRdQUR/Az7/DnpmXMRWSE+Md8SpBDW8vEwZ6wBkIsm89haZYx32utRXSM6mMOQM8swqXZQibeG X8KmcXwmNOJb2ekcRs9Upu367JC4tBAq2ybuKoi9w2xQWz35HZFjEalQrBuakcHRjarf9cXhgLFx qYaHY9E5B6GjVrtpzoVHIJC/S4gzJoSNsEeaYQZZGvzoj7tuMyRlfMILITv2Lck7BHrDtYp277AV INgaM64PpHSsjHbxBWs4F/wS12rWd4PZOfDWxIBYQYuUfNbBY1oJpX2qV+27OD3NDbwxzmI3sbRT rJDsf6ppKeBCaVpjIFV3Rs6/Mkk5t4wAHTsE0L7WiM5Cn2xeqWNpS63Gte0dxngVoUbA6u4v7tRk VTBQkAXX2/kfVDWZ3K8DM/CaxpMAVw/GWWJIIC3IBADkniqFVtU79Vzg5YOd+g5eshts8SKeK4RA 5kvsSD+lu8mEne0/7uv4xsSZGBexVMyJBxE5aDfDcUdH9km+j7mRg52YgczAg2RE7beWfEkwmvGU 00659u9XtMgyHsnrqd6SAQOR8Wqt8XyFw55auubDNgdE+Oe8NF3cQEvcerol4CdSf4Y74WV4QKAd N/qyon4Vg5TEx9alO5Y0rKYexyxzipP5PVZ0cQBVInYKGw1fG1Q9EH5R0byeFfuxWFJAucaToCXH SFKfQrPtnkYPHlrgGi5Co4mMB9limdtT0VUUjo6o6G9gUjMPtVVRW8sgrrvcfGLIi3tAgV4W6jiD SfXoj/14cFTPbpbRr9yK19PQ8ct5ZWLbgHYfyFnw+VzE6CMW5dlMs0MPS/k1XT28asiCbjuyYtsG OOijPs5AYBLn62+Az4XTrMEUHrl64ROa35gIn0iaUoV93VlKXUauHpLpPL3niUJ+lXlNpO7U4SD7 K38qR0LJfriGsdUPu4si/XLkmBK5e3Jppw4Bmoc0LjvGiUOXmdfniRnYNY8w0SidzkeEE0rXbgoy dwvZq5PYRz9lCLY8z/ZkpCnF1uhDatdo22Ha1gjQifCYqrgoowikcO8feS7rimtJuHwfZauUJoRD 7ImXUcKItPVVqB7ncL4fre10pvXEhBUQZz/7QIELTje5LG5zw9CMol03cwl8iG6yOCayeodAbQLy taJhChAqAu2wKrRlAahCSo4XA1kQz/FzYR/pQuQ84SubrM5LkxDmkc2xM2LdynIu1JNjthgYwfFO LgIfYIkqDwl6UMz1Xv7qyCq7EX2OY4dRTthgl5GRzUp5Ab2nIVCItulUec31hoqn5j63G5Z4tPif xhskd8SX3mP6G3BVDMQrhkFzVnkiaLOrj9D27JVELURhawF3390tlzHWtNXNuCmVJmsnhYFCTecp 2vTL4ROuRfWyKBevc2bjAhdI5SDTWPkQQfnUWWbwlQp3J304B2CBT+MoaRGnZrNioVdt33aPTI2V 2cEBwslhmNdYofRYTzjDAtrCnHXi/tsZ1k3/tB12jbVWsiSN9seEmWIJTTd64QTN5KuHq1AjMWey DXPJhVfaet7yMsiaTtITm6/FeY2Y9sab+xvxJvY7DXftPeR3do+dtH+lJuXygxZzASmy8WXfNxoi 8pm6CD5OGS6UxjcwsCwkvD5dJtWQylOZmsS/azL5MXI7r0OwFW3u1LTyjm4oIAzPo5Ka2eeXL08C +SVUM9rkD6GlbbHkyNu/k7bZnYCmeuo8R3imz0Mxgu7ImV872feiLdkdzCFYwt9c5iOpFfQ+smyS JMJLooJH2yUhRvPWPsBdw+0bclD4tLa/p6ZCIT31FgOFPYFyvtYV4iqM0uyvxy3bqcdyqF7O22hw U07c8bO0GLFLtKVNRbkL+WbbIiSWYZfX14iyD4YdyG8lg5o6oBaOVeSI8cUCUEqndnutiauKSAme xI1KGKKE6dCXpFnxY8M2yvWDQz2Ufiqge/tPUmXDNdanbdNZVBZ3r7GbmX8h/LHC7dfFccjKYTnB j+wF5R76GGz2ExK9Esb8FrnTuiVPD4ZpXMG3KzQcM0P5LYBIziTYGppgkwU/PXdDlS0XTMYoMBX5 fVeTQxZWsZ8wukpSAYSuBHBCgLdYDeoVTr9rVpHa4QlOpjNoAnvxrB8tlSoyYSeOOpQiea9UgyLw Cs3A7CkuuN7iTCw0bhNzbi6p8NYFLVFM/8sIa1z6vwr1LZymgj1FavKxogOdyYPbvHgjA+VOTAVT 0eCgnEGuEZoj1KISFxef6tavIQcUypxbY+fNzeflECMiB6pIYCfi323xkqycEcmzPs9sdvNM/ZTi KlnADKOdEVG1uh6FKLkWP5S+/GsL3vdApxGjkz4hzRbJGVGnWpUIy40NSDoBJTB9zKTN3pFbpZ/M iNbBLkG131g9E4Cv1HyNhE9N3ByGLNE8/pR7W13KtLuJCksxPatKOdW5N7b0bg7BtEdqvrFZWXuc eKieByAmB2HaIRZl/RoIkvbixY1+RO+2mQTdgN+pFxlCPtOXFCA4VsSiZ9cxcorL/HXyIGu2wKVM LUdZxw+i9IS4P8TpWAA2QmheYtsucRiyM1cLo3ZV5F2yMgfplFUoSFOu7WG3qw/n9D1pGNdse/79 u0qinsA7gm3LReXgsPPGCOZjoPkU5PTAn0+DuDIeJdlF5pa1iHu7/QDRKkL8thTUbLTeKA3tlkNy M7BzRqhR6Ft0zVCn72gjL9vtp1Lyc1ojonTu7UR/F33f73Cd1Mv9gh6REa4bbXIEGqzeoqQtjfBc pp6MZ7dVrd7Eo8mhvJsuVxCTQjobMWoP3MnqCYGcwTc09xUnjpIOstk9QH53z01fEJumLGZsd4Pb gv20kcWh9+rCkUjlB9W09Q9qdqq4AwLNZrMlAjEWFjGuB9POKWtFm1I9eIs1JG0HzUBIHc0GQtHX c3AeYN6vQ8jiSp/r3gLEJC+ycZ6CQBbGl1vePAkyLUkUHUYn6G9a+FX/OOLqa355SrQ8H93It5xD 9sUl0894QdU+5SNRfGe4vTVjhVn79Oe4GuhBNtKP0Nts4Q00ZcAFHN3SK7K6I+CqvhuuxTCrPQ8F JvpFb+MGZaogK+BAaPzZFtooJ8tOyzI0R9b+3JXJaVFjmYTNANDAjd7HNkFlr6UkkWOHB7iU8MJC aeZuLdWYjkmooq6/amRDtnBGGDVwu5fqjAonDESw6JH5a9e56DqGIzu85E2QojVulpo6kcwY+S6R mMZeat5GIi5scK5eeOQuW0nL6iDVSz746eKzrqWZno2RNhqjELM0LLUeOff8QT4lU5PPiCmKio2e ggsbtujl1dBUG8kBS99fX9CYX89M3UZZyfnb9XDoOrXB3ipOklZfnQVxu4HVuOm6Qu0x2DVV0Bf5 9HAcbiChqOuTxdeKFqHUdkZG41gjapKhQUa4+bAHspnnXtEet4mg3P01ouuKY8pJgplEnIUf9sRr aNhJFTK1EgcDJ6LwdJ+upBcvXsTKA7ty3XLQCscOAdAQg/gkCOt5sxDk7veJ9aAhlAlbBU0OEAnJ dFnynVSLf5z96UmM1QxAhOL/W/A+M8N7kEebrlYDlqPUx5H5znVZT7K8dVYO6SWgJs2aNwb8tWJk DttPPgZSKP3Hy+ZGX6WucAYS0XL0JjrPh9HujZ6YsC376fDUcwqkzoTCSMfNbS8X4cRG81EZF8Wf id6ueqHc/SoyI1EPSw6VwKbc9pLJvzA/6WiCw0cDfciOj3c/c0E4a99bXQF8DwJl/YI/zQSEmfah VPFqOLdXvNcGgZK63frzKaYKuSHSYEtVjKZYUk+S4qt8yKOfhvn5RvCryEmki8lNjd1IzysQZHYN K14/aYbZI3KwTo+/59+t7L4eC9Y9B/x0PAsJayMI1HAQaoRkPLWisUhxEvZR9toFv0fjZJtg3oGL ZUGskr6sexmbEEXAcoO5a9XXftJnwFS9n3vM4+d8xqUWpQZNXBY7miL00WQ8UgM/hq6H6v0OLBCS P3OCJBFKXILBLSUdjDir3Wl8G4VJZ9ZK2F9vCcUn2MPNX7rIKOUA4Oztddtk90KI7FULeKRjTpwD 9L2Pb31/5O1lfspM4tulfQn2NZ25lp+hKGZIXdp0U9l3pbUjsRtWCABuGnRmNOZZ7mqaHakSoA8K RrsF68OyfKYLd3CyLB8s+wlMnsCexFcwwsPmGrPcBsk01xVv2c7r2KOIip+2JUwa+wdwOggzf497 MXQVQDCMokVOzog9N/ZxbW6mM26rpRywb5xJDJKQ6cqUSRg6eitxR4wTwRQVg2XTUGZeOYhPq+xe bSWE3F5AMpKIK6EI1Gv4ZNVZDpQpIKJJwULbBvuexeUh8bxh3ckvV7I9AbpYtvHrGfBPctXCwjl8 djOabhTU0jvw1C39lyvxEX4JVUhkGta5Yx2GYHjbvupfP06VluRMQDLXOG47MUzgV5JZ4EY+udhG F4MSKMPjZcfuvDPD4UaaeeEUxHvb5rP0cIiWtd4VjuoYhcff/MhmPlH+U5D0STJ5PawFvKBG07gJ jwxqHM+zDgyfonzCagcG3FLWZCSalULwiEg0Ku0W4xgfaa5bn6HxiHxfn+18n8saOZ3gUkyBfuJ7 DdYEtABElrEDGlUxtHR3IPFeZInXUJ6krXJQ6nVJDxRyynQpmzHdD9O04ZKfeSpkizkVmfFeOy6i 9/fTYwutYGZbfrbFmsnb+O20Xsoospxm/xXx822Oes0RfCJVjWefT1P4sMD5CXmd7dPjpFjVe3Sg cpPJ23gJCdpTrLS4ltbc7W3jg1LS/e++N0XEIKWcO+doVqEA8AreEXUQEVQbMWRZf/9yrEtftr2k 9vWzMzAxNDuWXFDE7fCegjKWHcMdu+NZLu2NbdfsUW53LyhSDXkfxCYRrZ1eJgQhhRNsQHNkaSs0 Zp9lJdwqZMH5Soh+8fD00LkHCiR7KCevLe9u4VxAMp8ugEd3kBxD/HrwmrDQvLnmH0cJIHI5/4rd OSxAVnQhGFBupJwyDq/sTkVcXZqD6jyF8yIyLl1oTY0MwltP61eIXezTwBqml44w6ofAwHNFFj9X tL/JY7HXkn5+bRbYOQkCCIExkrNZc/9LlqgQp+xOp7y0mhZQmdwh4rgNorvK/akfaeg0AVLsufgo iJfD0FfmHxrH3Pzv6Zi82DdIVCFgRTNa1cmk0ho/Qbf1g2MEVOT8iACZJ5fn3QdoHq4iguKJ1+le jAFCPOBxBgYbNQz5wxnZHlzNIXUYjwido5ScnbSZnYaL0TeMWDiW2jZIH5uYfDf4BmxxkMHHkM44 lEHw5AgVjog4cpAKxCjv7XVagRyAk7+YhbSOAKj3bW/tkp5VF61Z7PlqGgGja+6L/g8LkzqGPfOI ChmZd7wmrAF++s4F7NfXvxY6t8EnYcM0v0P9uxRrHDUjA4ZnihOgTc6i4EBd31FVyf9CeIghkZVC /u8CPOrDxGfRZuSzT41jZ9h6xT2VlNmyTH4vcIR2DdkunZjZp4nuyqutfc0hGvw8vEUGvs9CJKWd QdTLFfo8gD9hgNCi4BuPc/P8MUzfq740UmLUJm8Tkhhc5q75TPbg+/XbwKL8kVlrrJHJrdrfaooA ejSWWjL68qXwjvrZXkHUP9zcrr63lHb9wslCoIMMt+KLuWcJ4zE8S9JG6nj+bo+SBrXdgTE8zqxv bC1Fkbc8WSrCsc9aaulycz8eujaouEEgl6is68FJCvsbTHWRMD7c1Agh11ruXronVIgR/+j0LLaD gotMbufWrx/JzuZ0FPwAW8KMuNj7jy/mEypZuv429Ehap2g61qyjvRU1yiEXIfP8teTpAQ/eNonV CKwD9BG6M0Tj+9b4GaFIqA055ptBcRsoGMWnOotp6ucKY1pO3iMn3Efe7folxexdZnde5HXDwSoP 36z7mHcrdRl/kJeHPi33OuUgX1YEBzoyOYTHTmeGxDpPAkGS9WhgpG/nsecgTP84d2HQgbXXRt6n qoS5rjtxpXENRCqggZ2b0p3Hip9QamL87NQlWaUmk364/PbrTvSRSoE8OorRja5tkRhGuBmfYTIv h2fQFyj0xspeRhmPeZykd/WIVyvGTHWd2s+VOz2QxhkNf1yl/cJBMeyr+X592cHI++cYg7/Za6yW +h7xUhbSqZRqTzxdh7IQsVLyTXOmEiryYAaHTMClrnTsL3tpJCIdoDNWI3PBANfLN9Jw+EHQulO3 eUolJp7Tge5EzwVbElnvV2OxaezCSfv7vCkQwiJsXjxfEnR7CfBuu+HrzKOjK6bBBddkxAZA+BLl zt35bi0OG6OnxzcP6G2f6nFihqkVQAVi7DQ+eXQlPZPeijg8/adxEgzUqWZgrTx7KfgFMeUYFU9x GVK1SUKZJpMPv7gjQGxM5ehcmNC3f6pwyv9pCXx05Rpr5LSh+kgodxeoTBGE7o05FWIRErTCyb1w L9PPpApe6hWXNje12M1eB7HhzzuN+eROkfkzLRs4dLYKuByOUr7/vgQvaMAHeSNuTchalQoWftuq chwXO1xUQ8tVb3kBUJ/2g+I0LXtMPj0aAMJWnEd5qvcSDyJku9P31eZlwIpTDsQaU0YMsiVAWyP8 qDZq7nst5x/ftTOTT3YIKATyE9FMo9tbF/5+i6Ganaal3IXiiqLk6/siC5NrLgXaNwyV1k0CuFTd hX9+IRW4vRk+qlKlNn9oljyVGoAUK89xhdxv0s32GmH7XpK3dD8m0Y23/VDxH1hTBxBCLAseNZSO caTJl5W68IP+C6ROYGGrIlgMIWlpj4rPF8JrfWeEamatVJigjasIMX24UMGtmQdxC64XQCVMDmmk ITynbFTcH4cR+3dlDJW/QtYy5mdQrLcRpJTpBNdNPv0GgnyGI/xygnCPIJRUlBElbKENNL+dmOf1 2XJmBWyLfwxGFrbhqkTHBtsN3EjgxCmz+NgCFNMpel+yR8t2tRalVi8OGe2f0adxL8jSzGnUNfYl o1VJqrTrbyxvakRftO6E0uXBRlSJJHbqsb4F51x8FSz6a3ujzfVOz3Bs4Q1l4Fftq7iQVI/qI/DI Bjuu4KwWjjYJ759KjAJ64aFOWgBEm+grGuVVnIiPbfu19nuluGkn3RzFAUmpcJOsWrYgKV4+wZMl HmDK/eGkS4M0a02YH+RXNuHH6phXqZX/pxuSJfNKm6PsprImkiAQc0rdjBNR1HkiZhZXy5U+IB6B TBQyHKZpzV/p0mf4QxJBMcfBt6wSmlIb9iZfMlcceat2xOhY++jov7jASeyshwJly33sfwt6r/pg 4NdSWcQNeJqIMjkgNOjch4BXjOJ6PVGrM09gckhHdy0GISEG1F0jDVLam6iTsudT90gpYIK30s71 aDdPpiPxQowA0lYk+DvKhqnh927MkJtxZY04xoqmWzuqip/4kzM628sEFNckJDINOyv8/4iSMm0p icKfMS8aPMGG4mxNtmDpQBhdgB1Pe5UKOie5S8B+bw63EjsQS+G3qYBwNmm5tQN7B674j8JvnhfB MjIZZ3PbzXphVn7JN1E57L1LgkfIL3ZS/uFHJ+udlZiCgjectMOkfgQUqEzwXYPBVRlny2nujOOa EYGMLgpvFGqSFcg+Rd43x8kOetkYyKH/yfn02awWEDVsZ4NZKrjdGJRBF7Cau0nw5wBiuQduuLfR iSffDnc/XLTvV1jGC27CDu4U25uXAWSG144MWFDWSScdHJ6VYPnrS15b5Il0oPsve4E7av4vpKZh tlSzwxGxX4jnW93SFaJ4yDfCLC++kl2Va++Yglbx3n33pKK7Rw7FdTen0xHzltJxy52NQl8y+Na3 e1Qevf3TjgAjCQf3DIan3hKuzfRCF039NlteU1CEKwcE2HbjlslrOaLjnQVgeGXf59IxvWOP1yl9 O4C8K3nm6FR1j7vp+PnHxbsLH25ejURadaZPJwYWBEpAaBoD8BdzYf3ZC2Oi12OlNGsProE+996A N/Unz7IB41pNf56dhfnIQo7noN0SQ0FPCzs1S7siD/TsIgcJv/meDFBDs0VUTXH583cM79/uknIW zUEmdFcn0/BM85kYmzq148GNYaRfJRe8pCnQ/FEGC+k40Lsb5ZewlR8flwriKiE1Vxt1+g0wQXzp oiUFbLmvrjEgRoOUdhELZINSdNqDJSr0LL4aiwUi6/zJB+G13GVYPmr54fZuF0ddA36hITBQCVPB XNJ0vVHQOG2EnIPT/JgI9V4678vfFm7MOyrfkkMEP/D5YjIZ+09EFaC8oiDkNuR2h7NzPAw9mUZR 2xhMuWZIGuI3oB5KJzITLt7pvudfcC01uTjm62lMVHwtsnaMNwMy4NkckDd+sC6ScJDHt8JKcY08 AbA3hkTLM4WJIlKJYlSkzY402qwhs3qjoOYHXLen4gThX19nwowO11K8faISClHsLzwkn3FL0EFA NEeIJn7cJfwrT1FRTl4D+W4RMEYp8Yskm5vc6tS6CWUqBhP2HkBepQUVvmuAnaS1xciZZucbY6nw 8CzUWCp0IlFVRTHZn/vToeYZ3ROUVjrOuKZwTBm1tBLoT91PHOUOMj3EaAC3AlpTG102Z2dL5tVg jZkJ/yWcRTplFzUj6tfAI3u2AG/0CUcuH5eo89LtdOcJ/ZjDa6nQme3SwWTpIR81aDvkvu2LbDwO vKV87mIb8LvqH0kaaZesxsDCGKOIKzveZmKffnMirjFZ+pjryhYGgSnTs3uvuH3G6anJPU9Kc+io JnuQlsLScgQ8mckNwL70LkkcoPpYLQW47Z23cOMpKOHLxG/gn0pvWE8yTUBZLOSg4Pms/X6l+KDu UrWEgx6VLl2I0oFc0+WwF4ZpTApj0AUr9hBRKWhRO5yl7mo9P07L3Oxf9PS3/bAOShT8biy7/TvT EJZJTXgLAHDnF/7CAEjUiNU7k1vlFnEf+BKcuiiyvEP4ZdzPAdYFITuF835YXpdhlRE966VnEzaz H6ONgvJCBRhY5w6p3SLCJttiHNSymGGqoGLCsnKyOJgbLgnKw3Cmpsfn3Q5Z1p7unBaKcpnrAdFo GvPIm/g7nJTiM7wbi6AJGMWXnrAHMN9eY3gOCiLaE3WHhhL2YqKuiUt2dT7/w4MoDuLmaOhg81oy 8QofF9arqM5h2AbO5zclLGc6YI6S0ih9sV9E+7g/HLdRqe0CgTqvtVwl8MTpxRB8mi7+6/qWOxuB 50ZA51YrQerFDZhVMoiBLD2oJP8Bul/ozcF74WnzrCRA69QcEkFg1OWoJUYp5wcJCIiWHrSJPKfr xZ/sQdkU20oPOqxCs9HI+jngHxZN1ZJP4hYCe8kit14HKcnutzBrDgY9fK4kZiW2FN+D9RtSQLLU 7aWDjjgBvLIU6Ab0s41lqcvX9TIHLkby/qDDjuFSPxVp8GAg1W9ZJmFoFKC4TsFXoF7Of7TQNu1e 6iNFHmMHPTeA3MB20ourGBkV8wGYOCyuJ6ZQEw7OpOX2LcIfAoUSEElIjfPCaYSe9iRJpSGnUiV+ 5qVca/s2TKBrXBOo4pDkfzueLNWJKySgctUZKTmbTQTmwDy7jHHgV4ieQpWsC3pbHkqmMmdebczO OESO+s1Me90oqDF7iNZuyuSI49DJTnJynBTvZflrAKwL9RC/X6HkGv7jArMUkMGnbdhHeukijVMg 0C8AoSvmXx2P+m3G1y6Cjiu2htRESizCsa/MzBPxPWaV3FNnfArR3MiD6xfdq/1qlHy5HANMHXLr 3q3PvGY3pGldj6HGREzczBKh0S1nIJIFNFchtdPjJIf1d8xT23WmqpYXEEeFFbcm6F/lRR/XzhF0 68ptZUgHKyr7wtsuqzC2GVh54gm1JEE/TEVO9YBpZoIFX5f58gQofpzizbkjgT8DmfpCLCLdCaPS P52pqXixn1yCrETcZIj7rh/qsJxugcFjAabUgbYc1taMb76Go3V3Kf5A8rxpaz8VR4TcMTVY7/EG zPddpuK3RE1o/QqikL4cEmX5lwJWw3nSWXJ5Rrs1vphOw8+pY0u6gp7AC48+u9U4du4vYGnEvFQG l8f7nybsYNmOjAHA6gfQVDc4tpvQqXZSrda9+8evhiiBi2bRL8l/h8JiCVAgzEHGaDkPurk3LWSv ccm0Q49/2ifoyv4M6tPxuhgA2lGbQHi4U2s8lmeCDuyZIMNrLKx+A5XVQ/TSBBUCwR0vaytVpraW uV4PnEVLTJHg/ploXZVM/jPvD9ybWnbwaQcH9ocvBK8eAzEzIEVLuLaykjb8TliznIIwK5ZM7Qx1 /3a0JyMlAr9zeUWZKHyS6EEEcSbcN5WVQSFY18EsiaMOYCZnvytD85Ze0gxZn7x6KCWqngD47tdz ibL8B5p8s+1lTdIlrWL4RNuqyFL3KDmL0jCXAbGvayL8IuJDV1LgX2ARbcHvlt+20hhiaiSTLAjA p4xGtk+S9IkA2Aw2ZBqrwcpLndG/TzXmkO84K7UsTG/jUnPH2/IYqTmD86X/ianhbp1RoApwmD6k xt4ToVTvjAq8ApYDvdos2cvWISA4ZZO/va05mku5UHFcI4O87mIKPJ9RMDV9wIwANhZkDRyhzn1J wxWQNaoaOphEKbYgzrGmxgUcoZ2NqoBTc7m3kQ19R3IZ0dFASWfhWa6l15DsSv9QBozHKhcTrjF6 5zdl51dxKaWUG7k3fjR0P+AjdCsc7mqjsGtLFqxRDa54zwY/6p6xozvcWx3NC9mbcygW8GRa3bko OkSBNCLRq5QwRMKQx0DohSKHjtEllYmbvDWBz4m95q39JS/c7pbxtf8MY1G/vbUmKynoTx0Aznqw 2XhV69h2mAQ2ediH4P1f0/a1qAfxH5k93Z/AugRewTNAhKPc4UhzDfQYKx1ioZ0SQfTZXB6Fee3f 4ESRyVKalW0JZ7JlaSy5Y6znDrWhl5fJaxdkv5C+8IrKI4KCKauiEa8u8Iy0GuOowKRbjmySsLqN MGQRv97Qtl/jlwvkmnPDoiwuTsWAqNp4IrLug5Pb8ZJGGSt4QxPJN+KFUMV8pD0dAoRyAKifkvSM 2T85kiidGLcx/mdjQjKtCRWwkqOv7h44wtqYYKqHFmcmEuvihLInuHGmRRLmEhOGI/Yvng1M/hrl SIA+mG42rezgx9A3sBD647v0kTMti/WWoHM1HXyXwz7doXGa4BH2xJeYgNysBre6yDp4jwGPwqwS o+c2Ht+5x9VyWitPKNXiEGCFKVpVoC9Zckf6f3uNGCb9SU0J0u9C7CJIacr+HhBZSruvqaLrvWe2 koSxu+z4m6QgqkvNGJ1Tp8z1ToQvG5p16lDhlLCJsz4uIlnlUjxa8u1kNe989IvzhCiXFJMKsBTP gWt2Ge+g8HjnSbJJs3F/XMnJXBRujktOdk3hTbdhSBkrsQ9Vw1DCDhNPcA80ywG7QJbyFAFTnHQ3 GUrqZ/hQgjZF5uDH6pL/UUdPz0MKgnRGvTdnRZ7kvdJJ927lvvoSNQTSm3W730QfbdbxO8BvuQmS w7Vd2MQyUCawgpRhDSZlQ8TfuZSU++i/S2LsHXKTVwcVMCsReZNieVt3GeHcNhCaE0k4m2Iwom1j +sYN35Ju+UNVzxs/ZU2hn1OHlOAiLrMMRTWiR8tFgrOFvPQKBVZVcStebJM9JOM5S2+gcIFjV3P/ 9nxy3VTOhoEFb1P7VAeWPghJuHdNNqhv+bIshvV9doBpUkXj6FhognSzd1SWlMnEUcz172LZvGRm jo8cmJQ1hRw1jNb5yDfx9oaRS9UGOmQTOR7+CM/eywBGtd4VkOnFMJXSSEOduEXxRPFFbzkD6GLR JN7nCbdxSbjBcp3KzG4+QQEYOpeMWgODKtNrDBi5/r2XoV9BC65k8+1R3gUyVK43e+IFlf7qx732 U4te9v/R2lEFGcKcq7qVsCf6d9eEdJ9FB7Adt4CYCTB4wStMgZRBQzZudoUMSbGqy1FbTb4W/fBN DsTDjFegtYBHocZSG7o32VLpHr/RSqZwrv4b55eVrI0RPYJl70vKr9mFHBuR/k9m2uXFlyCN0WuC 9jT9cHR1TbKkoDAuGTl/MQjMz1bYwD1DDjH8DIY3yEK8PnVQ6n38W9OK5uH8MGR3it/flekdfzmO lh7+e1SJBPf3Gi68ubI+u66xMUmRvUPEFGjpAF36qxeyM9Lh7yfoKyWW6lY+MUQGoeP0/Z20Jjp9 UAZO3bE1Jez0627OwvpUeAnBFLRtnZWirU9HOfss/JohttOM8vknxY8uUi+DufjW4/1tYaNxSkKi uvrifaMbSX/2W+TwB0IkMRMXZHlftovvYZ6A0ZjNjp8BRKYu87sVda5l5PQ0sAl404vX8+o8ZHxl 6DalfUiVaWz6BnFmg/058hC6qxlpBfsJ8aozCnC1vaAoP8i+EijD5C5/7tJHzRQ4ymkTqQ71yUKr fSSnkWvhCDnZg51hh1JplzRw/uYXyln5Hw10bJm3+FMRV203w2Ax3ezgQ763nHN3fFGKVXClKplO rKct/32pb8vsPuK5NDdMFpFh5UyrD42TXNpeObiRfJBX0NK1Q3/MPp6ti+wHy4J44oWIv5uML7kH jyhfIi5BcgvuRfzH5LhejUG7Be3zyx/QSkwPEkLDWWBUR5Tfok1FKk9hdZzzfdf2B0rexlP/B39b 0CWSnRSfzkJ9jAFB4V1pBlYJ5RdXgTkT2DBM5WgPigAxIcAOFe13QE0XP41cyDhgqyZOLFUJ6ubO W3OM/CSvYf04rY93nL2dcVeXcFtvO0PG74BYZyJmRdTgXzZ0CG/g8RW7AKcfuuCqgZfTjCamzYtz AcK0uyGTGYxh+hs/CKMReJFBMGVMPTUkKqz0hWbfd2Uy+vlklBKdp+HXPnVkc0kL/Ca7dYMFgfo8 KKOtSfCEaYQ8NgyVxEIz/vWxB+oykBekvtCvkE8euUsbDfnLe70HQhQeHk8g8cSiy6s8TW5QmkmL goFyzeDV+KXAtFqeyvQyGRXuJA8wFAO3wkD6qsZFOzBBBz09zjxZHRyz83Sg9asUKd8ifHgIKWLK ShIaPMDAhdofCrJLs9UuYwm1SoGKVYxtAQyfF4aUeafmpMEfkiLrsAmyFNTBJkCT1ky5I/c93pU1 WLCvpNyf/AWXCwZe/NR5wNo+jPJdjuAbIIMncacIgGcnm1tywrz7ooKIcqILfJbhG/8NJ7WFl8Yr l3xB2iTI4KDCvpk992+MdDKKd6oykj9ff2MotcHlLrYMFQ7K/YHFEqI6bvN1c1TxYlGYyMXtDTbg tyH20vMkRzrkNMkU6nU+wyLJOdNd0fnilsM/4n4+vUCSptdMH59BzQGbaHpiuAxzEl6BIGaq5XC4 5aYLS+vd0sE4bmx2wMXqrthMH07Kgd6rpPfimoBfwkNZSedolYLi1Ikbc/MChSNe9OLRDPTxGoVm ASycT6tCoee+MYYi5TDngeSvEKQQLlm/JFBYtDvdx38wv260+TikwY9bA6uaIvhhcikT/nbofx36 /WSBReTqJXFigZf7ybs0uKFc32RFMOHnksBMxIfBMlC7OaGSvaFuoE/oO81hVK3QfbS/1f5Z0EQZ mmKHEUOmsmyrvjlbyWcoTGycurq1VVAeo39J15ipNIsLsHG3XiY42UMZCvACrrP0gDnLqxWuQi9z 3SO7UXwbe0NhHkbZHsD+vy6TeZy8uBTbTz8MiU3z7Pm7VrcbzfxpsKR7BnHoC3gOAjv6eBK4Xxs8 g/M+47RJeWXLOc2zxgx1l6u+jDrXdhGmfR+x8vaY39BDCUTDpSivYzdt8Uf8ACZcDh4wld9o1QFq GlH0ODxWuJ0E4SYt73DmSEHep+QCsjZ/y4+2jkRmdttRVw85zML7uwwPrBA12yF/C7rbkZXoXGVd 9tIO8duQCJdum9AyWhhRVbWUW463EU7dTJRQIAUic51b+PkJAqQU/jZdl1/sYYnjJrOtLypPyKVy iLb/5I/qVU6YpVboJKxoC0a4Z0svaPR7ifpIRCxdJxuBlo/4TE7zVCLYyTOxo4TiTJR32+jvvjR7 7RHCheezWYKue3ITLAQqqQJKynfmIeJvnONJPqRHxoi4lPuPMk6b4BmzW2n/99yNLXC8KiYs/+zt IbXfS24L+NhYeFps/+0pJ50fUQFCuKxwdrQu5wacr+Gxkm0Z6CuIDZP/5DPcsdqxFt5L6rYeprXK JuTlc7a8+f5xxH5cW1NOwWdoopWrZBo+zBQxRdw/aEZCRYKgxoqjlpIxIx3yOS+qcyOyOsfNzZV5 u+Wl4ELSIEEfccOfz9M+NdWSgXqD3J+C0Mse6Ay+qgGUA4pXmzBNgMdnkcDgX9NIsukbwrMe0KxO +tbK1D0M8oI6UiA7Kydz/spRzEt4l4JWK9YD6YPqk55VAPEnqKJb+ET3MZ9Y2A/pEsBUlEt75GbH FXzZm69r/9PsWAZIV5H+E79s8GbSrnjp97NpK7uq2W+afQADvHhbfcV9PhEEzRVFMN12plDhn3Lx nYOvzFzUrwn+bkAg8r25vqSW4MSlSs6hZh8doONqev8UjdWvhwjrGSNzEDwIdcZMGkVZ/M4MtTPD fLuxxd/V7Ayd1OS9NFfxJc6hQSc03xbMWP0//vIViS0ROkQI4O9x9waDwlJ0ToWrDMQ6UCzf89XN ONM22LhcIoBMvFVDBU7aXVK/8hqtDiVH2cuPo8NDclrW6de5MJZoCnFzAXltyI0LTjbFdAI3BW+g k7xXpylvp8xlqPAcv0b/MZt4slEnszt7AXmE5c45pPE9Yzyc6upaDLmPS0ZO/zvhUwaMcOHw+wJn rIB8DJWX5OC6o9O7zjMc9c8pBLytK8jdNfMGot3J7sBmA76lQIHbI/wzG1oNX3kErpzycYq/eKMr OE/gCjlwfxU1xyfVq1MHh16ve37qi7eZlS1lCX8NHmd0VUOyeETLeO5+45sx/zowpvCNkGw2BW/D TIysZwMgsSJ+GTUZm0hE/4IeaXSpFSTz9YCf1zd/QE2TIzesToR9IMA9CJS8gKQZaaHdMTxsLWzw CC+cLc3RbuMSh9N4MOGIQWpuvoyiiNL+MQ4lLdF3KR0xCq0/yMxbSNDIBEQ0noSlUGxfrnUnskE/ 4Ja6qrUrQYTL9qGfaipO8Kv4AJvQK91N9YxJfn4vQMQTvXSC62Rk22E2mY7qnWuAKm1exjUwDeQa 2Qd1rbnIwCnAZbwkHfnGAQ2vY+B5LjznBPRMU5lzKrqgp2UbWrBheSUxU1BuzT0iQnd43qGXi3h8 FDGwC74SeatQr0/FZ4gMsY6jCxNOreKh1fjwjKfmU2435Kjjwcvdg+QeAw+NWWgvLpJA98IoPv0X mmVDTpuTjRDQ2lH8tvTjF07ba0jgeK6U9m0jB92YIV64xrw6AEiXCmIBRTaj+r+pLbVJqu9XkXFs 8KQmk6VzJfpZDuXhs/EAbYlhqxCVQvJQYSQ5xMJCKNYT5ug6XB5AA+cW83xcBELBKOWTpNapWk0q 8SisgEpcYrEcuUa3/MJPq1Qet0FdYaEiBn3wyhuYlxbwTUBp3yHaaIuzPSRdwcU4LMQ6FY1abdi6 oQmjtpjEGrS0ffYtaup2sC5gsK2UJAp+o7/UGpj41P+GJ+vFd2DoAP0ZR7R1a5T5DvedbRXRXB4c JINF7QyJq/P2VyY/Rv8AEqzFsSLbdCWlyDMpck0KwtnGGp05dx1vy4He3NKrW3fLmofl2MebAPQO ImjaAL2VE6zVUMmtdnJCzRZ3dUH9LAwMDLtPqCFVameLBXtPVJPXSyDNNW5B95/V5xgHU5Y4KLnV YwPjWvhW4hgzSgm9Ok7FlebxW3gwSctJ318SLHiXKhoRNeKDxxZxCQOyjNlIKqIeJQn6s5ANpyzl e+Vtx+6K/mjMBzcan61geFU+XuVxol5o/RUEOFCLXgbRjjsIKaeGc0Xsrnl6NHN8Suhsz6l+Dqup u+kidyMZBMmrk5WxnRmH7l+EtpW1Vj9k0UiCQCHnZwYSeweckJNy5OxnOe9a9IIlFrVF5mM19aVc GBmE6isUUvko/tSTs/rw+PKBDmM3e7ruSyK5My88a0OtQg6H/ZA58gXjBxd1mSaud2c7j8ColyqJ ruNP8rXzgzYqzT8G66E8BZSq6B7olNyldm3XY6+0pb2oj4RGaVepHl7TX2mEeZBGWT4jxMfNlIiW rnTJnS4/11UZyux6ZGFJKeRon5zMhfhJ4W01V77tiKmsG9W6dFtn3DiKXnyoeV6Y8rZoVu/evt2X 8Nx4V+az9Oh0/gciPiGEbRcK4LOKCsa+aeXA1+FZsCSj5Yb2rgSgBDUQZGUV02gqK8+Ro1cRHMk7 tQxtj8Z6uQoM9gkGH2ldGz5IS0/6U2UbpxZNcdEdzj53xODgoRbeKGnCUToD4/cAA3bR/70W4aP5 n5RWzue8sMamb99WxNOxt1TRbOpqEDb6EhokiFcDbb/dMlpgMffwHMfoyAbosSVmNQTAeWE5FbfB R5gBPn31eIlE0Pw5r6pZ3u65EarkhWSOZUt2HnSmZ2VbyoRL3obaSsg3RSRTEqzSbd2aF09ezTNf MERhGM4Sf9+HYHw5zsCltPUbkIHHO0jGGC8Yhm3Z9EyjlRF6fclwCw3IU/CzUbhl222eH0il8DZc Xm44KKQ/pkcNVKFp9LugIHHSc2rohH99s7ikkq8Uw0iGV4wZP09e57Jl1uQq5YQ7tNsxne36oCGm ha/Bzy5Ob9b/pxXh5BPXMX5z/ftSb2snX242R/No5Zxn6kZO6zuQB+9B/xB2s1HB+uq/eh03z+1Z 9smwumcPxfmGDoTMfZCmf7hJwVUGM+7VwcCiXPfUqsQNwcMzq0LcNgoBEO1zAoD3EVnWiKWUZ+qN 4xgFCulbmKMlpsxjLY6a05XbERLQzEh/9eGJXt+3Yo2P+Vrtc2DtHu3KBGrZaV3ww3eI6j7ciQHT TqTj/iN0qQc2WFuZ8jWAZRkdkhJ8PyeFVMBcRvbbWc1NLWPQKWargqrwgwmmklRETPaYe6JeccDb 2g+SbyhD358/Msm/DiXfnbvGX+yrv+BQvYqYaiWEjwFMJsmVE5HIkDzsjp62obZ+Ac+aYWPCbcNJ TaudviYyM7aA+FlmJ4IMXQixa1L01PhgPU6XNFdQVlr6xwGNQhiltvYEi267AmddL4VLXomeTdd/ qbgG3lWlxJJUy3B02IIKC8HeJ0KLbgzZa3SZlADj3tdaHnxWJQhZshB2A2LonmdzYUoTJi7SNPyL mVAWVombrKxx8K0wWoSXoxtAO3KmOdIzHdqMG8Tyg5TmvI18+DaTZZmLD0oBpb+5RHOGyVwvO573 Ztz55VEkCxigvPpDoijU/TUCYTTMUuSwjfIIkArMi+96c+TF5iyTMb2HskR9dAauTMjoMNTDO9cC WNwYA5sWq91zC+liC9y9G498jEO9clC0WzDNOAH6mzuM4RclmT5/9aDQ0N0Vbfw8/W7co9Qj11lo 2Rffg6LwykY8YblGBU2FpsCS189jf4CJ4O8AstKENIhesFFi+1FJh+/2mdzl5RFJ4n0157x1jwba IBWkivyzf2ynpqpaXRBc6fcggqqvPP1dkmIPsQ2EAJF1eK0R3CpH7m1RHsfJ6GgMeVjZ6Mo8tXTU zQQm/dQpxTMqkaObCIZML5RyBvm1XOVhJfbtHGpyucjs4mNZZPbpAvw/OQl7PZwp0hOPTZJs66V/ 8ZITA5OOlisUcOgPUev7BMT2WiReZuSsUY+znF2W2rOcjGgwlU2lwetr71QF4KzR0tZR0Vc/rY6n N1c+D8RGk/yROLcpx1N1sbahtUXhIhImRQDJFgLkyi1dzyy6MFaPcL2/7Cn8pblxRQbaYKyl9icc 9WCcksD3ezE1v0R6UpUhOc++Ij5ukkyPnIFAhfDTEg28g+xublyn4ohN0gqJRqqToN31tWDx0yZr ZKsfMNfVICCFJaw/q1JGYbB8hiXnxV078GumDkGTLStWpraZb5ffTpZcvWGyDumDtLDt72UpAn4P w645EqYPXBZHDV5k1W5AaCdjThksPq7u+Uv3J5SrVxT8H9xAK2lDgeFifV8hL1sqVWqCDEUgNS/1 et0LfAAO/jw9DxCvycdF3KyoB6xyT+yDhzX/uSusCFPt8OQ6U8Q2T2BKVKLYaSCxAAj7VME5rhCl x8bdTLxV0tSOPiIL5+xBwIGLdjicBpi1X+yBg4T4/um5GWZLFo2XUPWr5hgOu5tPuEohYBeTPwKJ ex72Sn56B08i6xMKed+vn/rc+W3ayUz6+kRV90VzbnvJwVJKayr70qe5beOv7dQNKHPzXzcp4ttP xK7hRqoZsDJ9PPROVCzluWYRQ9Xkcb4acWkK59ENs4k5pLFqymVuXj5ae7Vuz4bHgJiCReqh2Bji TATsXy735Q1AkZrL4MVZ5JJhZ/5tCYC2zX82sFEHUeXgdkg4b5omUYjBzd2srlqjzpti9Fe+mtqm yY7nFTvrVNL/3EhJugTs1Kp0ZdUZdHr8SJELeITGvup8nYjV0Qz7IFn1WVR/lqlSZSvg5ufoWpAe hUotpJdzR+xLa8VWFkJHYrXBXd4Z4JoyLnBxy+PMR8Wz2Ppc1yrzpbH9QLUyRPxr65QcPtgYQQ9W cNP0pCgXmxir/X9uprAVoDNJv1cTW78DQS+8ekPH/ryBbp++9xPFlmPNDUQj+Tuq7I/CWkWgkboK xjQZtE/BQ9RAXmpzfEy2XdYLWjGkVVXXzntCf6u5EfCfoV1HW2LGPvN6Qe4AxtwoxCCxe+mTufdu 7BiftavqU9SBhno/gTiobMkZKJjs2CzydaPAN6/JVj33nzzkK7MzOJK5JsSPJvfStKLHgJp0vj71 aF0BXp5USkLtIzjeBw5PQt8T8KzZRpvlt+dMDs2MjRtrjEUXKSNhtdPSla+S6+TWevcnmJt2M9xA 1xoIG0nF8kKs1Lo+gnnkYfpOsHEdYxsu/QnDJZIhRd7bQ+wNHWJNQUjoVNlt424Y6tBClXPfHWmi XNSZzngUDiMfc1BbeX2veMoazBE0tRghFsj2KH79toQZq8sjleWiuYNnOWC9LIemTavu9Hr38riM t+E24lW8fdzmwb3zL/j6QGjck1d9/S5WF+bryqYgHM+0FqpEe1X41aywjpg+H6iyn1rT/9p0Szpa nzovnjc+UGEnIYuif/3z8WfB3uVqicTRnzEvI7XXKZAgRoKof2Qd1Vy3DboYMJU6IbfyP/eVXhQd k45dbHKYGsbVKgwS3Y9FtZIDEUQc0unA1JchDoidH2uO96b4mK/9sxTBdk2sJ0jRyX4hj0Jh3s0L R1euKZm1AJa1teqhgyS1oboePs7U2IP2SAU= `protect end_protected
gpl-3.0
dcsun88/ntpserver-fpga
cpu/ip/cpu_axi_epc_0_0/axi_lite_ipif_v3_0/hdl/src/vhdl/slave_attachment.vhd
10
23547
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: slave_attachment.vhd -- Version: v2.0 -- Description: AXI slave attachment supporting single transfers ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 06/09/10 -- updated to reduce the utilization -- 1. State machine is re-designed -- 2. R and B channels are registered and AW, AR, W channels are non-registered -- 3. Address decoding is done only for the required address bits and not complete -- 32 bits -- 4. combined the response signals like ip2bus_error in optimzed code to remove the mux -- 5. Added local function "clog2" with "integer" as input in place of proc_common_pkg -- function. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_base_v5_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- access_cs machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; --library proc_common_base_v5_0; --use proc_common_base_v5_0.proc_common_pkg.clog2; --use proc_common_base_v5_0.ipif_pkg.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_IPIF_ABUS_WIDTH -- IPIF Address bus width -- C_IPIF_DBUS_WIDTH -- IPIF Data Bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_USE_WSTRB -- Use write strobs or not -- C_DPHASE_TIMEOUT -- Data phase time out counter -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- S_AXI_ACLK -- AXI Clock -- S_AXI_ARESET -- AXI Reset -- S_AXI_AWADDR -- AXI Write address -- S_AXI_AWVALID -- Write address valid -- S_AXI_AWREADY -- Write address ready -- S_AXI_WDATA -- Write data -- S_AXI_WSTRB -- Write strobes -- S_AXI_WVALID -- Write valid -- S_AXI_WREADY -- Write ready -- S_AXI_BRESP -- Write response -- S_AXI_BVALID -- Write response valid -- S_AXI_BREADY -- Response ready -- S_AXI_ARADDR -- Read address -- S_AXI_ARVALID -- Read address valid -- S_AXI_ARREADY -- Read address ready -- S_AXI_RDATA -- Read data -- S_AXI_RRESP -- Read response -- S_AXI_RVALID -- Read valid -- S_AXI_RREADY -- Read ready -- Bus2IP_Clk -- Synchronization clock provided to User IP -- Bus2IP_Reset -- Active high reset for use by the User IP -- Bus2IP_Addr -- Desired address of read or write operation -- Bus2IP_RNW -- Read or write indicator for the transaction -- Bus2IP_BE -- Byte enables for the data bus -- Bus2IP_CS -- Chip select for the transcations -- Bus2IP_RdCE -- Chip enables for the read -- Bus2IP_WrCE -- Chip enables for the write -- Bus2IP_Data -- Write data bus to the User IP -- IP2Bus_Data -- Input Read Data bus from the User IP -- IP2Bus_WrAck -- Active high Write Data qualifier from the IP -- IP2Bus_RdAck -- Active high Read Data qualifier from the IP -- IP2Bus_Error -- Error signal from the IP ------------------------------------------------------------------------------- entity slave_attachment is generic ( C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := ( X"0000_0000_7000_0000", -- IP user0 base address X"0000_0000_7000_00FF", -- IP user0 high address X"0000_0000_7000_0100", -- IP user1 base address X"0000_0000_7000_01FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- User0 CE Number 8 -- User1 CE Number ); C_IPIF_ABUS_WIDTH : integer := 32; C_IPIF_DBUS_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer range 0 to 512 := 16; C_FAMILY : string := "virtex6" ); port( -- AXI signals S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector ((C_IPIF_DBUS_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Controls to the IP/IPIF modules Bus2IP_Clk : out std_logic; Bus2IP_Resetn : out std_logic; Bus2IP_Addr : out std_logic_vector (C_IPIF_ABUS_WIDTH-1 downto 0); Bus2IP_RNW : out std_logic; Bus2IP_BE : out std_logic_vector (((C_IPIF_DBUS_WIDTH/8) - 1) downto 0); Bus2IP_CS : out std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2 - 1) downto 0); Bus2IP_RdCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0); Bus2IP_WrCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0); Bus2IP_Data : out std_logic_vector ((C_IPIF_DBUS_WIDTH-1) downto 0); IP2Bus_Data : in std_logic_vector ((C_IPIF_DBUS_WIDTH-1) downto 0); IP2Bus_WrAck : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_Error : in std_logic ); end entity slave_attachment; ------------------------------------------------------------------------------- architecture imp of slave_attachment is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Get_Addr_Bits: Function Declarations ------------------------------------------------------------------------------- function Get_Addr_Bits (y : std_logic_vector(31 downto 0)) return integer is variable i : integer := 0; begin for i in 31 downto 0 loop if y(i)='1' then return (i); end if; end loop; return -1; end function Get_Addr_Bits; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant CS_BUS_SIZE : integer := C_ARD_ADDR_RANGE_ARRAY'length/2; constant CE_BUS_SIZE : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY); constant C_ADDR_DECODE_BITS : integer := Get_Addr_Bits(C_S_AXI_MIN_SIZE); constant C_NUM_DECODE_BITS : integer := C_ADDR_DECODE_BITS +1; constant ZEROS : std_logic_vector((C_IPIF_ABUS_WIDTH-1) downto (C_ADDR_DECODE_BITS+1)) := (others=>'0'); ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal s_axi_bvalid_i : std_logic:= '0'; signal s_axi_arready_i : std_logic; signal s_axi_rvalid_i : std_logic:= '0'; signal start : std_logic; signal start2 : std_logic; -- Intermediate IPIC signals signal bus2ip_addr_i : std_logic_vector ((C_IPIF_ABUS_WIDTH-1) downto 0); signal timeout : std_logic; signal rd_done,wr_done : std_logic; signal rd_done1,wr_done1 : std_logic; --signal rd_done2,wr_done2 : std_logic; signal wrack_1,rdack_1 : std_logic; --signal wrack_2,rdack_2 : std_logic; signal rst : std_logic; signal temp_i : std_logic; type BUS_ACCESS_STATES is ( SM_IDLE, SM_READ, SM_WRITE, SM_RESP ); signal state : BUS_ACCESS_STATES; signal cs_for_gaps_i : std_logic; signal bus2ip_rnw_i : std_logic; signal s_axi_bresp_i : std_logic_vector(1 downto 0):=(others => '0'); signal s_axi_rresp_i : std_logic_vector(1 downto 0):=(others => '0'); signal s_axi_rdata_i : std_logic_vector (C_IPIF_DBUS_WIDTH-1 downto 0):=(others => '0'); ------------------------------------------------------------------------------- -- begin the architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Address registered ------------------------------------------------------------------------------- Bus2IP_Clk <= S_AXI_ACLK; Bus2IP_Resetn <= S_AXI_ARESETN; --bus2ip_rnw_i <= '1' when S_AXI_ARVALID='1' -- else -- '0'; BUS2IP_RNW <= bus2ip_rnw_i; Bus2IP_BE <= S_AXI_WSTRB when ((C_USE_WSTRB = 1) and (bus2ip_rnw_i = '0')) else (others => '1'); Bus2IP_Data <= S_AXI_WDATA; Bus2IP_Addr <= bus2ip_addr_i; -- For AXI Lite interface, interconnect will duplicate the addresses on both the -- read and write channel. so onlyone address is used for decoding as well as -- passing it to IP. --bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0) -- when (S_AXI_ARVALID='1') -- else -- ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0); -------------------------------------------------------------------------------- -- start signal will be used to latch the incoming address --start<= (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID)) -- when (state = SM_IDLE) -- else -- '0'; -- x_done signals are used to release the hold from AXI, it will generate "ready" -- signal on the read and write address channels. rd_done <= IP2Bus_RdAck or timeout; wr_done <= IP2Bus_WrAck or timeout; --wr_done1 <= (not (wrack_1) and IP2Bus_WrAck) or timeout; --rd_done1 <= (not (rdack_1) and IP2Bus_RdAck) or timeout; temp_i <= rd_done or wr_done; ------------------------------------------------------------------------------- -- Address Decoder Component Instance -- -- This component decodes the specified base address pairs and outputs the -- specified number of chip enables and the target bus size. ------------------------------------------------------------------------------- I_DECODER : entity axi_lite_ipif_v3_0.address_decoder generic map ( C_BUS_AWIDTH => C_NUM_DECODE_BITS, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_FAMILY => "nofamily" ) port map ( Bus_clk => S_AXI_ACLK, Bus_rst => S_AXI_ARESETN, Address_In_Erly => bus2ip_addr_i(C_ADDR_DECODE_BITS downto 0), Address_Valid_Erly => start2, Bus_RNW => bus2ip_rnw_i, --S_AXI_ARVALID, Bus_RNW_Erly => bus2ip_rnw_i, --S_AXI_ARVALID, CS_CE_ld_enable => start2, Clear_CS_CE_Reg => temp_i, RW_CE_ld_enable => start2, CS_for_gaps => open, -- Decode output signals CS_Out => Bus2IP_CS, RdCE_Out => Bus2IP_RdCE, WrCE_Out => Bus2IP_WrCE ); -- REGISTERING_RESET_P: Invert the reset coming from AXI ----------------------- REGISTERING_RESET_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then rst <= not S_AXI_ARESETN; end if; end process REGISTERING_RESET_P; REGISTERING_RESET_P2 : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then -- wrack_1 <= '0'; -- rdack_1 <= '0'; -- wrack_2 <= '0'; -- rdack_2 <= '0'; -- wr_done2 <= '0'; -- rd_done2 <= '0'; bus2ip_rnw_i <= '0'; bus2ip_addr_i <= (others => '0'); start2 <= '0'; else -- wrack_1 <= IP2Bus_WrAck; -- rdack_1 <= IP2Bus_RdAck; -- wrack_2 <= wrack_1; -- rdack_2 <= rdack_1; -- wr_done2 <= wr_done1; -- rd_done2 <= rd_done1; if (state = SM_IDLE and S_AXI_ARVALID='1') then bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0); bus2ip_rnw_i <= '1'; start2 <= '1'; elsif (state = SM_IDLE and (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1')) then bus2ip_addr_i <= ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0); bus2ip_rnw_i <= '0'; start2 <= '1'; else bus2ip_rnw_i <= bus2ip_rnw_i; bus2ip_addr_i <= bus2ip_addr_i; start2 <= '0'; end if; end if; end if; end process REGISTERING_RESET_P2; ------------------------------------------------------------------------------- -- AXI Transaction Controller ------------------------------------------------------------------------------- -- Access_Control: As per suggestion to optimize the core, the below state machine -- is re-coded. Latches are removed from original suggestions Access_Control : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if rst = '1' then state <= SM_IDLE; else case state is when SM_IDLE => if (S_AXI_ARVALID = '1') then -- Read precedence over write state <= SM_READ; elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then state <= SM_WRITE; else state <= SM_IDLE; end if; when SM_READ => if rd_done = '1' then state <= SM_RESP; else state <= SM_READ; end if; when SM_WRITE=> if (wr_done = '1') then state <= SM_RESP; else state <= SM_WRITE; end if; when SM_RESP => if ((s_axi_bvalid_i and S_AXI_BREADY) or (s_axi_rvalid_i and S_AXI_RREADY)) = '1' then state <= SM_IDLE; else state <= SM_RESP; end if; -- coverage off when others => state <= SM_IDLE; -- coverage on end case; end if; end if; end process Access_Control; ------------------------------------------------------------------------------- -- AXI Transaction Controller signals registered ------------------------------------------------------------------------------- -- S_AXI_RDATA_RESP_P : BElow process generates the RRESP and RDATA on AXI ----------------------- S_AXI_RDATA_RESP_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_rresp_i <= (others => '0'); s_axi_rdata_i <= (others => '0'); elsif state = SM_READ then s_axi_rresp_i <= (IP2Bus_Error) & '0'; s_axi_rdata_i <= IP2Bus_Data; end if; end if; end process S_AXI_RDATA_RESP_P; S_AXI_RRESP <= s_axi_rresp_i; S_AXI_RDATA <= s_axi_rdata_i; ----------------------------- -- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel ---------------------- S_AXI_RVALID_I_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_rvalid_i <= '0'; elsif ((state = SM_READ) and rd_done = '1') then s_axi_rvalid_i <= '1'; elsif (S_AXI_RREADY = '1') then s_axi_rvalid_i <= '0'; end if; end if; end process S_AXI_RVALID_I_P; -- -- S_AXI_BRESP_P: Below process provides logic for write response -- ----------------- S_AXI_BRESP_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if (rst = '1') then s_axi_bresp_i <= (others => '0'); elsif (state = SM_WRITE) then s_axi_bresp_i <= (IP2Bus_Error) & '0'; end if; end if; end process S_AXI_BRESP_P; S_AXI_BRESP <= s_axi_bresp_i; --S_AXI_BVALID_I_P: below process provides logic for valid write response signal ------------------- S_AXI_BVALID_I_P : process (S_AXI_ACLK) is begin if S_AXI_ACLK'event and S_AXI_ACLK = '1' then if rst = '1' then s_axi_bvalid_i <= '0'; elsif ((state = SM_WRITE) and wr_done = '1') then s_axi_bvalid_i <= '1'; elsif (S_AXI_BREADY = '1') then s_axi_bvalid_i <= '0'; end if; end if; end process S_AXI_BVALID_I_P; ----------------------------------------------------------------------------- -- INCLUDE_DPHASE_TIMER: Data timeout counter included only when its value is non-zero. -------------- INCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT /= 0 generate constant COUNTER_WIDTH : integer := clog2((C_DPHASE_TIMEOUT)); signal dpto_cnt : std_logic_vector (COUNTER_WIDTH downto 0); -- dpto_cnt is one bit wider then COUNTER_WIDTH, which allows the timeout -- condition to be captured as a carry into this "extra" bit. begin DPTO_CNT_P : process (S_AXI_ACLK) is begin if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then if ((state = SM_IDLE) or (state = SM_RESP)) then dpto_cnt <= (others=>'0'); else dpto_cnt <= dpto_cnt + 1; end if; end if; end process DPTO_CNT_P; timeout <= dpto_cnt(COUNTER_WIDTH); end generate INCLUDE_DPHASE_TIMER; EXCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT = 0 generate timeout <= '0'; end generate EXCLUDE_DPHASE_TIMER; ----------------------------------------------------------------------------- S_AXI_BVALID <= s_axi_bvalid_i; S_AXI_RVALID <= s_axi_rvalid_i; ----------------------------------------------------------------------------- S_AXI_ARREADY <= rd_done; S_AXI_AWREADY <= wr_done; S_AXI_WREADY <= wr_done; ------------------------------------------------------------------------------- end imp;
gpl-3.0
dcsun88/ntpserver-fpga
cpu/hdl/cpu.vhd
1
185946
--Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2014.4 (lin64) Build 1071353 Tue Nov 18 16:47:07 MST 2014 --Date : Fri Sep 30 18:09:05 2016 --Host : graviton running 64-bit Debian GNU/Linux 7.10 (wheezy) --Command : generate_target cpu.bd --Design : cpu --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m00_couplers_imp_ZVW4AE is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end m00_couplers_imp_ZVW4AE; architecture STRUCTURE of m00_couplers_imp_ZVW4AE is signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); begin M_AXI_araddr(8 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(8 downto 0); M_AXI_arvalid(0) <= m00_couplers_to_m00_couplers_ARVALID(0); M_AXI_awaddr(8 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(8 downto 0); M_AXI_awvalid(0) <= m00_couplers_to_m00_couplers_AWVALID(0); M_AXI_bready(0) <= m00_couplers_to_m00_couplers_BREADY(0); M_AXI_rready(0) <= m00_couplers_to_m00_couplers_RREADY(0); M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0); M_AXI_wvalid(0) <= m00_couplers_to_m00_couplers_WVALID(0); S_AXI_arready(0) <= m00_couplers_to_m00_couplers_ARREADY(0); S_AXI_awready(0) <= m00_couplers_to_m00_couplers_AWREADY(0); S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0); S_AXI_bvalid(0) <= m00_couplers_to_m00_couplers_BVALID(0); S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0); S_AXI_rvalid(0) <= m00_couplers_to_m00_couplers_RVALID(0); S_AXI_wready(0) <= m00_couplers_to_m00_couplers_WREADY(0); m00_couplers_to_m00_couplers_ARADDR(8 downto 0) <= S_AXI_araddr(8 downto 0); m00_couplers_to_m00_couplers_ARREADY(0) <= M_AXI_arready(0); m00_couplers_to_m00_couplers_ARVALID(0) <= S_AXI_arvalid(0); m00_couplers_to_m00_couplers_AWADDR(8 downto 0) <= S_AXI_awaddr(8 downto 0); m00_couplers_to_m00_couplers_AWREADY(0) <= M_AXI_awready(0); m00_couplers_to_m00_couplers_AWVALID(0) <= S_AXI_awvalid(0); m00_couplers_to_m00_couplers_BREADY(0) <= S_AXI_bready(0); m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m00_couplers_to_m00_couplers_BVALID(0) <= M_AXI_bvalid(0); m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m00_couplers_to_m00_couplers_RREADY(0) <= S_AXI_rready(0); m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m00_couplers_to_m00_couplers_RVALID(0) <= M_AXI_rvalid(0); m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m00_couplers_to_m00_couplers_WREADY(0) <= M_AXI_wready(0); m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m00_couplers_to_m00_couplers_WVALID(0) <= S_AXI_wvalid(0); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m01_couplers_imp_PQKNCJ is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m01_couplers_imp_PQKNCJ; architecture STRUCTURE of m01_couplers_imp_PQKNCJ is signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(8 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(8 downto 0); M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID; M_AXI_awaddr(8 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(8 downto 0); M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID; M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY; M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID; S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY; S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID; S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY; m01_couplers_to_m01_couplers_ARADDR(8 downto 0) <= S_AXI_araddr(8 downto 0); m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready; m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid; m01_couplers_to_m01_couplers_AWADDR(8 downto 0) <= S_AXI_awaddr(8 downto 0); m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready; m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid; m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready; m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid; m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready; m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid; m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready; m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m02_couplers_imp_1QFTZ3X is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 10 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 10 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m02_couplers_imp_1QFTZ3X; architecture STRUCTURE of m02_couplers_imp_1QFTZ3X is signal m02_couplers_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal m02_couplers_to_m02_couplers_ARREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_ARVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal m02_couplers_to_m02_couplers_AWREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_BREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_BVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_RREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_RVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_WREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_m02_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(10 downto 0) <= m02_couplers_to_m02_couplers_ARADDR(10 downto 0); M_AXI_arvalid <= m02_couplers_to_m02_couplers_ARVALID; M_AXI_awaddr(10 downto 0) <= m02_couplers_to_m02_couplers_AWADDR(10 downto 0); M_AXI_awvalid <= m02_couplers_to_m02_couplers_AWVALID; M_AXI_bready <= m02_couplers_to_m02_couplers_BREADY; M_AXI_rready <= m02_couplers_to_m02_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m02_couplers_to_m02_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m02_couplers_to_m02_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m02_couplers_to_m02_couplers_WVALID; S_AXI_arready <= m02_couplers_to_m02_couplers_ARREADY; S_AXI_awready <= m02_couplers_to_m02_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m02_couplers_to_m02_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m02_couplers_to_m02_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m02_couplers_to_m02_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m02_couplers_to_m02_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m02_couplers_to_m02_couplers_RVALID; S_AXI_wready <= m02_couplers_to_m02_couplers_WREADY; m02_couplers_to_m02_couplers_ARADDR(10 downto 0) <= S_AXI_araddr(10 downto 0); m02_couplers_to_m02_couplers_ARREADY <= M_AXI_arready; m02_couplers_to_m02_couplers_ARVALID <= S_AXI_arvalid; m02_couplers_to_m02_couplers_AWADDR(10 downto 0) <= S_AXI_awaddr(10 downto 0); m02_couplers_to_m02_couplers_AWREADY <= M_AXI_awready; m02_couplers_to_m02_couplers_AWVALID <= S_AXI_awvalid; m02_couplers_to_m02_couplers_BREADY <= S_AXI_bready; m02_couplers_to_m02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m02_couplers_to_m02_couplers_BVALID <= M_AXI_bvalid; m02_couplers_to_m02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m02_couplers_to_m02_couplers_RREADY <= S_AXI_rready; m02_couplers_to_m02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m02_couplers_to_m02_couplers_RVALID <= M_AXI_rvalid; m02_couplers_to_m02_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m02_couplers_to_m02_couplers_WREADY <= M_AXI_wready; m02_couplers_to_m02_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m02_couplers_to_m02_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s00_couplers_imp_1AHKP6S is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awvalid : in STD_LOGIC; S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_rlast : out STD_LOGIC; S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_wlast : in STD_LOGIC; S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s00_couplers_imp_1AHKP6S; architecture STRUCTURE of s00_couplers_imp_1AHKP6S is component cpu_auto_pc_1 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component cpu_auto_pc_1; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_ARVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_AWVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_BREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_BVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_RLAST : STD_LOGIC; signal s00_couplers_to_auto_pc_RREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_RVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_WLAST : STD_LOGIC; signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC; signal NLW_auto_pc_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_auto_pc_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s00_couplers_BREADY; M_AXI_rready <= auto_pc_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID; S_ACLK_1 <= S_ACLK; S_ARESETN_1(0) <= S_ARESETN(0); S_AXI_arready <= s00_couplers_to_auto_pc_ARREADY; S_AXI_awready <= s00_couplers_to_auto_pc_AWREADY; S_AXI_bid(11 downto 0) <= s00_couplers_to_auto_pc_BID(11 downto 0); S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_pc_BRESP(1 downto 0); S_AXI_bvalid <= s00_couplers_to_auto_pc_BVALID; S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_pc_RDATA(31 downto 0); S_AXI_rid(11 downto 0) <= s00_couplers_to_auto_pc_RID(11 downto 0); S_AXI_rlast <= s00_couplers_to_auto_pc_RLAST; S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_pc_RRESP(1 downto 0); S_AXI_rvalid <= s00_couplers_to_auto_pc_RVALID; S_AXI_wready <= s00_couplers_to_auto_pc_WREADY; auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready; auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s00_couplers_WREADY <= M_AXI_wready; s00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); s00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); s00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0); s00_couplers_to_auto_pc_ARLEN(3 downto 0) <= S_AXI_arlen(3 downto 0); s00_couplers_to_auto_pc_ARLOCK(1 downto 0) <= S_AXI_arlock(1 downto 0); s00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); s00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); s00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; s00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); s00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); s00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0); s00_couplers_to_auto_pc_AWLEN(3 downto 0) <= S_AXI_awlen(3 downto 0); s00_couplers_to_auto_pc_AWLOCK(1 downto 0) <= S_AXI_awlock(1 downto 0); s00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); s00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); s00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; s00_couplers_to_auto_pc_BREADY <= S_AXI_bready; s00_couplers_to_auto_pc_RREADY <= S_AXI_rready; s00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s00_couplers_to_auto_pc_WID(11 downto 0) <= S_AXI_wid(11 downto 0); s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; auto_pc: component cpu_auto_pc_1 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1(0), m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0), m_axi_arprot(2 downto 0) => NLW_auto_pc_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arready => auto_pc_to_s00_couplers_ARREADY, m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0), m_axi_awprot(2 downto 0) => NLW_auto_pc_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awready => auto_pc_to_s00_couplers_AWREADY, m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID, m_axi_bready => auto_pc_to_s00_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s00_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0), m_axi_rready => auto_pc_to_s00_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s00_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0), m_axi_wready => auto_pc_to_s00_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s00_couplers_WVALID, s_axi_araddr(31 downto 0) => s00_couplers_to_auto_pc_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => s00_couplers_to_auto_pc_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => s00_couplers_to_auto_pc_ARCACHE(3 downto 0), s_axi_arid(11 downto 0) => s00_couplers_to_auto_pc_ARID(11 downto 0), s_axi_arlen(3 downto 0) => s00_couplers_to_auto_pc_ARLEN(3 downto 0), s_axi_arlock(1 downto 0) => s00_couplers_to_auto_pc_ARLOCK(1 downto 0), s_axi_arprot(2 downto 0) => s00_couplers_to_auto_pc_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => s00_couplers_to_auto_pc_ARQOS(3 downto 0), s_axi_arready => s00_couplers_to_auto_pc_ARREADY, s_axi_arsize(2 downto 0) => s00_couplers_to_auto_pc_ARSIZE(2 downto 0), s_axi_arvalid => s00_couplers_to_auto_pc_ARVALID, s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_pc_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => s00_couplers_to_auto_pc_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => s00_couplers_to_auto_pc_AWCACHE(3 downto 0), s_axi_awid(11 downto 0) => s00_couplers_to_auto_pc_AWID(11 downto 0), s_axi_awlen(3 downto 0) => s00_couplers_to_auto_pc_AWLEN(3 downto 0), s_axi_awlock(1 downto 0) => s00_couplers_to_auto_pc_AWLOCK(1 downto 0), s_axi_awprot(2 downto 0) => s00_couplers_to_auto_pc_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => s00_couplers_to_auto_pc_AWQOS(3 downto 0), s_axi_awready => s00_couplers_to_auto_pc_AWREADY, s_axi_awsize(2 downto 0) => s00_couplers_to_auto_pc_AWSIZE(2 downto 0), s_axi_awvalid => s00_couplers_to_auto_pc_AWVALID, s_axi_bid(11 downto 0) => s00_couplers_to_auto_pc_BID(11 downto 0), s_axi_bready => s00_couplers_to_auto_pc_BREADY, s_axi_bresp(1 downto 0) => s00_couplers_to_auto_pc_BRESP(1 downto 0), s_axi_bvalid => s00_couplers_to_auto_pc_BVALID, s_axi_rdata(31 downto 0) => s00_couplers_to_auto_pc_RDATA(31 downto 0), s_axi_rid(11 downto 0) => s00_couplers_to_auto_pc_RID(11 downto 0), s_axi_rlast => s00_couplers_to_auto_pc_RLAST, s_axi_rready => s00_couplers_to_auto_pc_RREADY, s_axi_rresp(1 downto 0) => s00_couplers_to_auto_pc_RRESP(1 downto 0), s_axi_rvalid => s00_couplers_to_auto_pc_RVALID, s_axi_wdata(31 downto 0) => s00_couplers_to_auto_pc_WDATA(31 downto 0), s_axi_wid(11 downto 0) => s00_couplers_to_auto_pc_WID(11 downto 0), s_axi_wlast => s00_couplers_to_auto_pc_WLAST, s_axi_wready => s00_couplers_to_auto_pc_WREADY, s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_pc_WSTRB(3 downto 0), s_axi_wvalid => s00_couplers_to_auto_pc_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s00_couplers_imp_B67PN0 is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awvalid : in STD_LOGIC; S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_rlast : out STD_LOGIC; S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S_AXI_wlast : in STD_LOGIC; S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s00_couplers_imp_B67PN0; architecture STRUCTURE of s00_couplers_imp_B67PN0 is component cpu_auto_pc_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component cpu_auto_pc_0; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_ARREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_ARVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_AWREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_auto_pc_AWVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_BREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_BVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_RLAST : STD_LOGIC; signal s00_couplers_to_auto_pc_RREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_auto_pc_RVALID : STD_LOGIC; signal s00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_auto_pc_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s00_couplers_to_auto_pc_WLAST : STD_LOGIC; signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s00_couplers_BREADY; M_AXI_rready <= auto_pc_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID; S_ACLK_1 <= S_ACLK; S_ARESETN_1(0) <= S_ARESETN(0); S_AXI_arready <= s00_couplers_to_auto_pc_ARREADY; S_AXI_awready <= s00_couplers_to_auto_pc_AWREADY; S_AXI_bid(11 downto 0) <= s00_couplers_to_auto_pc_BID(11 downto 0); S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_pc_BRESP(1 downto 0); S_AXI_bvalid <= s00_couplers_to_auto_pc_BVALID; S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_pc_RDATA(31 downto 0); S_AXI_rid(11 downto 0) <= s00_couplers_to_auto_pc_RID(11 downto 0); S_AXI_rlast <= s00_couplers_to_auto_pc_RLAST; S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_pc_RRESP(1 downto 0); S_AXI_rvalid <= s00_couplers_to_auto_pc_RVALID; S_AXI_wready <= s00_couplers_to_auto_pc_WREADY; auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready; auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s00_couplers_WREADY <= M_AXI_wready; s00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); s00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); s00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0); s00_couplers_to_auto_pc_ARLEN(3 downto 0) <= S_AXI_arlen(3 downto 0); s00_couplers_to_auto_pc_ARLOCK(1 downto 0) <= S_AXI_arlock(1 downto 0); s00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); s00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); s00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; s00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); s00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); s00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0); s00_couplers_to_auto_pc_AWLEN(3 downto 0) <= S_AXI_awlen(3 downto 0); s00_couplers_to_auto_pc_AWLOCK(1 downto 0) <= S_AXI_awlock(1 downto 0); s00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); s00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); s00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; s00_couplers_to_auto_pc_BREADY <= S_AXI_bready; s00_couplers_to_auto_pc_RREADY <= S_AXI_rready; s00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s00_couplers_to_auto_pc_WID(11 downto 0) <= S_AXI_wid(11 downto 0); s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; auto_pc: component cpu_auto_pc_0 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1(0), m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0), m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0), m_axi_arready => auto_pc_to_s00_couplers_ARREADY, m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0), m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0), m_axi_awready => auto_pc_to_s00_couplers_AWREADY, m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID, m_axi_bready => auto_pc_to_s00_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s00_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0), m_axi_rready => auto_pc_to_s00_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s00_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0), m_axi_wready => auto_pc_to_s00_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s00_couplers_WVALID, s_axi_araddr(31 downto 0) => s00_couplers_to_auto_pc_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => s00_couplers_to_auto_pc_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => s00_couplers_to_auto_pc_ARCACHE(3 downto 0), s_axi_arid(11 downto 0) => s00_couplers_to_auto_pc_ARID(11 downto 0), s_axi_arlen(3 downto 0) => s00_couplers_to_auto_pc_ARLEN(3 downto 0), s_axi_arlock(1 downto 0) => s00_couplers_to_auto_pc_ARLOCK(1 downto 0), s_axi_arprot(2 downto 0) => s00_couplers_to_auto_pc_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => s00_couplers_to_auto_pc_ARQOS(3 downto 0), s_axi_arready => s00_couplers_to_auto_pc_ARREADY, s_axi_arsize(2 downto 0) => s00_couplers_to_auto_pc_ARSIZE(2 downto 0), s_axi_arvalid => s00_couplers_to_auto_pc_ARVALID, s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_pc_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => s00_couplers_to_auto_pc_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => s00_couplers_to_auto_pc_AWCACHE(3 downto 0), s_axi_awid(11 downto 0) => s00_couplers_to_auto_pc_AWID(11 downto 0), s_axi_awlen(3 downto 0) => s00_couplers_to_auto_pc_AWLEN(3 downto 0), s_axi_awlock(1 downto 0) => s00_couplers_to_auto_pc_AWLOCK(1 downto 0), s_axi_awprot(2 downto 0) => s00_couplers_to_auto_pc_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => s00_couplers_to_auto_pc_AWQOS(3 downto 0), s_axi_awready => s00_couplers_to_auto_pc_AWREADY, s_axi_awsize(2 downto 0) => s00_couplers_to_auto_pc_AWSIZE(2 downto 0), s_axi_awvalid => s00_couplers_to_auto_pc_AWVALID, s_axi_bid(11 downto 0) => s00_couplers_to_auto_pc_BID(11 downto 0), s_axi_bready => s00_couplers_to_auto_pc_BREADY, s_axi_bresp(1 downto 0) => s00_couplers_to_auto_pc_BRESP(1 downto 0), s_axi_bvalid => s00_couplers_to_auto_pc_BVALID, s_axi_rdata(31 downto 0) => s00_couplers_to_auto_pc_RDATA(31 downto 0), s_axi_rid(11 downto 0) => s00_couplers_to_auto_pc_RID(11 downto 0), s_axi_rlast => s00_couplers_to_auto_pc_RLAST, s_axi_rready => s00_couplers_to_auto_pc_RREADY, s_axi_rresp(1 downto 0) => s00_couplers_to_auto_pc_RRESP(1 downto 0), s_axi_rvalid => s00_couplers_to_auto_pc_RVALID, s_axi_wdata(31 downto 0) => s00_couplers_to_auto_pc_WDATA(31 downto 0), s_axi_wid(11 downto 0) => s00_couplers_to_auto_pc_WID(11 downto 0), s_axi_wlast => s00_couplers_to_auto_pc_WLAST, s_axi_wready => s00_couplers_to_auto_pc_WREADY, s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_pc_WSTRB(3 downto 0), s_axi_wvalid => s00_couplers_to_auto_pc_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity cpu_processing_system7_0_axi_periph_0 is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_ACLK : in STD_LOGIC; M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M00_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M00_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); M01_ACLK : in STD_LOGIC; M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M01_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M01_AXI_arready : in STD_LOGIC; M01_AXI_arvalid : out STD_LOGIC; M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 ); M01_AXI_awready : in STD_LOGIC; M01_AXI_awvalid : out STD_LOGIC; M01_AXI_bready : out STD_LOGIC; M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_bvalid : in STD_LOGIC; M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_rready : out STD_LOGIC; M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_rvalid : in STD_LOGIC; M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_wready : in STD_LOGIC; M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M01_AXI_wvalid : out STD_LOGIC; M02_ACLK : in STD_LOGIC; M02_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M02_AXI_araddr : out STD_LOGIC_VECTOR ( 10 downto 0 ); M02_AXI_arready : in STD_LOGIC; M02_AXI_arvalid : out STD_LOGIC; M02_AXI_awaddr : out STD_LOGIC_VECTOR ( 10 downto 0 ); M02_AXI_awready : in STD_LOGIC; M02_AXI_awvalid : out STD_LOGIC; M02_AXI_bready : out STD_LOGIC; M02_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_bvalid : in STD_LOGIC; M02_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_rready : out STD_LOGIC; M02_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_rvalid : in STD_LOGIC; M02_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_wready : in STD_LOGIC; M02_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M02_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC ); end cpu_processing_system7_0_axi_periph_0; architecture STRUCTURE of cpu_processing_system7_0_axi_periph_0 is component cpu_xbar_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 8 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 8 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 2 downto 0 ) ); end component cpu_xbar_0; signal M00_ACLK_1 : STD_LOGIC; signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal M01_ACLK_1 : STD_LOGIC; signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal M02_ACLK_1 : STD_LOGIC; signal M02_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal S00_ACLK_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; signal m01_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC; signal m02_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC; signal processing_system7_0_axi_periph_ACLK_net : STD_LOGIC; signal processing_system7_0_axi_periph_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_ARVALID : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_AWVALID : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_BREADY : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_RLAST : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_RREADY : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_WLAST : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_ARVALID : STD_LOGIC; signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_AWVALID : STD_LOGIC; signal s00_couplers_to_xbar_BREADY : STD_LOGIC; signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_RREADY : STD_LOGIC; signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_xbar_WVALID : STD_LOGIC; signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_ARREADY : STD_LOGIC; signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_AWREADY : STD_LOGIC; signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_BVALID : STD_LOGIC; signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_RVALID : STD_LOGIC; signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_WREADY : STD_LOGIC; signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 ); signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_ARREADY : STD_LOGIC; signal xbar_to_m02_couplers_ARVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_AWREADY : STD_LOGIC; signal xbar_to_m02_couplers_AWVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_BVALID : STD_LOGIC; signal xbar_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m02_couplers_RREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_RVALID : STD_LOGIC; signal xbar_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_WREADY : STD_LOGIC; signal xbar_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 11 downto 8 ); signal xbar_to_m02_couplers_WVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); begin M00_ACLK_1 <= M00_ACLK; M00_ARESETN_1(0) <= M00_ARESETN(0); M00_AXI_araddr(8 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_ARADDR(8 downto 0); M00_AXI_arvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0); M00_AXI_awaddr(8 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_AWADDR(8 downto 0); M00_AXI_awvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0); M00_AXI_bready(0) <= m00_couplers_to_processing_system7_0_axi_periph_BREADY(0); M00_AXI_rready(0) <= m00_couplers_to_processing_system7_0_axi_periph_RREADY(0); M00_AXI_wdata(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0); M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0); M00_AXI_wvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_WVALID(0); M01_ACLK_1 <= M01_ACLK; M01_ARESETN_1(0) <= M01_ARESETN(0); M01_AXI_araddr(8 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_ARADDR(8 downto 0); M01_AXI_arvalid <= m01_couplers_to_processing_system7_0_axi_periph_ARVALID; M01_AXI_awaddr(8 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_AWADDR(8 downto 0); M01_AXI_awvalid <= m01_couplers_to_processing_system7_0_axi_periph_AWVALID; M01_AXI_bready <= m01_couplers_to_processing_system7_0_axi_periph_BREADY; M01_AXI_rready <= m01_couplers_to_processing_system7_0_axi_periph_RREADY; M01_AXI_wdata(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0); M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0); M01_AXI_wvalid <= m01_couplers_to_processing_system7_0_axi_periph_WVALID; M02_ACLK_1 <= M02_ACLK; M02_ARESETN_1(0) <= M02_ARESETN(0); M02_AXI_araddr(10 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_ARADDR(10 downto 0); M02_AXI_arvalid <= m02_couplers_to_processing_system7_0_axi_periph_ARVALID; M02_AXI_awaddr(10 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_AWADDR(10 downto 0); M02_AXI_awvalid <= m02_couplers_to_processing_system7_0_axi_periph_AWVALID; M02_AXI_bready <= m02_couplers_to_processing_system7_0_axi_periph_BREADY; M02_AXI_rready <= m02_couplers_to_processing_system7_0_axi_periph_RREADY; M02_AXI_wdata(31 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0); M02_AXI_wstrb(3 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0); M02_AXI_wvalid <= m02_couplers_to_processing_system7_0_axi_periph_WVALID; S00_ACLK_1 <= S00_ACLK; S00_ARESETN_1(0) <= S00_ARESETN(0); S00_AXI_arready <= processing_system7_0_axi_periph_to_s00_couplers_ARREADY; S00_AXI_awready <= processing_system7_0_axi_periph_to_s00_couplers_AWREADY; S00_AXI_bid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0); S00_AXI_bresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0); S00_AXI_bvalid <= processing_system7_0_axi_periph_to_s00_couplers_BVALID; S00_AXI_rdata(31 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0); S00_AXI_rid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0); S00_AXI_rlast <= processing_system7_0_axi_periph_to_s00_couplers_RLAST; S00_AXI_rresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= processing_system7_0_axi_periph_to_s00_couplers_RVALID; S00_AXI_wready <= processing_system7_0_axi_periph_to_s00_couplers_WREADY; m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M00_AXI_arready(0); m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0) <= M00_AXI_awready(0); m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); m00_couplers_to_processing_system7_0_axi_periph_BVALID(0) <= M00_AXI_bvalid(0); m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); m00_couplers_to_processing_system7_0_axi_periph_RVALID(0) <= M00_AXI_rvalid(0); m00_couplers_to_processing_system7_0_axi_periph_WREADY(0) <= M00_AXI_wready(0); m01_couplers_to_processing_system7_0_axi_periph_ARREADY <= M01_AXI_arready; m01_couplers_to_processing_system7_0_axi_periph_AWREADY <= M01_AXI_awready; m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0); m01_couplers_to_processing_system7_0_axi_periph_BVALID <= M01_AXI_bvalid; m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0); m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0); m01_couplers_to_processing_system7_0_axi_periph_RVALID <= M01_AXI_rvalid; m01_couplers_to_processing_system7_0_axi_periph_WREADY <= M01_AXI_wready; m02_couplers_to_processing_system7_0_axi_periph_ARREADY <= M02_AXI_arready; m02_couplers_to_processing_system7_0_axi_periph_AWREADY <= M02_AXI_awready; m02_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M02_AXI_bresp(1 downto 0); m02_couplers_to_processing_system7_0_axi_periph_BVALID <= M02_AXI_bvalid; m02_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M02_AXI_rdata(31 downto 0); m02_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M02_AXI_rresp(1 downto 0); m02_couplers_to_processing_system7_0_axi_periph_RVALID <= M02_AXI_rvalid; m02_couplers_to_processing_system7_0_axi_periph_WREADY <= M02_AXI_wready; processing_system7_0_axi_periph_ACLK_net <= ACLK; processing_system7_0_axi_periph_ARESETN_net(0) <= ARESETN(0); processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0) <= S00_AXI_arid(11 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0) <= S00_AXI_arlen(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0) <= S00_AXI_arlock(1 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0); processing_system7_0_axi_periph_to_s00_couplers_ARVALID <= S00_AXI_arvalid; processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0) <= S00_AXI_awid(11 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0) <= S00_AXI_awlen(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0) <= S00_AXI_awlock(1 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0); processing_system7_0_axi_periph_to_s00_couplers_AWVALID <= S00_AXI_awvalid; processing_system7_0_axi_periph_to_s00_couplers_BREADY <= S00_AXI_bready; processing_system7_0_axi_periph_to_s00_couplers_RREADY <= S00_AXI_rready; processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0) <= S00_AXI_wid(11 downto 0); processing_system7_0_axi_periph_to_s00_couplers_WLAST <= S00_AXI_wlast; processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); processing_system7_0_axi_periph_to_s00_couplers_WVALID <= S00_AXI_wvalid; m00_couplers: entity work.m00_couplers_imp_ZVW4AE port map ( M_ACLK => M00_ACLK_1, M_ARESETN(0) => M00_ARESETN_1(0), M_AXI_araddr(8 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_ARADDR(8 downto 0), M_AXI_arready(0) => m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0), M_AXI_arvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0), M_AXI_awaddr(8 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_AWADDR(8 downto 0), M_AXI_awready(0) => m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0), M_AXI_awvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0), M_AXI_bready(0) => m00_couplers_to_processing_system7_0_axi_periph_BREADY(0), M_AXI_bresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0), M_AXI_bvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_BVALID(0), M_AXI_rdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0), M_AXI_rready(0) => m00_couplers_to_processing_system7_0_axi_periph_RREADY(0), M_AXI_rresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0), M_AXI_rvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_RVALID(0), M_AXI_wdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0), M_AXI_wready(0) => m00_couplers_to_processing_system7_0_axi_periph_WREADY(0), M_AXI_wstrb(3 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0), M_AXI_wvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_WVALID(0), S_ACLK => processing_system7_0_axi_periph_ACLK_net, S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), S_AXI_araddr(8 downto 0) => xbar_to_m00_couplers_ARADDR(8 downto 0), S_AXI_arready(0) => xbar_to_m00_couplers_ARREADY(0), S_AXI_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), S_AXI_awaddr(8 downto 0) => xbar_to_m00_couplers_AWADDR(8 downto 0), S_AXI_awready(0) => xbar_to_m00_couplers_AWREADY(0), S_AXI_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), S_AXI_bready(0) => xbar_to_m00_couplers_BREADY(0), S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), S_AXI_bvalid(0) => xbar_to_m00_couplers_BVALID(0), S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), S_AXI_rready(0) => xbar_to_m00_couplers_RREADY(0), S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), S_AXI_rvalid(0) => xbar_to_m00_couplers_RVALID(0), S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), S_AXI_wready(0) => xbar_to_m00_couplers_WREADY(0), S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), S_AXI_wvalid(0) => xbar_to_m00_couplers_WVALID(0) ); m01_couplers: entity work.m01_couplers_imp_PQKNCJ port map ( M_ACLK => M01_ACLK_1, M_ARESETN(0) => M01_ARESETN_1(0), M_AXI_araddr(8 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_ARADDR(8 downto 0), M_AXI_arready => m01_couplers_to_processing_system7_0_axi_periph_ARREADY, M_AXI_arvalid => m01_couplers_to_processing_system7_0_axi_periph_ARVALID, M_AXI_awaddr(8 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_AWADDR(8 downto 0), M_AXI_awready => m01_couplers_to_processing_system7_0_axi_periph_AWREADY, M_AXI_awvalid => m01_couplers_to_processing_system7_0_axi_periph_AWVALID, M_AXI_bready => m01_couplers_to_processing_system7_0_axi_periph_BREADY, M_AXI_bresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0), M_AXI_bvalid => m01_couplers_to_processing_system7_0_axi_periph_BVALID, M_AXI_rdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0), M_AXI_rready => m01_couplers_to_processing_system7_0_axi_periph_RREADY, M_AXI_rresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0), M_AXI_rvalid => m01_couplers_to_processing_system7_0_axi_periph_RVALID, M_AXI_wdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0), M_AXI_wready => m01_couplers_to_processing_system7_0_axi_periph_WREADY, M_AXI_wstrb(3 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0), M_AXI_wvalid => m01_couplers_to_processing_system7_0_axi_periph_WVALID, S_ACLK => processing_system7_0_axi_periph_ACLK_net, S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), S_AXI_araddr(8 downto 0) => xbar_to_m01_couplers_ARADDR(40 downto 32), S_AXI_arready => xbar_to_m01_couplers_ARREADY, S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1), S_AXI_awaddr(8 downto 0) => xbar_to_m01_couplers_AWADDR(40 downto 32), S_AXI_awready => xbar_to_m01_couplers_AWREADY, S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1), S_AXI_bready => xbar_to_m01_couplers_BREADY(1), S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m01_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m01_couplers_RREADY(1), S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m01_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32), S_AXI_wready => xbar_to_m01_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4), S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1) ); m02_couplers: entity work.m02_couplers_imp_1QFTZ3X port map ( M_ACLK => M02_ACLK_1, M_ARESETN(0) => M02_ARESETN_1(0), M_AXI_araddr(10 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_ARADDR(10 downto 0), M_AXI_arready => m02_couplers_to_processing_system7_0_axi_periph_ARREADY, M_AXI_arvalid => m02_couplers_to_processing_system7_0_axi_periph_ARVALID, M_AXI_awaddr(10 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_AWADDR(10 downto 0), M_AXI_awready => m02_couplers_to_processing_system7_0_axi_periph_AWREADY, M_AXI_awvalid => m02_couplers_to_processing_system7_0_axi_periph_AWVALID, M_AXI_bready => m02_couplers_to_processing_system7_0_axi_periph_BREADY, M_AXI_bresp(1 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0), M_AXI_bvalid => m02_couplers_to_processing_system7_0_axi_periph_BVALID, M_AXI_rdata(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0), M_AXI_rready => m02_couplers_to_processing_system7_0_axi_periph_RREADY, M_AXI_rresp(1 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0), M_AXI_rvalid => m02_couplers_to_processing_system7_0_axi_periph_RVALID, M_AXI_wdata(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0), M_AXI_wready => m02_couplers_to_processing_system7_0_axi_periph_WREADY, M_AXI_wstrb(3 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0), M_AXI_wvalid => m02_couplers_to_processing_system7_0_axi_periph_WVALID, S_ACLK => processing_system7_0_axi_periph_ACLK_net, S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), S_AXI_araddr(10 downto 0) => xbar_to_m02_couplers_ARADDR(74 downto 64), S_AXI_arready => xbar_to_m02_couplers_ARREADY, S_AXI_arvalid => xbar_to_m02_couplers_ARVALID(2), S_AXI_awaddr(10 downto 0) => xbar_to_m02_couplers_AWADDR(74 downto 64), S_AXI_awready => xbar_to_m02_couplers_AWREADY, S_AXI_awvalid => xbar_to_m02_couplers_AWVALID(2), S_AXI_bready => xbar_to_m02_couplers_BREADY(2), S_AXI_bresp(1 downto 0) => xbar_to_m02_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m02_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m02_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m02_couplers_RREADY(2), S_AXI_rresp(1 downto 0) => xbar_to_m02_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m02_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m02_couplers_WDATA(95 downto 64), S_AXI_wready => xbar_to_m02_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m02_couplers_WSTRB(11 downto 8), S_AXI_wvalid => xbar_to_m02_couplers_WVALID(2) ); s00_couplers: entity work.s00_couplers_imp_B67PN0 port map ( M_ACLK => processing_system7_0_axi_periph_ACLK_net, M_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0), M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s00_couplers_to_xbar_ARREADY(0), M_AXI_arvalid => s00_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s00_couplers_to_xbar_AWREADY(0), M_AXI_awvalid => s00_couplers_to_xbar_AWVALID, M_AXI_bready => s00_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0), M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), M_AXI_rready => s00_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0), M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s00_couplers_to_xbar_WREADY(0), M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s00_couplers_to_xbar_WVALID, S_ACLK => S00_ACLK_1, S_ARESETN(0) => S00_ARESETN_1(0), S_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0), S_AXI_arburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0), S_AXI_arcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0), S_AXI_arid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0), S_AXI_arlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0), S_AXI_arlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0), S_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0), S_AXI_arqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0), S_AXI_arready => processing_system7_0_axi_periph_to_s00_couplers_ARREADY, S_AXI_arsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0), S_AXI_arvalid => processing_system7_0_axi_periph_to_s00_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0), S_AXI_awburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0), S_AXI_awcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0), S_AXI_awid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0), S_AXI_awlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0), S_AXI_awlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0), S_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0), S_AXI_awqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0), S_AXI_awready => processing_system7_0_axi_periph_to_s00_couplers_AWREADY, S_AXI_awsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0), S_AXI_awvalid => processing_system7_0_axi_periph_to_s00_couplers_AWVALID, S_AXI_bid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0), S_AXI_bready => processing_system7_0_axi_periph_to_s00_couplers_BREADY, S_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0), S_AXI_bvalid => processing_system7_0_axi_periph_to_s00_couplers_BVALID, S_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0), S_AXI_rid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0), S_AXI_rlast => processing_system7_0_axi_periph_to_s00_couplers_RLAST, S_AXI_rready => processing_system7_0_axi_periph_to_s00_couplers_RREADY, S_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0), S_AXI_rvalid => processing_system7_0_axi_periph_to_s00_couplers_RVALID, S_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0), S_AXI_wid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0), S_AXI_wlast => processing_system7_0_axi_periph_to_s00_couplers_WLAST, S_AXI_wready => processing_system7_0_axi_periph_to_s00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => processing_system7_0_axi_periph_to_s00_couplers_WVALID ); xbar: component cpu_xbar_0 port map ( aclk => processing_system7_0_axi_periph_ACLK_net, aresetn => processing_system7_0_axi_periph_ARESETN_net(0), m_axi_araddr(95 downto 64) => xbar_to_m02_couplers_ARADDR(95 downto 64), m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32), m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), m_axi_arprot(8 downto 0) => NLW_xbar_m_axi_arprot_UNCONNECTED(8 downto 0), m_axi_arready(2) => xbar_to_m02_couplers_ARREADY, m_axi_arready(1) => xbar_to_m01_couplers_ARREADY, m_axi_arready(0) => xbar_to_m00_couplers_ARREADY(0), m_axi_arvalid(2) => xbar_to_m02_couplers_ARVALID(2), m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1), m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), m_axi_awaddr(95 downto 64) => xbar_to_m02_couplers_AWADDR(95 downto 64), m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32), m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), m_axi_awprot(8 downto 0) => NLW_xbar_m_axi_awprot_UNCONNECTED(8 downto 0), m_axi_awready(2) => xbar_to_m02_couplers_AWREADY, m_axi_awready(1) => xbar_to_m01_couplers_AWREADY, m_axi_awready(0) => xbar_to_m00_couplers_AWREADY(0), m_axi_awvalid(2) => xbar_to_m02_couplers_AWVALID(2), m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1), m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), m_axi_bready(2) => xbar_to_m02_couplers_BREADY(2), m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1), m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0), m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0), m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0), m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), m_axi_bvalid(2) => xbar_to_m02_couplers_BVALID, m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID, m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID(0), m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0), m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0), m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), m_axi_rready(2) => xbar_to_m02_couplers_RREADY(2), m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1), m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0), m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0), m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0), m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), m_axi_rvalid(2) => xbar_to_m02_couplers_RVALID, m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID, m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID(0), m_axi_wdata(95 downto 64) => xbar_to_m02_couplers_WDATA(95 downto 64), m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32), m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), m_axi_wready(2) => xbar_to_m02_couplers_WREADY, m_axi_wready(1) => xbar_to_m01_couplers_WREADY, m_axi_wready(0) => xbar_to_m00_couplers_WREADY(0), m_axi_wstrb(11 downto 8) => xbar_to_m02_couplers_WSTRB(11 downto 8), m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4), m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), m_axi_wvalid(2) => xbar_to_m02_couplers_WVALID(2), m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1), m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0), s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0), s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID, s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0), s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID, s_axi_bready(0) => s00_couplers_to_xbar_BREADY, s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0), s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), s_axi_rready(0) => s00_couplers_to_xbar_RREADY, s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0), s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0), s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity cpu_processing_system7_0_axi_periph_1_0 is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_ACLK : in STD_LOGIC; M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_arready : in STD_LOGIC; M00_AXI_arvalid : out STD_LOGIC; M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_awready : in STD_LOGIC; M00_AXI_awvalid : out STD_LOGIC; M00_AXI_bready : out STD_LOGIC; M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_bvalid : in STD_LOGIC; M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_rready : out STD_LOGIC; M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_rvalid : in STD_LOGIC; M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC ); end cpu_processing_system7_0_axi_periph_1_0; architecture STRUCTURE of cpu_processing_system7_0_axi_periph_1_0 is signal S00_ACLK_1 : STD_LOGIC; signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_1_ACLK_net : STD_LOGIC; signal processing_system7_0_axi_periph_1_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_ARVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_AWVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_BREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_RLAST : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_RREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_WLAST : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_to_s00_couplers_WVALID : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_ARREADY : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_ARVALID : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_AWREADY : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_AWVALID : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_BREADY : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_BVALID : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_RREADY : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_RVALID : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_WREADY : STD_LOGIC; signal s00_couplers_to_processing_system7_0_axi_periph_1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_processing_system7_0_axi_periph_1_WVALID : STD_LOGIC; begin M00_AXI_araddr(31 downto 0) <= s00_couplers_to_processing_system7_0_axi_periph_1_ARADDR(31 downto 0); M00_AXI_arvalid <= s00_couplers_to_processing_system7_0_axi_periph_1_ARVALID; M00_AXI_awaddr(31 downto 0) <= s00_couplers_to_processing_system7_0_axi_periph_1_AWADDR(31 downto 0); M00_AXI_awvalid <= s00_couplers_to_processing_system7_0_axi_periph_1_AWVALID; M00_AXI_bready <= s00_couplers_to_processing_system7_0_axi_periph_1_BREADY; M00_AXI_rready <= s00_couplers_to_processing_system7_0_axi_periph_1_RREADY; M00_AXI_wdata(31 downto 0) <= s00_couplers_to_processing_system7_0_axi_periph_1_WDATA(31 downto 0); M00_AXI_wstrb(3 downto 0) <= s00_couplers_to_processing_system7_0_axi_periph_1_WSTRB(3 downto 0); M00_AXI_wvalid <= s00_couplers_to_processing_system7_0_axi_periph_1_WVALID; S00_ACLK_1 <= S00_ACLK; S00_ARESETN_1(0) <= S00_ARESETN(0); S00_AXI_arready <= processing_system7_0_axi_periph_1_to_s00_couplers_ARREADY; S00_AXI_awready <= processing_system7_0_axi_periph_1_to_s00_couplers_AWREADY; S00_AXI_bid(11 downto 0) <= processing_system7_0_axi_periph_1_to_s00_couplers_BID(11 downto 0); S00_AXI_bresp(1 downto 0) <= processing_system7_0_axi_periph_1_to_s00_couplers_BRESP(1 downto 0); S00_AXI_bvalid <= processing_system7_0_axi_periph_1_to_s00_couplers_BVALID; S00_AXI_rdata(31 downto 0) <= processing_system7_0_axi_periph_1_to_s00_couplers_RDATA(31 downto 0); S00_AXI_rid(11 downto 0) <= processing_system7_0_axi_periph_1_to_s00_couplers_RID(11 downto 0); S00_AXI_rlast <= processing_system7_0_axi_periph_1_to_s00_couplers_RLAST; S00_AXI_rresp(1 downto 0) <= processing_system7_0_axi_periph_1_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= processing_system7_0_axi_periph_1_to_s00_couplers_RVALID; S00_AXI_wready <= processing_system7_0_axi_periph_1_to_s00_couplers_WREADY; processing_system7_0_axi_periph_1_ACLK_net <= M00_ACLK; processing_system7_0_axi_periph_1_ARESETN_net(0) <= M00_ARESETN(0); processing_system7_0_axi_periph_1_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARID(11 downto 0) <= S00_AXI_arid(11 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARLEN(3 downto 0) <= S00_AXI_arlen(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARLOCK(1 downto 0) <= S00_AXI_arlock(1 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_ARVALID <= S00_AXI_arvalid; processing_system7_0_axi_periph_1_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWID(11 downto 0) <= S00_AXI_awid(11 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWLEN(3 downto 0) <= S00_AXI_awlen(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWLOCK(1 downto 0) <= S00_AXI_awlock(1 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_AWVALID <= S00_AXI_awvalid; processing_system7_0_axi_periph_1_to_s00_couplers_BREADY <= S00_AXI_bready; processing_system7_0_axi_periph_1_to_s00_couplers_RREADY <= S00_AXI_rready; processing_system7_0_axi_periph_1_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_WID(11 downto 0) <= S00_AXI_wid(11 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_WLAST <= S00_AXI_wlast; processing_system7_0_axi_periph_1_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); processing_system7_0_axi_periph_1_to_s00_couplers_WVALID <= S00_AXI_wvalid; s00_couplers_to_processing_system7_0_axi_periph_1_ARREADY <= M00_AXI_arready; s00_couplers_to_processing_system7_0_axi_periph_1_AWREADY <= M00_AXI_awready; s00_couplers_to_processing_system7_0_axi_periph_1_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); s00_couplers_to_processing_system7_0_axi_periph_1_BVALID <= M00_AXI_bvalid; s00_couplers_to_processing_system7_0_axi_periph_1_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); s00_couplers_to_processing_system7_0_axi_periph_1_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); s00_couplers_to_processing_system7_0_axi_periph_1_RVALID <= M00_AXI_rvalid; s00_couplers_to_processing_system7_0_axi_periph_1_WREADY <= M00_AXI_wready; s00_couplers: entity work.s00_couplers_imp_1AHKP6S port map ( M_ACLK => processing_system7_0_axi_periph_1_ACLK_net, M_ARESETN(0) => processing_system7_0_axi_periph_1_ARESETN_net(0), M_AXI_araddr(31 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_ARADDR(31 downto 0), M_AXI_arready => s00_couplers_to_processing_system7_0_axi_periph_1_ARREADY, M_AXI_arvalid => s00_couplers_to_processing_system7_0_axi_periph_1_ARVALID, M_AXI_awaddr(31 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_AWADDR(31 downto 0), M_AXI_awready => s00_couplers_to_processing_system7_0_axi_periph_1_AWREADY, M_AXI_awvalid => s00_couplers_to_processing_system7_0_axi_periph_1_AWVALID, M_AXI_bready => s00_couplers_to_processing_system7_0_axi_periph_1_BREADY, M_AXI_bresp(1 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_BRESP(1 downto 0), M_AXI_bvalid => s00_couplers_to_processing_system7_0_axi_periph_1_BVALID, M_AXI_rdata(31 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_RDATA(31 downto 0), M_AXI_rready => s00_couplers_to_processing_system7_0_axi_periph_1_RREADY, M_AXI_rresp(1 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_RRESP(1 downto 0), M_AXI_rvalid => s00_couplers_to_processing_system7_0_axi_periph_1_RVALID, M_AXI_wdata(31 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_WDATA(31 downto 0), M_AXI_wready => s00_couplers_to_processing_system7_0_axi_periph_1_WREADY, M_AXI_wstrb(3 downto 0) => s00_couplers_to_processing_system7_0_axi_periph_1_WSTRB(3 downto 0), M_AXI_wvalid => s00_couplers_to_processing_system7_0_axi_periph_1_WVALID, S_ACLK => S00_ACLK_1, S_ARESETN(0) => S00_ARESETN_1(0), S_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARADDR(31 downto 0), S_AXI_arburst(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARBURST(1 downto 0), S_AXI_arcache(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARCACHE(3 downto 0), S_AXI_arid(11 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARID(11 downto 0), S_AXI_arlen(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARLEN(3 downto 0), S_AXI_arlock(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARLOCK(1 downto 0), S_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARPROT(2 downto 0), S_AXI_arqos(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARQOS(3 downto 0), S_AXI_arready => processing_system7_0_axi_periph_1_to_s00_couplers_ARREADY, S_AXI_arsize(2 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_ARSIZE(2 downto 0), S_AXI_arvalid => processing_system7_0_axi_periph_1_to_s00_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWADDR(31 downto 0), S_AXI_awburst(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWBURST(1 downto 0), S_AXI_awcache(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWCACHE(3 downto 0), S_AXI_awid(11 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWID(11 downto 0), S_AXI_awlen(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWLEN(3 downto 0), S_AXI_awlock(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWLOCK(1 downto 0), S_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWPROT(2 downto 0), S_AXI_awqos(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWQOS(3 downto 0), S_AXI_awready => processing_system7_0_axi_periph_1_to_s00_couplers_AWREADY, S_AXI_awsize(2 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_AWSIZE(2 downto 0), S_AXI_awvalid => processing_system7_0_axi_periph_1_to_s00_couplers_AWVALID, S_AXI_bid(11 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_BID(11 downto 0), S_AXI_bready => processing_system7_0_axi_periph_1_to_s00_couplers_BREADY, S_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_BRESP(1 downto 0), S_AXI_bvalid => processing_system7_0_axi_periph_1_to_s00_couplers_BVALID, S_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_RDATA(31 downto 0), S_AXI_rid(11 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_RID(11 downto 0), S_AXI_rlast => processing_system7_0_axi_periph_1_to_s00_couplers_RLAST, S_AXI_rready => processing_system7_0_axi_periph_1_to_s00_couplers_RREADY, S_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_RRESP(1 downto 0), S_AXI_rvalid => processing_system7_0_axi_periph_1_to_s00_couplers_RVALID, S_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_WDATA(31 downto 0), S_AXI_wid(11 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_WID(11 downto 0), S_AXI_wlast => processing_system7_0_axi_periph_1_to_s00_couplers_WLAST, S_AXI_wready => processing_system7_0_axi_periph_1_to_s00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_1_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => processing_system7_0_axi_periph_1_to_s00_couplers_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity cpu is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; EPC_INTF_addr : out STD_LOGIC_VECTOR ( 0 to 31 ); EPC_INTF_ads : out STD_LOGIC; EPC_INTF_be : out STD_LOGIC_VECTOR ( 0 to 3 ); EPC_INTF_burst : out STD_LOGIC; EPC_INTF_clk : in STD_LOGIC; EPC_INTF_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); EPC_INTF_data_i : in STD_LOGIC_VECTOR ( 0 to 31 ); EPC_INTF_data_o : out STD_LOGIC_VECTOR ( 0 to 31 ); EPC_INTF_data_t : out STD_LOGIC_VECTOR ( 0 to 31 ); EPC_INTF_rd_n : out STD_LOGIC; EPC_INTF_rdy : in STD_LOGIC_VECTOR ( 0 to 0 ); EPC_INTF_rnw : out STD_LOGIC; EPC_INTF_rst : in STD_LOGIC; EPC_INTF_wr_n : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; GPIO_tri_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); GPIO_tri_o : out STD_LOGIC_VECTOR ( 15 downto 0 ); GPIO_tri_t : out STD_LOGIC_VECTOR ( 15 downto 0 ); IIC_0_scl_i : in STD_LOGIC; IIC_0_scl_o : out STD_LOGIC; IIC_0_scl_t : out STD_LOGIC; IIC_0_sda_i : in STD_LOGIC; IIC_0_sda_o : out STD_LOGIC; IIC_0_sda_t : out STD_LOGIC; IIC_1_scl_i : in STD_LOGIC; IIC_1_scl_o : out STD_LOGIC; IIC_1_scl_t : out STD_LOGIC; IIC_1_sda_i : in STD_LOGIC; IIC_1_sda_o : out STD_LOGIC; IIC_1_sda_t : out STD_LOGIC; IIC_scl_i : in STD_LOGIC; IIC_scl_o : out STD_LOGIC; IIC_scl_t : out STD_LOGIC; IIC_sda_i : in STD_LOGIC; IIC_sda_o : out STD_LOGIC; IIC_sda_t : out STD_LOGIC; Int0 : in STD_LOGIC_VECTOR ( 0 to 0 ); Int1 : in STD_LOGIC_VECTOR ( 0 to 0 ); Int2 : in STD_LOGIC_VECTOR ( 0 to 0 ); Int3 : in STD_LOGIC_VECTOR ( 0 to 0 ); OCXO_CLK100 : in STD_LOGIC; OCXO_RESETN : out STD_LOGIC_VECTOR ( 0 to 0 ); UART_0_rxd : in STD_LOGIC; UART_0_txd : out STD_LOGIC; Vp_Vn_v_n : in STD_LOGIC; Vp_Vn_v_p : in STD_LOGIC ); end cpu; architecture STRUCTURE of cpu is component cpu_processing_system7_0_0 is port ( ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); IRQ_F2P : in STD_LOGIC_VECTOR ( 5 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); end component cpu_processing_system7_0_0; component cpu_axi_gpio_0_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 15 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 15 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); end component cpu_axi_gpio_0_0; component cpu_rst_processing_system7_0_100M_0 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component cpu_rst_processing_system7_0_100M_0; component cpu_axi_iic_0_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; iic2intc_irpt : out STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; sda_i : in STD_LOGIC; sda_o : out STD_LOGIC; sda_t : out STD_LOGIC; scl_i : in STD_LOGIC; scl_o : out STD_LOGIC; scl_t : out STD_LOGIC; gpo : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component cpu_axi_iic_0_0; component cpu_axi_epc_0_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; prh_clk : in STD_LOGIC; prh_rst : in STD_LOGIC; prh_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); prh_addr : out STD_LOGIC_VECTOR ( 0 to 31 ); prh_ads : out STD_LOGIC; prh_be : out STD_LOGIC_VECTOR ( 0 to 3 ); prh_rnw : out STD_LOGIC; prh_rd_n : out STD_LOGIC; prh_wr_n : out STD_LOGIC; prh_burst : out STD_LOGIC; prh_rdy : in STD_LOGIC_VECTOR ( 0 to 0 ); prh_data_i : in STD_LOGIC_VECTOR ( 0 to 31 ); prh_data_o : out STD_LOGIC_VECTOR ( 0 to 31 ); prh_data_t : out STD_LOGIC_VECTOR ( 0 to 31 ) ); end component cpu_axi_epc_0_0; component cpu_rst_M_AXI_GP1_ACLK_100M_0 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component cpu_rst_M_AXI_GP1_ACLK_100M_0; component cpu_xlconcat_0_0 is port ( In0 : in STD_LOGIC_VECTOR ( 0 to 0 ); In1 : in STD_LOGIC_VECTOR ( 0 to 0 ); In2 : in STD_LOGIC_VECTOR ( 0 to 0 ); In3 : in STD_LOGIC_VECTOR ( 0 to 0 ); In4 : in STD_LOGIC_VECTOR ( 0 to 0 ); In5 : in STD_LOGIC_VECTOR ( 0 to 0 ); dout : out STD_LOGIC_VECTOR ( 5 downto 0 ) ); end component cpu_xlconcat_0_0; component cpu_xadc_wiz_0_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 10 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; vp_in : in STD_LOGIC; vn_in : in STD_LOGIC; user_temp_alarm_out : out STD_LOGIC; vccint_alarm_out : out STD_LOGIC; vccaux_alarm_out : out STD_LOGIC; vccpint_alarm_out : out STD_LOGIC; vccpaux_alarm_out : out STD_LOGIC; vccddro_alarm_out : out STD_LOGIC; ot_out : out STD_LOGIC; channel_out : out STD_LOGIC_VECTOR ( 4 downto 0 ); eoc_out : out STD_LOGIC; alarm_out : out STD_LOGIC; eos_out : out STD_LOGIC; busy_out : out STD_LOGIC ); end component cpu_xadc_wiz_0_0; signal GND_1 : STD_LOGIC; signal In4_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal In5_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal Int0_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal Int1_1 : STD_LOGIC_VECTOR ( 0 to 0 ); signal M_AXI_GP0_ACLK_1 : STD_LOGIC; signal M_AXI_GP1_ACLK_1 : STD_LOGIC; signal VCC_1 : STD_LOGIC; signal Vp_Vn_1_V_N : STD_LOGIC; signal Vp_Vn_1_V_P : STD_LOGIC; signal axi_epc_0_EPC_INTF_ADDR : STD_LOGIC_VECTOR ( 0 to 31 ); signal axi_epc_0_EPC_INTF_ADS : STD_LOGIC; signal axi_epc_0_EPC_INTF_BE : STD_LOGIC_VECTOR ( 0 to 3 ); signal axi_epc_0_EPC_INTF_BURST : STD_LOGIC; signal axi_epc_0_EPC_INTF_CLK : STD_LOGIC; signal axi_epc_0_EPC_INTF_CS_N : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_epc_0_EPC_INTF_DATA_I : STD_LOGIC_VECTOR ( 0 to 31 ); signal axi_epc_0_EPC_INTF_DATA_O : STD_LOGIC_VECTOR ( 0 to 31 ); signal axi_epc_0_EPC_INTF_DATA_T : STD_LOGIC_VECTOR ( 0 to 31 ); signal axi_epc_0_EPC_INTF_RDY : STD_LOGIC_VECTOR ( 0 to 0 ); signal axi_epc_0_EPC_INTF_RD_N : STD_LOGIC; signal axi_epc_0_EPC_INTF_RNW : STD_LOGIC; signal axi_epc_0_EPC_INTF_RST : STD_LOGIC; signal axi_epc_0_EPC_INTF_WR_N : STD_LOGIC; signal axi_gpio_0_GPIO_TRI_I : STD_LOGIC_VECTOR ( 15 downto 0 ); signal axi_gpio_0_GPIO_TRI_O : STD_LOGIC_VECTOR ( 15 downto 0 ); signal axi_gpio_0_GPIO_TRI_T : STD_LOGIC_VECTOR ( 15 downto 0 ); signal axi_iic_0_IIC_SCL_I : STD_LOGIC; signal axi_iic_0_IIC_SCL_O : STD_LOGIC; signal axi_iic_0_IIC_SCL_T : STD_LOGIC; signal axi_iic_0_IIC_SDA_I : STD_LOGIC; signal axi_iic_0_IIC_SDA_O : STD_LOGIC; signal axi_iic_0_IIC_SDA_T : STD_LOGIC; signal axi_iic_0_iic2intc_irpt : STD_LOGIC; signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 ); signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_DDR_CAS_N : STD_LOGIC; signal processing_system7_0_DDR_CKE : STD_LOGIC; signal processing_system7_0_DDR_CK_N : STD_LOGIC; signal processing_system7_0_DDR_CK_P : STD_LOGIC; signal processing_system7_0_DDR_CS_N : STD_LOGIC; signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_ODT : STD_LOGIC; signal processing_system7_0_DDR_RAS_N : STD_LOGIC; signal processing_system7_0_DDR_RESET_N : STD_LOGIC; signal processing_system7_0_DDR_WE_N : STD_LOGIC; signal processing_system7_0_FCLK_RESET0_N : STD_LOGIC; signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC; signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC; signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC; signal processing_system7_0_IIC_0_SCL_I : STD_LOGIC; signal processing_system7_0_IIC_0_SCL_O : STD_LOGIC; signal processing_system7_0_IIC_0_SCL_T : STD_LOGIC; signal processing_system7_0_IIC_0_SDA_I : STD_LOGIC; signal processing_system7_0_IIC_0_SDA_O : STD_LOGIC; signal processing_system7_0_IIC_0_SDA_T : STD_LOGIC; signal processing_system7_0_IIC_1_SCL_I : STD_LOGIC; signal processing_system7_0_IIC_1_SCL_O : STD_LOGIC; signal processing_system7_0_IIC_1_SCL_T : STD_LOGIC; signal processing_system7_0_IIC_1_SDA_I : STD_LOGIC; signal processing_system7_0_IIC_1_SDA_O : STD_LOGIC; signal processing_system7_0_IIC_1_SDA_T : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP0_ARVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP0_AWVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP0_BREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_BVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP0_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP0_RLAST : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_RREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP0_RVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP0_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP0_WLAST : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_WREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP0_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP0_WVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP1_ARVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_M_AXI_GP1_AWVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_BID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP1_BREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_BVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP1_RID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP1_RLAST : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_RREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_M_AXI_GP1_RVALID : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_M_AXI_GP1_WID : STD_LOGIC_VECTOR ( 11 downto 0 ); signal processing_system7_0_M_AXI_GP1_WLAST : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_WREADY : STD_LOGIC; signal processing_system7_0_M_AXI_GP1_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_M_AXI_GP1_WVALID : STD_LOGIC; signal processing_system7_0_UART_0_RxD : STD_LOGIC; signal processing_system7_0_UART_0_TxD : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_ARVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_AWVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_BREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_RREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_1_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_1_M00_AXI_WVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_M00_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_M00_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_axi_periph_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_ARVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_AWVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_BREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_RREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_M01_AXI_WVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_ARADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_ARREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_ARVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_AWADDR : STD_LOGIC_VECTOR ( 10 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_AWREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_AWVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_BREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_BVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_RREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_RVALID : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_WREADY : STD_LOGIC; signal processing_system7_0_axi_periph_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_axi_periph_M02_AXI_WVALID : STD_LOGIC; signal rst_M_AXI_GP1_ACLK_100M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 ); signal rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 ); signal rst_processing_system7_0_100M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 ); signal rst_processing_system7_0_100M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 ); signal xadc_wiz_0_ip2intc_irpt : STD_LOGIC; signal xlconcat_0_dout : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_axi_iic_0_gpo_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_processing_system7_0_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_rst_M_AXI_GP1_ACLK_100M_mb_reset_UNCONNECTED : STD_LOGIC; signal NLW_rst_M_AXI_GP1_ACLK_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_rst_M_AXI_GP1_ACLK_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED : STD_LOGIC; signal NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_xadc_wiz_0_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_busy_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_eoc_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_eos_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_ot_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_user_temp_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_vccaux_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_vccddro_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_vccint_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_vccpaux_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_vccpint_alarm_out_UNCONNECTED : STD_LOGIC; signal NLW_xadc_wiz_0_channel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); begin EPC_INTF_addr(0 to 31) <= axi_epc_0_EPC_INTF_ADDR(0 to 31); EPC_INTF_ads <= axi_epc_0_EPC_INTF_ADS; EPC_INTF_be(0 to 3) <= axi_epc_0_EPC_INTF_BE(0 to 3); EPC_INTF_burst <= axi_epc_0_EPC_INTF_BURST; EPC_INTF_cs_n(0) <= axi_epc_0_EPC_INTF_CS_N(0); EPC_INTF_data_o(0 to 31) <= axi_epc_0_EPC_INTF_DATA_O(0 to 31); EPC_INTF_data_t(0 to 31) <= axi_epc_0_EPC_INTF_DATA_T(0 to 31); EPC_INTF_rd_n <= axi_epc_0_EPC_INTF_RD_N; EPC_INTF_rnw <= axi_epc_0_EPC_INTF_RNW; EPC_INTF_wr_n <= axi_epc_0_EPC_INTF_WR_N; FCLK_CLK0 <= M_AXI_GP0_ACLK_1; FCLK_RESET0_N <= processing_system7_0_FCLK_RESET0_N; GPIO_tri_o(15 downto 0) <= axi_gpio_0_GPIO_TRI_O(15 downto 0); GPIO_tri_t(15 downto 0) <= axi_gpio_0_GPIO_TRI_T(15 downto 0); IIC_0_scl_o <= processing_system7_0_IIC_0_SCL_O; IIC_0_scl_t <= processing_system7_0_IIC_0_SCL_T; IIC_0_sda_o <= processing_system7_0_IIC_0_SDA_O; IIC_0_sda_t <= processing_system7_0_IIC_0_SDA_T; IIC_1_scl_o <= processing_system7_0_IIC_1_SCL_O; IIC_1_scl_t <= processing_system7_0_IIC_1_SCL_T; IIC_1_sda_o <= processing_system7_0_IIC_1_SDA_O; IIC_1_sda_t <= processing_system7_0_IIC_1_SDA_T; IIC_scl_o <= axi_iic_0_IIC_SCL_O; IIC_scl_t <= axi_iic_0_IIC_SCL_T; IIC_sda_o <= axi_iic_0_IIC_SDA_O; IIC_sda_t <= axi_iic_0_IIC_SDA_T; In4_1(0) <= Int2(0); In5_1(0) <= Int3(0); Int0_1(0) <= Int0(0); Int1_1(0) <= Int1(0); M_AXI_GP1_ACLK_1 <= OCXO_CLK100; OCXO_RESETN(0) <= rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn(0); UART_0_txd <= processing_system7_0_UART_0_TxD; Vp_Vn_1_V_N <= Vp_Vn_v_n; Vp_Vn_1_V_P <= Vp_Vn_v_p; axi_epc_0_EPC_INTF_CLK <= EPC_INTF_clk; axi_epc_0_EPC_INTF_DATA_I(0 to 31) <= EPC_INTF_data_i(0 to 31); axi_epc_0_EPC_INTF_RDY(0) <= EPC_INTF_rdy(0); axi_epc_0_EPC_INTF_RST <= EPC_INTF_rst; axi_gpio_0_GPIO_TRI_I(15 downto 0) <= GPIO_tri_i(15 downto 0); axi_iic_0_IIC_SCL_I <= IIC_scl_i; axi_iic_0_IIC_SDA_I <= IIC_sda_i; processing_system7_0_IIC_0_SCL_I <= IIC_0_scl_i; processing_system7_0_IIC_0_SDA_I <= IIC_0_sda_i; processing_system7_0_IIC_1_SCL_I <= IIC_1_scl_i; processing_system7_0_IIC_1_SDA_I <= IIC_1_sda_i; processing_system7_0_UART_0_RxD <= UART_0_rxd; GND: unisim.vcomponents.GND port map ( G => GND_1 ); VCC: unisim.vcomponents.VCC port map ( P => VCC_1 ); axi_epc_0: component cpu_axi_epc_0_0 port map ( prh_addr(0 to 31) => axi_epc_0_EPC_INTF_ADDR(0 to 31), prh_ads => axi_epc_0_EPC_INTF_ADS, prh_be(0 to 3) => axi_epc_0_EPC_INTF_BE(0 to 3), prh_burst => axi_epc_0_EPC_INTF_BURST, prh_clk => axi_epc_0_EPC_INTF_CLK, prh_cs_n(0) => axi_epc_0_EPC_INTF_CS_N(0), prh_data_i(0 to 31) => axi_epc_0_EPC_INTF_DATA_I(0 to 31), prh_data_o(0 to 31) => axi_epc_0_EPC_INTF_DATA_O(0 to 31), prh_data_t(0 to 31) => axi_epc_0_EPC_INTF_DATA_T(0 to 31), prh_rd_n => axi_epc_0_EPC_INTF_RD_N, prh_rdy(0) => axi_epc_0_EPC_INTF_RDY(0), prh_rnw => axi_epc_0_EPC_INTF_RNW, prh_rst => axi_epc_0_EPC_INTF_RST, prh_wr_n => axi_epc_0_EPC_INTF_WR_N, s_axi_aclk => M_AXI_GP1_ACLK_1, s_axi_araddr(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_ARADDR(31 downto 0), s_axi_aresetn => rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn(0), s_axi_arready => processing_system7_0_axi_periph_1_M00_AXI_ARREADY, s_axi_arvalid => processing_system7_0_axi_periph_1_M00_AXI_ARVALID, s_axi_awaddr(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_AWADDR(31 downto 0), s_axi_awready => processing_system7_0_axi_periph_1_M00_AXI_AWREADY, s_axi_awvalid => processing_system7_0_axi_periph_1_M00_AXI_AWVALID, s_axi_bready => processing_system7_0_axi_periph_1_M00_AXI_BREADY, s_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_BRESP(1 downto 0), s_axi_bvalid => processing_system7_0_axi_periph_1_M00_AXI_BVALID, s_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_RDATA(31 downto 0), s_axi_rready => processing_system7_0_axi_periph_1_M00_AXI_RREADY, s_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_RRESP(1 downto 0), s_axi_rvalid => processing_system7_0_axi_periph_1_M00_AXI_RVALID, s_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_WDATA(31 downto 0), s_axi_wready => processing_system7_0_axi_periph_1_M00_AXI_WREADY, s_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_WSTRB(3 downto 0), s_axi_wvalid => processing_system7_0_axi_periph_1_M00_AXI_WVALID ); axi_gpio_0: component cpu_axi_gpio_0_0 port map ( gpio_io_i(15 downto 0) => axi_gpio_0_GPIO_TRI_I(15 downto 0), gpio_io_o(15 downto 0) => axi_gpio_0_GPIO_TRI_O(15 downto 0), gpio_io_t(15 downto 0) => axi_gpio_0_GPIO_TRI_T(15 downto 0), s_axi_aclk => M_AXI_GP0_ACLK_1, s_axi_araddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(8 downto 0), s_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0), s_axi_arready => processing_system7_0_axi_periph_M00_AXI_ARREADY, s_axi_arvalid => processing_system7_0_axi_periph_M00_AXI_ARVALID(0), s_axi_awaddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(8 downto 0), s_axi_awready => processing_system7_0_axi_periph_M00_AXI_AWREADY, s_axi_awvalid => processing_system7_0_axi_periph_M00_AXI_AWVALID(0), s_axi_bready => processing_system7_0_axi_periph_M00_AXI_BREADY(0), s_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0), s_axi_bvalid => processing_system7_0_axi_periph_M00_AXI_BVALID, s_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0), s_axi_rready => processing_system7_0_axi_periph_M00_AXI_RREADY(0), s_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0), s_axi_rvalid => processing_system7_0_axi_periph_M00_AXI_RVALID, s_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0), s_axi_wready => processing_system7_0_axi_periph_M00_AXI_WREADY, s_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M00_AXI_WSTRB(3 downto 0), s_axi_wvalid => processing_system7_0_axi_periph_M00_AXI_WVALID(0) ); axi_iic_0: component cpu_axi_iic_0_0 port map ( gpo(0) => NLW_axi_iic_0_gpo_UNCONNECTED(0), iic2intc_irpt => axi_iic_0_iic2intc_irpt, s_axi_aclk => M_AXI_GP0_ACLK_1, s_axi_araddr(8 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(8 downto 0), s_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0), s_axi_arready => processing_system7_0_axi_periph_M01_AXI_ARREADY, s_axi_arvalid => processing_system7_0_axi_periph_M01_AXI_ARVALID, s_axi_awaddr(8 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(8 downto 0), s_axi_awready => processing_system7_0_axi_periph_M01_AXI_AWREADY, s_axi_awvalid => processing_system7_0_axi_periph_M01_AXI_AWVALID, s_axi_bready => processing_system7_0_axi_periph_M01_AXI_BREADY, s_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0), s_axi_bvalid => processing_system7_0_axi_periph_M01_AXI_BVALID, s_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0), s_axi_rready => processing_system7_0_axi_periph_M01_AXI_RREADY, s_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0), s_axi_rvalid => processing_system7_0_axi_periph_M01_AXI_RVALID, s_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0), s_axi_wready => processing_system7_0_axi_periph_M01_AXI_WREADY, s_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0), s_axi_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID, scl_i => axi_iic_0_IIC_SCL_I, scl_o => axi_iic_0_IIC_SCL_O, scl_t => axi_iic_0_IIC_SCL_T, sda_i => axi_iic_0_IIC_SDA_I, sda_o => axi_iic_0_IIC_SDA_O, sda_t => axi_iic_0_IIC_SDA_T ); processing_system7_0: component cpu_processing_system7_0_0 port map ( DDR_Addr(14 downto 0) => DDR_addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0), DDR_CAS_n => DDR_cas_n, DDR_CKE => DDR_cke, DDR_CS_n => DDR_cs_n, DDR_Clk => DDR_ck_p, DDR_Clk_n => DDR_ck_n, DDR_DM(3 downto 0) => DDR_dm(3 downto 0), DDR_DQ(31 downto 0) => DDR_dq(31 downto 0), DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_DRSTB => DDR_reset_n, DDR_ODT => DDR_odt, DDR_RAS_n => DDR_ras_n, DDR_VRN => FIXED_IO_ddr_vrn, DDR_VRP => FIXED_IO_ddr_vrp, DDR_WEB => DDR_we_n, ENET0_PTP_DELAY_REQ_RX => NLW_processing_system7_0_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_processing_system7_0_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_processing_system7_0_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_processing_system7_0_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_processing_system7_0_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_processing_system7_0_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_processing_system7_0_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_processing_system7_0_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_processing_system7_0_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_processing_system7_0_ENET0_SOF_TX_UNCONNECTED, FCLK_CLK0 => M_AXI_GP0_ACLK_1, FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N, I2C0_SCL_I => processing_system7_0_IIC_0_SCL_I, I2C0_SCL_O => processing_system7_0_IIC_0_SCL_O, I2C0_SCL_T => processing_system7_0_IIC_0_SCL_T, I2C0_SDA_I => processing_system7_0_IIC_0_SDA_I, I2C0_SDA_O => processing_system7_0_IIC_0_SDA_O, I2C0_SDA_T => processing_system7_0_IIC_0_SDA_T, I2C1_SCL_I => processing_system7_0_IIC_1_SCL_I, I2C1_SCL_O => processing_system7_0_IIC_1_SCL_O, I2C1_SCL_T => processing_system7_0_IIC_1_SCL_T, I2C1_SDA_I => processing_system7_0_IIC_1_SDA_I, I2C1_SDA_O => processing_system7_0_IIC_1_SDA_O, I2C1_SDA_T => processing_system7_0_IIC_1_SDA_T, IRQ_F2P(5 downto 0) => xlconcat_0_dout(5 downto 0), MIO(53 downto 0) => FIXED_IO_mio(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK_1, M_AXI_GP0_ARADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARID(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => processing_system7_0_M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => processing_system7_0_M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => processing_system7_0_M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => processing_system7_0_M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => processing_system7_0_M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => processing_system7_0_M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => processing_system7_0_M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => processing_system7_0_M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => processing_system7_0_M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => processing_system7_0_M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => processing_system7_0_M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => processing_system7_0_M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => M_AXI_GP1_ACLK_1, M_AXI_GP1_ARADDR(31 downto 0) => processing_system7_0_M_AXI_GP1_ARADDR(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => processing_system7_0_M_AXI_GP1_ARBURST(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => processing_system7_0_M_AXI_GP1_ARCACHE(3 downto 0), M_AXI_GP1_ARID(11 downto 0) => processing_system7_0_M_AXI_GP1_ARID(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => processing_system7_0_M_AXI_GP1_ARLEN(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => processing_system7_0_M_AXI_GP1_ARLOCK(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => processing_system7_0_M_AXI_GP1_ARPROT(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => processing_system7_0_M_AXI_GP1_ARQOS(3 downto 0), M_AXI_GP1_ARREADY => processing_system7_0_M_AXI_GP1_ARREADY, M_AXI_GP1_ARSIZE(2 downto 0) => processing_system7_0_M_AXI_GP1_ARSIZE(2 downto 0), M_AXI_GP1_ARVALID => processing_system7_0_M_AXI_GP1_ARVALID, M_AXI_GP1_AWADDR(31 downto 0) => processing_system7_0_M_AXI_GP1_AWADDR(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => processing_system7_0_M_AXI_GP1_AWBURST(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => processing_system7_0_M_AXI_GP1_AWCACHE(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => processing_system7_0_M_AXI_GP1_AWID(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => processing_system7_0_M_AXI_GP1_AWLEN(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => processing_system7_0_M_AXI_GP1_AWLOCK(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => processing_system7_0_M_AXI_GP1_AWPROT(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => processing_system7_0_M_AXI_GP1_AWQOS(3 downto 0), M_AXI_GP1_AWREADY => processing_system7_0_M_AXI_GP1_AWREADY, M_AXI_GP1_AWSIZE(2 downto 0) => processing_system7_0_M_AXI_GP1_AWSIZE(2 downto 0), M_AXI_GP1_AWVALID => processing_system7_0_M_AXI_GP1_AWVALID, M_AXI_GP1_BID(11 downto 0) => processing_system7_0_M_AXI_GP1_BID(11 downto 0), M_AXI_GP1_BREADY => processing_system7_0_M_AXI_GP1_BREADY, M_AXI_GP1_BRESP(1 downto 0) => processing_system7_0_M_AXI_GP1_BRESP(1 downto 0), M_AXI_GP1_BVALID => processing_system7_0_M_AXI_GP1_BVALID, M_AXI_GP1_RDATA(31 downto 0) => processing_system7_0_M_AXI_GP1_RDATA(31 downto 0), M_AXI_GP1_RID(11 downto 0) => processing_system7_0_M_AXI_GP1_RID(11 downto 0), M_AXI_GP1_RLAST => processing_system7_0_M_AXI_GP1_RLAST, M_AXI_GP1_RREADY => processing_system7_0_M_AXI_GP1_RREADY, M_AXI_GP1_RRESP(1 downto 0) => processing_system7_0_M_AXI_GP1_RRESP(1 downto 0), M_AXI_GP1_RVALID => processing_system7_0_M_AXI_GP1_RVALID, M_AXI_GP1_WDATA(31 downto 0) => processing_system7_0_M_AXI_GP1_WDATA(31 downto 0), M_AXI_GP1_WID(11 downto 0) => processing_system7_0_M_AXI_GP1_WID(11 downto 0), M_AXI_GP1_WLAST => processing_system7_0_M_AXI_GP1_WLAST, M_AXI_GP1_WREADY => processing_system7_0_M_AXI_GP1_WREADY, M_AXI_GP1_WSTRB(3 downto 0) => processing_system7_0_M_AXI_GP1_WSTRB(3 downto 0), M_AXI_GP1_WVALID => processing_system7_0_M_AXI_GP1_WVALID, PS_CLK => FIXED_IO_ps_clk, PS_PORB => FIXED_IO_ps_porb, PS_SRSTB => FIXED_IO_ps_srstb, TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED, TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED, TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED, UART0_RX => processing_system7_0_UART_0_RxD, UART0_TX => processing_system7_0_UART_0_TxD, USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0), USB0_VBUS_PWRFAULT => GND_1, USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED ); processing_system7_0_axi_periph: entity work.cpu_processing_system7_0_axi_periph_0 port map ( ACLK => M_AXI_GP0_ACLK_1, ARESETN(0) => rst_processing_system7_0_100M_interconnect_aresetn(0), M00_ACLK => M_AXI_GP0_ACLK_1, M00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), M00_AXI_araddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(8 downto 0), M00_AXI_arready(0) => processing_system7_0_axi_periph_M00_AXI_ARREADY, M00_AXI_arvalid(0) => processing_system7_0_axi_periph_M00_AXI_ARVALID(0), M00_AXI_awaddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(8 downto 0), M00_AXI_awready(0) => processing_system7_0_axi_periph_M00_AXI_AWREADY, M00_AXI_awvalid(0) => processing_system7_0_axi_periph_M00_AXI_AWVALID(0), M00_AXI_bready(0) => processing_system7_0_axi_periph_M00_AXI_BREADY(0), M00_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0), M00_AXI_bvalid(0) => processing_system7_0_axi_periph_M00_AXI_BVALID, M00_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0), M00_AXI_rready(0) => processing_system7_0_axi_periph_M00_AXI_RREADY(0), M00_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0), M00_AXI_rvalid(0) => processing_system7_0_axi_periph_M00_AXI_RVALID, M00_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0), M00_AXI_wready(0) => processing_system7_0_axi_periph_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid(0) => processing_system7_0_axi_periph_M00_AXI_WVALID(0), M01_ACLK => M_AXI_GP0_ACLK_1, M01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), M01_AXI_araddr(8 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(8 downto 0), M01_AXI_arready => processing_system7_0_axi_periph_M01_AXI_ARREADY, M01_AXI_arvalid => processing_system7_0_axi_periph_M01_AXI_ARVALID, M01_AXI_awaddr(8 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(8 downto 0), M01_AXI_awready => processing_system7_0_axi_periph_M01_AXI_AWREADY, M01_AXI_awvalid => processing_system7_0_axi_periph_M01_AXI_AWVALID, M01_AXI_bready => processing_system7_0_axi_periph_M01_AXI_BREADY, M01_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0), M01_AXI_bvalid => processing_system7_0_axi_periph_M01_AXI_BVALID, M01_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0), M01_AXI_rready => processing_system7_0_axi_periph_M01_AXI_RREADY, M01_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0), M01_AXI_rvalid => processing_system7_0_axi_periph_M01_AXI_RVALID, M01_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0), M01_AXI_wready => processing_system7_0_axi_periph_M01_AXI_WREADY, M01_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0), M01_AXI_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID, M02_ACLK => M_AXI_GP0_ACLK_1, M02_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), M02_AXI_araddr(10 downto 0) => processing_system7_0_axi_periph_M02_AXI_ARADDR(10 downto 0), M02_AXI_arready => processing_system7_0_axi_periph_M02_AXI_ARREADY, M02_AXI_arvalid => processing_system7_0_axi_periph_M02_AXI_ARVALID, M02_AXI_awaddr(10 downto 0) => processing_system7_0_axi_periph_M02_AXI_AWADDR(10 downto 0), M02_AXI_awready => processing_system7_0_axi_periph_M02_AXI_AWREADY, M02_AXI_awvalid => processing_system7_0_axi_periph_M02_AXI_AWVALID, M02_AXI_bready => processing_system7_0_axi_periph_M02_AXI_BREADY, M02_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_BRESP(1 downto 0), M02_AXI_bvalid => processing_system7_0_axi_periph_M02_AXI_BVALID, M02_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_RDATA(31 downto 0), M02_AXI_rready => processing_system7_0_axi_periph_M02_AXI_RREADY, M02_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_RRESP(1 downto 0), M02_AXI_rvalid => processing_system7_0_axi_periph_M02_AXI_RVALID, M02_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_WDATA(31 downto 0), M02_AXI_wready => processing_system7_0_axi_periph_M02_AXI_WREADY, M02_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M02_AXI_WSTRB(3 downto 0), M02_AXI_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID, S00_ACLK => M_AXI_GP0_ACLK_1, S00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), S00_AXI_araddr(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0), S00_AXI_arburst(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0), S00_AXI_arcache(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0), S00_AXI_arid(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0), S00_AXI_arlen(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0), S00_AXI_arlock(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0), S00_AXI_arprot(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0), S00_AXI_arqos(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0), S00_AXI_arready => processing_system7_0_M_AXI_GP0_ARREADY, S00_AXI_arsize(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0), S00_AXI_arvalid => processing_system7_0_M_AXI_GP0_ARVALID, S00_AXI_awaddr(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0), S00_AXI_awburst(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0), S00_AXI_awcache(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0), S00_AXI_awid(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0), S00_AXI_awlen(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0), S00_AXI_awlock(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0), S00_AXI_awprot(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0), S00_AXI_awqos(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0), S00_AXI_awready => processing_system7_0_M_AXI_GP0_AWREADY, S00_AXI_awsize(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0), S00_AXI_awvalid => processing_system7_0_M_AXI_GP0_AWVALID, S00_AXI_bid(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0), S00_AXI_bready => processing_system7_0_M_AXI_GP0_BREADY, S00_AXI_bresp(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0), S00_AXI_bvalid => processing_system7_0_M_AXI_GP0_BVALID, S00_AXI_rdata(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0), S00_AXI_rid(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0), S00_AXI_rlast => processing_system7_0_M_AXI_GP0_RLAST, S00_AXI_rready => processing_system7_0_M_AXI_GP0_RREADY, S00_AXI_rresp(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0), S00_AXI_rvalid => processing_system7_0_M_AXI_GP0_RVALID, S00_AXI_wdata(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0), S00_AXI_wid(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0), S00_AXI_wlast => processing_system7_0_M_AXI_GP0_WLAST, S00_AXI_wready => processing_system7_0_M_AXI_GP0_WREADY, S00_AXI_wstrb(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0), S00_AXI_wvalid => processing_system7_0_M_AXI_GP0_WVALID ); processing_system7_0_axi_periph_1: entity work.cpu_processing_system7_0_axi_periph_1_0 port map ( ACLK => M_AXI_GP1_ACLK_1, ARESETN(0) => rst_M_AXI_GP1_ACLK_100M_interconnect_aresetn(0), M00_ACLK => M_AXI_GP1_ACLK_1, M00_ARESETN(0) => rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn(0), M00_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_ARADDR(31 downto 0), M00_AXI_arready => processing_system7_0_axi_periph_1_M00_AXI_ARREADY, M00_AXI_arvalid => processing_system7_0_axi_periph_1_M00_AXI_ARVALID, M00_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_AWADDR(31 downto 0), M00_AXI_awready => processing_system7_0_axi_periph_1_M00_AXI_AWREADY, M00_AXI_awvalid => processing_system7_0_axi_periph_1_M00_AXI_AWVALID, M00_AXI_bready => processing_system7_0_axi_periph_1_M00_AXI_BREADY, M00_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_BRESP(1 downto 0), M00_AXI_bvalid => processing_system7_0_axi_periph_1_M00_AXI_BVALID, M00_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_RDATA(31 downto 0), M00_AXI_rready => processing_system7_0_axi_periph_1_M00_AXI_RREADY, M00_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_RRESP(1 downto 0), M00_AXI_rvalid => processing_system7_0_axi_periph_1_M00_AXI_RVALID, M00_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_WDATA(31 downto 0), M00_AXI_wready => processing_system7_0_axi_periph_1_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_1_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => processing_system7_0_axi_periph_1_M00_AXI_WVALID, S00_ACLK => M_AXI_GP1_ACLK_1, S00_ARESETN(0) => rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn(0), S00_AXI_araddr(31 downto 0) => processing_system7_0_M_AXI_GP1_ARADDR(31 downto 0), S00_AXI_arburst(1 downto 0) => processing_system7_0_M_AXI_GP1_ARBURST(1 downto 0), S00_AXI_arcache(3 downto 0) => processing_system7_0_M_AXI_GP1_ARCACHE(3 downto 0), S00_AXI_arid(11 downto 0) => processing_system7_0_M_AXI_GP1_ARID(11 downto 0), S00_AXI_arlen(3 downto 0) => processing_system7_0_M_AXI_GP1_ARLEN(3 downto 0), S00_AXI_arlock(1 downto 0) => processing_system7_0_M_AXI_GP1_ARLOCK(1 downto 0), S00_AXI_arprot(2 downto 0) => processing_system7_0_M_AXI_GP1_ARPROT(2 downto 0), S00_AXI_arqos(3 downto 0) => processing_system7_0_M_AXI_GP1_ARQOS(3 downto 0), S00_AXI_arready => processing_system7_0_M_AXI_GP1_ARREADY, S00_AXI_arsize(2 downto 0) => processing_system7_0_M_AXI_GP1_ARSIZE(2 downto 0), S00_AXI_arvalid => processing_system7_0_M_AXI_GP1_ARVALID, S00_AXI_awaddr(31 downto 0) => processing_system7_0_M_AXI_GP1_AWADDR(31 downto 0), S00_AXI_awburst(1 downto 0) => processing_system7_0_M_AXI_GP1_AWBURST(1 downto 0), S00_AXI_awcache(3 downto 0) => processing_system7_0_M_AXI_GP1_AWCACHE(3 downto 0), S00_AXI_awid(11 downto 0) => processing_system7_0_M_AXI_GP1_AWID(11 downto 0), S00_AXI_awlen(3 downto 0) => processing_system7_0_M_AXI_GP1_AWLEN(3 downto 0), S00_AXI_awlock(1 downto 0) => processing_system7_0_M_AXI_GP1_AWLOCK(1 downto 0), S00_AXI_awprot(2 downto 0) => processing_system7_0_M_AXI_GP1_AWPROT(2 downto 0), S00_AXI_awqos(3 downto 0) => processing_system7_0_M_AXI_GP1_AWQOS(3 downto 0), S00_AXI_awready => processing_system7_0_M_AXI_GP1_AWREADY, S00_AXI_awsize(2 downto 0) => processing_system7_0_M_AXI_GP1_AWSIZE(2 downto 0), S00_AXI_awvalid => processing_system7_0_M_AXI_GP1_AWVALID, S00_AXI_bid(11 downto 0) => processing_system7_0_M_AXI_GP1_BID(11 downto 0), S00_AXI_bready => processing_system7_0_M_AXI_GP1_BREADY, S00_AXI_bresp(1 downto 0) => processing_system7_0_M_AXI_GP1_BRESP(1 downto 0), S00_AXI_bvalid => processing_system7_0_M_AXI_GP1_BVALID, S00_AXI_rdata(31 downto 0) => processing_system7_0_M_AXI_GP1_RDATA(31 downto 0), S00_AXI_rid(11 downto 0) => processing_system7_0_M_AXI_GP1_RID(11 downto 0), S00_AXI_rlast => processing_system7_0_M_AXI_GP1_RLAST, S00_AXI_rready => processing_system7_0_M_AXI_GP1_RREADY, S00_AXI_rresp(1 downto 0) => processing_system7_0_M_AXI_GP1_RRESP(1 downto 0), S00_AXI_rvalid => processing_system7_0_M_AXI_GP1_RVALID, S00_AXI_wdata(31 downto 0) => processing_system7_0_M_AXI_GP1_WDATA(31 downto 0), S00_AXI_wid(11 downto 0) => processing_system7_0_M_AXI_GP1_WID(11 downto 0), S00_AXI_wlast => processing_system7_0_M_AXI_GP1_WLAST, S00_AXI_wready => processing_system7_0_M_AXI_GP1_WREADY, S00_AXI_wstrb(3 downto 0) => processing_system7_0_M_AXI_GP1_WSTRB(3 downto 0), S00_AXI_wvalid => processing_system7_0_M_AXI_GP1_WVALID ); rst_M_AXI_GP1_ACLK_100M: component cpu_rst_M_AXI_GP1_ACLK_100M_0 port map ( aux_reset_in => VCC_1, bus_struct_reset(0) => NLW_rst_M_AXI_GP1_ACLK_100M_bus_struct_reset_UNCONNECTED(0), dcm_locked => VCC_1, ext_reset_in => processing_system7_0_FCLK_RESET0_N, interconnect_aresetn(0) => rst_M_AXI_GP1_ACLK_100M_interconnect_aresetn(0), mb_debug_sys_rst => GND_1, mb_reset => NLW_rst_M_AXI_GP1_ACLK_100M_mb_reset_UNCONNECTED, peripheral_aresetn(0) => rst_M_AXI_GP1_ACLK_100M_peripheral_aresetn(0), peripheral_reset(0) => NLW_rst_M_AXI_GP1_ACLK_100M_peripheral_reset_UNCONNECTED(0), slowest_sync_clk => M_AXI_GP1_ACLK_1 ); rst_processing_system7_0_100M: component cpu_rst_processing_system7_0_100M_0 port map ( aux_reset_in => VCC_1, bus_struct_reset(0) => NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED(0), dcm_locked => VCC_1, ext_reset_in => processing_system7_0_FCLK_RESET0_N, interconnect_aresetn(0) => rst_processing_system7_0_100M_interconnect_aresetn(0), mb_debug_sys_rst => GND_1, mb_reset => NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED, peripheral_aresetn(0) => rst_processing_system7_0_100M_peripheral_aresetn(0), peripheral_reset(0) => NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED(0), slowest_sync_clk => M_AXI_GP0_ACLK_1 ); xadc_wiz_0: component cpu_xadc_wiz_0_0 port map ( alarm_out => NLW_xadc_wiz_0_alarm_out_UNCONNECTED, busy_out => NLW_xadc_wiz_0_busy_out_UNCONNECTED, channel_out(4 downto 0) => NLW_xadc_wiz_0_channel_out_UNCONNECTED(4 downto 0), eoc_out => NLW_xadc_wiz_0_eoc_out_UNCONNECTED, eos_out => NLW_xadc_wiz_0_eos_out_UNCONNECTED, ip2intc_irpt => xadc_wiz_0_ip2intc_irpt, ot_out => NLW_xadc_wiz_0_ot_out_UNCONNECTED, s_axi_aclk => M_AXI_GP0_ACLK_1, s_axi_araddr(10 downto 0) => processing_system7_0_axi_periph_M02_AXI_ARADDR(10 downto 0), s_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0), s_axi_arready => processing_system7_0_axi_periph_M02_AXI_ARREADY, s_axi_arvalid => processing_system7_0_axi_periph_M02_AXI_ARVALID, s_axi_awaddr(10 downto 0) => processing_system7_0_axi_periph_M02_AXI_AWADDR(10 downto 0), s_axi_awready => processing_system7_0_axi_periph_M02_AXI_AWREADY, s_axi_awvalid => processing_system7_0_axi_periph_M02_AXI_AWVALID, s_axi_bready => processing_system7_0_axi_periph_M02_AXI_BREADY, s_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_BRESP(1 downto 0), s_axi_bvalid => processing_system7_0_axi_periph_M02_AXI_BVALID, s_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_RDATA(31 downto 0), s_axi_rready => processing_system7_0_axi_periph_M02_AXI_RREADY, s_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_RRESP(1 downto 0), s_axi_rvalid => processing_system7_0_axi_periph_M02_AXI_RVALID, s_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_WDATA(31 downto 0), s_axi_wready => processing_system7_0_axi_periph_M02_AXI_WREADY, s_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M02_AXI_WSTRB(3 downto 0), s_axi_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID, user_temp_alarm_out => NLW_xadc_wiz_0_user_temp_alarm_out_UNCONNECTED, vccaux_alarm_out => NLW_xadc_wiz_0_vccaux_alarm_out_UNCONNECTED, vccddro_alarm_out => NLW_xadc_wiz_0_vccddro_alarm_out_UNCONNECTED, vccint_alarm_out => NLW_xadc_wiz_0_vccint_alarm_out_UNCONNECTED, vccpaux_alarm_out => NLW_xadc_wiz_0_vccpaux_alarm_out_UNCONNECTED, vccpint_alarm_out => NLW_xadc_wiz_0_vccpint_alarm_out_UNCONNECTED, vn_in => Vp_Vn_1_V_N, vp_in => Vp_Vn_1_V_P ); xlconcat_0: component cpu_xlconcat_0_0 port map ( In0(0) => axi_iic_0_iic2intc_irpt, In1(0) => xadc_wiz_0_ip2intc_irpt, In2(0) => Int0_1(0), In3(0) => Int1_1(0), In4(0) => In4_1(0), In5(0) => In5_1(0), dout(5 downto 0) => xlconcat_0_dout(5 downto 0) ); end STRUCTURE;
gpl-3.0
peteut/nvc
test/regress/issue84.vhd
4
849
package sem_copy_default_args_bug is type protected_t is protected procedure procedure1(argument : inout boolean); end protected portected_t; end package; package body sem_copy_default_args_bug is type protected_t is protected body procedure procedure2(argument : inout boolean) is begin assert argument; argument := false; end procedure; procedure procedure1(argument : inout boolean) is begin procedure2(argument); end procedure; end protected body; end package body; entity issue84 is end entity; use work.sem_copy_default_args_bug.all; architecture test of issue84 is shared variable v : protected_t; begin process is variable x : boolean; begin x := true; v.procedure1(x); assert not x; wait; end process; end architecture;
gpl-3.0
peteut/nvc
test/parse/qual.vhd
4
276
-- Type qualified expressions architecture foo of bar is type foo is (a, b, 'c'); type bar is (a, b, c); signal x : foo; begin process is begin x <= foo'(b); --x <= foo'('c'); x <= foo'( 'c' ); end process; end architecture;
gpl-3.0
MyAUTComputerArchitectureCourse/SEMI-MIPS
src/memory.vhd
1
1181
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.Numeric_Std.all; entity MEMORY is port ( clk : in std_logic; we : in std_logic; re : in std_logic; address : in std_logic_vector(7 downto 0); datain : in std_logic_vector(15 downto 0); dataout : out std_logic_vector(15 downto 0) ); end entity; architecture MEMORY_ARCH of MEMORY is type ram_type is array (0 to 63) of std_logic_vector(15 downto 0); signal ram : ram_type; signal read_address : std_logic_vector(7 downto 0); begin RamProc: process(clk) is begin ram(0) <= "1110000000010000"; ram(1) <= "1110000100010001"; ram(2) <= "0000000000010010"; ram(3) <= "1101001000000000"; ram(32) <= "0000000000000010"; ram(33) <= "0000000000000101"; if clk'event and clk = '1' then if we = '1' then ram(to_integer(unsigned(address))) <= datain; end if; read_address <= address; end if; end process RamProc; OUTPUT : with re & we select dataout <= ram(to_integer(unsigned(address))) when "10", "ZZZZZZZZZZZZZZZZ" when "00", "ZZZZZZZZZZZZZZZZ" when "01 ", "ZZZZZZZZZZZZZZZZ" when others; end architecture;
gpl-3.0
peteut/nvc
test/regress/const2.vhd
4
1322
package pack is function foo(x : in integer) return real; end package; package body pack is type real_vector is array (integer range <>) of real; function get_results return real_vector is begin return ( 52.6, 16.7, 1.832, 0.623, 762.236 ); end function; constant results : real_vector := get_results; function foo(x : in integer) return real is begin return results(x); end function; type int_vector is array (integer range <>) of integer; subtype int_vector4 is int_vector(1 to 4); constant blah : int_vector4 := ( 0, 1, 6, 6 ); constant blah2 : int_vector4 := blah; end package body; ------------------------------------------------------------------------------- use work.pack.all; entity const2 is end entity; architecture test of const2 is function get_it return integer is begin return integer(foo(integer'left + 1)); end function; function get_bits return bit_vector is begin return "110101"; end function; constant some_bits : bit_vector := get_bits; constant a_bit : bit := some_bits(2); begin process is begin assert get_it = 16; assert some_bits(some_bits'right) = '1'; assert a_bit = '0'; wait; end process; end architecture;
gpl-3.0
CEIT-Laboratories/Arch-Lab
AUT-MIPS/mux4.vhd
1
696
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-05-2016 -- Module Name: mux4.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity mux4 is generic (N : integer := 16); port (I00, I01, I10, I11 : in std_logic_vector (N - 1 downto 0); O : out std_logic_vector (N - 1 downto 0); S : in std_logic_vector (1 downto 0)); end entity; architecture rtl of mux4 is begin with S select O <= I00 when "00", I01 when "01", I10 when "10", I11 when "11", (others => '0') when others; end rtl;
gpl-3.0
CEIT-Laboratories/Arch-Lab
AUT-MIPS/memory.vhd
1
1435
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-03-2016 -- Module Name: memory.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity memory is port (address : in std_logic_vector (15 downto 0); data_in : in std_logic_vector (15 downto 0); data_out : out std_logic_vector (15 downto 0); clk, read, write : in std_logic); end entity; architecture behavioral of memory is type mem is array (natural range <>) of std_logic_vector (15 downto 0); begin process (clk) constant memsize : integer := 2 ** 16; variable memory : mem (0 to memsize - 1) := ( "0011000000000010", "0011001001000010", "1111000001010111", "1111000001011110", "1111000001100101", "1111000001101100", "1111000001110011", "1111000001111010", "0111000001000011", "1000000001001100", "1001000000111111", "1010000000011010", "0000000000000000", "0000000000000010", others => "0000000000000000" ); begin if clk'event and clk = '1' then if read = '1' then -- Reading :) data_out <= memory(to_integer(unsigned(address))); elsif write = '1' then -- Writing :) memory(to_integer(unsigned(address))) := data_in; end if; end if; end process; end architecture behavioral;
gpl-3.0
glennchid/font5-firmware
ipcore_dir/lookuptable1/simulation/random.vhd
101
4108
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Random Number Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: random.vhd -- -- Description: -- Random Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY RANDOM IS GENERIC ( WIDTH : INTEGER := 32; SEED : INTEGER :=2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END RANDOM; ARCHITECTURE BEHAVIORAL OF RANDOM IS BEGIN PROCESS(CLK) VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); VARIABLE TEMP : STD_LOGIC := '0'; BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); ELSE IF(EN = '1') THEN TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); RAND_TEMP(0) := TEMP; END IF; END IF; END IF; RANDOM_NUM <= RAND_TEMP; END PROCESS; END ARCHITECTURE;
gpl-3.0
bazk/hwsat
templates/solver.vhd
1
4684
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity solver is port ( clk: in std_logic; reset: in std_logic; sat: out std_logic; unsat: out std_logic ); end solver; architecture behavioral of solver is {% for var in variables %} component control_{{ var.name }} port ( clk: in std_logic; reset: in std_logic; lclear: out std_logic; lchange: out std_logic; lcontra: out std_logic; gclear: in std_logic; gchange: in std_logic; gcontra: in std_logic; {% for var2 in variables -%} {{ var2.name }}: inout std_logic_vector(0 to 1); {% endfor %} eil: in std_logic; eol: out std_logic; eir: in std_logic; eor: out std_logic; ldebug_num_decisions: out integer; ldebug_num_conflicts: out integer; ldebug_num_backtracks: out integer ); end component; for control_{{ var.name }}_0: control_{{ var.name }} use entity work.control_{{ var.name }}; signal lclear_{{ var.name }}, lchange_{{ var.name }}, lcontra_{{ var.name }}: std_logic; signal {{ var.name }}: std_logic_vector(0 to 1); signal channel_{{ loop.index }}_0, channel_{{ loop.index }}_1: std_logic; signal ldebug_num_decisions_{{ var.name }}, ldebug_num_conflicts_{{ var.name }}, ldebug_num_backtracks_{{ var.name }}: integer; {% endfor %} signal gclear, gchange, gcontra: std_logic; signal is_sat, is_unsat: std_logic; signal gdebug_num_decisions, gdebug_num_conflicts, gdebug_num_backtracks, gdebug_counter: integer; begin {% for var in variables %} control_{{ var.name }}_0: control_{{ var.name }} port map ( clk => clk, reset => reset, lclear => lclear_{{ var.name }}, lchange => lchange_{{ var.name }}, lcontra => lcontra_{{ var.name }}, gclear => gclear, gchange => gchange, gcontra => gcontra, {% for var2 in variables -%} {{ var2.name }} => {{ var2.name }}, {% endfor %} {% if loop.index > 1 %} eil => channel_{{ loop.index-1 }}_0, eol => channel_{{ loop.index-1 }}_1, {% else %} eil => '1', eol => is_unsat, {% endif %} {% if loop.index < len_variables %} eor => channel_{{ loop.index }}_0, eir => channel_{{ loop.index }}_1, {% else %} eor => is_sat, eir => '0', {% endif %} ldebug_num_decisions => ldebug_num_decisions_{{ var.name }}, ldebug_num_conflicts => ldebug_num_conflicts_{{ var.name }}, ldebug_num_backtracks => ldebug_num_backtracks_{{ var.name }} ); {% endfor %} gclear <= {% for var in variables %} lclear_{{ var.name }} or{% endfor %} '0'; gchange <= {% for var in variables %} lchange_{{ var.name }} or{% endfor %} '0'; gcontra <= {% for var in variables %} lcontra_{{ var.name }} or{% endfor %} '0'; sat <= is_sat; unsat <= is_unsat; process (clk, reset) begin if (reset='1') then gdebug_num_decisions <= 0; gdebug_num_conflicts <= 0; gdebug_num_backtracks <= 0; gdebug_counter <= 0; else if (gdebug_counter >= 8192) or (is_sat='1') or (is_unsat='1') then gdebug_num_decisions <= {% for var in variables %} ldebug_num_decisions_{{ var.name }} {% if not loop.last %}+{% endif %}{% endfor %}; gdebug_num_conflicts <= {% for var in variables %} ldebug_num_conflicts_{{ var.name }} {% if not loop.last %}+{% endif %}{% endfor %}; gdebug_num_backtracks <= {% for var in variables %} ldebug_num_backtracks_{{ var.name }} {% if not loop.last %}+{% endif %}{% endfor %}; report "STATS " & integer'image(gdebug_num_decisions) & " " & integer'image(gdebug_num_conflicts) & " " & integer'image(gdebug_num_backtracks); gdebug_counter <= 0; else gdebug_counter <= gdebug_counter + 1; end if; if (is_sat='1') then report "RESULT SAT"; {% for var in variables %} if ({{ var.name }}="10") then report "VALUE {{ var.name }}"; elsif ({{ var.name }}="01") then report "VALUE -{{ var.name }}"; end if; {% endfor %} elsif (is_unsat='1') then report "RESULT UNSAT"; end if; end if; end process; end behavioral;
gpl-3.0
lennartbublies/ecdsa
src/e_baud_clock.vhd
1
1757
------------------------------------------------------------------------------- -- Module: e_baud_clock -- Purpose: Generates a continous clock signal from baud rate -- -- Author: Leander Schulz -- Date: 06.09.2016 -- Last change: 06.09.2016 ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY e_baud_clock IS GENERIC( baud_rate : IN NATURAL RANGE 1200 TO 500000); PORT( clk_i : IN std_logic; -- system clock rst_i : IN std_logic; -- asynchronous reset baud_clk_o : OUT std_logic); -- generated baud rate clock END ENTITY e_baud_clock; ARCHITECTURE bclk_arch OF e_baud_clock IS SUBTYPE max_cycles IS INTEGER RANGE 0 TO 50000000; SIGNAL clk_count : max_cycles := 0; CONSTANT clk_period : INTEGER := 20; -- 1.000.000.000 ns / 50 MHz -- symbol_length in ns -- (e.g. 104.166ns at 9600 Baud): CONSTANT symbol_length : INTEGER := 1000000000 / baud_rate; -- symbol_cycles = number of clock periods per symbol -- (e.g. 5208 cycles at 9600 Baud) CONSTANT symbol_cycles : max_cycles := symbol_length / clk_period; BEGIN p_generator : PROCESS(clk_i,rst_i) BEGIN IF rst_i = '1' THEN baud_clk_o <= '0'; clk_count <= 0; ELSIF rising_edge(clk_i) THEN IF clk_count < symbol_cycles THEN baud_clk_o <= '0'; clk_count <= clk_count + 1; ELSE baud_clk_o <= '1'; clk_count <= 0; END IF; END IF; END PROCESS p_generator; END ARCHITECTURE bclk_arch;
gpl-3.0
glennchid/font5-firmware
ipcore_dir/DAQ_MEM/simulation/checker.vhd
69
5607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-3.0
Naegolus/qam
src/tbd_qam.vhd
1
2748
----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- -- -- This file is part of the DE0 Nano Linux project -- -- http://www.de0nanolinux.com -- -- -- -- Author(s): -- -- - Helmut, [email protected] -- -- -- ----------------------------------------------------------------------------- -- -- -- Copyright (C) 2015 Authors and www.de0nanolinux.com -- -- -- -- This program is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU General Public License as published by -- -- the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This program is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.fixed_pkg.all; entity qam_mapper is generic ( n : natural := 1 ); port ( data : in std_ulogic_vector(4**n - 1 downto 0); in_phase : out sfixed(1 downto -(4**(n - 1) - 2)); quadrature : out sfixed(1 downto -(4**(n - 1) - 2)) ); end entity qam_mapper; architecture rtl of qam_mapper is begin qam_m: entity work.qam_mapper(rtl) generic map ( n => n ) port map ( data => data, in_phase => in_phase, quadrature => quadrature ); end architecture rtl;
gpl-3.0
CEIT-Laboratories/Arch-Lab
AUT-MIPS/main_t.vhd
1
611
-------------------------------------------------------------------------------- -- Author: Parham Alvani ([email protected]) -- -- Create Date: 30-05-2016 -- Module Name: main_t.vhd -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity main_t is end entity; architecture rtl of main_t is component datapath port (clk: in std_logic); end component; for all:datapath use entity work.datapath; signal clk : std_logic := '0'; begin clk <= not clk after 50 ns; m : datapath port map (clk); end architecture;
gpl-3.0
lennartbublies/ecdsa
src/e_uart_transmit_mux.vhd
1
4672
---------------------------------------------------------------------------------------------------- -- ENTITY - Multiplexer for UART -- -- Autor: Lennart Bublies (inf100434), Leander Schulz (inf102143) -- Date: 29.06.2017 -- Last change: 22.10.2017 ---------------------------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE work.tld_ecdsa_package.all; ENTITY e_uart_transmit_mux IS PORT ( -- Clock and reset clk_i : IN std_logic; rst_i : IN std_logic; -- ECDSA Mode (sign/verify) mode_i : IN std_logic; -- Enable flag enable_i : IN std_logic; -- Input r_i : IN std_logic_vector(M-1 DOWNTO 0); s_i : IN std_logic_vector(M-1 DOWNTO 0); v_i : IN std_logic; -- UART uart_o : OUT std_logic ); END e_uart_transmit_mux; ARCHITECTURE rtl OF e_uart_transmit_mux IS -- Import entity e_posi_register COMPONENT e_nm_piso_register IS PORT( clk_i : IN std_logic; rst_i : IN std_logic; enable_i : IN std_logic; load_i : IN std_logic; data_i : IN std_logic_vector(M-1 DOWNTO 0); data_o : OUT std_logic_vector(U-1 DOWNTO 0) ); END COMPONENT; -- IMPORT UART COMPONENT COMPONENT e_uart_transmit IS GENERIC( baud_rate : IN NATURAL RANGE 1200 TO 500000; M : integer ); PORT( clk_i : IN std_logic; rst_i : IN std_logic; mode_i : IN std_logic; verify_i : IN std_logic; start_i : IN std_logic; data_i : IN std_logic_vector (7 DOWNTO 0); tx_o : OUT std_logic; reg_o : OUT std_logic; reg_ena_o : OUT std_logic ); END COMPONENT e_uart_transmit; -- Internal signals SIGNAL s_uart_data_r: std_logic_vector(7 DOWNTO 0) := (OTHERS=>'0'); SIGNAL s_uart_data_s: std_logic_vector(7 DOWNTO 0) := (OTHERS=>'0'); SIGNAL s_enable_r, s_enable_s : std_logic := '0'; SIGNAL s_reg_ctrl : std_logic; SIGNAL s_reg_ena : std_logic; SIGNAL s_uart_data : std_logic_vector(7 DOWNTO 0) := (OTHERS=>'0'); SIGNAL s_enable_i_curr : std_logic; SIGNAL s_enable_i_next : std_logic; SIGNAL s_enable_i : std_logic; BEGIN -- Instantiate sipo register entity for r register r_register: e_nm_piso_register PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => s_enable_r, load_i => s_enable_i, data_i => r_i, data_o => s_uart_data_r ); -- Instantiate sipo register entity for r register s_register: e_nm_piso_register PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => s_enable_s, load_i => s_enable_i, data_i => s_i, data_o => s_uart_data_s ); -- Instantiate uart transmitter transmit_instance : e_uart_transmit GENERIC MAP ( baud_rate => BAUD_RATE, M => M ) PORT MAP ( clk_i => clk_i, rst_i => rst_i, mode_i => mode_i, verify_i => v_i, start_i => s_enable_i, data_i => s_uart_data, tx_o => uart_o, reg_o => s_reg_ctrl, reg_ena_o => s_reg_ena ); -- multiplexer to control register inputs s_uart_data <= s_uart_data_r WHEN (s_reg_ctrl = '0') ELSE s_uart_data_s; --s_reg_ena <= enable_r_register WHEN (s_reg_ctrl = '0') ELSE enable_s_register; -- demux for register enable port PROCESS(s_reg_ena,s_reg_ctrl) BEGIN CASE s_reg_ctrl is WHEN '0' => s_enable_r <= s_reg_ena; s_enable_s <= '0'; WHEN OTHERS => s_enable_r <= '0'; s_enable_s <= s_reg_ena; END CASE; END PROCESS; -- detect rising edge of enable_i s_enable_i <= s_enable_i_next AND NOT s_enable_i_curr; p_rising_enable : PROCESS(clk_i,rst_i,enable_i,s_enable_i_next) BEGIN IF rst_i = '1' THEN s_enable_i_next <= '1'; s_enable_i_curr <= '1'; ELSIF rising_edge(clk_i) THEN s_enable_i_next <= enable_i; s_enable_i_curr <= s_enable_i_next; END IF; END PROCESS p_rising_enable; END rtl;
gpl-3.0
lennartbublies/ecdsa
src/tld_ecdsa_package.vhd
1
5351
---------------------------------------------------------------------------------------------------- -- TOP LEVEL ENTITY - ECDSA -- FPGA implementation of ECDSA algorithm -- -- Constants: -- M - Galois field base GF(p=2^M) -- P - Number of element in GF(p=2^M) -- logM - Helper for M -- N - N part of ECC curve -- A - A part of ECC curve -- U - Length of UART input/output -- -- Autor: Lennart Bublies (inf100434), Leander Schulz (inf102143) -- Date: 02.07.2017 -- Last Change: 17.11.2017 ---------------------------------------------------------------------------------------------------- ------------------------------------------------------------ -- GF(2^M) ecdsa package ------------------------------------------------------------ LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_unsigned.all; USE IEEE.numeric_std.ALL; PACKAGE tld_ecdsa_package IS -- Elliptic curve parameter of sect163k1 and generated private and public key -- See http://www.secg.org/SEC2-Ver-1.0.pdf for more information -- 163 Bit sect163k1 CONSTANT M: natural := 163; CONSTANT logM: integer := 9;--logM IS the number of bits of m plus an additional sign bit CONSTANT N: std_logic_vector(M DOWNTO 0) := x"800000000000000000000000000000000000000C9"; --CONSTANT N: std_logic_vector(M DOWNTO 0) := x"4000000000000000000020108A2E0CC0D99F8A5EF"; CONSTANT P: std_logic_vector(M DOWNTO 0) := x"800000000000000000000000000000000000000C9"; CONSTANT A: std_logic_vector(M-1 downto 0) := (0 => '1', OTHERS=>'0'); -- Set parameter of sect163k1 CONSTANT xG: std_logic_vector(M-1 DOWNTO 0) := "010" & x"FE13C0537BBC11ACAA07D793DE4E6D5E5C94EEE8"; CONSTANT yG: std_logic_vector(M-1 DOWNTO 0) := "010" & x"89070FB05D38FF58321F2E800536D538CCDAA3D9"; CONSTANT k: std_logic_vector(M-1 DOWNTO 0) := "000" & x"CD06203260EEE9549351BD29733E7D1E2ED49D88"; -- VDHL point multiplication version 1 - original from C --CONSTANT dA: std_logic_vector(M-1 DOWNTO 0) := "101" & x"4E78BA70719678AFC09BA25E822B81FCF23B87CA"; --CONSTANT xQB: std_logic_vector(M-1 DOWNTO 0) := "110" & x"D4845314B7851DA63B9569E812A6602A22493216"; --CONSTANT yQB: std_logic_vector(M-1 DOWNTO 0) := "000" & x"0D5B712A2981DD2FB1AFA15FE4079C79A3724BB0"; -- VDHL point multiplication version 2 CONSTANT dA: std_logic_vector(M-1 DOWNTO 0) := "000" & x"CD06203260EEE9549351BD29733E7D1E2ED49D88"; CONSTANT xQB: std_logic_vector(M-1 DOWNTO 0) := "000" & x"06E24E8B2B34F45098730E20100D52121AE91873"; CONSTANT yQB: std_logic_vector(M-1 DOWNTO 0) := "001" & x"5B1340F838650657125A796EBB6B67CDBE442048"; --CONSTANT dA: std_logic_vector(M-1 DOWNTO 0) := "101" & x"4E78BA70719678AFC09BA25E822B81FCF23B87CA"; --CONSTANT xQB: std_logic_vector(M-1 DOWNTO 0) := "010" & x"97677AE929EE458EB7D1945E964194E9152A69D5"; --CONSTANT yQB: std_logic_vector(M-1 DOWNTO 0) := "110" & x"9A4C4A2DB7725B9DE1485B8C5EF89E4BD540AE6F"; -- 9 Bit testcurve --CONSTANT M: natural := 9; --CONSTANT logM: integer := 5; --CONSTANT N: std_logic_vector(M downto 0) := "1000000011"; --CONSTANT P: std_logic_vector(M downto 0) := "1000000011"; --CONSTANT A: std_logic_vector(M-1 downto 0) := (0 => '1', OTHERS=>'0'); --CONSTANT xG: std_logic_vector(M-1 DOWNTO 0) := "011101110"; --CONSTANT yG: std_logic_vector(M-1 DOWNTO 0) := "010101111"; --CONSTANT P: std_logic_vector(M-1 DOWNTO 0) := "000000000"; --CONSTANT dA: std_logic_vector(M-1 DOWNTO 0) := "000111110"; --CONSTANT xQB: std_logic_vector(M-1 DOWNTO 0) := "011000101"; --CONSTANT yQB: std_logic_vector(M-1 DOWNTO 0) := "111011010"; --CONSTANT k: std_logic_vector(M-1 DOWNTO 0) := "001101001"; -- UART CONSTANT U: natural := 8; CONSTANT BAUD_RATE: INTEGER RANGE 1200 TO 500000 := 9600; -- Other CONSTANT ZERO: std_logic_vector(M-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT ONES: std_logic_vector(M-1 DOWNTO 0) := (OTHERS=>'1'); CONSTANT ONE: std_logic_vector(M downto 0) := (0 => '1', OTHERS=>'0'); -- Types for reduction matrix TYPE matrix_reduction_return IS ARRAY (0 TO M-1) OF STD_LOGIC_VECTOR(M-2 DOWNTO 0); SUBTYPE matrix_reduction_arg IS STD_LOGIC_VECTOR(M-1 DOWNTO 0); -- Functions FUNCTION reduction_matrix(MODULO: matrix_reduction_arg) RETURN matrix_reduction_return; END tld_ecdsa_package; PACKAGE BODY tld_ecdsa_package IS FUNCTION reduction_matrix(MODULO: matrix_reduction_arg) RETURN matrix_reduction_return IS VARIABLE R: matrix_reduction_return; BEGIN -- Initialise matrix FOR j IN 0 TO M-1 LOOP FOR i IN 0 TO M-2 LOOP R(j)(i) := '0'; END LOOP; END LOOP; -- Copy polynomial FOR j IN 0 TO M-1 LOOP R(j)(0) := MODULO(j); END LOOP; -- Compute lookup table FOR i IN 1 TO M-2 LOOP FOR j IN 0 TO M-1 LOOP IF j = 0 THEN R(j)(i) := R(M-1)(i-1) and R(j)(0); ELSE R(j)(i) := R(j-1)(i-1) xor (R(M-1)(i-1) and R(j)(0)); END IF; END LOOP; END LOOP; RETURN R; END reduction_matrix; END tld_ecdsa_package;
gpl-3.0
unhold/hdl
vhdl/sync.vhd
1
869
library ieee; use ieee.std_logic_1164.all; entity sync is generic ( width_g : positive := 1; stages_g : positive := 2; reset_value_g : std_ulogic := '-'); port ( reset_i : in std_ulogic := '0'; clock_i : in std_ulogic; data_i : in std_ulogic_vector(width_g-1 downto 0); data_o : out std_ulogic_vector(width_g-1 downto 0) := (others => reset_value_g)); end; architecture rtl of sync is type sync_t is array(stages_g-2 downto 0) of std_ulogic_vector(width_g-1 downto 0); signal sync_r : sync_t := (others => (others => reset_value_g)); begin process(reset_i, clock_i) begin if reset_i = '1' then sync_r <= (others => (others => reset_value_g)); data_o <= (others => reset_value_g); elsif rising_edge(clock_i) then sync_r <= sync_r(sync_r'high-1 downto sync_r'low) & data_i; data_o <= sync_r(sync_r'high); end if; end process; end;
gpl-3.0
agostini01/FPGA_Neural-Network
libraries/float_pkg_c.vhdl
3
298243
-- -------------------------------------------------------------------- -- "float_pkg" package contains functions for floating point math. -- Please see the documentation for the floating point package. -- This package should be compiled into "ieee_proposed" and used as follows: -- use ieee.std_logic_1164.all; -- use ieee.numeric_std.all; -- use ieee_proposed.fixed_float_types.all; -- use ieee_proposed.fixed_pkg.all; -- use ieee_proposed.float_pkg.all; -- -- This verison is designed to work with the VHDL-93 compilers. Please -- note the "%%%" comments. These are where we diverge from the -- VHDL-200X LRM. -- -- -------------------------------------------------------------------- -- Version : $Revision: 2.0 $ -- Date : $Date: 2009/01/27 20:45:30 $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library ieee_proposed; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; package float_pkg is -- generic ( -- Defaults for sizing routines, when you do a "to_float" this will be -- the default size. Example float32 would be 8 and 23 (8 downto -23) constant float_exponent_width : NATURAL := 8; constant float_fraction_width : NATURAL := 23; -- Rounding algorithm, "round_nearest" is default, other valid values -- are "round_zero" (truncation), "round_inf" (round up), and -- "round_neginf" (round down) constant float_round_style : round_type := round_nearest; -- Denormal numbers (very small numbers near zero) true or false constant float_denormalize : BOOLEAN := true; -- Turns on NAN processing (invalid numbers and overflow) true of false constant float_check_error : BOOLEAN := true; -- Guard bits are added to the bottom of every operation for rounding. -- any natural number (including 0) are valid. constant float_guard_bits : NATURAL := 3; -- If TRUE, then turn off warnings on "X" propagation constant no_warning : BOOLEAN := (false ); -- Author David Bishop ([email protected]) -- Note that the size of the vector is not defined here, but in -- the package which calls this one. type UNRESOLVED_float is array (INTEGER range <>) of STD_ULOGIC; -- main type subtype U_float is UNRESOLVED_float; subtype float is UNRESOLVED_float; ----------------------------------------------------------------------------- -- Use the float type to define your own floating point numbers. -- There must be a negative index or the packages will error out. -- Minimum supported is "subtype float7 is float (3 downto -3);" -- "subtype float16 is float (6 downto -9);" is probably the smallest -- practical one to use. ----------------------------------------------------------------------------- -- IEEE 754 single precision subtype UNRESOLVED_float32 is UNRESOLVED_float (8 downto -23); alias U_float32 is UNRESOLVED_float32; subtype float32 is float (8 downto -23); ----------------------------------------------------------------------------- -- IEEE-754 single precision floating point. This is a "float" -- in C, and a FLOAT in Fortran. The exponent is 8 bits wide, and -- the fraction is 23 bits wide. This format can hold roughly 7 decimal -- digits. Infinity is 2**127 = 1.7E38 in this number system. -- The bit representation is as follows: -- 1 09876543 21098765432109876543210 -- 8 76543210 12345678901234567890123 -- 0 00000000 00000000000000000000000 -- 8 7 0 -1 -23 -- +/- exp. fraction ----------------------------------------------------------------------------- -- IEEE 754 double precision subtype UNRESOLVED_float64 is UNRESOLVED_float (11 downto -52); alias U_float64 is UNRESOLVED_float64; subtype float64 is float (11 downto -52); ----------------------------------------------------------------------------- -- IEEE-754 double precision floating point. This is a "double float" -- in C, and a FLOAT*8 in Fortran. The exponent is 11 bits wide, and -- the fraction is 52 bits wide. This format can hold roughly 15 decimal -- digits. Infinity is 2**2047 in this number system. -- The bit representation is as follows: -- 3 21098765432 1098765432109876543210987654321098765432109876543210 -- 1 09876543210 1234567890123456789012345678901234567890123456789012 -- S EEEEEEEEEEE FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF -- 11 10 0 -1 -52 -- +/- exponent fraction ----------------------------------------------------------------------------- -- IEEE 854 & C extended precision subtype UNRESOLVED_float128 is UNRESOLVED_float (15 downto -112); alias U_float128 is UNRESOLVED_float128; subtype float128 is float (15 downto -112); ----------------------------------------------------------------------------- -- The 128 bit floating point number is "long double" in C (on -- some systems this is a 70 bit floating point number) and FLOAT*32 -- in Fortran. The exponent is 15 bits wide and the fraction is 112 -- bits wide. This number can handle approximately 33 decimal digits. -- Infinity is 2**32,767 in this number system. ----------------------------------------------------------------------------- -- purpose: Checks for a valid floating point number type valid_fpstate is (nan, -- Signaling NaN (C FP_NAN) quiet_nan, -- Quiet NaN (C FP_NAN) neg_inf, -- Negative infinity (C FP_INFINITE) neg_normal, -- negative normalized nonzero neg_denormal, -- negative denormalized (FP_SUBNORMAL) neg_zero, -- -0 (C FP_ZERO) pos_zero, -- +0 (C FP_ZERO) pos_denormal, -- Positive denormalized (FP_SUBNORMAL) pos_normal, -- positive normalized nonzero pos_inf, -- positive infinity isx); -- at least one input is unknown -- This deferred constant will tell you if the package body is synthesizable -- or implemented as real numbers. constant fphdlsynth_or_real : BOOLEAN; -- deferred constant -- Returns the class which X falls into function Classfp ( x : UNRESOLVED_float; -- floating point input check_error : BOOLEAN := float_check_error) -- check for errors return valid_fpstate; -- Arithmetic functions, these operators do not require parameters. function "abs" (arg : UNRESOLVED_float) return UNRESOLVED_float; function "-" (arg : UNRESOLVED_float) return UNRESOLVED_float; -- These allows the base math functions to use the default values -- of their parameters. Thus they do full IEEE floating point. function "+" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "-" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "*" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "/" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "rem" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "mod" (l, r : UNRESOLVED_float) return UNRESOLVED_float; -- Basic parameter list -- round_style - Selects the rounding algorithm to use -- guard - extra bits added to the end if the operation to add precision -- check_error - When "false" turns off NAN and overflow checks -- denormalize - When "false" turns off denormal number processing function add ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function subtract ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function multiply ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function divide ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function remainder ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function modulo ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- reciprocal function reciprocal ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function dividebyp2 ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- Multiply accumulate result = l*r + c function mac ( l, r, c : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- Square root (all 754 based implementations need this) function sqrt ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; constant guard : NATURAL := float_guard_bits; constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float; function Is_Negative (arg : UNRESOLVED_float) return BOOLEAN; ----------------------------------------------------------------------------- -- compare functions -- =, /=, >=, <=, <, >, maximum, minimum function eq ( -- equal = l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; function ne ( -- not equal /= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; function lt ( -- less than < l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; function gt ( -- greater than > l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; function le ( -- less than or equal to <= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; function ge ( -- greater than or equal to >= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN; -- Need to overload the default versions of these function "=" (l, r : UNRESOLVED_float) return BOOLEAN; function "/=" (l, r : UNRESOLVED_float) return BOOLEAN; function ">=" (l, r : UNRESOLVED_float) return BOOLEAN; function "<=" (l, r : UNRESOLVED_float) return BOOLEAN; function ">" (l, r : UNRESOLVED_float) return BOOLEAN; function "<" (l, r : UNRESOLVED_float) return BOOLEAN; function \?=\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function \?/=\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function \?>\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function \?>=\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function \?<\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function \?<=\ (l, r : UNRESOLVED_float) return STD_ULOGIC; function std_match (l, r : UNRESOLVED_float) return BOOLEAN; function find_rightmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER; function find_leftmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER; function maximum (l, r : UNRESOLVED_float) return UNRESOLVED_float; function minimum (l, r : UNRESOLVED_float) return UNRESOLVED_float; -- conversion functions -- Converts one floating point number into another. function resize ( arg : UNRESOLVED_float; -- Floating point input constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function resize ( arg : UNRESOLVED_float; -- Floating point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; function to_float32 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float32; function to_float64 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float64; function to_float128 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float128; -- Converts an fp into an SLV (needed for synthesis) function to_slv (arg : UNRESOLVED_float) return STD_LOGIC_VECTOR; alias to_StdLogicVector is to_slv [UNRESOLVED_float return STD_LOGIC_VECTOR]; alias to_Std_Logic_Vector is to_slv [UNRESOLVED_float return STD_LOGIC_VECTOR]; -- Converts an fp into an std_ulogic_vector (sulv) function to_sulv (arg : UNRESOLVED_float) return STD_ULOGIC_VECTOR; alias to_StdULogicVector is to_sulv [UNRESOLVED_float return STD_ULOGIC_VECTOR]; alias to_Std_ULogic_Vector is to_sulv [UNRESOLVED_float return STD_ULOGIC_VECTOR]; -- std_ulogic_vector to float function to_float ( arg : STD_ULOGIC_VECTOR; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction return UNRESOLVED_float; -- Integer to float function to_float ( arg : INTEGER; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- real to float function to_float ( arg : REAL; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- unsigned to float function to_float ( arg : UNSIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- signed to float function to_float ( arg : SIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- unsigned fixed point to float function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input constant exponent_width : NATURAL := float_exponent_width; -- width of exponent constant fraction_width : NATURAL := float_fraction_width; -- width of fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float; -- signed fixed point to float function to_float ( arg : UNRESOLVED_sfixed; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float; -- size_res functions -- Integer to float function to_float ( arg : INTEGER; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- real to float function to_float ( arg : REAL; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- unsigned to float function to_float ( arg : UNSIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- signed to float function to_float ( arg : SIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float; -- sulv to float function to_float ( arg : STD_ULOGIC_VECTOR; size_res : UNRESOLVED_float) return UNRESOLVED_float; -- unsigned fixed point to float function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float; -- signed fixed point to float function to_float ( arg : UNRESOLVED_sfixed; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float; -- float to unsigned function to_unsigned ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNSIGNED; -- float to signed function to_signed ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return SIGNED; -- purpose: Converts a float to unsigned fixed point function to_ufixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed; -- float to signed fixed point function to_sfixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed; -- size_res versions -- float to unsigned function to_unsigned ( arg : UNRESOLVED_float; -- floating point input size_res : UNSIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNSIGNED; -- float to signed function to_signed ( arg : UNRESOLVED_float; -- floating point input size_res : SIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return SIGNED; -- purpose: Converts a float to unsigned fixed point function to_ufixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_ufixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed; -- float to signed fixed point function to_sfixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_sfixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed; -- float to real function to_real ( arg : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return REAL; -- float to integer function to_integer ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return INTEGER; -- For Verilog compatability function realtobits (arg : REAL) return STD_ULOGIC_VECTOR; function bitstoreal (arg : STD_ULOGIC_VECTOR) return REAL; -- Maps metalogical values function to_01 ( arg : UNRESOLVED_float; -- floating point input XMAP : STD_LOGIC := '0') return UNRESOLVED_float; function Is_X (arg : UNRESOLVED_float) return BOOLEAN; function to_X01 (arg : UNRESOLVED_float) return UNRESOLVED_float; function to_X01Z (arg : UNRESOLVED_float) return UNRESOLVED_float; function to_UX01 (arg : UNRESOLVED_float) return UNRESOLVED_float; -- These two procedures were copied out of the body because they proved -- very useful for vendor specific algorithm development -- Break_number converts a floating point number into it's parts -- Exponent is biased by -1 procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out UNSIGNED; expon : out SIGNED; -- NOTE: Add 1 to get the real exponent! sign : out STD_ULOGIC); procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out ufixed; -- a number between 1.0 and 2.0 expon : out SIGNED; -- NOTE: Add 1 to get the real exponent! sign : out STD_ULOGIC); -- Normalize takes a fraction and and exponent and converts them into -- a floating point number. Does the shifting and the rounding. -- Exponent is assumed to be biased by -1 function normalize ( fract : UNSIGNED; -- fraction, unnormalized expon : SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float; -- Exponent is assumed to be biased by -1 function normalize ( fract : ufixed; -- unsigned fixed point expon : SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float; function normalize ( fract : UNSIGNED; -- unsigned expon : SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float; -- Exponent is assumed to be biased by -1 function normalize ( fract : ufixed; -- unsigned fixed point expon : SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float; -- overloaded versions function "+" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "+" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "+" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "+" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function "-" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "-" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "-" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "-" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function "*" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "*" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "*" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "*" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function "/" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "/" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "/" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "/" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function "rem" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "rem" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "rem" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "rem" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function "mod" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function "mod" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function "mod" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function "mod" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; -- overloaded compare functions function "=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function "/=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function ">=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function "<=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function ">" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function "<" (l : UNRESOLVED_float; r : REAL) return BOOLEAN; function "=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function "/=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function ">=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function "<=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function ">" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function "<" (l : REAL; r : UNRESOLVED_float) return BOOLEAN; function "=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function "/=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function ">=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function "<=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function ">" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function "<" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN; function "=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function "/=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function ">=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function "<=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function ">" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function "<" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN; function \?=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC; function \?=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?/=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?>\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?>=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?<\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?<=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC; function \?=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?/=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?>\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?>=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?<\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?<=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC; function \?=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; function \?/=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; function \?>\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; function \?>=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; function \?<\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; function \?<=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC; -- minimum and maximum overloads function maximum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function minimum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float; function maximum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function minimum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float; function maximum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function minimum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float; function maximum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; function minimum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (l : UNRESOLVED_float) return UNRESOLVED_float; function "and" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "or" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "nand" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "nor" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "xor" (l, r : UNRESOLVED_float) return UNRESOLVED_float; function "xnor" (l, r : UNRESOLVED_float) return UNRESOLVED_float; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "and" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; function "or" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "or" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; function "nand" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "nand" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; function "nor" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "nor" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; function "xor" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "xor" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; function "xnor" (l : STD_ULOGIC; r : UNRESOLVED_float) return UNRESOLVED_float; function "xnor" (l : UNRESOLVED_float; r : STD_ULOGIC) return UNRESOLVED_float; -- Reduction operators, same as numeric_std functions function and_reduce (l : UNRESOLVED_float) return STD_ULOGIC; function nand_reduce (l : UNRESOLVED_float) return STD_ULOGIC; function or_reduce (l : UNRESOLVED_float) return STD_ULOGIC; function nor_reduce (l : UNRESOLVED_float) return STD_ULOGIC; function xor_reduce (l : UNRESOLVED_float) return STD_ULOGIC; function xnor_reduce (l : UNRESOLVED_float) return STD_ULOGIC; -- Note: "sla", "sra", "sll", "slr", "rol" and "ror" not implemented. ----------------------------------------------------------------------------- -- Recommended Functions from the IEEE 754 Appendix ----------------------------------------------------------------------------- -- returns x with the sign of y. function Copysign (x, y : UNRESOLVED_float) return UNRESOLVED_float; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : INTEGER; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : SIGNED; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float; -- returns the unbiased exponent of x function Logb (x : UNRESOLVED_float) return INTEGER; function Logb (x : UNRESOLVED_float) return SIGNED; -- returns the next representable neighbor of x in the direction toward y function Nextafter ( x, y : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float; -- Returns TRUE if X is unordered with Y. function Unordered (x, y : UNRESOLVED_float) return BOOLEAN; function Finite (x : UNRESOLVED_float) return BOOLEAN; function Isnan (x : UNRESOLVED_float) return BOOLEAN; -- Function to return constants. function zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; function nanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; function qnanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; function pos_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; function neg_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; function neg_zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float; -- size_res versions function zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; function nanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; function qnanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; function pos_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; function neg_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; function neg_zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float; -- =========================================================================== -- string and textio Functions -- =========================================================================== -- rtl_synthesis off -- pragma synthesis_off -- writes S:EEEE:FFFFFFFF procedure WRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0); -- width of field -- Reads SEEEEFFFFFFFF, "." and ":" are ignored procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float); procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN); alias BREAD is READ [LINE, UNRESOLVED_float, BOOLEAN]; alias BREAD is READ [LINE, UNRESOLVED_float]; alias BWRITE is WRITE [LINE, UNRESOLVED_float, SIDE, WIDTH]; alias BINARY_READ is READ [LINE, UNRESOLVED_FLOAT, BOOLEAN]; alias BINARY_READ is READ [LINE, UNRESOLVED_FLOAT]; alias BINARY_WRITE is WRITE [LINE, UNRESOLVED_float, SIDE, WIDTH]; procedure OWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0); -- width of field -- Octal read with padding, no separators used procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float); procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN); alias OCTAL_READ is OREAD [LINE, UNRESOLVED_FLOAT, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, UNRESOLVED_FLOAT]; alias OCTAL_WRITE is OWRITE [LINE, UNRESOLVED_FLOAT, SIDE, WIDTH]; -- Hex write with padding, no separators procedure HWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0); -- width of field -- Hex read with padding, no separators used procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float); procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN); alias HEX_READ is HREAD [LINE, UNRESOLVED_FLOAT, BOOLEAN]; alias HEX_READ is HREAD [LINE, UNRESOLVED_FLOAT]; alias HEX_WRITE is HWRITE [LINE, UNRESOLVED_FLOAT, SIDE, WIDTH]; -- returns "S:EEEE:FFFFFFFF" function to_string (value : UNRESOLVED_float) return STRING; alias TO_BSTRING is TO_STRING [UNRESOLVED_FLOAT return STRING]; alias TO_BINARY_STRING is TO_STRING [UNRESOLVED_FLOAT return STRING]; -- Returns a HEX string, with padding function to_hstring (value : UNRESOLVED_float) return STRING; alias TO_HEX_STRING is TO_HSTRING [UNRESOLVED_FLOAT return STRING]; -- Returns and octal string, with padding function to_ostring (value : UNRESOLVED_float) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [UNRESOLVED_FLOAT return STRING]; function from_string ( bstring : STRING; -- binary string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float; alias from_bstring is from_string [STRING, NATURAL, NATURAL return UNRESOLVED_float]; alias from_binary_string is from_string [STRING, NATURAL, NATURAL return UNRESOLVED_float]; function from_ostring ( ostring : STRING; -- Octal string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float; alias from_octal_string is from_ostring [STRING, NATURAL, NATURAL return UNRESOLVED_float]; function from_hstring ( hstring : STRING; -- hex string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float; alias from_hex_string is from_hstring [STRING, NATURAL, NATURAL return UNRESOLVED_float]; function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float; alias from_bstring is from_string [STRING, UNRESOLVED_float return UNRESOLVED_float]; alias from_binary_string is from_string [STRING, UNRESOLVED_float return UNRESOLVED_float]; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float; alias from_octal_string is from_ostring [STRING, UNRESOLVED_float return UNRESOLVED_float]; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float; alias from_hex_string is from_hstring [STRING, UNRESOLVED_float return UNRESOLVED_float]; -- rtl_synthesis on -- pragma synthesis_on -- IN VHDL-2006 std_logic_vector is a subtype of std_ulogic_vector, so these -- extra functions are needed for compatability. function to_float ( arg : STD_LOGIC_VECTOR; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction return UNRESOLVED_float; function to_float ( arg : STD_LOGIC_VECTOR; size_res : UNRESOLVED_float) return UNRESOLVED_float; -- For Verilog compatability function realtobits (arg : REAL) return STD_LOGIC_VECTOR; function bitstoreal (arg : STD_LOGIC_VECTOR) return REAL; end package float_pkg; ------------------------------------------------------------------------------- -- Proposed package body for the VHDL-200x-FT float_pkg package -- This version is optimized for Synthesis, and not for simulation. -- Note that there are functional differences between the synthesis and -- simulation packages bodies. The Synthesis version is preferred. -- This package body supplies a recommended implementation of these functions -- Version : $Revision: 2.0 $ -- Date : $Date: 2009/01/27 20:45:30 $ -- -- Created for VHDL-200X par, David Bishop ([email protected]) ------------------------------------------------------------------------------- package body float_pkg is -- Author David Bishop ([email protected]) ----------------------------------------------------------------------------- -- type declarations ----------------------------------------------------------------------------- -- This deferred constant will tell you if the package body is synthesizable -- or implemented as real numbers, set to "true" if synthesizable. constant fphdlsynth_or_real : BOOLEAN := true; -- deferred constant -- types of boundary conditions type boundary_type is (normal, infinity, zero, denormal); -- null range array constant constant NAFP : UNRESOLVED_float (0 downto 1) := (others => '0'); constant NSLV : STD_ULOGIC_VECTOR (0 downto 1) := (others => '0'); -- %%% Replicated functions -- These functions are replicated so that we don't need to reference the new -- 2006 package std.standard, std_logic_1164 and numeric_std. function maximum ( l, r : INTEGER) -- inputs return INTEGER is begin -- function max if l > r then return l; else return r; end if; end function maximum; function minimum ( l, r : INTEGER) -- inputs return INTEGER is begin -- function min if l > r then return r; else return l; end if; end function minimum; function or_reduce (arg : STD_ULOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '0'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) or BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := or_reduce (BUS_int (BUS_int'left downto Half)); Lower := or_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper or Lower; end if; end if; return Result; end function or_reduce; function or_reduce (arg : UNSIGNED) return STD_ULOGIC is begin return or_reduce (STD_ULOGIC_VECTOR (arg)); end function or_reduce; function or_reduce (arg : SIGNED) return STD_ULOGIC is begin return or_reduce (STD_ULOGIC_VECTOR (arg)); end function or_reduce; function or_reduce (arg : STD_LOGIC_VECTOR) return STD_ULOGIC is begin return or_reduce (STD_ULOGIC_VECTOR (arg)); end function or_reduce; -- purpose: AND all of the bits in a vector together -- This is a copy of the proposed "and_reduce" from 1076.3 function and_reduce (arg : STD_ULOGIC_VECTOR) return STD_LOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC; begin if (arg'length < 1) then -- In the case of a NULL range Result := '1'; else BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int (BUS_int'right) and BUS_int (BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := and_reduce (BUS_int (BUS_int'left downto Half)); Lower := and_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper and Lower; end if; end if; return Result; end function and_reduce; function and_reduce (arg : UNSIGNED) return STD_ULOGIC is begin return and_reduce (STD_ULOGIC_VECTOR (arg)); end function and_reduce; function and_reduce (arg : SIGNED) return STD_ULOGIC is begin return and_reduce (STD_ULOGIC_VECTOR (arg)); end function and_reduce; function xor_reduce (arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is variable Upper, Lower : STD_ULOGIC; variable Half : INTEGER; variable BUS_int : STD_ULOGIC_VECTOR (arg'length - 1 downto 0); variable Result : STD_ULOGIC := '0'; -- In the case of a NULL range begin if (arg'length >= 1) then BUS_int := to_ux01 (arg); if (BUS_int'length = 1) then Result := BUS_int (BUS_int'left); elsif (BUS_int'length = 2) then Result := BUS_int(BUS_int'right) xor BUS_int(BUS_int'left); else Half := (BUS_int'length + 1) / 2 + BUS_int'right; Upper := xor_reduce (BUS_int (BUS_int'left downto Half)); Lower := xor_reduce (BUS_int (Half - 1 downto BUS_int'right)); Result := Upper xor Lower; end if; end if; return Result; end function xor_reduce; function nand_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return not and_reduce (arg); end function nand_reduce; function nor_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return not or_reduce (arg); end function nor_reduce; function xnor_reduce(arg : STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return not xor_reduce (arg); end function xnor_reduce; function find_leftmost (ARG : UNSIGNED; Y : STD_ULOGIC) return INTEGER is begin for INDEX in ARG'range loop if ARG(INDEX) = Y then return INDEX; end if; end loop; return -1; end function find_leftmost; -- Match table, copied form new std_logic_1164 type stdlogic_table is array(STD_ULOGIC, STD_ULOGIC) of STD_ULOGIC; constant match_logic_table : stdlogic_table := ( ----------------------------------------------------- -- U X 0 1 Z W L H - | | ----------------------------------------------------- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '1'), -- | U | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | X | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | 0 | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | 1 | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | Z | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '1'), -- | W | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '1'), -- | L | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '1'), -- | H | ('1', '1', '1', '1', '1', '1', '1', '1', '1') -- | - | ); constant no_match_logic_table : stdlogic_table := ( ----------------------------------------------------- -- U X 0 1 Z W L H - | | ----------------------------------------------------- ('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', '0'), -- | U | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | X | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | 0 | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | 1 | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | Z | ('U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', '0'), -- | W | ('U', 'X', '0', '1', 'X', 'X', '0', '1', '0'), -- | L | ('U', 'X', '1', '0', 'X', 'X', '1', '0', '0'), -- | H | ('0', '0', '0', '0', '0', '0', '0', '0', '0') -- | - | ); ------------------------------------------------------------------- -- ?= functions, Similar to "std_match", but returns "std_ulogic". ------------------------------------------------------------------- -- %%% FUNCTION "?=" ( l, r : std_ulogic ) RETURN std_ulogic IS function \?=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return match_logic_table (l, r); end function \?=\; -- %%% END FUNCTION "?="; -- %%% FUNCTION "?/=" ( l, r : std_ulogic ) RETURN std_ulogic is function \?/=\ (l, r : STD_ULOGIC) return STD_ULOGIC is begin return no_match_logic_table (l, r); end function \?/=\; -- %%% END FUNCTION "?/="; function \?=\ (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC is begin return \?=\ (ufixed(l), ufixed(r)); end function \?=\; function Is_X (s : UNSIGNED) return BOOLEAN is begin return Is_X (STD_LOGIC_VECTOR (s)); end function Is_X; function Is_X (s : SIGNED) return BOOLEAN is begin return Is_X (STD_LOGIC_VECTOR (s)); end function Is_X; -- %%% END replicated functions -- Special version of "minimum" to do some boundary checking function mine (L, R : INTEGER) return INTEGER is begin -- function minimum if (L = INTEGER'low or R = INTEGER'low) then report float_pkg'instance_name & " Unbounded number passed, was a literal used?" severity error; return 0; end if; return minimum (L, R); end function mine; -- Generates the base number for the exponent normalization offset. function gen_expon_base ( constant exponent_width : NATURAL) return SIGNED is variable result : SIGNED (exponent_width-1 downto 0); begin result := (others => '1'); result (exponent_width-1) := '0'; return result; end function gen_expon_base; -- Integer version of the "log2" command (contributed by Peter Ashenden) function log2 (A : NATURAL) return NATURAL is variable quotient : NATURAL; variable result : NATURAL := 0; begin quotient := A / 2; while quotient > 0 loop quotient := quotient / 2; result := result + 1; end loop; return result; end function log2; -- Function similar to the ILOGB function in MATH_REAL function log2 (A : REAL) return INTEGER is variable Y : REAL; variable N : INTEGER := 0; begin if (A = 1.0 or A = 0.0) then return 0; end if; Y := A; if(A > 1.0) then while Y >= 2.0 loop Y := Y / 2.0; N := N + 1; end loop; return N; end if; -- O < Y < 1 while Y < 1.0 loop Y := Y * 2.0; N := N - 1; end loop; return N; end function log2; -- purpose: Test the boundary conditions of a Real number procedure test_boundary ( arg : in REAL; -- Input, converted to real constant fraction_width : in NATURAL; -- length of FP output fraction constant exponent_width : in NATURAL; -- length of FP exponent constant denormalize : in BOOLEAN := true; -- Use IEEE extended FP variable btype : out boundary_type; variable log2i : out INTEGER ) is constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset constant exp_min : SIGNED (12 downto 0) := -(resize(expon_base, 13)) + 1; -- Minimum normal exponent constant exp_ext_min : SIGNED (12 downto 0) := exp_min - fraction_width; -- Minimum for denormal exponent variable log2arg : INTEGER; -- log2 of argument begin -- function test_boundary -- Check to see if the exponent is big enough -- Note that the argument is always an absolute value at this point. log2arg := log2(arg); if arg = 0.0 then btype := zero; elsif exponent_width > 11 then -- Exponent for Real is 11 (64 bit) btype := normal; else if log2arg < to_integer(exp_min) then if denormalize then if log2arg < to_integer(exp_ext_min) then btype := zero; else btype := denormal; end if; else if log2arg < to_integer(exp_min)-1 then btype := zero; else btype := normal; -- Can still represent this number end if; end if; elsif exponent_width < 11 then if log2arg > to_integer(expon_base)+1 then btype := infinity; else btype := normal; end if; else btype := normal; end if; end if; log2i := log2arg; end procedure test_boundary; -- purpose: Rounds depending on the state of the "round_style" -- Logic taken from -- "What Every Computer Scientist Should Know About Floating Point Arithmetic" -- by David Goldberg (1991) function check_round ( fract_in : STD_ULOGIC; -- input fraction sign : STD_ULOGIC; -- sign bit remainder : UNSIGNED; -- remainder to round from sticky : STD_ULOGIC := '0'; -- Sticky bit constant round_style : round_type) -- rounding type return BOOLEAN is variable result : BOOLEAN; variable or_reduced : STD_ULOGIC; begin -- function check_round result := false; if (remainder'length > 0) then -- if remainder in a null array or_reduced := or_reduce (remainder & sticky); rounding_case : case round_style is when round_nearest => -- Round Nearest, default mode if remainder(remainder'high) = '1' then -- round if (remainder'length > 1) then if ((or_reduce (remainder(remainder'high-1 downto remainder'low)) = '1' or sticky = '1') or fract_in = '1') then -- Make the bottom bit zero if possible if we are at 1/2 result := true; end if; else result := (fract_in = '1' or sticky = '1'); end if; end if; when round_inf => -- round up if positive, else truncate. if or_reduced = '1' and sign = '0' then result := true; end if; when round_neginf => -- round down if negative, else truncate. if or_reduced = '1' and sign = '1' then result := true; end if; when round_zero => -- round toward 0 Truncate null; end case rounding_case; end if; return result; end function check_round; -- purpose: Rounds depending on the state of the "round_style" -- unsigned version procedure fp_round ( fract_in : in UNSIGNED; -- input fraction expon_in : in SIGNED; -- input exponent fract_out : out UNSIGNED; -- output fraction expon_out : out SIGNED) is -- output exponent begin -- procedure fp_round if and_reduce (fract_in) = '1' then -- Fraction is all "1" expon_out := expon_in + 1; fract_out := to_unsigned(0, fract_out'high+1); else expon_out := expon_in; fract_out := fract_in + 1; end if; end procedure fp_round; -- This version of break_number doesn't call "classfp" procedure break_number ( -- internal version arg : in UNRESOLVED_float; fptyp : in valid_fpstate; denormalize : in BOOLEAN := true; fract : out UNSIGNED; expon : out SIGNED) is constant fraction_width : NATURAL := -arg'low; -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable exp : SIGNED (expon'range); begin fract (fraction_width-1 downto 0) := UNSIGNED (to_slv(arg(-1 downto -fraction_width))); breakcase : case fptyp is when pos_zero | neg_zero => fract (fraction_width) := '0'; exp := -expon_base; when pos_denormal | neg_denormal => if denormalize then exp := -expon_base; fract (fraction_width) := '0'; else exp := -expon_base - 1; fract (fraction_width) := '1'; end if; when pos_normal | neg_normal | pos_inf | neg_inf => fract (fraction_width) := '1'; exp := SIGNED(arg(exponent_width-1 downto 0)); exp (exponent_width-1) := not exp(exponent_width-1); when others => assert NO_WARNING report float_pkg'instance_name & "BREAK_NUMBER: " & "Meta state detected in fp_break_number process" severity warning; -- complete the case, if a NAN goes in, a NAN comes out. exp := (others => '1'); fract (fraction_width) := '1'; end case breakcase; expon := exp; end procedure break_number; -- purpose: floating point to UNSIGNED -- Used by to_integer, to_unsigned, and to_signed functions procedure float_to_unsigned ( arg : in UNRESOLVED_float; -- floating point input variable sign : out STD_ULOGIC; -- sign of output variable frac : out UNSIGNED; -- unsigned biased output constant denormalize : in BOOLEAN; -- turn on denormalization constant bias : in NATURAL; -- bias for fixed point constant round_style : in round_type) is -- rounding method constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent variable fract : UNSIGNED (frac'range); -- internal version of frac variable isign : STD_ULOGIC; -- internal version of sign variable exp : INTEGER; -- Exponent variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp -- Base to divide fraction by variable frac_shift : UNSIGNED (frac'high+3 downto 0); -- Fraction shifted variable shift : INTEGER; variable remainder : UNSIGNED (2 downto 0); variable round : STD_ULOGIC; -- round BIT begin isign := to_x01(arg(arg'high)); -- exponent /= '0', normal floating point expon := to_01(SIGNED(arg (exponent_width-1 downto 0)), 'X'); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (expon); -- Figure out the fraction fract := (others => '0'); -- fill with zero fract (fract'high) := '1'; -- Add the "1.0". shift := (fract'high-1) - exp; if fraction_width > fract'high then -- Can only use size-2 bits fract (fract'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -fract'high))); else -- can use all bits fract (fract'high-1 downto fract'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := fract & "000"; if shift < 0 then -- Overflow fract := (others => '1'); else frac_shift := shift_right (frac_shift, shift); fract := frac_shift (frac_shift'high downto 3); remainder := frac_shift (2 downto 0); -- round (round_zero will bypass this and truncate) case round_style is when round_nearest => round := remainder(2) and (fract (0) or (or_reduce (remainder (1 downto 0)))); when round_inf => round := remainder(2) and not isign; when round_neginf => round := remainder(2) and isign; when others => round := '0'; end case; if round = '1' then fract := fract + 1; end if; end if; frac := fract; sign := isign; end procedure float_to_unsigned; -- purpose: returns a part of a vector, this function is here because -- or (fractr (to_integer(shiftx) downto 0)); -- can't be synthesized in some synthesis tools. function smallfract ( arg : UNSIGNED; shift : NATURAL) return STD_ULOGIC is variable orx : STD_ULOGIC; begin orx := arg(shift); for i in arg'range loop if i < shift then orx := arg(i) or orx; end if; end loop; return orx; end function smallfract; --------------------------------------------------------------------------- -- Visible functions --------------------------------------------------------------------------- -- purpose: converts the negative index to a positive one -- negative indices are illegal in 1164 and 1076.3 function to_sulv ( arg : UNRESOLVED_float) -- fp vector return STD_ULOGIC_VECTOR is variable result : STD_ULOGIC_VECTOR (arg'length-1 downto 0); begin -- function to_std_ulogic_vector if arg'length < 1 then return NSLV; end if; result := STD_ULOGIC_VECTOR (arg); return result; end function to_sulv; -- Converts an fp into an SLV function to_slv (arg : UNRESOLVED_float) return STD_LOGIC_VECTOR is begin return to_stdlogicvector (to_sulv (arg)); end function to_slv; -- purpose: normalizes a floating point number -- This version assumes an "unsigned" input with function normalize ( fract : UNSIGNED; -- fraction, unnormalized expon : SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign BIT sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is variable sfract : UNSIGNED (fract'high downto 0); -- shifted fraction variable rfract : UNSIGNED (fraction_width-1 downto 0); -- fraction variable exp : SIGNED (exponent_width+1 downto 0); -- exponent variable rexp : SIGNED (exponent_width+1 downto 0); -- result exponent variable rexpon : UNSIGNED (exponent_width-1 downto 0); -- exponent variable result : UNRESOLVED_float (exponent_width downto -fraction_width); -- result variable shiftr : INTEGER; -- shift amount variable stickyx : STD_ULOGIC; -- version of sticky constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable round, zerores, infres : BOOLEAN; begin -- function normalize zerores := false; infres := false; round := false; shiftr := find_leftmost (to_01(fract), '1') -- Find the first "1" - fraction_width - nguard; -- subtract the length we want exp := resize (expon, exp'length) + shiftr; if (or_reduce (fract) = '0') then -- Zero zerores := true; elsif ((exp <= -resize(expon_base, exp'length)-1) and denormalize) or ((exp < -resize(expon_base, exp'length)-1) and not denormalize) then if (exp >= -resize(expon_base, exp'length)-fraction_width-1) and denormalize then exp := -resize(expon_base, exp'length)-1; shiftr := -to_integer (expon + expon_base); -- new shift else -- return zero zerores := true; end if; elsif (exp > expon_base-1) then -- infinity infres := true; end if; if zerores then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); elsif infres then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else sfract := fract srl shiftr; -- shift if shiftr > 0 then -- stickyx := sticky or (or_reduce(fract (shiftr-1 downto 0))); stickyx := sticky or smallfract (fract, shiftr-1); else stickyx := sticky; end if; if nguard > 0 then round := check_round ( fract_in => sfract (nguard), sign => sign, remainder => sfract(nguard-1 downto 0), sticky => stickyx, round_style => round_style); end if; if round then fp_round(fract_in => sfract (fraction_width-1+nguard downto nguard), expon_in => exp(rexp'range), fract_out => rfract, expon_out => rexp); else rfract := sfract (fraction_width-1+nguard downto nguard); rexp := exp(rexp'range); end if; -- result rexpon := UNSIGNED (rexp(exponent_width-1 downto 0)); rexpon (exponent_width-1) := not rexpon(exponent_width-1); result (rexpon'range) := UNRESOLVED_float(rexpon); result (-1 downto -fraction_width) := UNRESOLVED_float(rfract); end if; result (exponent_width) := sign; -- sign BIT return result; end function normalize; -- purpose: normalizes a floating point number -- This version assumes a "ufixed" input function normalize ( fract : ufixed; -- unsigned fixed point expon : SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) constant exponent_width : NATURAL := float_exponent_width; -- size of output exponent constant fraction_width : NATURAL := float_fraction_width; -- size of output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arguns : UNSIGNED (fract'high + fraction_width + nguard downto 0) := (others => '0'); begin -- function normalize arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) := UNSIGNED (to_slv (fract)); result := normalize (fract => arguns, expon => expon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => nguard); return result; end function normalize; -- purpose: normalizes a floating point number -- This version assumes a "ufixed" input with a "size_res" input function normalize ( fract : ufixed; -- unsigned fixed point expon : SIGNED; -- exponent, normalized by -1 sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is constant fraction_width : NATURAL := -size_res'low; constant exponent_width : NATURAL := size_res'high; variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arguns : UNSIGNED (fract'high + fraction_width + nguard downto 0) := (others => '0'); begin -- function normalize arguns (arguns'high downto maximum (arguns'high-fract'length+1, 0)) := UNSIGNED (to_slv (fract)); result := normalize (fract => arguns, expon => expon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => nguard); return result; end function normalize; -- Regular "normalize" function with a "size_res" input. function normalize ( fract : UNSIGNED; -- unsigned expon : SIGNED; -- exponent - 1, normalized sign : STD_ULOGIC; -- sign bit sticky : STD_ULOGIC := '0'; -- Sticky bit (rounding) size_res : UNRESOLVED_float; -- used for sizing only constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant nguard : NATURAL := float_guard_bits) -- guard bits return UNRESOLVED_float is begin return normalize (fract => fract, expon => expon, sign => sign, sticky => sticky, fraction_width => -size_res'low, exponent_width => size_res'high, round_style => round_style, denormalize => denormalize, nguard => nguard); end function normalize; -- Returns the class which X falls into function Classfp ( x : UNRESOLVED_float; -- floating point input check_error : BOOLEAN := float_check_error) -- check for errors return valid_fpstate is constant fraction_width : INTEGER := -mine(x'low, x'low); -- length of FP output fraction constant exponent_width : INTEGER := x'high; -- length of FP output exponent variable arg : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- classfp if (arg'length < 1 or fraction_width < 3 or exponent_width < 3 or x'left < x'right) then report float_pkg'instance_name & "CLASSFP: " & "Floating point number detected with a bad range" severity error; return isx; end if; -- Check for "X". arg := to_01 (x, 'X'); if (arg(0) = 'X') then return isx; -- If there is an X in the number -- Special cases, check for illegal number elsif check_error and (and_reduce (STD_ULOGIC_VECTOR (arg (exponent_width-1 downto 0))) = '1') then -- Exponent is all "1". if or_reduce (to_slv (arg (-1 downto -fraction_width))) /= '0' then -- Fraction must be all "0" or this is not a number. if (arg(-1) = '1') then -- From "W. Khan - IEEE standard return nan; -- 754 binary FP Signaling nan (Not a number) else return quiet_nan; end if; -- Check for infinity elsif arg(exponent_width) = '0' then return pos_inf; -- Positive infinity else return neg_inf; -- Negative infinity end if; -- check for "0" elsif or_reduce (STD_LOGIC_VECTOR (arg (exponent_width-1 downto 0))) = '0' then -- Exponent is all "0" if or_reduce (to_slv (arg (-1 downto -fraction_width))) = '0' then -- Fraction is all "0" if arg(exponent_width) = '0' then return pos_zero; -- Zero else return neg_zero; end if; else if arg(exponent_width) = '0' then return pos_denormal; -- Denormal number (ieee extended fp) else return neg_denormal; end if; end if; else if arg(exponent_width) = '0' then return pos_normal; -- Normal FP number else return neg_normal; end if; end if; end function Classfp; procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out UNSIGNED; expon : out SIGNED; sign : out STD_ULOGIC) is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction variable fptyp : valid_fpstate; begin fptyp := Classfp (arg, check_error); sign := to_x01(arg(arg'high)); break_number ( arg => arg, fptyp => fptyp, denormalize => denormalize, fract => fract, expon => expon); end procedure break_number; procedure break_number ( arg : in UNRESOLVED_float; denormalize : in BOOLEAN := float_denormalize; check_error : in BOOLEAN := float_check_error; fract : out ufixed; -- 1 downto -fraction_width expon : out SIGNED; -- exponent_width-1 downto 0 sign : out STD_ULOGIC) is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction variable fptyp : valid_fpstate; variable ufract : UNSIGNED (fraction_width downto 0); -- unsigned fraction begin fptyp := Classfp (arg, check_error); sign := to_x01(arg(arg'high)); break_number ( arg => arg, fptyp => fptyp, denormalize => denormalize, fract => ufract, expon => expon); fract (0 downto -fraction_width) := ufixed (ufract); end procedure break_number; -- Arithmetic functions function "abs" ( arg : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); -- result begin if (arg'length > 0) then result := to_01 (arg, 'X'); result (arg'high) := '0'; -- set the sign bit to positive return result; else return NAFP; end if; end function "abs"; -- IEEE 754 "negative" function function "-" ( arg : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); -- result begin if (arg'length > 0) then result := to_01 (arg, 'X'); result (arg'high) := not result (arg'high); -- invert sign bit return result; else return NAFP; end if; end function "-"; -- Addition, adds two floating point numbers function add ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant addguard : NATURAL := guard; -- add one guard bit variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width+1+addguard downto 0); -- fractions variable fractc, fracts : UNSIGNED (fractl'range); -- constant and shifted variables variable urfract, ulfract : UNSIGNED (fraction_width downto 0); variable ufract : UNSIGNED (fraction_width+1+addguard downto 0); variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width downto 0); -- result exponent variable shiftx : SIGNED (exponent_width downto 0); -- shift fractions variable sign : STD_ULOGIC; -- sign of the output variable leftright : BOOLEAN; -- left or right used variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- addition if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf and rfptype = neg_inf) or (lfptype = neg_inf and rfptype = pos_inf) then -- Return quiet NAN, IEEE754-1985-7.1,2 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf) then -- x + inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = neg_inf or rfptype = neg_inf) then -- x - inf = -inf fpresult := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = neg_zero and rfptype = neg_zero) then -- -0 + -0 = -0 fpresult := neg_zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); fractl := (others => '0'); fractl (fraction_width+addguard downto addguard) := ulfract; break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); fractr := (others => '0'); fractr (fraction_width+addguard downto addguard) := urfract; shiftx := (exponl(exponent_width-1) & exponl) - exponr; if shiftx < -fractl'high then rexpon := exponr(exponent_width-1) & exponr; fractc := fractr; fracts := (others => '0'); -- add zero leftright := false; sticky := or_reduce (fractl); elsif shiftx < 0 then shiftx := - shiftx; fracts := shift_right (fractl, to_integer(shiftx)); fractc := fractr; rexpon := exponr(exponent_width-1) & exponr; leftright := false; -- sticky := or_reduce (fractl (to_integer(shiftx) downto 0)); sticky := smallfract (fractl, to_integer(shiftx)); elsif shiftx = 0 then rexpon := exponl(exponent_width-1) & exponl; sticky := '0'; if fractr > fractl then fractc := fractr; fracts := fractl; leftright := false; else fractc := fractl; fracts := fractr; leftright := true; end if; elsif shiftx > fractr'high then rexpon := exponl(exponent_width-1) & exponl; fracts := (others => '0'); -- add zero fractc := fractl; leftright := true; sticky := or_reduce (fractr); elsif shiftx > 0 then fracts := shift_right (fractr, to_integer(shiftx)); fractc := fractl; rexpon := exponl(exponent_width-1) & exponl; leftright := true; -- sticky := or_reduce (fractr (to_integer(shiftx) downto 0)); sticky := smallfract (fractr, to_integer(shiftx)); end if; -- add fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB if l(l'high) = r(r'high) then ufract := fractc + fracts; sign := l(l'high); else -- signs are different ufract := fractc - fracts; -- always positive result if leftright then -- Figure out which sign to use sign := l(l'high); else sign := r(r'high); end if; end if; if or_reduce (ufract) = '0' then sign := '0'; -- IEEE 854, 6.3, paragraph 2. end if; -- normalize fpresult := normalize (fract => ufract, expon => rexpon, sign => sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => addguard); end if; return fpresult; end function add; -- Subtraction, Calls "add". function subtract ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable negr : UNRESOLVED_float (r'range); -- negative version of r begin negr := -r; return add (l => l, r => negr, round_style => round_style, guard => guard, check_error => check_error, denormalize => denormalize); end function subtract; -- Floating point multiply function multiply ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant multguard : NATURAL := guard; -- guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction variable sfract : UNSIGNED (fraction_width+1+multguard downto 0); -- result fraction variable shifty : INTEGER; -- denormal shift variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- multiply if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif ((lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan)) then -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (((lfptype = pos_inf or lfptype = neg_inf) and (rfptype = pos_zero or rfptype = neg_zero)) or ((rfptype = pos_inf or rfptype = neg_inf) and (lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf -- Return quiet NAN, IEEE754-1985-7.1,3 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf or lfptype = neg_inf or rfptype = neg_inf) then -- x * inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); -- figure out the sign fp_sign := l(l'high) xor r(r'high); -- figure out the sign fpresult (exponent_width) := fp_sign; else fp_sign := l(l'high) xor r(r'high); -- figure out the sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => fractl, expon => exponl); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => fractr, expon => exponr); if (rfptype = pos_denormal or rfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractr, '1'); fractr := shift_left (fractr, shifty); elsif (lfptype = pos_denormal or lfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractl, '1'); fractl := shift_left (fractl, shifty); else shifty := 0; -- Note that a denormal number * a denormal number is always zero. end if; -- multiply -- add the exponents rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1; rfract := fractl * fractr; -- Multiply the fraction sfract := rfract (rfract'high downto rfract'high - (fraction_width+1+multguard)); sticky := or_reduce (rfract (rfract'high-(fraction_width+1+multguard) downto 0)); -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => multguard); end if; return fpresult; end function multiply; function short_divide ( lx, rx : UNSIGNED) return UNSIGNED is -- This is a special divider for the floating point routines. -- For a true unsigned divider, "stages" needs to = lx'high constant stages : INTEGER := lx'high - rx'high; -- number of stages variable partial : UNSIGNED (lx'range); variable q : UNSIGNED (stages downto 0); variable partial_argl : SIGNED (rx'high + 2 downto 0); variable partial_arg : SIGNED (rx'high + 2 downto 0); begin partial := lx; for i in stages downto 0 loop partial_argl := resize ("0" & SIGNED (partial(lx'high downto i)), partial_argl'length); partial_arg := partial_argl - SIGNED ("0" & rx); if (partial_arg (partial_arg'high) = '1') then -- negative q(i) := '0'; else q(i) := '1'; partial (lx'high+i-stages downto lx'high+i-stages-rx'high) := UNSIGNED (partial_arg(rx'range)); end if; end loop; -- to make the output look like that of the unsigned IEEE divide. return resize (q, lx'length); end function short_divide; -- 1/X function. Needed for algorithm development. function reciprocal ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent constant divguard : NATURAL := guard; -- guard bits function onedivy ( arg : UNSIGNED) return UNSIGNED is variable q : UNSIGNED((2*arg'high)+1 downto 0); variable one : UNSIGNED (q'range); begin one := (others => '0'); one(one'high) := '1'; q := short_divide (one, arg); -- Unsigned divide return resize (q, arg'length+1); end function onedivy; variable fptype : valid_fpstate; variable expon : SIGNED (exponent_width-1 downto 0); -- exponents variable denorm_offset : NATURAL range 0 to 2; variable fract : UNSIGNED (fraction_width downto 0); variable fractg : UNSIGNED (fraction_width+divguard downto 0); variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- reciprocal fptype := classfp(arg, check_error); classcase : case fptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- 1/inf, return 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); when neg_zero | pos_zero => -- 1/0 report float_pkg'instance_name & "RECIPROCAL: Floating Point divide by zero" severity error; fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when others => if (fptype = pos_denormal or fptype = neg_denormal) and ((arg (-1) or arg(-2)) /= '1') then -- 1/denormal = infinity, with the exception of 2**-expon_base fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fpresult (exponent_width) := to_x01 (arg (exponent_width)); else break_number ( arg => arg, fptyp => fptype, denormalize => denormalize, fract => fract, expon => expon); fractg := (others => '0'); if (fptype = pos_denormal or fptype = neg_denormal) then -- The reciprocal of a denormal number is typically zero, -- except for two special cases which are trapped here. if (to_x01(arg (-1)) = '1') then fractg (fractg'high downto divguard+1) := fract (fract'high-1 downto 0); -- Shift to not denormal denorm_offset := 1; -- add 1 to exponent compensate else -- arg(-2) = '1' fractg (fractg'high downto divguard+2) := fract (fract'high-2 downto 0); -- Shift to not denormal denorm_offset := 2; -- add 2 to exponent compensate end if; else fractg (fractg'high downto divguard) := fract; denorm_offset := 0; end if; expon := - expon - 3 + denorm_offset; sfract := onedivy (fractg); -- normalize fpresult := normalize (fract => sfract, expon => expon, sign => arg(exponent_width), sticky => '1', fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); end if; end case classcase; return fpresult; end function reciprocal; -- floating point division function divide ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant divguard : NATURAL := guard; -- division guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable fractl : UNSIGNED ((2*(fraction_width+divguard)+1) downto 0); -- left variable fractr : UNSIGNED (fraction_width+divguard downto 0); -- right variable rfract : UNSIGNED (fractl'range); -- result fraction variable sfract : UNSIGNED (fraction_width+1+divguard downto 0); -- result fraction variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width+1 downto 0); -- result exponent variable fp_sign, sticky : STD_ULOGIC; -- sign of result variable shifty, shiftx : INTEGER; -- denormal number shift variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- divide if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; classcase : case rfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => if lfptype = pos_inf or lfptype = neg_inf -- inf / inf or lfptype = quiet_nan or lfptype = nan then -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else -- x / inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when pos_zero | neg_zero => if lfptype = pos_zero or lfptype = neg_zero -- 0 / 0 or lfptype = quiet_nan or lfptype = nan then -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else report float_pkg'instance_name & "DIVIDE: Floating Point divide by zero" severity error; -- Infinity, define in 754-1985-7.2 fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when others => classcase2 : case lfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- inf / x = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult(exponent_width) := fp_sign; when pos_zero | neg_zero => -- 0 / X = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult(exponent_width) := fp_sign; when others => fp_sign := l(l'high) xor r(r'high); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); -- right side break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); -- Compute the exponent rexpon := resize (exponl, rexpon'length) - exponr - 2; if (rfptype = pos_denormal or rfptype = neg_denormal) then -- Do the shifting here not after. That way we have a smaller -- shifter, and need a smaller divider, because the top -- bit in the divisor will always be a "1". shifty := fraction_width - find_leftmost(urfract, '1'); urfract := shift_left (urfract, shifty); rexpon := rexpon + shifty; end if; fractr := (others => '0'); fractr (fraction_width+divguard downto divguard) := urfract; if (lfptype = pos_denormal or lfptype = neg_denormal) then shiftx := fraction_width - find_leftmost(ulfract, '1'); ulfract := shift_left (ulfract, shiftx); rexpon := rexpon - shiftx; end if; fractl := (others => '0'); fractl (fractl'high downto fractl'high-fraction_width) := ulfract; -- divide rfract := short_divide (fractl, fractr); -- unsigned divide sfract := rfract (sfract'range); -- lower bits sticky := '1'; -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); end case classcase2; end case classcase; return fpresult; end function divide; -- division by a power of 2 function dividebyp2 ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable exponl, exponr : SIGNED(exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED(exponent_width downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- divisionbyp2 if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; classcase : case rfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => if lfptype = pos_inf or lfptype = neg_inf then -- inf / inf -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else -- x / inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when pos_zero | neg_zero => if lfptype = pos_zero or lfptype = neg_zero then -- 0 / 0 -- Return quiet NAN, IEEE754-1985-7.1,4 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); else report float_pkg'instance_name & "DIVIDEBYP2: Floating Point divide by zero" severity error; -- Infinity, define in 754-1985-7.2 fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (fpresult'high) := fp_sign; -- sign end if; when others => classcase2 : case lfptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf | neg_inf => -- inf / x = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (exponent_width) := fp_sign; -- sign when pos_zero | neg_zero => -- 0 / X = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); fp_sign := l(l'high) xor r(r'high); -- sign fpresult (exponent_width) := fp_sign; -- sign when others => fp_sign := l(l'high) xor r(r'high); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); -- right side break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); assert (or_reduce (urfract (fraction_width-1 downto 0)) = '0') report float_pkg'instance_name & "DIVIDEBYP2: " & "Dividebyp2 called with a non power of two divisor" severity error; rexpon := (exponl(exponl'high)&exponl) - (exponr(exponr'high)&exponr) - 1; -- normalize fpresult := normalize (fract => ulfract, expon => rexpon, sign => fp_sign, sticky => '1', fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); end case classcase2; end case classcase; return fpresult; end function dividebyp2; -- Multiply accumulate result = l*r + c function mac ( l, r, c : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine (mine(l'low, r'low), c'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum (maximum(l'high, r'high), c'high); -- length of FP output exponent variable lfptype, rfptype, cfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable fractl, fractr : UNSIGNED (fraction_width downto 0); -- fractions variable fractx : UNSIGNED (fraction_width+guard downto 0); variable fractc, fracts : UNSIGNED (fraction_width+1+guard downto 0); variable rfract : UNSIGNED ((2*(fraction_width))+1 downto 0); -- result fraction variable sfract, ufract : UNSIGNED (fraction_width+1+guard downto 0); -- result fraction variable exponl, exponr, exponc : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon, rexpon2 : SIGNED (exponent_width+1 downto 0); -- result exponent variable shifty : INTEGER; -- denormal shift variable shiftx : SIGNED (rexpon'range); -- shift fractions variable fp_sign : STD_ULOGIC; -- sign of result variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); variable cresize : UNRESOLVED_float (exponent_width downto -fraction_width - guard); variable leftright : BOOLEAN; -- left or right used variable sticky : STD_ULOGIC; -- Holds precision for rounding begin -- multiply if (fraction_width = 0 or l'length < 7 or r'length < 7 or c'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); cfptype := classfp (c, check_error); end if; if (lfptype = isx or rfptype = isx or cfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan or cfptype = nan or cfptype = quiet_nan) then -- Return quiet NAN, IEEE754-1985-7.1,1 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (((lfptype = pos_inf or lfptype = neg_inf) and (rfptype = pos_zero or rfptype = neg_zero)) or ((rfptype = pos_inf or rfptype = neg_inf) and (lfptype = pos_zero or lfptype = neg_zero))) then -- 0 * inf -- Return quiet NAN, IEEE754-1985-7.1,3 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (lfptype = pos_inf or rfptype = pos_inf or lfptype = neg_inf or rfptype = neg_inf -- x * inf = inf or cfptype = neg_inf or cfptype = pos_inf) then -- x + inf = inf fpresult := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); -- figure out the sign fpresult (exponent_width) := l(l'high) xor r(r'high); else fp_sign := l(l'high) xor r(r'high); -- figure out the sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked cresize := resize (arg => to_x01(c), exponent_width => exponent_width, fraction_width => -cresize'low, denormalize_in => denormalize, denormalize => denormalize); cfptype := classfp (cresize, false); -- errors already checked break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => fractl, expon => exponl); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => fractr, expon => exponr); break_number ( arg => cresize, fptyp => cfptype, denormalize => denormalize, fract => fractx, expon => exponc); if (rfptype = pos_denormal or rfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractr, '1'); fractr := shift_left (fractr, shifty); elsif (lfptype = pos_denormal or lfptype = neg_denormal) then shifty := fraction_width - find_leftmost(fractl, '1'); fractl := shift_left (fractl, shifty); else shifty := 0; -- Note that a denormal number * a denormal number is always zero. end if; -- multiply rfract := fractl * fractr; -- Multiply the fraction -- add the exponents rexpon := resize (exponl, rexpon'length) + exponr - shifty + 1; shiftx := rexpon - exponc; if shiftx < -fractl'high then rexpon2 := resize (exponc, rexpon2'length); fractc := "0" & fractx; fracts := (others => '0'); sticky := or_reduce (rfract); elsif shiftx < 0 then shiftx := - shiftx; fracts := shift_right (rfract (rfract'high downto rfract'high - fracts'length+1), to_integer(shiftx)); fractc := "0" & fractx; rexpon2 := resize (exponc, rexpon2'length); leftright := false; sticky := or_reduce (rfract (to_integer(shiftx)+rfract'high - fracts'length downto 0)); elsif shiftx = 0 then rexpon2 := resize (exponc, rexpon2'length); sticky := or_reduce (rfract (rfract'high - fractc'length downto 0)); if rfract (rfract'high downto rfract'high - fractc'length+1) > fractx then fractc := "0" & fractx; fracts := rfract (rfract'high downto rfract'high - fracts'length+1); leftright := false; else fractc := rfract (rfract'high downto rfract'high - fractc'length+1); fracts := "0" & fractx; leftright := true; end if; elsif shiftx > fractx'high then rexpon2 := rexpon; fracts := (others => '0'); fractc := rfract (rfract'high downto rfract'high - fractc'length+1); leftright := true; sticky := or_reduce (fractx & rfract (rfract'high - fractc'length downto 0)); else -- fractx'high > shiftx > 0 rexpon2 := rexpon; fracts := "0" & shift_right (fractx, to_integer (shiftx)); fractc := rfract (rfract'high downto rfract'high - fractc'length+1); leftright := true; sticky := or_reduce (fractx (to_integer (shiftx) downto 0) & rfract (rfract'high - fractc'length downto 0)); end if; fracts (0) := fracts (0) or sticky; -- Or the sticky bit into the LSB if fp_sign = to_X01(c(c'high)) then ufract := fractc + fracts; fp_sign := fp_sign; else -- signs are different ufract := fractc - fracts; -- always positive result if leftright then -- Figure out which sign to use fp_sign := fp_sign; else fp_sign := c(c'high); end if; end if; -- normalize fpresult := normalize (fract => ufract, expon => rexpon2, sign => fp_sign, sticky => sticky, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => guard); end if; return fpresult; end function mac; -- "rem" function function remainder ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent constant divguard : NATURAL := guard; -- division guard bits variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable ulfract, urfract : UNSIGNED (fraction_width downto 0); variable fractr, fractl : UNSIGNED (fraction_width+divguard downto 0); -- right variable rfract : UNSIGNED (fractr'range); -- result fraction variable sfract : UNSIGNED (fraction_width+divguard downto 0); -- result fraction variable exponl, exponr : SIGNED (exponent_width-1 downto 0); -- exponents variable rexpon : SIGNED (exponent_width downto 0); -- result exponent variable fp_sign : STD_ULOGIC; -- sign of result variable shifty : INTEGER; -- denormal number shift variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- remainder if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan) or (rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x -- Return quiet NAN, IEEE754-1985-7.1,5 or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0 -- Return quiet NAN, IEEE754-1985-7.1,5 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (abs(l) < abs(r)) then fpresult := l; else fp_sign := to_X01(l(l'high)); -- sign lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); lfptype := classfp (lresize, false); -- errors already checked rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rfptype := classfp (rresize, false); -- errors already checked fractl := (others => '0'); break_number ( arg => lresize, fptyp => lfptype, denormalize => denormalize, fract => ulfract, expon => exponl); fractl (fraction_width+divguard downto divguard) := ulfract; -- right side fractr := (others => '0'); break_number ( arg => rresize, fptyp => rfptype, denormalize => denormalize, fract => urfract, expon => exponr); fractr (fraction_width+divguard downto divguard) := urfract; rexpon := (exponr(exponr'high)&exponr); shifty := to_integer(exponl - rexpon); if (shifty > 0) then fractr := shift_right (fractr, shifty); rexpon := rexpon + shifty; end if; if (fractr /= 0) then -- rem rfract := fractl rem fractr; -- unsigned rem sfract := rfract (sfract'range); -- lower bits -- normalize fpresult := normalize (fract => sfract, expon => rexpon, sign => fp_sign, fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => divguard); else -- If we shift "fractr" so far that it becomes zero, return zero. fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); end if; end if; return fpresult; end function remainder; -- "mod" function function modulo ( l, r : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant guard : NATURAL := float_guard_bits; -- number of guard bits constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := - mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable fpresult : UNRESOLVED_float (exponent_width downto -fraction_width); variable remres : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- remainder if (fraction_width = 0 or l'length < 7 or r'length < 7) then lfptype := isx; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = isx or rfptype = isx) then fpresult := (others => 'X'); elsif (lfptype = nan or lfptype = quiet_nan) or (rfptype = nan or rfptype = quiet_nan) -- Return quiet NAN, IEEE754-1985-7.1,1 or (lfptype = pos_inf or lfptype = neg_inf) -- inf rem x -- Return quiet NAN, IEEE754-1985-7.1,5 or (rfptype = pos_zero or rfptype = neg_zero) then -- x rem 0 -- Return quiet NAN, IEEE754-1985-7.1,5 fpresult := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (rfptype = pos_inf or rfptype = neg_inf) then -- x rem inf = 0 fpresult := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else remres := remainder (l => abs(l), r => abs(r), round_style => round_style, guard => guard, check_error => false, denormalize => denormalize); -- MOD is the same as REM, but you do something different with -- negative values if (is_negative (l)) then remres := - remres; end if; if (is_negative (l) = is_negative (r) or remres = 0) then fpresult := remres; else fpresult := add (l => remres, r => r, round_style => round_style, guard => guard, check_error => false, denormalize => denormalize); end if; end if; return fpresult; end function modulo; -- Square root of a floating point number. Done using Newton's Iteration. function sqrt ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; constant guard : NATURAL := float_guard_bits; constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float is constant fraction_width : NATURAL := guard-arg'low; -- length of FP output fraction constant exponent_width : NATURAL := arg'high; -- length of FP output exponent variable sign : STD_ULOGIC; variable fpresult : float (arg'range); variable fptype : valid_fpstate; variable iexpon : SIGNED(exponent_width-1 downto 0); -- exponents variable expon : SIGNED(exponent_width downto 0); -- exponents variable ufact : ufixed (0 downto arg'low); variable fact : ufixed (2 downto -fraction_width); -- fraction variable resb : ufixed (fact'high+1 downto fact'low); begin -- square root fptype := Classfp (arg, check_error); classcase : case fptype is when isx => fpresult := (others => 'X'); when nan | quiet_nan | -- Return quiet NAN, IEEE754-1985-7.1,1 neg_normal | neg_denormal | neg_inf => -- sqrt (neg) -- Return quiet NAN, IEEE754-1985-7.1.6 fpresult := qnanfp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when pos_inf => -- Sqrt (inf), return infinity fpresult := pos_inffp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when pos_zero => -- return 0 fpresult := zerofp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when neg_zero => -- IEEE754-1985-6.3 return -0 fpresult := neg_zerofp (fraction_width => fraction_width-guard, exponent_width => exponent_width); when others => break_number (arg => arg, denormalize => denormalize, check_error => false, fract => ufact, expon => iexpon, sign => sign); expon := resize (iexpon+1, expon'length); -- get exponent fact := resize (ufact, fact'high, fact'low); if (expon(0) = '1') then fact := fact sla 1; -- * 2.0 end if; expon := shift_right (expon, 1); -- exponent/2 -- Newton's iteration - root := (1 + arg) / 2 resb := (fact + 1) sra 1; for j in 0 to fraction_width/4 loop -- root := (root + (arg/root))/2 resb := resize (arg => (resb + (fact/resb)) sra 1, left_index => resb'high, right_index => resb'low, round_style => fixed_truncate, overflow_style => fixed_wrap); end loop; fpresult := normalize (fract => resb, expon => expon-1, sign => '0', exponent_width => arg'high, fraction_width => -arg'low, round_style => round_style, denormalize => denormalize, nguard => guard); end case classcase; return fpresult; end function sqrt; function Is_Negative (arg : UNRESOLVED_float) return BOOLEAN is -- Technically -0 should return "false", but I'm leaving that case out. begin return (to_x01(arg(arg'high)) = '1'); end function Is_Negative; -- compare functions -- =, /=, >=, <=, <, > function eq ( -- equal = l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : BOOLEAN; constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- equal if (fraction_width = 0 or l'length < 7 or r'length < 7) then return false; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := true; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); is_equal := (to_slv(lresize) = to_slv(rresize)); end if; if (check_error) then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_equal and not is_unordered; end function eq; function lt ( -- less than < l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable expl, expr : UNSIGNED (exponent_width-1 downto 0); variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0); variable is_less_than, is_unordered : BOOLEAN; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then is_less_than := false; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits expl := UNSIGNED(lresize(exponent_width-1 downto 0)); expr := UNSIGNED(rresize(exponent_width-1 downto 0)); if expl = expr then fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width))); fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width))); if to_x01(l(l'high)) = '0' then -- positive number is_less_than := (fractl < fractr); else is_less_than := (fractl > fractr); -- negative end if; else if to_x01(l(l'high)) = '0' then -- positive number is_less_than := (expl < expr); else is_less_than := (expl > expr); -- negative end if; end if; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); if (lfptype = neg_zero and rfptype = pos_zero) then is_less_than := false; -- -0 < 0 returns false. else is_less_than := (to_x01(l(l'high)) > to_x01(r(r'high))); end if; end if; end if; if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_less_than and not is_unordered; end function lt; function gt ( -- greater than > l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable expl, expr : UNSIGNED (exponent_width-1 downto 0); variable fractl, fractr : UNSIGNED (fraction_width-1 downto 0); variable is_greater_than : BOOLEAN; variable is_unordered : BOOLEAN; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- greater_than if (fraction_width = 0 or l'length < 7 or r'length < 7) then is_greater_than := false; else lresize := resize (arg => to_x01(l), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); rresize := resize (arg => to_x01(r), exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => denormalize, denormalize => denormalize); if to_x01(l(l'high)) = to_x01(r(r'high)) then -- sign bits expl := UNSIGNED(lresize(exponent_width-1 downto 0)); expr := UNSIGNED(rresize(exponent_width-1 downto 0)); if expl = expr then fractl := UNSIGNED (to_slv(lresize(-1 downto -fraction_width))); fractr := UNSIGNED (to_slv(rresize(-1 downto -fraction_width))); if to_x01(l(l'high)) = '0' then -- positive number is_greater_than := fractl > fractr; else is_greater_than := fractl < fractr; -- negative end if; else if to_x01(l(l'high)) = '0' then -- positive number is_greater_than := expl > expr; else is_greater_than := expl < expr; -- negative end if; end if; else lfptype := classfp (l, check_error); rfptype := classfp (r, check_error); if (lfptype = pos_zero and rfptype = neg_zero) then is_greater_than := false; -- 0 > -0 returns false. else is_greater_than := to_x01(l(l'high)) < to_x01(r(r'high)); end if; end if; end if; if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return is_greater_than and not is_unordered; end function gt; -- purpose: /= function function ne ( -- not equal /= l, r : UNRESOLVED_float; constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_equal, is_unordered : BOOLEAN; begin is_equal := eq (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not (is_equal and not is_unordered); end function ne; function le ( -- less than or equal to <= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_greater_than, is_unordered : BOOLEAN; begin is_greater_than := gt (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not is_greater_than and not is_unordered; end function le; function ge ( -- greater than or equal to >= l, r : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; constant denormalize : BOOLEAN := float_denormalize) return BOOLEAN is variable is_less_than, is_unordered : BOOLEAN; begin is_less_than := lt (l => l, r => r, check_error => false, denormalize => denormalize); if check_error then is_unordered := Unordered (x => l, y => r); else is_unordered := false; end if; return not is_less_than and not is_unordered; end function ge; function \?=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : STD_ULOGIC; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- ?= if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else lfptype := classfp (l, float_check_error); rfptype := classfp (r, float_check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := '1'; else lresize := resize (arg => l, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); rresize := resize (arg => r, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); is_equal := \?=\ (to_sulv(lresize), to_sulv(rresize)); end if; if (float_check_error) then if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) then is_unordered := '1'; else is_unordered := '0'; end if; else is_unordered := '0'; end if; return is_equal and not is_unordered; end function \?=\; function \?/=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lfptype, rfptype : valid_fpstate; variable is_equal, is_unordered : STD_ULOGIC; variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- ?/= if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else lfptype := classfp (l, float_check_error); rfptype := classfp (r, float_check_error); end if; if (lfptype = neg_zero or lfptype = pos_zero) and (rfptype = neg_zero or rfptype = pos_zero) then is_equal := '1'; else lresize := resize (arg => l, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); rresize := resize (arg => r, exponent_width => exponent_width, fraction_width => fraction_width, denormalize_in => float_denormalize, denormalize => float_denormalize); is_equal := \?=\ (to_sulv(lresize), to_sulv(rresize)); end if; if (float_check_error) then if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan) then is_unordered := '1'; else is_unordered := '0'; end if; else is_unordered := '0'; end if; return not (is_equal and not is_unordered); end function \?/=\; function \?>\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_pkg'instance_name & " ""?>"": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l > r then return '1'; else return '0'; end if; end if; end function \?>\; function \?>=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_pkg'instance_name & " ""?>="": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l >= r then return '1'; else return '0'; end if; end if; end function \?>=\; function \?<\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_pkg'instance_name & " ""?<"": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l < r then return '1'; else return '0'; end if; end if; end function \?<\; function \?<=\ (L, R : UNRESOLVED_float) return STD_ULOGIC is constant fraction_width : NATURAL := -mine(l'low, r'low); variable founddash : BOOLEAN := false; begin if (fraction_width = 0 or l'length < 7 or r'length < 7) then return 'X'; else for i in L'range loop if L(i) = '-' then founddash := true; end if; end loop; for i in R'range loop if R(i) = '-' then founddash := true; end if; end loop; if founddash then report float_pkg'instance_name & " ""?<="": '-' found in compare string" severity error; return 'X'; elsif is_x(l) or is_x(r) then return 'X'; elsif l <= r then return '1'; else return '0'; end if; end if; end function \?<=\; function std_match (L, R : UNRESOLVED_float) return BOOLEAN is begin if (L'high = R'high and L'low = R'low) then return std_match(to_sulv(L), to_sulv(R)); else report float_pkg'instance_name & "STD_MATCH: L'RANGE /= R'RANGE, returning FALSE" severity warning; return false; end if; end function std_match; function find_rightmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'reverse_range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'high+1; -- return out of bounds 'high end function find_rightmost; function find_leftmost (arg : UNRESOLVED_float; y : STD_ULOGIC) return INTEGER is begin for_loop : for i in arg'range loop if \?=\ (arg(i), y) = '1' then return i; end if; end loop; return arg'low-1; -- return out of bounds 'low end function find_leftmost; -- These override the defaults for the compare operators. function "=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return eq(l, r); end function "="; function "/=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return ne(l, r); end function "/="; function ">=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return ge(l, r); end function ">="; function "<=" (l, r : UNRESOLVED_float) return BOOLEAN is begin return le(l, r); end function "<="; function ">" (l, r : UNRESOLVED_float) return BOOLEAN is begin return gt(l, r); end function ">"; function "<" (l, r : UNRESOLVED_float) return BOOLEAN is begin return lt(l, r); end function "<"; -- purpose: maximum of two numbers (overrides default) function maximum ( L, R : UNRESOLVED_float) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if ((L'length < 1) or (R'length < 1)) then return NAFP; end if; lresize := resize (l, exponent_width, fraction_width); rresize := resize (r, exponent_width, fraction_width); if lresize > rresize then return lresize; else return rresize; end if; end function maximum; function minimum ( L, R : UNRESOLVED_float) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(l'low, r'low); -- length of FP output fraction constant exponent_width : NATURAL := maximum(l'high, r'high); -- length of FP output exponent variable lresize, rresize : UNRESOLVED_float (exponent_width downto -fraction_width); begin if ((L'length < 1) or (R'length < 1)) then return NAFP; end if; lresize := resize (l, exponent_width, fraction_width); rresize := resize (r, exponent_width, fraction_width); if lresize > rresize then return rresize; else return lresize; end if; end function minimum; ----------------------------------------------------------------------------- -- conversion functions ----------------------------------------------------------------------------- -- Converts a floating point number of one format into another format function resize ( arg : UNRESOLVED_float; -- Floating point input constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant in_fraction_width : NATURAL := -arg'low; -- length of FP output fraction constant in_exponent_width : NATURAL := arg'high; -- length of FP output exponent variable result : UNRESOLVED_float (exponent_width downto -fraction_width); -- result value variable fptype : valid_fpstate; variable expon_in : SIGNED (in_exponent_width-1 downto 0); variable fract_in : UNSIGNED (in_fraction_width downto 0); variable round : BOOLEAN; variable expon_out : SIGNED (exponent_width-1 downto 0); -- output fract variable fract_out : UNSIGNED (fraction_width downto 0); -- output fract variable passguard : NATURAL; begin fptype := classfp(arg, check_error); if ((fptype = pos_denormal or fptype = neg_denormal) and denormalize_in and (in_exponent_width < exponent_width or in_fraction_width < fraction_width)) or in_exponent_width > exponent_width or in_fraction_width > fraction_width then -- size reduction classcase : case fptype is when isx => result := (others => 'X'); when nan | quiet_nan => result := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_inf => result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when neg_inf => result := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); when pos_zero | neg_zero => result := zerofp (fraction_width => fraction_width, -- hate -0 exponent_width => exponent_width); when others => break_number ( arg => arg, fptyp => fptype, denormalize => denormalize_in, fract => fract_in, expon => expon_in); if fraction_width > in_fraction_width and denormalize_in then -- You only get here if you have a denormal input fract_out := (others => '0'); -- pad with zeros fract_out (fraction_width downto fraction_width - in_fraction_width) := fract_in; result := normalize ( fract => fract_out, expon => expon_in, sign => arg(arg'high), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); else result := normalize ( fract => fract_in, expon => expon_in, sign => arg(arg'high), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => in_fraction_width - fraction_width); end if; end case classcase; else -- size increase or the same size if exponent_width > in_exponent_width then expon_in := SIGNED(arg (in_exponent_width-1 downto 0)); if fptype = pos_zero or fptype = neg_zero then result (exponent_width-1 downto 0) := (others => '0'); elsif expon_in = -1 then -- inf or nan (shorts out check_error) result (exponent_width-1 downto 0) := (others => '1'); else -- invert top BIT expon_in(expon_in'high) := not expon_in(expon_in'high); expon_out := resize (expon_in, expon_out'length); -- signed expand -- Flip it back. expon_out(expon_out'high) := not expon_out(expon_out'high); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon_out); end if; result (exponent_width) := arg (in_exponent_width); -- sign else -- exponent_width = in_exponent_width result (exponent_width downto 0) := arg (in_exponent_width downto 0); end if; if fraction_width > in_fraction_width then result (-1 downto -fraction_width) := (others => '0'); -- zeros result (-1 downto -in_fraction_width) := arg (-1 downto -in_fraction_width); else -- fraction_width = in_fraciton_width result (-1 downto -fraction_width) := arg (-1 downto -in_fraction_width); end if; end if; return result; end function resize; function resize ( arg : UNRESOLVED_float; -- floating point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := resize (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); return result; end if; end function resize; function to_float32 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float32 is begin return resize (arg => arg, exponent_width => float32'high, fraction_width => -float32'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float32; function to_float64 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float64 is begin return resize (arg => arg, exponent_width => float64'high, fraction_width => -float64'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float64; function to_float128 ( arg : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; constant denormalize_in : BOOLEAN := float_denormalize; -- Use IEEE extended FP constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float128 is begin return resize (arg => arg, exponent_width => float128'high, fraction_width => -float128'low, round_style => round_style, check_error => check_error, denormalize_in => denormalize_in, denormalize => denormalize); end function to_float128; -- to_float (Real) -- typically not Synthesizable unless the input is a constant. function to_float ( arg : REAL; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_real : REAL; -- Real version of argument variable validfp : boundary_type; -- Check for valid results variable exp : INTEGER; -- Integer version of exponent variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract : UNSIGNED (fraction_width-1 downto 0); variable frac : REAL; -- Real version of fraction constant roundfrac : REAL := 2.0 ** (-2 - fract'high); -- used for rounding variable round : BOOLEAN; -- to round or not to round begin result := (others => '0'); arg_real := arg; if arg_real < 0.0 then result (exponent_width) := '1'; arg_real := - arg_real; -- Make it positive. else result (exponent_width) := '0'; end if; test_boundary (arg => arg_real, fraction_width => fraction_width, exponent_width => exponent_width, denormalize => denormalize, btype => validfp, log2i => exp); if validfp = zero then return result; -- Result initialized to "0". elsif validfp = infinity then result (exponent_width - 1 downto 0) := (others => '1'); -- Exponent all "1" -- return infinity. return result; else if validfp = denormal then -- Exponent will default to "0". expon := (others => '0'); frac := arg_real * (2.0 ** (to_integer(expon_base)-1)); else -- Number less than 1. "normal" number expon := UNSIGNED (to_signed (exp-1, exponent_width)); expon(exponent_width-1) := not expon(exponent_width-1); frac := (arg_real / 2.0 ** exp) - 1.0; -- Number less than 1. end if; for i in 0 to fract'high loop if frac >= 2.0 ** (-1 - i) then fract (fract'high - i) := '1'; frac := frac - 2.0 ** (-1 - i); else fract (fract'high - i) := '0'; end if; end loop; round := false; case round_style is when round_nearest => if frac > roundfrac or ((frac = roundfrac) and fract(0) = '1') then round := true; end if; when round_inf => if frac /= 0.0 and result(exponent_width) = '0' then round := true; end if; when round_neginf => if frac /= 0.0 and result(exponent_width) = '1' then round := true; end if; when others => null; -- don't round end case; if (round) then if and_reduce (fract) = '1' then -- fraction is all "1" expon := expon + 1; fract := (others => '0'); else fract := fract + 1; end if; end if; result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); return result; end if; end function to_float; -- to_float (Integer) function to_float ( arg : INTEGER; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_int : NATURAL; -- Natural version of argument variable expon : SIGNED (exponent_width-1 downto 0); variable exptmp : SIGNED (exponent_width-1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract : UNSIGNED (fraction_width-1 downto 0) := (others => '0'); variable fracttmp : UNSIGNED (fraction_width-1 downto 0); variable round : BOOLEAN; variable shift : NATURAL; variable shiftr : NATURAL; variable roundfrac : NATURAL; -- used in rounding begin if arg < 0 then result (exponent_width) := '1'; arg_int := -arg; -- Make it positive. else result (exponent_width) := '0'; arg_int := arg; end if; if arg_int = 0 then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else -- If the number is larger than we can represent in this number system -- we need to return infinity. shift := log2(arg_int); if shift > to_integer(expon_base) then -- worry about infinity if result (exponent_width) = '0' then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else -- return negative infinity. result := neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); end if; else -- Normal number (can't be denormal) -- Compute Exponent expon := to_signed (shift-1, expon'length); -- positive fraction. -- Compute Fraction arg_int := arg_int - 2**shift; -- Subtract off the 1.0 shiftr := shift; for I in fract'high downto maximum (fract'high - shift + 1, 0) loop shiftr := shiftr - 1; if (arg_int >= 2**shiftr) then arg_int := arg_int - 2**shiftr; fract(I) := '1'; else fract(I) := '0'; end if; end loop; -- Rounding routine round := false; if arg_int > 0 then roundfrac := 2**(shiftr-1); case round_style is when round_nearest => if arg_int > roundfrac or ((arg_int = roundfrac) and fract(0) = '1') then round := true; end if; when round_inf => if arg_int /= 0 and result (exponent_width) = '0' then round := true; end if; when round_neginf => if arg_int /= 0 and result (exponent_width) = '1' then round := true; end if; when others => null; end case; end if; if round then fp_round(fract_in => fract, expon_in => expon, fract_out => fracttmp, expon_out => exptmp); fract := fracttmp; expon := exptmp; end if; -- Put the number together and return expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); end if; end if; return result; end function to_float; -- to_float (unsigned) function to_float ( arg : UNSIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : UNSIGNED(ARG_LEFT downto 0) is ARG; variable sarg : SIGNED (ARG_LEFT+1 downto 0); -- signed version of arg begin if arg'length < 1 then return NAFP; end if; sarg (XARG'range) := SIGNED (XARG); sarg (sarg'high) := '0'; result := to_float (arg => sarg, exponent_width => exponent_width, fraction_width => fraction_width, round_style => round_style); return result; end function to_float; -- to_float (signed) function to_float ( arg : SIGNED; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); constant ARG_LEFT : INTEGER := ARG'length-1; alias XARG : SIGNED(ARG_LEFT downto 0) is ARG; variable arg_int : UNSIGNED(xarg'range); -- Real version of argument variable argb2 : UNSIGNED(xarg'high/2 downto 0); -- log2 of input variable rexp : SIGNED (exponent_width - 1 downto 0); variable exp : SIGNED (exponent_width - 1 downto 0); -- signed version of exp. variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable round : BOOLEAN; variable fract : UNSIGNED (fraction_width-1 downto 0); variable rfract : UNSIGNED (fraction_width-1 downto 0); variable sign : STD_ULOGIC; -- sign bit begin if arg'length < 1 then return NAFP; end if; if Is_X (xarg) then result := (others => 'X'); elsif (xarg = 0) then result := zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else -- Normal number (can't be denormal) sign := to_X01(xarg (xarg'high)); arg_int := UNSIGNED(abs (to_01(xarg))); -- Compute Exponent argb2 := to_unsigned(find_leftmost(arg_int, '1'), argb2'length); -- Log2 if argb2 > UNSIGNED(expon_base) then result := pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); result (exponent_width) := sign; else exp := SIGNED(resize(argb2, exp'length)); arg_int := shift_left (arg_int, arg_int'high-to_integer(exp)); if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => fract (0), sign => sign, remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if round then fp_round(fract_in => fract, expon_in => exp, fract_out => rfract, expon_out => rexp); else rfract := fract; rexp := exp; end if; else rexp := exp; rfract := (others => '0'); rfract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; result (exponent_width) := sign; expon := UNSIGNED (rexp-1); expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(rfract); end if; end if; return result; end function to_float; -- std_logic_vector to float function to_float ( arg : STD_ULOGIC_VECTOR; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction return UNRESOLVED_float is variable fpvar : UNRESOLVED_float (exponent_width downto -fraction_width); begin if arg'length < 1 then return NAFP; end if; fpvar := UNRESOLVED_float(arg); return fpvar; end function to_float; -- purpose: converts a ufixed to a floating point function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input constant exponent_width : NATURAL := float_exponent_width; -- width of exponent constant fraction_width : NATURAL := float_fraction_width; -- width of fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float is variable sarg : sfixed (arg'high+1 downto arg'low); -- Signed version of arg variable result : UNRESOLVED_float (exponent_width downto -fraction_width); begin -- function to_float if (arg'length < 1) then return NAFP; end if; sarg (arg'range) := sfixed (arg); sarg (sarg'high) := '0'; result := to_float (arg => sarg, exponent_width => exponent_width, fraction_width => fraction_width, round_style => round_style, denormalize => denormalize); return result; end function to_float; function to_float ( arg : UNRESOLVED_sfixed; -- signed fixed point constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width; -- length of FP output fraction constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float is constant integer_width : INTEGER := arg'high; constant in_fraction_width : INTEGER := arg'low; variable xresult : sfixed (integer_width downto in_fraction_width); variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable arg_int : UNSIGNED(integer_width - in_fraction_width downto 0); -- unsigned version of argument variable argx : SIGNED (integer_width - in_fraction_width downto 0); variable exp, exptmp : SIGNED (exponent_width downto 0); variable expon : UNSIGNED (exponent_width - 1 downto 0); -- Unsigned version of exp. constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fract, fracttmp : UNSIGNED (fraction_width-1 downto 0) := (others => '0'); variable round : BOOLEAN := false; begin if (arg'length < 1) then return NAFP; end if; xresult := to_01(arg, 'X'); argx := SIGNED(to_slv(xresult)); if (Is_X (arg)) then result := (others => 'X'); elsif (argx = 0) then result := (others => '0'); else result := (others => '0'); -- zero out the result if argx(argx'left) = '1' then -- toss the sign bit result (exponent_width) := '1'; -- Negative number arg_int := UNSIGNED(to_x01(not STD_LOGIC_VECTOR (argx))) + 1; -- Make it positive with two's complement else result (exponent_width) := '0'; arg_int := UNSIGNED(to_x01(STD_LOGIC_VECTOR (argx))); -- new line: direct conversion to unsigned end if; -- Compute Exponent exp := to_signed(find_leftmost(arg_int, '1'), exp'length); -- Log2 if exp + in_fraction_width > expon_base then -- return infinity result (-1 downto -fraction_width) := (others => '0'); result (exponent_width -1 downto 0) := (others => '1'); return result; elsif (denormalize and (exp + in_fraction_width <= -resize(expon_base, exp'length))) then exp := -resize(expon_base, exp'length); -- shift by a constant arg_int := shift_left (arg_int, (arg_int'high + to_integer(expon_base) + in_fraction_width - 1)); if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => arg_int(arg_int'high-fraction_width), sign => result(result'high), remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if (round) then fp_round (fract_in => arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)), expon_in => exp, fract_out => fract, expon_out => exptmp); exp := exptmp; end if; else fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; else arg_int := shift_left (arg_int, arg_int'high-to_integer(exp)); exp := exp + in_fraction_width; if (arg_int'high > fraction_width) then fract := arg_int (arg_int'high-1 downto (arg_int'high-fraction_width)); round := check_round ( fract_in => fract(0), sign => result(result'high), remainder => arg_int((arg_int'high-fraction_width-1) downto 0), round_style => round_style); if (round) then fp_round (fract_in => fract, expon_in => exp, fract_out => fracttmp, expon_out => exptmp); fract := fracttmp; exp := exptmp; end if; else fract (fraction_width-1 downto fraction_width-1-(arg_int'high-1)) := arg_int (arg_int'high-1 downto 0); end if; end if; expon := UNSIGNED (resize(exp-1, exponent_width)); expon(exponent_width-1) := not expon(exponent_width-1); result (exponent_width-1 downto 0) := UNRESOLVED_float(expon); result (-1 downto -fraction_width) := UNRESOLVED_float(fract); end if; return result; end function to_float; -- size_res functions -- Integer to float function to_float ( arg : INTEGER; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- real to float function to_float ( arg : REAL; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding option constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- unsigned to float function to_float ( arg : UNSIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- signed to float function to_float ( arg : SIGNED; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style) -- rounding return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style); return result; end if; end function to_float; -- std_ulogic_vector to float function to_float ( arg : STD_ULOGIC_VECTOR; size_res : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low); return result; end if; end function to_float; -- unsigned fixed point to float function to_float ( arg : UNRESOLVED_ufixed; -- unsigned fixed point input size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- use ieee extensions return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- signed fixed point to float function to_float ( arg : UNRESOLVED_sfixed; size_res : UNRESOLVED_float; constant round_style : round_type := float_round_style; -- rounding constant denormalize : BOOLEAN := float_denormalize) -- rounding option return UNRESOLVED_float is variable result : UNRESOLVED_float (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_float (arg => arg, exponent_width => size_res'high, fraction_width => -size_res'low, round_style => round_style, denormalize => denormalize); return result; end if; end function to_float; -- to_integer (float) function to_integer ( arg : UNRESOLVED_float; -- floating point input constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return INTEGER is variable validfp : valid_fpstate; -- Valid FP state variable frac : UNSIGNED (-arg'low downto 0); -- Fraction variable fract : UNSIGNED (1-arg'low downto 0); -- Fraction variable expon : SIGNED (arg'high-1 downto 0); variable isign : STD_ULOGIC; -- internal version of sign variable round : STD_ULOGIC; -- is rounding needed? variable result : INTEGER; variable base : INTEGER; -- Integer exponent begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan | pos_zero | neg_zero | pos_denormal | neg_denormal => result := 0; -- return 0 when pos_inf => result := INTEGER'high; when neg_inf => result := INTEGER'low; when others => break_number ( arg => arg, fptyp => validfp, denormalize => false, fract => frac, expon => expon); fract (fract'high) := '0'; -- Add extra bit for 0.6 case fract (fract'high-1 downto 0) := frac; isign := to_x01 (arg (arg'high)); base := to_integer (expon) + 1; if base < -1 then result := 0; elsif base >= frac'high then result := to_integer (fract) * 2**(base - frac'high); else -- We need to round if base = -1 then -- trap for 0.6 case. result := 0; else result := to_integer (fract (frac'high downto frac'high-base)); end if; -- rounding routine case round_style is when round_nearest => if frac'high - base > 1 then round := fract (frac'high - base - 1) and (fract (frac'high - base) or (or_reduce (fract (frac'high - base - 2 downto 0)))); else round := fract (frac'high - base - 1) and fract (frac'high - base); end if; when round_inf => round := fract(frac'high - base - 1) and not isign; when round_neginf => round := fract(frac'high - base - 1) and isign; when others => round := '0'; end case; if round = '1' then result := result + 1; end if; end if; if isign = '1' then result := - result; end if; end case classcase; return result; end function to_integer; -- to_unsigned (float) function to_unsigned ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNSIGNED is variable validfp : valid_fpstate; -- Valid FP state variable frac : UNSIGNED (size-1 downto 0); -- Fraction variable sign : STD_ULOGIC; -- not used begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => frac := (others => 'X'); when pos_zero | neg_inf | neg_zero | neg_normal | pos_denormal | neg_denormal => frac := (others => '0'); -- return 0 when pos_inf => frac := (others => '1'); when others => float_to_unsigned ( arg => arg, frac => frac, sign => sign, denormalize => false, bias => 0, round_style => round_style); end case classcase; return (frac); end function to_unsigned; -- to_signed (float) function to_signed ( arg : UNRESOLVED_float; -- floating point input constant size : NATURAL; -- length of output constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return SIGNED is variable sign : STD_ULOGIC; -- true if negative variable validfp : valid_fpstate; -- Valid FP state variable frac : UNSIGNED (size-1 downto 0); -- Fraction variable result : SIGNED (size-1 downto 0); begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => result := (others => 'X'); when pos_zero | neg_zero | pos_denormal | neg_denormal => result := (others => '0'); -- return 0 when pos_inf => result := (others => '1'); result (result'high) := '0'; when neg_inf => result := (others => '0'); result (result'high) := '1'; when others => float_to_unsigned ( arg => arg, sign => sign, frac => frac, denormalize => false, bias => 0, round_style => round_style); result (size-1) := '0'; result (size-2 downto 0) := SIGNED(frac (size-2 downto 0)); if sign = '1' then -- Because the most negative signed number is 1 less than the most -- positive signed number, we need this code. if frac(frac'high) = '1' then -- return most negative number result := (others => '0'); result (result'high) := '1'; else result := -result; end if; else if frac(frac'high) = '1' then -- return most positive number result := (others => '1'); result (result'high) := '0'; end if; end if; end case classcase; return result; end function to_signed; -- purpose: Converts a float to ufixed function to_ufixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent constant size : INTEGER := left_index - right_index + 4; -- unsigned size variable expon_base : INTEGER; -- exponent offset variable validfp : valid_fpstate; -- Valid FP state variable exp : INTEGER; -- Exponent variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent -- Base to divide fraction by variable frac : UNSIGNED (size-1 downto 0) := (others => '0'); -- Fraction variable frac_shift : UNSIGNED (size-1 downto 0); -- Fraction shifted variable shift : INTEGER; variable result_big : UNRESOLVED_ufixed (left_index downto right_index-3); variable result : UNRESOLVED_ufixed (left_index downto right_index); -- result begin -- function to_ufixed validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => frac := (others => 'X'); when pos_zero | neg_inf | neg_zero | neg_normal | neg_denormal => frac := (others => '0'); -- return 0 when pos_inf => frac := (others => '1'); -- always saturate when others => expon_base := 2**(exponent_width-1) -1; -- exponent offset -- Figure out the fraction if (validfp = pos_denormal) and denormalize then exp := -expon_base +1; frac (frac'high) := '0'; -- Remove the "1.0". else -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; frac (frac'high) := '1'; -- Add the "1.0". end if; shift := (frac'high - 3 + right_index) - exp; if fraction_width > frac'high then -- Can only use size-2 bits frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -frac'high))); else -- can use all bits frac (frac'high-1 downto frac'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := frac srl shift; if shift < 0 then -- Overflow frac := (others => '1'); else frac := frac_shift; end if; end case classcase; result_big := to_ufixed ( arg => STD_ULOGIC_VECTOR(frac), left_index => left_index, right_index => (right_index-3)); result := resize (arg => result_big, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); return result; end function to_ufixed; -- purpose: Converts a float to sfixed function to_sfixed ( arg : UNRESOLVED_float; -- fp input constant left_index : INTEGER; -- integer part constant right_index : INTEGER; -- fraction part constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent constant size : INTEGER := left_index - right_index + 4; -- unsigned size variable expon_base : INTEGER; -- exponent offset variable validfp : valid_fpstate; -- Valid FP state variable exp : INTEGER; -- Exponent variable sign : BOOLEAN; -- true if negative variable expon : UNSIGNED (exponent_width-1 downto 0); -- Vectorized exponent -- Base to divide fraction by variable frac : UNSIGNED (size-2 downto 0) := (others => '0'); -- Fraction variable frac_shift : UNSIGNED (size-2 downto 0); -- Fraction shifted variable shift : INTEGER; variable rsigned : SIGNED (size-1 downto 0); -- signed version of result variable result_big : UNRESOLVED_sfixed (left_index downto right_index-3); variable result : UNRESOLVED_sfixed (left_index downto right_index) := (others => '0'); -- result begin -- function to_sfixed validfp := classfp (arg, check_error); classcase : case validfp is when isx | nan | quiet_nan => result := (others => 'X'); when pos_zero | neg_zero => result := (others => '0'); -- return 0 when neg_inf => result (left_index) := '1'; -- return smallest negative number when pos_inf => result := (others => '1'); -- return largest number result (left_index) := '0'; when others => expon_base := 2**(exponent_width-1) -1; -- exponent offset if arg(exponent_width) = '0' then sign := false; else sign := true; end if; -- Figure out the fraction if (validfp = pos_denormal or validfp = neg_denormal) and denormalize then exp := -expon_base +1; frac (frac'high) := '0'; -- Add the "1.0". else -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; frac (frac'high) := '1'; -- Add the "1.0". end if; shift := (frac'high - 3 + right_index) - exp; if fraction_width > frac'high then -- Can only use size-2 bits frac (frac'high-1 downto 0) := UNSIGNED (to_slv (arg(-1 downto -frac'high))); else -- can use all bits frac (frac'high-1 downto frac'high-fraction_width) := UNSIGNED (to_slv (arg(-1 downto -fraction_width))); end if; frac_shift := frac srl shift; if shift < 0 then -- Overflow frac := (others => '1'); else frac := frac_shift; end if; if not sign then rsigned := SIGNED("0" & frac); else rsigned := -(SIGNED("0" & frac)); end if; result_big := to_sfixed ( arg => STD_LOGIC_VECTOR(rsigned), left_index => left_index, right_index => (right_index-3)); result := resize (arg => result_big, left_index => left_index, right_index => right_index, round_style => round_style, overflow_style => overflow_style); end case classcase; return result; end function to_sfixed; -- size_res versions -- float to unsigned function to_unsigned ( arg : UNRESOLVED_float; -- floating point input size_res : UNSIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return UNSIGNED is variable result : UNSIGNED (size_res'range); begin if (SIZE_RES'length = 0) then return result; else result := to_unsigned ( arg => arg, size => size_res'length, round_style => round_style, check_error => check_error); return result; end if; end function to_unsigned; -- float to signed function to_signed ( arg : UNRESOLVED_float; -- floating point input size_res : SIGNED; constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error) -- check for errors return SIGNED is variable result : SIGNED (size_res'range); begin if (SIZE_RES'length = 0) then return result; else result := to_signed ( arg => arg, size => size_res'length, round_style => round_style, check_error => check_error); return result; end if; end function to_signed; -- purpose: Converts a float to unsigned fixed point function to_ufixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_ufixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_ufixed is variable result : UNRESOLVED_ufixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_ufixed ( arg => arg, left_index => size_res'high, right_index => size_res'low, overflow_style => overflow_style, round_style => round_style, check_error => check_error, denormalize => denormalize); return result; end if; end function to_ufixed; -- float to signed fixed point function to_sfixed ( arg : UNRESOLVED_float; -- fp input size_res : UNRESOLVED_sfixed; constant overflow_style : fixed_overflow_style_type := fixed_overflow_style; -- saturate constant round_style : fixed_round_style_type := fixed_round_style; -- rounding constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_sfixed is variable result : UNRESOLVED_sfixed (size_res'left downto size_res'right); begin if (result'length < 1) then return result; else result := to_sfixed ( arg => arg, left_index => size_res'high, right_index => size_res'low, overflow_style => overflow_style, round_style => round_style, check_error => check_error, denormalize => denormalize); return result; end if; end function to_sfixed; -- to_real (float) -- typically not Synthesizable unless the input is a constant. function to_real ( arg : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return REAL is constant fraction_width : INTEGER := -mine(arg'low, arg'low); -- length of FP output fraction constant exponent_width : INTEGER := arg'high; -- length of FP output exponent variable sign : REAL; -- Sign, + or - 1 variable exp : INTEGER; -- Exponent variable expon_base : INTEGER; -- exponent offset variable frac : REAL := 0.0; -- Fraction variable validfp : valid_fpstate; -- Valid FP state variable expon : UNSIGNED (exponent_width - 1 downto 0) := (others => '1'); -- Vectorized exponent begin validfp := classfp (arg, check_error); classcase : case validfp is when isx | pos_zero | neg_zero | nan | quiet_nan => return 0.0; when neg_inf => return REAL'low; -- Negative infinity. when pos_inf => return REAL'high; -- Positive infinity when others => expon_base := 2**(exponent_width-1) -1; if to_X01(arg(exponent_width)) = '0' then sign := 1.0; else sign := -1.0; end if; -- Figure out the fraction for i in 0 to fraction_width-1 loop if to_X01(arg (-1 - i)) = '1' then frac := frac + (2.0 **(-1 - i)); end if; end loop; -- i if validfp = pos_normal or validfp = neg_normal or not denormalize then -- exponent /= '0', normal floating point expon := UNSIGNED(arg (exponent_width-1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); exp := to_integer (SIGNED(expon)) +1; sign := sign * (2.0 ** exp) * (1.0 + frac); else -- exponent = '0', IEEE extended floating point exp := 1 - expon_base; sign := sign * (2.0 ** exp) * frac; end if; return sign; end case classcase; end function to_real; -- For Verilog compatability function realtobits (arg : REAL) return STD_ULOGIC_VECTOR is variable result : float64; -- 64 bit floating point begin result := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_sulv (result); end function realtobits; function bitstoreal (arg : STD_ULOGIC_VECTOR) return REAL is variable arg64 : float64; -- arg converted to float begin arg64 := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_real (arg64); end function bitstoreal; -- purpose: Removes meta-logical values from FP string function to_01 ( arg : UNRESOLVED_float; -- floating point input XMAP : STD_LOGIC := '0') return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin -- function to_01 if (arg'length < 1) then assert NO_WARNING report float_pkg'instance_name & "TO_01: null detected, returning NULL" severity warning; return NAFP; end if; result := UNRESOLVED_float (STD_LOGIC_VECTOR(to_01(UNSIGNED(to_slv(arg)), XMAP))); return result; end function to_01; function Is_X (arg : UNRESOLVED_float) return BOOLEAN is begin return Is_X (to_slv(arg)); end function Is_X; function to_X01 (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report float_pkg'instance_name & "TO_X01: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_X01(to_slv(arg))); return result; end if; end function to_X01; function to_X01Z (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report float_pkg'instance_name & "TO_X01Z: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_X01Z(to_slv(arg))); return result; end if; end function to_X01Z; function to_UX01 (arg : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (arg'range); begin if (arg'length < 1) then assert NO_WARNING report float_pkg'instance_name & "TO_UX01: null detected, returning NULL" severity warning; return NAFP; else result := UNRESOLVED_float (to_UX01(to_slv(arg))); return result; end if; end function to_UX01; -- These allows the base math functions to use the default values -- of their parameters. Thus they do full IEEE floating point. function "+" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return add (l, r); end function "+"; function "-" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return subtract (l, r); end function "-"; function "*" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return multiply (l, r); end function "*"; function "/" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return divide (l, r); end function "/"; function "rem" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return remainder (l, r); end function "rem"; function "mod" (l, r : UNRESOLVED_float) return UNRESOLVED_float is begin return modulo (l, r); end function "mod"; -- overloaded versions function "+" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return add (l, r_float); end function "+"; function "+" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return add (l_float, r); end function "+"; function "+" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return add (l, r_float); end function "+"; function "+" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return add (l_float, r); end function "+"; function "-" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return subtract (l, r_float); end function "-"; function "-" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return subtract (l_float, r); end function "-"; function "-" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return subtract (l, r_float); end function "-"; function "-" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return subtract (l_float, r); end function "-"; function "*" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return multiply (l, r_float); end function "*"; function "*" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return multiply (l_float, r); end function "*"; function "*" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return multiply (l, r_float); end function "*"; function "*" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return multiply (l_float, r); end function "*"; function "/" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return divide (l, r_float); end function "/"; function "/" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return divide (l_float, r); end function "/"; function "/" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return divide (l, r_float); end function "/"; function "/" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return divide (l_float, r); end function "/"; function "rem" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return remainder (l, r_float); end function "rem"; function "rem" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return remainder (l_float, r); end function "rem"; function "rem" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return remainder (l, r_float); end function "rem"; function "rem" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return remainder (l_float, r); end function "rem"; function "mod" (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return modulo (l, r_float); end function "mod"; function "mod" (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return modulo (l_float, r); end function "mod"; function "mod" (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return modulo (l, r_float); end function "mod"; function "mod" (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return modulo (l_float, r); end function "mod"; function "=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return eq (l, r_float); end function "="; function "/=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ne (l, r_float); end function "/="; function ">=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ge (l, r_float); end function ">="; function "<=" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return le (l, r_float); end function "<="; function ">" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return gt (l, r_float); end function ">"; function "<" (l : UNRESOLVED_float; r : REAL) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return lt (l, r_float); end function "<"; function "=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return eq (l_float, r); end function "="; function "/=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ne (l_float, r); end function "/="; function ">=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ge (l_float, r); end function ">="; function "<=" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return le (l_float, r); end function "<="; function ">" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return gt (l_float, r); end function ">"; function "<" (l : REAL; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return lt (l_float, r); end function "<"; function "=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return eq (l, r_float); end function "="; function "/=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ne (l, r_float); end function "/="; function ">=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return ge (l, r_float); end function ">="; function "<=" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return le (l, r_float); end function "<="; function ">" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return gt (l, r_float); end function ">"; function "<" (l : UNRESOLVED_float; r : INTEGER) return BOOLEAN is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return lt (l, r_float); end function "<"; function "=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return eq (l_float, r); end function "="; function "/=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ne (l_float, r); end function "/="; function ">=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return ge (l_float, r); end function ">="; function "<=" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return le (l_float, r); end function "<="; function ">" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return gt (l_float, r); end function ">"; function "<" (l : INTEGER; r : UNRESOLVED_float) return BOOLEAN is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float(l, r'high, -r'low); return lt (l_float, r); end function "<"; -- ?= overloads function \?=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?=\ (l, r_float); end function \?=\; function \?/=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?/=\ (l, r_float); end function \?/=\; function \?>\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?>\ (l, r_float); end function \?>\; function \?>=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?>=\ (l, r_float); end function \?>=\; function \?<\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?<\ (l, r_float); end function \?<\; function \?<=\ (l : UNRESOLVED_float; r : REAL) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?<=\ (l, r_float); end function \?<=\; -- real and float function \?=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?=\ (l_float, r); end function \?=\; function \?/=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?/=\ (l_float, r); end function \?/=\; function \?>\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?>\ (l_float, r); end function \?>\; function \?>=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?>=\ (l_float, r); end function \?>=\; function \?<\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?<\ (l_float, r); end function \?<\; function \?<=\ (l : REAL; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?<=\ (l_float, r); end function \?<=\; -- ?= overloads function \?=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?=\ (l, r_float); end function \?=\; function \?/=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?/=\ (l, r_float); end function \?/=\; function \?>\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?>\ (l, r_float); end function \?>\; function \?>=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?>=\ (l, r_float); end function \?>=\; function \?<\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?<\ (l, r_float); end function \?<\; function \?<=\ (l : UNRESOLVED_float; r : INTEGER) return STD_ULOGIC is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return \?<=\ (l, r_float); end function \?<=\; -- integer and float function \?=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?=\ (l_float, r); end function \?=\; function \?/=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?/=\ (l_float, r); end function \?/=\; function \?>\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?>\ (l_float, r); end function \?>\; function \?>=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?>=\ (l_float, r); end function \?>=\; function \?<\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?<\ (l_float, r); end function \?<\; function \?<=\ (l : INTEGER; r : UNRESOLVED_float) return STD_ULOGIC is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return \?<=\ (l_float, r); end function \?<=\; -- minimum and maximum overloads function minimum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return minimum (l, r_float); end function minimum; function maximum (l : UNRESOLVED_float; r : REAL) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return maximum (l, r_float); end function maximum; function minimum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return minimum (l_float, r); end function minimum; function maximum (l : REAL; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return maximum (l_float, r); end function maximum; function minimum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return minimum (l, r_float); end function minimum; function maximum (l : UNRESOLVED_float; r : INTEGER) return UNRESOLVED_float is variable r_float : UNRESOLVED_float (l'range); begin r_float := to_float (r, l'high, -l'low); return maximum (l, r_float); end function maximum; function minimum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return minimum (l_float, r); end function minimum; function maximum (l : INTEGER; r : UNRESOLVED_float) return UNRESOLVED_float is variable l_float : UNRESOLVED_float (r'range); begin l_float := to_float (l, r'high, -r'low); return maximum (l_float, r); end function maximum; ---------------------------------------------------------------------------- -- logical functions ---------------------------------------------------------------------------- function "not" (L : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin RESULT := not to_sulv(L); return to_float (RESULT, L'high, -L'low); end function "not"; function "and" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) and to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """and"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "and"; function "or" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) or to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """or"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "or"; function "nand" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nand to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """nand"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "nand"; function "nor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) nor to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """nor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "nor"; function "xor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xor to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """xor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "xor"; function "xnor" (L, R : UNRESOLVED_float) return UNRESOLVED_float is variable RESULT : STD_ULOGIC_VECTOR(L'length-1 downto 0); -- force downto begin if (L'high = R'high and L'low = R'low) then RESULT := to_sulv(L) xnor to_sulv(R); else assert NO_WARNING report float_pkg'instance_name & """xnor"": Range error L'RANGE /= R'RANGE" severity warning; RESULT := (others => 'X'); end if; return to_float (RESULT, L'high, -L'low); end function "xnor"; -- Vector and std_ulogic functions, same as functions in numeric_std function "and" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L and R(i); end loop; return result; end function "and"; function "and" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) and R; end loop; return result; end function "and"; function "or" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L or R(i); end loop; return result; end function "or"; function "or" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) or R; end loop; return result; end function "or"; function "nand" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L nand R(i); end loop; return result; end function "nand"; function "nand" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) nand R; end loop; return result; end function "nand"; function "nor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L nor R(i); end loop; return result; end function "nor"; function "nor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) nor R; end loop; return result; end function "nor"; function "xor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L xor R(i); end loop; return result; end function "xor"; function "xor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) xor R; end loop; return result; end function "xor"; function "xnor" (L : STD_ULOGIC; R : UNRESOLVED_float) return UNRESOLVED_float is variable result : UNRESOLVED_float (R'range); begin for i in result'range loop result(i) := L xnor R(i); end loop; return result; end function "xnor"; function "xnor" (L : UNRESOLVED_float; R : STD_ULOGIC) return UNRESOLVED_float is variable result : UNRESOLVED_float (L'range); begin for i in result'range loop result(i) := L(i) xnor R; end loop; return result; end function "xnor"; -- Reduction operator_reduces, same as numeric_std functions function and_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return and_reduce (to_sulv(l)); end function and_reduce; function nand_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return nand_reduce (to_sulv(l)); end function nand_reduce; function or_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return or_reduce (to_sulv(l)); end function or_reduce; function nor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return nor_reduce (to_sulv(l)); end function nor_reduce; function xor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return xor_reduce (to_sulv(l)); end function xor_reduce; function xnor_reduce (l : UNRESOLVED_float) return STD_ULOGIC is begin return xnor_reduce (to_sulv(l)); end function xnor_reduce; ----------------------------------------------------------------------------- -- Recommended Functions from the IEEE 754 Appendix ----------------------------------------------------------------------------- -- returns x with the sign of y. function Copysign ( x, y : UNRESOLVED_float) -- floating point input return UNRESOLVED_float is begin return y(y'high) & x (x'high-1 downto x'low); end function Copysign; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : INTEGER; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(y'low, y'low); -- length of FP output fraction constant exponent_width : NATURAL := y'high; -- length of FP output exponent variable arg, result : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument variable expon : SIGNED (exponent_width-1 downto 0); -- Vectorized exp variable exp : SIGNED (exponent_width downto 0); variable ufract : UNSIGNED (fraction_width downto 0); constant expon_base : SIGNED (exponent_width-1 downto 0) := gen_expon_base(exponent_width); -- exponent offset variable fptype : valid_fpstate; begin -- This can be done by simply adding N to the exponent. arg := to_01 (y, 'X'); fptype := classfp(arg, check_error); classcase : case fptype is when isx => result := (others => 'X'); when nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 result := qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); when others => break_number ( arg => arg, fptyp => fptype, denormalize => denormalize, fract => ufract, expon => expon); exp := resize (expon, exp'length) + N; result := normalize ( fract => ufract, expon => exp, sign => to_x01 (arg (arg'high)), fraction_width => fraction_width, exponent_width => exponent_width, round_style => round_style, denormalize => denormalize, nguard => 0); end case classcase; return result; end function Scalb; -- Returns y * 2**n for integral values of N without computing 2**n function Scalb ( y : UNRESOLVED_float; -- floating point input N : SIGNED; -- exponent to add constant round_style : round_type := float_round_style; -- rounding option constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) -- Use IEEE extended FP return UNRESOLVED_float is variable n_int : INTEGER; begin n_int := to_integer(N); return Scalb (y => y, N => n_int, round_style => round_style, check_error => check_error, denormalize => denormalize); end function Scalb; -- returns the unbiased exponent of x function Logb ( x : UNRESOLVED_float) -- floating point input return INTEGER is constant fraction_width : NATURAL := -mine (x'low, x'low); -- length of FP output fraction constant exponent_width : NATURAL := x'high; -- length of FP output exponent variable result : INTEGER; -- result variable arg : UNRESOLVED_float (exponent_width downto -fraction_width); -- internal argument variable expon : SIGNED (exponent_width - 1 downto 0); variable fract : UNSIGNED (fraction_width downto 0); constant expon_base : INTEGER := 2**(exponent_width-1) -1; -- exponent -- offset +1 variable fptype : valid_fpstate; begin -- Just return the exponent. arg := to_01 (x, 'X'); fptype := classfp(arg); classcase : case fptype is when isx | nan | quiet_nan => -- Return quiet NAN, IEEE754-1985-7.1,1 result := 0; when pos_denormal | neg_denormal => fract (fraction_width) := '0'; fract (fraction_width-1 downto 0) := UNSIGNED (to_slv(arg(-1 downto -fraction_width))); result := find_leftmost (fract, '1') -- Find the first "1" - fraction_width; -- subtract the length we want result := -expon_base + 1 + result; when others => expon := SIGNED(arg (exponent_width - 1 downto 0)); expon(exponent_width-1) := not expon(exponent_width-1); expon := expon + 1; result := to_integer (expon); end case classcase; return result; end function Logb; -- returns the unbiased exponent of x function Logb ( x : UNRESOLVED_float) -- floating point input return SIGNED is constant exponent_width : NATURAL := x'high; -- length of FP output exponent variable result : SIGNED (exponent_width - 1 downto 0); -- result begin -- Just return the exponent. result := to_signed (Logb (x), exponent_width); return result; end function Logb; -- returns the next representable neighbor of x in the direction toward y function Nextafter ( x, y : UNRESOLVED_float; -- floating point input constant check_error : BOOLEAN := float_check_error; -- check for errors constant denormalize : BOOLEAN := float_denormalize) return UNRESOLVED_float is constant fraction_width : NATURAL := -mine(x'low, x'low); -- length of FP output fraction constant exponent_width : NATURAL := x'high; -- length of FP output exponent function "=" ( l, r : UNRESOLVED_float) -- inputs return BOOLEAN is begin -- function "=" return eq (l => l, r => r, check_error => false); end function "="; function ">" ( l, r : UNRESOLVED_float) -- inputs return BOOLEAN is begin -- function ">" return gt (l => l, r => r, check_error => false); end function ">"; variable fract : UNSIGNED (fraction_width-1 downto 0); variable expon : UNSIGNED (exponent_width-1 downto 0); variable sign : STD_ULOGIC; variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable validfpx, validfpy : valid_fpstate; -- Valid FP state begin -- fp_Nextafter -- If Y > X, add one to the fraction, otherwise subtract. validfpx := classfp (x, check_error); validfpy := classfp (y, check_error); if validfpx = isx or validfpy = isx then result := (others => 'X'); return result; elsif (validfpx = nan or validfpy = nan) then return nanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif (validfpx = quiet_nan or validfpy = quiet_nan) then return qnanfp (fraction_width => fraction_width, exponent_width => exponent_width); elsif x = y then -- Return X return x; else fract := UNSIGNED (to_slv (x (-1 downto -fraction_width))); -- Fraction expon := UNSIGNED (x (exponent_width - 1 downto 0)); -- exponent sign := x(exponent_width); -- sign bit if (y > x) then -- Increase the number given if validfpx = neg_inf then -- return most negative number expon := (others => '1'); expon (0) := '0'; fract := (others => '1'); elsif validfpx = pos_zero or validfpx = neg_zero then -- return smallest denormal number sign := '0'; expon := (others => '0'); fract := (others => '0'); fract(0) := '1'; elsif validfpx = pos_normal then if and_reduce (fract) = '1' then -- fraction is all "1". if and_reduce (expon (exponent_width-1 downto 1)) = '1' and expon (0) = '0' then -- Exponent is one away from infinity. assert NO_WARNING report float_pkg'instance_name & "FP_NEXTAFTER: NextAfter overflow" severity warning; return pos_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else expon := expon + 1; fract := (others => '0'); end if; else fract := fract + 1; end if; elsif validfpx = pos_denormal then if and_reduce (fract) = '1' then -- fraction is all "1". -- return smallest possible normal number expon := (others => '0'); expon(0) := '1'; fract := (others => '0'); else fract := fract + 1; end if; elsif validfpx = neg_normal then if or_reduce (fract) = '0' then -- fraction is all "0". if or_reduce (expon (exponent_width-1 downto 1)) = '0' and expon (0) = '1' then -- Smallest exponent -- return the largest negative denormal number expon := (others => '0'); fract := (others => '1'); else expon := expon - 1; fract := (others => '1'); end if; else fract := fract - 1; end if; elsif validfpx = neg_denormal then if or_reduce (fract(fract'high downto 1)) = '0' and fract (0) = '1' then -- Smallest possible fraction return zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else fract := fract - 1; end if; end if; else -- Decrease the number if validfpx = pos_inf then -- return most positive number expon := (others => '1'); expon (0) := '0'; fract := (others => '1'); elsif validfpx = pos_zero or classfp (x) = neg_zero then -- return smallest negative denormal number sign := '1'; expon := (others => '0'); fract := (others => '0'); fract(0) := '1'; elsif validfpx = neg_normal then if and_reduce (fract) = '1' then -- fraction is all "1". if and_reduce (expon (exponent_width-1 downto 1)) = '1' and expon (0) = '0' then -- Exponent is one away from infinity. assert NO_WARNING report float_pkg'instance_name & "FP_NEXTAFTER: NextAfter overflow" severity warning; return neg_inffp (fraction_width => fraction_width, exponent_width => exponent_width); else expon := expon + 1; -- Fraction overflow fract := (others => '0'); end if; else fract := fract + 1; end if; elsif validfpx = neg_denormal then if and_reduce (fract) = '1' then -- fraction is all "1". -- return smallest possible normal number expon := (others => '0'); expon(0) := '1'; fract := (others => '0'); else fract := fract + 1; end if; elsif validfpx = pos_normal then if or_reduce (fract) = '0' then -- fraction is all "0". if or_reduce (expon (exponent_width-1 downto 1)) = '0' and expon (0) = '1' then -- Smallest exponent -- return the largest positive denormal number expon := (others => '0'); fract := (others => '1'); else expon := expon - 1; fract := (others => '1'); end if; else fract := fract - 1; end if; elsif validfpx = pos_denormal then if or_reduce (fract(fract'high downto 1)) = '0' and fract (0) = '1' then -- Smallest possible fraction return zerofp (fraction_width => fraction_width, exponent_width => exponent_width); else fract := fract - 1; end if; end if; end if; result (-1 downto -fraction_width) := UNRESOLVED_float(fract); result (exponent_width -1 downto 0) := UNRESOLVED_float(expon); result (exponent_width) := sign; return result; end if; end function Nextafter; -- Returns True if X is unordered with Y. function Unordered ( x, y : UNRESOLVED_float) -- floating point input return BOOLEAN is variable lfptype, rfptype : valid_fpstate; begin lfptype := classfp (x); rfptype := classfp (y); if (lfptype = nan or lfptype = quiet_nan or rfptype = nan or rfptype = quiet_nan or lfptype = isx or rfptype = isx) then return true; else return false; end if; end function Unordered; function Finite ( x : UNRESOLVED_float) return BOOLEAN is variable fp_state : valid_fpstate; -- fp state begin fp_state := Classfp (x); if (fp_state = pos_inf) or (fp_state = neg_inf) then return true; else return false; end if; end function Finite; function Isnan ( x : UNRESOLVED_float) return BOOLEAN is variable fp_state : valid_fpstate; -- fp state begin fp_state := Classfp (x); if (fp_state = nan) or (fp_state = quiet_nan) then return true; else return false; end if; end function Isnan; -- Function to return constants. function zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is constant result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin return result; end function zerofp; function nanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" result (-1) := '1'; -- MSB of Fraction "1" -- Note: From W. Khan "IEEE Standard 754 for Binary Floating Point" -- The difference between a signaling NAN and a quiet NAN is that -- the MSB of the Fraction is a "1" in a Signaling NAN, and is a -- "0" in a quiet NAN. return result; end function nanfp; function qnanfp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" result (-fraction_width) := '1'; -- LSB of Fraction "1" -- (Could have been any bit) return result; end function qnanfp; function pos_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width-1 downto 0) := (others => '1'); -- Exponent all "1" return result; end function pos_inffp; function neg_inffp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width downto 0) := (others => '1'); -- top bits all "1" return result; end function neg_inffp; function neg_zerofp ( constant exponent_width : NATURAL := float_exponent_width; -- exponent constant fraction_width : NATURAL := float_fraction_width) -- fraction return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width) := (others => '0'); -- zero begin result (exponent_width) := '1'; return result; end function neg_zerofp; -- size_res versions function zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return zerofp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function zerofp; function nanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return nanfp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function nanfp; function qnanfp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return qnanfp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function qnanfp; function pos_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return pos_inffp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function pos_inffp; function neg_inffp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return neg_inffp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function neg_inffp; function neg_zerofp ( size_res : UNRESOLVED_float) -- variable is only use for sizing return UNRESOLVED_float is begin return neg_zerofp ( exponent_width => size_res'high, fraction_width => -size_res'low); end function neg_zerofp; -- rtl_synthesis off -- pragma synthesis_off --%%% these functions are copied from std_logic_1164 (VHDL-200X edition) -- Textio functions -- purpose: writes float into a line (NOTE changed basetype) type MVL9plus is ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-', error); type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER; type MVL9_indexed_by_char is array (CHARACTER) of STD_ULOGIC; type MVL9plus_indexed_by_char is array (CHARACTER) of MVL9plus; constant NBSP : CHARACTER := CHARACTER'val(160); -- space character constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-"; constant char_to_MVL9 : MVL9_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => 'U'); constant char_to_MVL9plus : MVL9plus_indexed_by_char := ('U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-', others => error); constant NUS : STRING(2 to 1) := (others => ' '); -- purpose: Skips white space procedure skip_whitespace ( L : inout LINE) is variable readOk : BOOLEAN; variable c : CHARACTER; begin while L /= null and L.all'length /= 0 loop if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then read (l, c, readOk); else exit; end if; end loop; end procedure skip_whitespace; -- %%% Replicated textio functions function to_ostring (value : STD_LOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+2)/3; variable pad : STD_LOGIC_VECTOR(0 to (ne*3 - value'length) - 1); variable ivalue : STD_LOGIC_VECTOR(0 to ne*3 - 1); variable result : STRING(1 to ne); variable tri : STD_LOGIC_VECTOR(0 to 2); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop tri := To_X01Z(ivalue(3*i to 3*i+2)); case tri is when o"0" => result(i+1) := '0'; when o"1" => result(i+1) := '1'; when o"2" => result(i+1) := '2'; when o"3" => result(i+1) := '3'; when o"4" => result(i+1) := '4'; when o"5" => result(i+1) := '5'; when o"6" => result(i+1) := '6'; when o"7" => result(i+1) := '7'; when "ZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_ostring; ------------------------------------------------------------------- function to_hstring (value : STD_LOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+3)/4; variable pad : STD_LOGIC_VECTOR(0 to (ne*4 - value'length) - 1); variable ivalue : STD_LOGIC_VECTOR(0 to ne*4 - 1); variable result : STRING(1 to ne); variable quad : STD_LOGIC_VECTOR(0 to 3); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop quad := To_X01Z(ivalue(4*i to 4*i+3)); case quad is when x"0" => result(i+1) := '0'; when x"1" => result(i+1) := '1'; when x"2" => result(i+1) := '2'; when x"3" => result(i+1) := '3'; when x"4" => result(i+1) := '4'; when x"5" => result(i+1) := '5'; when x"6" => result(i+1) := '6'; when x"7" => result(i+1) := '7'; when x"8" => result(i+1) := '8'; when x"9" => result(i+1) := '9'; when x"A" => result(i+1) := 'A'; when x"B" => result(i+1) := 'B'; when x"C" => result(i+1) := 'C'; when x"D" => result(i+1) := 'D'; when x"E" => result(i+1) := 'E'; when x"F" => result(i+1) := 'F'; when "ZZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_hstring; procedure Char2TriBits (C : CHARACTER; RESULT : out STD_LOGIC_VECTOR(2 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := o"0"; good := true; when '1' => result := o"1"; good := true; when '2' => result := o"2"; good := true; when '3' => result := o"3"; good := true; when '4' => result := o"4"; good := true; when '5' => result := o"5"; good := true; when '6' => result := o"6"; good := true; when '7' => result := o"7"; good := true; when 'Z' => result := "ZZZ"; good := true; when 'X' => result := "XXX"; good := true; when others => assert not ISSUE_ERROR report float_pkg'instance_name & "OREAD Error: Read a '" & c & "', expected an Octal character (0-7)." severity error; result := "UUU"; good := false; end case; end procedure Char2TriBits; procedure OREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN) is variable ok : BOOLEAN; variable c : CHARACTER; constant ne : INTEGER := (VALUE'length+2)/3; constant pad : INTEGER := ne*3 - VALUE'length; variable sv : STD_LOGIC_VECTOR(0 to ne*3 - 1); variable i : INTEGER; variable lastu : BOOLEAN := false; -- last character was an "_" begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then read (l, c, ok); i := 0; while i < ne loop -- Bail out if there was a bad read if not ok then good := false; return; elsif c = '_' then if i = 0 then good := false; -- Begins with an "_" return; elsif lastu then good := false; -- "__" detected return; else lastu := true; end if; else Char2TriBits(c, sv(3*i to 3*i+2), ok, false); if not ok then good := false; return; end if; i := i + 1; lastu := false; end if; if i < ne then read(L, c, ok); end if; end loop; if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or" good := false; -- vector was truncated. else good := true; VALUE := sv (pad to sv'high); end if; else good := true; -- read into a null array end if; end procedure OREAD; -- Hex Read and Write procedures for STD_ULOGIC_VECTOR. -- Modified from the original to be more forgiving. procedure Char2QuadBits (C : CHARACTER; RESULT : out STD_LOGIC_VECTOR(3 downto 0); GOOD : out BOOLEAN; ISSUE_ERROR : in BOOLEAN) is begin case c is when '0' => result := x"0"; good := true; when '1' => result := x"1"; good := true; when '2' => result := x"2"; good := true; when '3' => result := x"3"; good := true; when '4' => result := x"4"; good := true; when '5' => result := x"5"; good := true; when '6' => result := x"6"; good := true; when '7' => result := x"7"; good := true; when '8' => result := x"8"; good := true; when '9' => result := x"9"; good := true; when 'A' | 'a' => result := x"A"; good := true; when 'B' | 'b' => result := x"B"; good := true; when 'C' | 'c' => result := x"C"; good := true; when 'D' | 'd' => result := x"D"; good := true; when 'E' | 'e' => result := x"E"; good := true; when 'F' | 'f' => result := x"F"; good := true; when 'Z' => result := "ZZZZ"; good := true; when 'X' => result := "XXXX"; good := true; when others => assert not ISSUE_ERROR report float_pkg'instance_name & "HREAD Error: Read a '" & c & "', expected a Hex character (0-F)." severity error; result := "UUUU"; good := false; end case; end procedure Char2QuadBits; procedure HREAD (L : inout LINE; VALUE : out STD_LOGIC_VECTOR; GOOD : out BOOLEAN) is variable ok : BOOLEAN; variable c : CHARACTER; constant ne : INTEGER := (VALUE'length+3)/4; constant pad : INTEGER := ne*4 - VALUE'length; variable sv : STD_LOGIC_VECTOR(0 to ne*4 - 1); variable i : INTEGER; variable lastu : BOOLEAN := false; -- last character was an "_" begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then read (l, c, ok); i := 0; while i < ne loop -- Bail out if there was a bad read if not ok then good := false; return; elsif c = '_' then if i = 0 then good := false; -- Begins with an "_" return; elsif lastu then good := false; -- "__" detected return; else lastu := true; end if; else Char2QuadBits(c, sv(4*i to 4*i+3), ok, false); if not ok then good := false; return; end if; i := i + 1; lastu := false; end if; if i < ne then read(L, c, ok); end if; end loop; if or_reduce (sv (0 to pad-1)) = '1' then -- %%% replace with "or" good := false; -- vector was truncated. else good := true; VALUE := sv (pad to sv'high); end if; else good := true; -- Null input string, skips whitespace end if; end procedure HREAD; -- %%% END replicated textio functions -- purpose: Checks the punctuation in a line procedure check_punctuation ( arg : in STRING; colon : out BOOLEAN; -- There was a colon in the line dot : out BOOLEAN; -- There was a dot in the line good : out BOOLEAN; -- True if enough characters found chars : in INTEGER) is -- Examples. Legal inputs are "0000000", "0000.000", "0:000:000" alias xarg : STRING (1 to arg'length) is arg; -- make it downto range variable icolon, idot : BOOLEAN; -- internal variable j : INTEGER := 0; -- charters read begin good := false; icolon := false; idot := false; for i in 1 to arg'length loop if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j = chars then exit; elsif xarg(i) = ':' then icolon := true; elsif xarg(i) = '.' then idot := true; elsif xarg (i) /= '_' then j := j + 1; end if; end loop; if j = chars then good := true; -- There are enough charactes to read end if; colon := icolon; if idot and icolon then dot := false; else dot := idot; end if; end procedure check_punctuation; -- purpose: Searches a line for a ":" and replaces it with a ".". procedure fix_colon ( arg : inout STRING; chars : in integer) is alias xarg : STRING (1 to arg'length) is arg; -- make it downto range variable j : INTEGER := 0; -- charters read begin for i in 1 to arg'length loop if xarg(i) = ' ' or xarg(i) = NBSP or xarg(i) = HT or j > chars then exit; elsif xarg(i) = ':' then xarg (i) := '.'; elsif xarg (i) /= '_' then j := j + 1; end if; end loop; end procedure fix_colon; procedure WRITE ( L : inout LINE; -- input line VALUE : in UNRESOLVED_float; -- floating point input JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0) is variable s : STRING(1 to value'high - value'low +3); variable sindx : INTEGER; begin -- function write s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high))); s(2) := ':'; sindx := 3; for i in VALUE'high-1 downto 0 loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; s(sindx) := ':'; sindx := sindx + 1; for i in -1 downto VALUE'low loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; WRITE (L, s, JUSTIFIED, FIELD); end procedure WRITE; procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float) is -- Possible data: 0:0000:0000000 -- 000000000000 variable c : CHARACTER; variable mv : UNRESOLVED_float (VALUE'range); variable readOk : BOOLEAN; variable lastu : BOOLEAN := false; -- last character was an "_" variable i : INTEGER; -- index variable begin -- READ VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); READ (l, c, readOk); if VALUE'length > 0 then i := value'high; readloop : loop if readOk = false then -- Bail out if there was a bad read report float_pkg'instance_name & "READ(float): " & "Error end of file encountered." severity error; return; elsif c = ' ' or c = CR or c = HT then -- reading done. if (i /= value'low) then report float_pkg'instance_name & "READ(float): " & "Warning: Value truncated." severity warning; return; end if; elsif c = '_' then if i = value'high then -- Begins with an "_" report float_pkg'instance_name & "READ(float): " & "String begins with an ""_""" severity error; return; elsif lastu then -- "__" detected report float_pkg'instance_name & "READ(float): " & "Two underscores detected in input string ""__""" severity error; return; else lastu := true; end if; elsif c = ':' or c = '.' then -- separator, ignore if not (i = -1 or i = value'high-1) then report float_pkg'instance_name & "READ(float): " & "Warning: Separator point does not match number format: '" & c & "' encountered at location " & INTEGER'image(i) & "." severity warning; end if; lastu := false; elsif (char_to_MVL9plus(c) = error) then report float_pkg'instance_name & "READ(float): " & "Error: Character '" & c & "' read, expected STD_ULOGIC literal." severity error; return; else mv (i) := char_to_MVL9(c); i := i - 1; if i < value'low then VALUE := mv; return; end if; lastu := false; end if; READ (l, c, readOk); end loop readloop; end if; end procedure READ; procedure READ (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is -- Possible data: 0:0000:0000000 -- 000000000000 variable c : CHARACTER; variable mv : UNRESOLVED_float (VALUE'range); variable lastu : BOOLEAN := false; -- last character was an "_" variable i : INTEGER; -- index variable variable readOk : BOOLEAN; begin -- READ VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); READ (l, c, readOk); if VALUE'length > 0 then i := value'high; good := false; readloop : loop if readOk = false then -- Bail out if there was a bad read return; elsif c = ' ' or c = CR or c = HT then -- reading done return; elsif c = '_' then if i = 0 then -- Begins with an "_" return; elsif lastu then -- "__" detected return; else lastu := true; end if; elsif c = ':' or c = '.' then -- separator, ignore -- good := (i = -1 or i = value'high-1); lastu := false; elsif (char_to_MVL9plus(c) = error) then return; else mv (i) := char_to_MVL9(c); i := i - 1; if i < value'low then good := true; VALUE := mv; return; end if; lastu := false; end if; READ (l, c, readOk); end loop readloop; else good := true; -- read into a null array end if; end procedure READ; procedure OWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0) is -- width of field begin WRITE (L => L, VALUE => to_ostring(VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure OWRITE; procedure OREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/3); if not ok then report float_pkg'instance_name & "OREAD: " & "short string encounted: " & L.all & " needs to have " & integer'image (ne/3) & " valid octal characters." severity error; return; elsif dot then OREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then report float_pkg'instance_name & "OREAD: " & "error encounted reading STRING " & L.all severity error; return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then OREAD (L, nybble, ok); -- read the sign bit if not ok then report float_pkg'instance_name & "OREAD: " & "End of string encountered" severity error; return; elsif nybble (2 downto 1) /= "00" then report float_pkg'instance_name & "OREAD: " & "Illegal sign bit STRING encounted " severity error; return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/3); -- replaces the colon with a ".". OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then report float_pkg'instance_name & "OREAD: " & "error encounted reading STRING " & L.all severity error; return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else OREAD (L, slv, ok); if not ok then report float_pkg'instance_name & "OREAD: " & "Error encounted during read" severity error; return; end if; if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then report float_pkg'instance_name & "OREAD: " & "Vector truncated." severity error; return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; end if; end procedure OREAD; procedure OREAD(L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is constant ne : INTEGER := ((value'length+2)/3) * 3; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (2 downto 0); -- 3 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" GOOD := false; Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/3); if not ok then return; elsif dot then OREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then OREAD (L, nybble, ok); -- read the sign bit if not ok then return; elsif nybble (2 downto 1) /= "00" then return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/3); -- replaces the colon with a ".". OREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else OREAD (L, slv, ok); if not ok then return; end if; if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; GOOD := true; end if; end procedure OREAD; procedure HWRITE ( L : inout LINE; -- access type (pointer) VALUE : in UNRESOLVED_float; -- value to write JUSTIFIED : in SIDE := right; -- which side to justify text FIELD : in WIDTH := 0) is -- width of field begin WRITE (L => L, VALUE => to_hstring(VALUE), JUSTIFIED => JUSTIFIED, FIELD => FIELD); end procedure HWRITE; procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float) is constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/4); if not ok then report float_pkg'instance_name & "HREAD: " & "short string encounted: " & L.all & " needs to have " & integer'image (ne/4) & " valid hex characters." severity error; return; elsif dot then HREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then report float_pkg'instance_name & "HREAD: " & "error encounted reading STRING " & L.all severity error; return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then HREAD (L, nybble, ok); -- read the sign bit if not ok then report float_pkg'instance_name & "HREAD: " & "End of string encountered" severity error; return; elsif nybble (3 downto 1) /= "000" then report float_pkg'instance_name & "HREAD: " & "Illegal sign bit STRING encounted " severity error; return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/4); -- replaces the colon with a ".". HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then report float_pkg'instance_name & "HREAD: " & "error encounted reading STRING " & L.all severity error; return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else HREAD (L, slv, ok); if not ok then report float_pkg'instance_name & "HREAD: " & "Error encounted during read" severity error; return; end if; if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then report float_pkg'instance_name & "HREAD: " & "Vector truncated." severity error; return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; end if; end procedure HREAD; procedure HREAD (L : inout LINE; VALUE : out UNRESOLVED_float; GOOD : out BOOLEAN) is constant ne : INTEGER := ((value'length+3)/4) * 4; -- pad variable slv : STD_LOGIC_VECTOR (ne-1 downto 0); -- slv variable slvu : ufixed (VALUE'range); -- Unsigned fixed point variable c : CHARACTER; variable ok : BOOLEAN; variable nybble : STD_LOGIC_VECTOR (3 downto 0); -- 4 bits variable colon, dot : BOOLEAN; begin VALUE := (VALUE'range => 'U'); -- initialize to a "U" GOOD := false; Skip_whitespace (L); if VALUE'length > 0 then check_punctuation (arg => L.all, colon => colon, dot => dot, good => ok, chars => ne/4); if not ok then return; elsif dot then HREAD (L, slvu, ok); -- read it like a UFIXED number if not ok then return; else VALUE := UNRESOLVED_float (slvu); end if; elsif colon then HREAD (L, nybble, ok); -- read the sign bit if not ok then return; elsif nybble (3 downto 1) /= "000" then return; end if; read (l, c, ok); -- read the colon fix_colon (L.all, ne/4); -- replaces the colon with a ".". HREAD (L, slvu (slvu'high-1 downto slvu'low), ok); -- read it like a UFIXED number if not ok then return; else slvu (slvu'high) := nybble (0); VALUE := UNRESOLVED_float (slvu); end if; else HREAD (L, slv, ok); if not ok then return; end if; if (or_reduce (slv(ne-1 downto VALUE'high-VALUE'low+1)) = '1') then return; end if; VALUE := to_float (slv(VALUE'high-VALUE'low downto 0), VALUE'high, -VALUE'low); end if; GOOD := true; end if; end procedure HREAD; function to_string (value : UNRESOLVED_float) return STRING is variable s : STRING(1 to value'high - value'low +3); variable sindx : INTEGER; begin -- function write s(1) := MVL9_to_char(STD_ULOGIC(VALUE(VALUE'high))); s(2) := ':'; sindx := 3; for i in VALUE'high-1 downto 0 loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; s(sindx) := ':'; sindx := sindx + 1; for i in -1 downto VALUE'low loop s(sindx) := MVL9_to_char(STD_ULOGIC(VALUE(i))); sindx := sindx + 1; end loop; return s; end function to_string; function to_hstring (value : UNRESOLVED_float) return STRING is variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin floop : for i in slv'range loop slv(i) := to_X01Z (value(i + value'low)); end loop floop; return to_hstring (slv); end function to_hstring; function to_ostring (value : UNRESOLVED_float) return STRING is variable slv : STD_LOGIC_VECTOR (value'length-1 downto 0); begin floop : for i in slv'range loop slv(i) := to_X01Z (value(i + value'low)); end loop floop; return to_ostring (slv); end function to_ostring; function from_string ( bstring : STRING; -- binary string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(bstring); READ (L, result, good); deallocate (L); assert (good) report float_pkg'instance_name & "from_string: Bad string " & bstring severity error; return result; end function from_string; function from_ostring ( ostring : STRING; -- Octal string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(ostring); OREAD (L, result, good); deallocate (L); assert (good) report float_pkg'instance_name & "from_ostring: Bad string " & ostring severity error; return result; end function from_ostring; function from_hstring ( hstring : STRING; -- hex string constant exponent_width : NATURAL := float_exponent_width; constant fraction_width : NATURAL := float_fraction_width) return UNRESOLVED_float is variable result : UNRESOLVED_float (exponent_width downto -fraction_width); variable L : LINE; variable good : BOOLEAN; begin L := new STRING'(hstring); HREAD (L, result, good); deallocate (L); assert (good) report float_pkg'instance_name & "from_hstring: Bad string " & hstring severity error; return result; end function from_hstring; function from_string ( bstring : STRING; -- binary string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_string (bstring => bstring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_string; function from_ostring ( ostring : STRING; -- Octal string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_ostring (ostring => ostring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_ostring; function from_hstring ( hstring : STRING; -- hex string size_res : UNRESOLVED_float) -- used for sizing only return UNRESOLVED_float is begin return from_hstring (hstring => hstring, exponent_width => size_res'high, fraction_width => -size_res'low); end function from_hstring; -- rtl_synthesis on -- pragma synthesis_on function to_float ( arg : STD_LOGIC_VECTOR; constant exponent_width : NATURAL := float_exponent_width; -- length of FP output exponent constant fraction_width : NATURAL := float_fraction_width) -- length of FP output fraction return UNRESOLVED_float is begin return to_float ( arg => to_stdulogicvector (arg), exponent_width => exponent_width, fraction_width => fraction_width); end function to_float; function to_float ( arg : STD_LOGIC_VECTOR; size_res : UNRESOLVED_float) return UNRESOLVED_float is begin return to_float ( arg => to_stdulogicvector (arg), size_res => size_res); end function to_float; -- For Verilog compatability function realtobits (arg : REAL) return STD_LOGIC_VECTOR is variable result : float64; -- 64 bit floating point begin result := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_slv (result); end function realtobits; function bitstoreal (arg : STD_LOGIC_VECTOR) return REAL is variable arg64 : float64; -- arg converted to float begin arg64 := to_float (arg => arg, exponent_width => float64'high, fraction_width => -float64'low); return to_real (arg64); end function bitstoreal; end package body float_pkg;
gpl-3.0
Vadman97/ImageAES
des/DES/ipcore_dir/constants_mem/simulation/bmg_tb_pkg (Vadim-Laptop's conflicted copy 2017-04-27).vhd
101
6006
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Testbench Package -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_pkg.vhd -- -- Description: -- BMG Testbench Package files -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE :STRING) RETURN STRING; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE :STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER; ------------------------ FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER; END BMG_TB_PKG; PACKAGE BODY BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER IS VARIABLE DIV : INTEGER; BEGIN DIV := DATA_VALUE/DIVISOR; IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN DIV := DIV+1; END IF; RETURN DIV; END DIVROUNDUP; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE : STD_LOGIC) RETURN STD_LOGIC IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER IS VARIABLE RETVAL : INTEGER := 0; BEGIN IF CONDITION=FALSE THEN RETVAL:=FALSE_CASE; ELSE RETVAL:=TRUE_CASE; END IF; RETURN RETVAL; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE : STRING) RETURN STRING IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; ------------------------------- FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER IS VARIABLE WIDTH : INTEGER := 0; VARIABLE CNT : INTEGER := 1; BEGIN IF (DATA_VALUE <= 1) THEN WIDTH := 1; ELSE WHILE (CNT < DATA_VALUE) LOOP WIDTH := WIDTH + 1; CNT := CNT *2; END LOOP; END IF; RETURN WIDTH; END LOG2ROUNDUP; END BMG_TB_PKG;
gpl-3.0
CprE488/Final
system/hdl/system_axi_vdma_0_wrapper.vhd
1
17775
------------------------------------------------------------------------------- -- system_axi_vdma_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library axi_vdma_v5_04_a; use axi_vdma_v5_04_a.all; entity system_axi_vdma_0_wrapper is port ( s_axi_lite_aclk : in std_logic; m_axi_sg_aclk : in std_logic; m_axi_mm2s_aclk : in std_logic; m_axi_s2mm_aclk : in std_logic; m_axis_mm2s_aclk : in std_logic; s_axis_s2mm_aclk : in std_logic; axi_resetn : in std_logic; s_axi_lite_awvalid : in std_logic; s_axi_lite_awready : out std_logic; s_axi_lite_awaddr : in std_logic_vector(8 downto 0); s_axi_lite_wvalid : in std_logic; s_axi_lite_wready : out std_logic; s_axi_lite_wdata : in std_logic_vector(31 downto 0); s_axi_lite_bresp : out std_logic_vector(1 downto 0); s_axi_lite_bvalid : out std_logic; s_axi_lite_bready : in std_logic; s_axi_lite_arvalid : in std_logic; s_axi_lite_arready : out std_logic; s_axi_lite_araddr : in std_logic_vector(8 downto 0); s_axi_lite_rvalid : out std_logic; s_axi_lite_rready : in std_logic; s_axi_lite_rdata : out std_logic_vector(31 downto 0); s_axi_lite_rresp : out std_logic_vector(1 downto 0); m_axi_sg_araddr : out std_logic_vector(31 downto 0); m_axi_sg_arlen : out std_logic_vector(7 downto 0); m_axi_sg_arsize : out std_logic_vector(2 downto 0); m_axi_sg_arburst : out std_logic_vector(1 downto 0); m_axi_sg_arprot : out std_logic_vector(2 downto 0); m_axi_sg_arcache : out std_logic_vector(3 downto 0); m_axi_sg_arvalid : out std_logic; m_axi_sg_arready : in std_logic; m_axi_sg_rdata : in std_logic_vector(31 downto 0); m_axi_sg_rresp : in std_logic_vector(1 downto 0); m_axi_sg_rlast : in std_logic; m_axi_sg_rvalid : in std_logic; m_axi_sg_rready : out std_logic; m_axi_mm2s_araddr : out std_logic_vector(31 downto 0); m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); m_axi_mm2s_arvalid : out std_logic; m_axi_mm2s_arready : in std_logic; m_axi_mm2s_rdata : in std_logic_vector(63 downto 0); m_axi_mm2s_rresp : in std_logic_vector(1 downto 0); m_axi_mm2s_rlast : in std_logic; m_axi_mm2s_rvalid : in std_logic; m_axi_mm2s_rready : out std_logic; mm2s_prmry_reset_out_n : out std_logic; m_axis_mm2s_tdata : out std_logic_vector(15 downto 0); m_axis_mm2s_tkeep : out std_logic_vector(1 downto 0); m_axis_mm2s_tvalid : out std_logic; m_axis_mm2s_tready : in std_logic; m_axis_mm2s_tlast : out std_logic; m_axis_mm2s_tuser : out std_logic_vector(0 to 0); m_axi_s2mm_awaddr : out std_logic_vector(31 downto 0); m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); m_axi_s2mm_awvalid : out std_logic; m_axi_s2mm_awready : in std_logic; m_axi_s2mm_wdata : out std_logic_vector(63 downto 0); m_axi_s2mm_wstrb : out std_logic_vector(7 downto 0); m_axi_s2mm_wlast : out std_logic; m_axi_s2mm_wvalid : out std_logic; m_axi_s2mm_wready : in std_logic; m_axi_s2mm_bresp : in std_logic_vector(1 downto 0); m_axi_s2mm_bvalid : in std_logic; m_axi_s2mm_bready : out std_logic; s2mm_prmry_reset_out_n : out std_logic; s_axis_s2mm_tdata : in std_logic_vector(15 downto 0); s_axis_s2mm_tkeep : in std_logic_vector(1 downto 0); s_axis_s2mm_tvalid : in std_logic; s_axis_s2mm_tready : out std_logic; s_axis_s2mm_tlast : in std_logic; s_axis_s2mm_tuser : in std_logic_vector(0 to 0); mm2s_fsync : in std_logic; mm2s_frame_ptr_in : in std_logic_vector(5 downto 0); mm2s_frame_ptr_out : out std_logic_vector(5 downto 0); mm2s_fsync_out : out std_logic; mm2s_prmtr_update : out std_logic; mm2s_buffer_empty : out std_logic; mm2s_buffer_almost_empty : out std_logic; s2mm_fsync : in std_logic; s2mm_frame_ptr_in : in std_logic_vector(5 downto 0); s2mm_frame_ptr_out : out std_logic_vector(5 downto 0); s2mm_fsync_out : out std_logic; s2mm_buffer_full : out std_logic; s2mm_buffer_almost_full : out std_logic; s2mm_prmtr_update : out std_logic; mm2s_introut : out std_logic; s2mm_introut : out std_logic; axi_vdma_tstvec : out std_logic_vector(63 downto 0) ); attribute x_core_info : STRING; attribute x_core_info of system_axi_vdma_0_wrapper : entity is "axi_vdma_v5_04_a"; end system_axi_vdma_0_wrapper; architecture STRUCTURE of system_axi_vdma_0_wrapper is component axi_vdma is generic ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_INCLUDE_SG : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_INCLUDE_MM2S : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_FAMILY : STRING; C_INSTANCE : STRING ); port ( s_axi_lite_aclk : in std_logic; m_axi_sg_aclk : in std_logic; m_axi_mm2s_aclk : in std_logic; m_axi_s2mm_aclk : in std_logic; m_axis_mm2s_aclk : in std_logic; s_axis_s2mm_aclk : in std_logic; axi_resetn : in std_logic; s_axi_lite_awvalid : in std_logic; s_axi_lite_awready : out std_logic; s_axi_lite_awaddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); s_axi_lite_wvalid : in std_logic; s_axi_lite_wready : out std_logic; s_axi_lite_wdata : in std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); s_axi_lite_bresp : out std_logic_vector(1 downto 0); s_axi_lite_bvalid : out std_logic; s_axi_lite_bready : in std_logic; s_axi_lite_arvalid : in std_logic; s_axi_lite_arready : out std_logic; s_axi_lite_araddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0); s_axi_lite_rvalid : out std_logic; s_axi_lite_rready : in std_logic; s_axi_lite_rdata : out std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0); s_axi_lite_rresp : out std_logic_vector(1 downto 0); m_axi_sg_araddr : out std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); m_axi_sg_arlen : out std_logic_vector(7 downto 0); m_axi_sg_arsize : out std_logic_vector(2 downto 0); m_axi_sg_arburst : out std_logic_vector(1 downto 0); m_axi_sg_arprot : out std_logic_vector(2 downto 0); m_axi_sg_arcache : out std_logic_vector(3 downto 0); m_axi_sg_arvalid : out std_logic; m_axi_sg_arready : in std_logic; m_axi_sg_rdata : in std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0); m_axi_sg_rresp : in std_logic_vector(1 downto 0); m_axi_sg_rlast : in std_logic; m_axi_sg_rvalid : in std_logic; m_axi_sg_rready : out std_logic; m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); m_axi_mm2s_arvalid : out std_logic; m_axi_mm2s_arready : in std_logic; m_axi_mm2s_rdata : in std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0); m_axi_mm2s_rresp : in std_logic_vector(1 downto 0); m_axi_mm2s_rlast : in std_logic; m_axi_mm2s_rvalid : in std_logic; m_axi_mm2s_rready : out std_logic; mm2s_prmry_reset_out_n : out std_logic; m_axis_mm2s_tdata : out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0); m_axis_mm2s_tkeep : out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0); m_axis_mm2s_tvalid : out std_logic; m_axis_mm2s_tready : in std_logic; m_axis_mm2s_tlast : out std_logic; m_axis_mm2s_tuser : out std_logic_vector(C_M_AXIS_MM2S_TUSER_BITS-1 to 0); m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); m_axi_s2mm_awvalid : out std_logic; m_axi_s2mm_awready : in std_logic; m_axi_s2mm_wdata : out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0); m_axi_s2mm_wstrb : out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0); m_axi_s2mm_wlast : out std_logic; m_axi_s2mm_wvalid : out std_logic; m_axi_s2mm_wready : in std_logic; m_axi_s2mm_bresp : in std_logic_vector(1 downto 0); m_axi_s2mm_bvalid : in std_logic; m_axi_s2mm_bready : out std_logic; s2mm_prmry_reset_out_n : out std_logic; s_axis_s2mm_tdata : in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0); s_axis_s2mm_tkeep : in std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0); s_axis_s2mm_tvalid : in std_logic; s_axis_s2mm_tready : out std_logic; s_axis_s2mm_tlast : in std_logic; s_axis_s2mm_tuser : in std_logic_vector(C_S_AXIS_S2MM_TUSER_BITS-1 to 0); mm2s_fsync : in std_logic; mm2s_frame_ptr_in : in std_logic_vector((C_MM2S_GENLOCK_NUM_MASTERS*6)-1 downto 0); mm2s_frame_ptr_out : out std_logic_vector(5 downto 0); mm2s_fsync_out : out std_logic; mm2s_prmtr_update : out std_logic; mm2s_buffer_empty : out std_logic; mm2s_buffer_almost_empty : out std_logic; s2mm_fsync : in std_logic; s2mm_frame_ptr_in : in std_logic_vector((C_S2MM_GENLOCK_NUM_MASTERS*6)-1 downto 0); s2mm_frame_ptr_out : out std_logic_vector(5 downto 0); s2mm_fsync_out : out std_logic; s2mm_buffer_full : out std_logic; s2mm_buffer_almost_full : out std_logic; s2mm_prmtr_update : out std_logic; mm2s_introut : out std_logic; s2mm_introut : out std_logic; axi_vdma_tstvec : out std_logic_vector(63 downto 0) ); end component; begin axi_vdma_0 : axi_vdma generic map ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 1, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_NUM_FSTORES => 3, C_USE_FSYNC => 3, C_FLUSH_ON_FSYNC => 3, C_DYNAMIC_RESOLUTION => 1, C_INCLUDE_SG => 0, C_INCLUDE_INTERNAL_GENLOCK => 1, C_ENABLE_VIDPRMTR_READS => 1, C_INCLUDE_MM2S => 1, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 16, C_INCLUDE_MM2S_DRE => 1, C_INCLUDE_MM2S_SF => 1, C_MM2S_SOF_ENABLE => 1, C_MM2S_MAX_BURST_LENGTH => 16, C_MM2S_GENLOCK_MODE => 1, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_LINEBUFFER_DEPTH => 4096, C_MM2S_LINEBUFFER_THRESH => 4, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 1, C_M_AXI_S2MM_DATA_WIDTH => 64, C_S_AXIS_S2MM_TDATA_WIDTH => 16, C_INCLUDE_S2MM_DRE => 1, C_INCLUDE_S2MM_SF => 1, C_S2MM_SOF_ENABLE => 1, C_S2MM_MAX_BURST_LENGTH => 16, C_S2MM_GENLOCK_MODE => 0, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_LINEBUFFER_DEPTH => 4096, C_S2MM_LINEBUFFER_THRESH => 4, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_FAMILY => "zynq", C_INSTANCE => "axi_vdma_0" ) port map ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => m_axi_sg_aclk, m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, s_axis_s2mm_aclk => s_axis_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, m_axi_sg_araddr => m_axi_sg_araddr, m_axi_sg_arlen => m_axi_sg_arlen, m_axi_sg_arsize => m_axi_sg_arsize, m_axi_sg_arburst => m_axi_sg_arburst, m_axi_sg_arprot => m_axi_sg_arprot, m_axi_sg_arcache => m_axi_sg_arcache, m_axi_sg_arvalid => m_axi_sg_arvalid, m_axi_sg_arready => m_axi_sg_arready, m_axi_sg_rdata => m_axi_sg_rdata, m_axi_sg_rresp => m_axi_sg_rresp, m_axi_sg_rlast => m_axi_sg_rlast, m_axi_sg_rvalid => m_axi_sg_rvalid, m_axi_sg_rready => m_axi_sg_rready, m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, s_axis_s2mm_tuser => s_axis_s2mm_tuser, mm2s_fsync => mm2s_fsync, mm2s_frame_ptr_in => mm2s_frame_ptr_in, mm2s_frame_ptr_out => mm2s_frame_ptr_out, mm2s_fsync_out => mm2s_fsync_out, mm2s_prmtr_update => mm2s_prmtr_update, mm2s_buffer_empty => mm2s_buffer_empty, mm2s_buffer_almost_empty => mm2s_buffer_almost_empty, s2mm_fsync => s2mm_fsync, s2mm_frame_ptr_in => s2mm_frame_ptr_in, s2mm_frame_ptr_out => s2mm_frame_ptr_out, s2mm_fsync_out => s2mm_fsync_out, s2mm_buffer_full => s2mm_buffer_full, s2mm_buffer_almost_full => s2mm_buffer_almost_full, s2mm_prmtr_update => s2mm_prmtr_update, mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut, axi_vdma_tstvec => axi_vdma_tstvec ); end architecture STRUCTURE;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/afifo_64i_16o_s6_ste/example_design/afifo_64i_16o_s6_top.vhd
1
19646
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.2 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: <componenet name>_top.vhd -- -- Description: -- This is the actual FIFO core wrapper. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity afifo_64i_16o_s6_top is PORT ( CLK : IN STD_LOGIC; BACKUP : IN STD_LOGIC; BACKUP_MARKER : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(64-1 downto 0); PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(12-1 downto 0); PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(12-1 downto 0); PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(12-1 downto 0); RD_CLK : IN STD_LOGIC; RD_EN : IN STD_LOGIC; RD_RST : IN STD_LOGIC; RST : IN STD_LOGIC; SRST : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; WR_EN : IN STD_LOGIC; WR_RST : IN STD_LOGIC; INJECTDBITERR : IN STD_LOGIC; INJECTSBITERR : IN STD_LOGIC; ALMOST_EMPTY : OUT STD_LOGIC; ALMOST_FULL : OUT STD_LOGIC; DATA_COUNT : OUT STD_LOGIC_VECTOR(12-1 downto 0); DOUT : OUT STD_LOGIC_VECTOR(16-1 downto 0); EMPTY : OUT STD_LOGIC; FULL : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; PROG_EMPTY : OUT STD_LOGIC; PROG_FULL : OUT STD_LOGIC; VALID : OUT STD_LOGIC; RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(14-1 downto 0); UNDERFLOW : OUT STD_LOGIC; WR_ACK : OUT STD_LOGIC; WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(12-1 downto 0); SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; -- AXI Global Signal M_ACLK : IN std_logic; S_ACLK : IN std_logic; S_ARESETN : IN std_logic; M_ACLK_EN : IN std_logic; S_ACLK_EN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic; S_AXIS_TREADY : OUT std_logic; S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TLAST : IN std_logic; S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0); S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic; M_AXIS_TREADY : IN std_logic; M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TLAST : OUT std_logic; M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0); M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic; AXI_AW_INJECTDBITERR : IN std_logic; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_SBITERR : OUT std_logic; AXI_AW_DBITERR : OUT std_logic; AXI_AW_OVERFLOW : OUT std_logic; AXI_AW_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic; AXI_W_INJECTDBITERR : IN std_logic; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_SBITERR : OUT std_logic; AXI_W_DBITERR : OUT std_logic; AXI_W_OVERFLOW : OUT std_logic; AXI_W_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic; AXI_B_INJECTDBITERR : IN std_logic; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_SBITERR : OUT std_logic; AXI_B_DBITERR : OUT std_logic; AXI_B_OVERFLOW : OUT std_logic; AXI_B_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic; AXI_AR_INJECTDBITERR : IN std_logic; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_SBITERR : OUT std_logic; AXI_AR_DBITERR : OUT std_logic; AXI_AR_OVERFLOW : OUT std_logic; AXI_AR_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic; AXI_R_INJECTDBITERR : IN std_logic; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_SBITERR : OUT std_logic; AXI_R_DBITERR : OUT std_logic; AXI_R_OVERFLOW : OUT std_logic; AXI_R_UNDERFLOW : OUT std_logic; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic; AXIS_INJECTDBITERR : IN std_logic; AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_SBITERR : OUT std_logic; AXIS_DBITERR : OUT std_logic; AXIS_OVERFLOW : OUT std_logic; AXIS_UNDERFLOW : OUT std_logic); end afifo_64i_16o_s6_top; architecture xilinx of afifo_64i_16o_s6_top is SIGNAL WR_CLK_i : std_logic; SIGNAL RD_CLK_i : std_logic; component afifo_64i_16o_s6 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(64-1 DOWNTO 0); DOUT : OUT std_logic_vector(16-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin fg0 : afifo_64i_16o_s6 port map ( WR_CLK => WR_CLK_i, RD_CLK => RD_CLK_i, RST => RST, WR_EN => WR_EN, RD_EN => RD_EN, DIN => DIN, DOUT => DOUT, FULL => FULL, EMPTY => EMPTY); wr_clk_buf: bufg PORT map( i => WR_CLK, o => WR_CLK_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => RD_CLK_i ); end xilinx;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/vhdl/videosyncgen.vhd
1
14146
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2010 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Dec 03, 2009 -- Design Name: IVK -- Module Name: ivk_video_gen\videosyncgen.vhd -- Project Name: IVK -- Target Devices: Spartan-6 -- Avnet Boards: IVK -- -- Tool versions: ISE 11.4 -- -- Description: Video Synchronization Generator -- -- Dependencies: -- -- Revision: Dec 03, 2009: 1.00 Initial version -- Feb 08, 2010: 1.02 Add generation of VBLANK/HBLANK -- Jan 12, 2012: 1.07 Modify syncgen for vita receiver -- - fix DE generation -- (active for VActive lines instead of VActive-1) -- - fix v_VCount_s -- - disable auto restart -- ------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity VideoSyncGen is generic ( HWidth_g : integer := 16; VWidth_g : integer := 16 ); port ( -- Global Reset i_Clk_p : in std_logic; i_Reset_p : in std_logic; -- i_Restart_p : in std_logic; -- Video Configuration iv16_VidHActive_p : in std_logic_vector(15 downto 0); iv16_VidHFPorch_p : in std_logic_vector(15 downto 0); iv16_VidHSync_p : in std_logic_vector(15 downto 0); iv16_VidHBPorch_p : in std_logic_vector(15 downto 0); -- iv16_VidVActive_p : in std_logic_vector(15 downto 0); iv16_VidVFPorch_p : in std_logic_vector(15 downto 0); iv16_VidVSync_p : in std_logic_vector(15 downto 0); iv16_VidVBPorch_p : in std_logic_vector(15 downto 0); -- Video Synchronization Signals o_HSync_p : out std_logic; o_VSync_p : out std_logic; o_De_p : out std_logic; o_HBlank_p : out std_logic; o_VBlank_p : out std_logic; -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p : out std_logic_vector(HWidth_g-1 downto 0); ov_VCount_p : out std_logic_vector(VWidth_g-1 downto 0); o_PixelRequest_p : out std_logic ); end entity VideoSyncGen; architecture Rtl of VideoSyncGen is -- -- Intermediate signals for output ports -- -- Video Synchronization Signals signal HSync_s : std_logic; signal VSync_s : std_logic; signal De_s : std_logic; signal HBlank_s : std_logic; signal VBlank_s : std_logic; -- Data Request strobe (1 cycle in advance of synchronization signals) signal v_HCount_s : unsigned(HWidth_g-1 downto 0); signal v_VCount_s : unsigned(VWidth_g-1 downto 0); signal PixelRequest_s : std_logic; -- -- Sync State Machines -- type SyncState_t is ( FrontPorch_c, SyncPulse_c, BackPorch_c, ActiveVideo_c ); signal HSyncState_s : SyncState_t; signal VSyncState_s : SyncState_t; signal VSyncStateD1_s : SyncState_t; attribute fsm_encoding : string; attribute fsm_encoding of HSyncState_s : signal is "sequential"; attribute fsm_encoding of VSyncState_s : signal is "sequential"; attribute safe_implementation : string; attribute safe_implementation of HSyncState_s : signal is "yes"; attribute safe_implementation of VSyncState_s : signal is "yes"; signal v_HSyncCount_s : unsigned(HWidth_g+1 downto 0); signal v_VSyncCount_s : unsigned(VWidth_g+1 downto 0); signal HSyncDone_s : std_logic; signal VSyncDone_s : std_logic; signal HSyncA1_s : std_logic; signal VSyncA1_s : std_logic; signal DeA1_s : std_logic; signal HBlankA1_s : std_logic; signal VBlankA1_s : std_logic; begin -- -- Output port assignments -- -- Video Synchronization Signals o_VSync_p <= VSync_s; o_HSync_p <= HSync_s; o_De_p <= De_s; o_HBlank_p <= HBlank_s; o_VBlank_p <= VBlank_s; -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p <= std_logic_vector(v_HCount_s); ov_VCount_p <= std_logic_vector(v_VCount_s); o_PixelRequest_p <= PixelRequest_s; -- -- HSync State Machine -- HSyncFsm_l : process ( i_Clk_p, i_Reset_p ) begin if ( i_Reset_p = '1' ) then HSyncState_s <= FrontPorch_c; v_HSyncCount_s <= (others => '0'); HSyncA1_s <= '0'; DeA1_s <= '0'; HBlankA1_s <= '0'; HSync_s <= '0'; De_s <= '0'; HBlank_s <= '0'; v_HCount_s <= (others => '0'); HSyncDone_s <= '0'; elsif rising_edge( i_Clk_p ) then if ( i_Restart_p = '1' ) then -- Start at Active Video v_HSyncCount_s <= (others => '0'); HSyncState_s <= ActiveVideo_c; v_HCount_s <= (others => '0'); DeA1_s <= '1'; HBlankA1_s <= '0'; else -- Default values HSyncDone_s <= '0'; -- HSync Counter v_HSyncCount_s <= v_HSyncCount_s + 1; -- HSync State Machine case HSyncState_s is when FrontPorch_c => if v_HSyncCount_s >= (unsigned(iv16_VidHFPorch_p) - 1) then v_HSyncCount_s <= (others => '0'); HSyncDone_s <= '1'; HSyncState_s <= SyncPulse_c; if ( iv16_VidHSync_p(15) = '1' ) then HSyncA1_s <= '1'; -- Active High sync pulse else HSyncA1_s <= '0'; -- Active Low sync pulse end if; end if; when SyncPulse_c => if v_HSyncCount_s >= (unsigned(iv16_VidHSync_p(14 downto 0)) - 1) then v_HSyncCount_s <= (others => '0'); HSyncState_s <= BackPorch_c; if ( iv16_VidHSync_p(15) = '1' ) then HSyncA1_s <= '0'; -- Active High sync pulse else HSyncA1_s <= '1'; -- Active Low sync pulse end if; end if; when BackPorch_c => if v_HSyncCount_s >= (unsigned(iv16_VidHBPorch_p) - 1) then v_HSyncCount_s <= (others => '0'); HSyncState_s <= ActiveVideo_c; v_HCount_s <= (others => '0'); if ( VSyncState_s = ActiveVideo_c ) then --if ( VSyncStateD1_s = ActiveVideo_c ) then DeA1_s <= '1'; end if; HBlankA1_s <= '0'; end if; when ActiveVideo_c => v_HCount_s <= v_HCount_s + 1; if v_HSyncCount_s >= (unsigned(iv16_VidHActive_p) - 1) then v_HSyncCount_s <= (others => '0'); HSyncState_s <= FrontPorch_c; DeA1_s <= '0'; HBlankA1_s <= '1'; end if; when others => HSyncState_s <= ActiveVideo_c; v_HSyncCount_s <= (others => '0'); HSyncDone_s <= '0'; end case; -- non-advanced versions of synchronization signals (ie. delayed by 1 clock cycle) HSync_s <= HSyncA1_s; De_s <= DeA1_s; HBlank_s <= HBlankA1_s; end if; -- if ( i_Restart_p = '1' ) then end if; end process HSyncFsm_l; -- Pixel Request is advanced version of DE PixelRequest_s <= DeA1_s; -- -- VSync State Machine -- VSyncFsm_l : process ( i_Clk_p, i_Reset_p ) begin if ( i_Reset_p = '1' ) then VSyncState_s <= FrontPorch_c; VSyncStateD1_s <= FrontPorch_c; v_VSyncCount_s <= (others => '0'); VSyncA1_s <= '0'; VBlankA1_s <= '0'; VSync_s <= '0'; VBlank_s <= '0'; v_VCount_s <= (others => '0'); VSyncDone_s <= '0'; elsif rising_edge( i_Clk_p ) then if ( i_Restart_p = '1' ) then -- Start at Active Video v_VSyncCount_s <= (others => '0'); VSyncState_s <= ActiveVideo_c; v_VCount_s <= (others => '0'); VSyncDone_s <= '1'; VBlankA1_s <= '0'; VBlank_s <= '0'; if ( iv16_VidVSync_p(15) = '1' ) then VSyncA1_s <= '0'; -- Active High sync pulse VSync_s <= '0'; else VSyncA1_s <= '1'; -- Active Low sync pulse VSync_s <= '1'; end if; else -- Default values VSyncDone_s <= '0'; if ( HSyncDone_s = '1' ) then -- VSync Counter v_VSyncCount_s <= v_VSyncCount_s + 1; -- VSync State Machine case VSyncState_s is when FrontPorch_c => if v_VSyncCount_s >= (unsigned(iv16_VidVFPorch_p) - 1) then v_VSyncCount_s <= (others => '0'); VSyncState_s <= SyncPulse_c; if ( iv16_VidVSync_p(15) = '1' ) then VSyncA1_s <= '1'; -- Active High sync pulse else VSyncA1_s <= '0'; -- Active Low sync pulse end if; end if; -- The following assignment is not required -- but conveniently indicates the number of active lines during blanking intervals --v_VCount_s <= unsigned(iv16_VidVActive_p(VWidth_g-1 downto 0)); when SyncPulse_c => if v_VSyncCount_s >= (unsigned(iv16_VidVSync_p(14 downto 0)) - 1) then v_VSyncCount_s <= (others => '0'); VSyncState_s <= BackPorch_c; if ( iv16_VidVSync_p(15) = '1' ) then VSyncA1_s <= '0'; -- Active High sync pulse else VSyncA1_s <= '1'; -- Active Low sync pulse end if; end if; when BackPorch_c => --if v_VSyncCount_s >= (unsigned(iv16_VidVBPorch_p) - 1) then -- v_VSyncCount_s <= (others => '0'); -- VSyncState_s <= ActiveVideo_c; -- v_VCount_s <= (others => '0'); -- VSyncDone_s <= '1'; -- VBlankA1_s <= '0'; --end if; -- Remain in this state until next i_Restart_p VSyncState_s <= BackPorch_c; when ActiveVideo_c => --v_VCount_s <= v_VSyncCount_s(VWidth_g-1 downto 0); v_VCount_s <= v_VSyncCount_s(VWidth_g-1 downto 0) + 1; if v_VSyncCount_s >= (unsigned(iv16_VidVActive_p) - 1) then v_VSyncCount_s <= (others => '0'); VSyncState_s <= FrontPorch_c; VBlankA1_s <= '1'; end if; when others => VSyncState_s <= ActiveVideo_c; v_VSyncCount_s <= (others => '0'); VSyncDone_s <= '0'; end case; -- non-advanced versions of synchronization signals (ie. delayed by 1 line) VSync_s <= VSyncA1_s; VBlank_s <= VBlankA1_s; -- delayed version of VSyncState VSyncStateD1_s <= VSyncState_s; end if; -- if ( HSyncDone_s = '1' ) end if; -- if ( i_Restart_p = '1' ) then end if; end process VSyncFsm_l; end architecture Rtl;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/vhdl/fmc_imageon_vita_core.vhd
1
95861
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: Sep 15, 2011 -- Design Name: FMC-IMAGEON -- Module Name: fmc_imageon_vita_core.vhd -- Project Name: FMC-IMAGEON -- Target Devices: Virtex-6 -- Kintex-7, Zynq -- Avnet Boards: FMC-IMAGEON -- -- Tool versions: ISE 14.1 -- -- Description: FMC-IMAGEON VITA receiver - Core Logic. -- -- Dependencies: -- -- Revision: Sep 15, 2011: 1.00 Initial version: -- - VITA SPI controller -- Sep 22, 2011: 1.01 Added: -- - ISERDES interface -- Sep 28, 2011: 1.02 Added: -- - sync channel decoder -- - crc checker -- - data remapper -- Oct 20, 2011: 1.03 Modify: -- - iserdes (use BUFR) -- Oct 21, 2011: 1.04 Added: -- - fpn prnu correction -- Nov 03, 2011: 1.05 Added: -- - trigger generator -- Dec 19, 2011: 1.06 Modified: -- - port to Kintex-7 -- Jan 12, 2012: 1.07 Added: -- - new fsync output port -- Modify: -- - syncgen -- Feb 06, 2012: 1.08 Modify: -- - triggergenerator -- (new version with debounce logic) -- - new C_XSVI_DIRECT_OUTPUT option -- Feb 22, 2012: 1.09 Modified -- - port to Zynq -- - new C_XSVI_USE_SYNCGEN option -- May 28, 2012: 1.11 Added: -- - host_triggen_cnt_update -- (for simultaneous update of high/low values) -- - host_triggen_gen_polarity -- Jun 01, 2012: 1.12 Modify: -- - Move syncgen after demux_fifo -- - Increase size of demux_fifo -- (to tolerate jitter in video timing from sensor) -- - Add programmable delay on framestart for syncgen -- Jul 31, 2012: 1.13 Modify: -- - define clk200, clk, clk4x with SIGIS = CLK -- - define reset with SIGIS = RST -- - port to Spartan-6 -- ------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity fmc_imageon_vita_core is Generic ( C_XSVI_DATA_WIDTH : integer := 10; C_XSVI_DIRECT_OUTPUT : integer := 0; C_XSVI_USE_SYNCGEN : integer := 1; C_FAMILY : string := "virtex6" ); Port ( clk200 : in std_logic; clk : in std_logic; clk4x : in std_logic; reset : in std_logic; oe : in std_logic; -- HOST Interface - VITA host_vita_reset : in std_logic; -- HOST Interface - SPI host_spi_clk : in std_logic; host_spi_reset : in std_logic; host_spi_timing : in std_logic_vector(15 downto 0); host_spi_status_busy : out std_logic; host_spi_status_error : out std_logic; host_spi_txfifo_clk : in std_logic; host_spi_txfifo_wen : in std_logic; host_spi_txfifo_din : in std_logic_vector(31 downto 0); host_spi_txfifo_full : out std_logic; host_spi_rxfifo_clk : in std_logic; host_spi_rxfifo_ren : in std_logic; host_spi_rxfifo_dout : out std_logic_vector(31 downto 0); host_spi_rxfifo_empty : out std_logic; -- HOST Interface - ISERDES host_iserdes_reset : in std_logic; host_iserdes_auto_align : in std_logic; host_iserdes_align_start : in std_logic; host_iserdes_fifo_enable : in std_logic; host_iserdes_manual_tap : in std_logic_vector(9 downto 0); host_iserdes_training : in std_logic_vector(9 downto 0); host_iserdes_clk_ready : out std_logic; host_iserdes_clk_status : out std_logic_vector(15 downto 0); host_iserdes_align_busy : out std_logic; host_iserdes_aligned : out std_logic; -- HOST Interface - Sync Channel Decoder host_decoder_reset : in std_logic; host_decoder_enable : in std_logic; host_decoder_startoddeven : in std_logic_vector(31 downto 0); host_decoder_code_ls : in std_logic_vector(9 downto 0); host_decoder_code_le : in std_logic_vector(9 downto 0); host_decoder_code_fs : in std_logic_vector(9 downto 0); host_decoder_code_fe : in std_logic_vector(9 downto 0); host_decoder_code_bl : in std_logic_vector(9 downto 0); host_decoder_code_img : in std_logic_vector(9 downto 0); host_decoder_code_tr : in std_logic_vector(9 downto 0); host_decoder_code_crc : in std_logic_vector(9 downto 0); host_decoder_frame_start : out std_logic; host_decoder_cnt_black_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_image_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_black_pixels : out std_logic_vector(31 downto 0); host_decoder_cnt_image_pixels : out std_logic_vector(31 downto 0); host_decoder_cnt_frames : out std_logic_vector(31 downto 0); host_decoder_cnt_windows : out std_logic_vector(31 downto 0); host_decoder_cnt_clocks : out std_logic_vector(31 downto 0); host_decoder_cnt_start_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_end_lines : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor0high : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor0low : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor1high : out std_logic_vector(31 downto 0); host_decoder_cnt_monitor1low : out std_logic_vector(31 downto 0); -- HOST Interface - CRC Checker host_crc_reset : in std_logic; host_crc_initvalue : in std_logic; host_crc_status : out std_logic_vector(31 downto 0); -- HOST Interface - Data Channel Remapper host_remapper_write_cfg : in std_logic_vector(2 downto 0); host_remapper_mode : in std_logic_vector(2 downto 0); -- HOST Interface - Trigger Generator host_triggen_enable : in std_logic_vector(2 downto 0); host_triggen_sync2readout : in std_logic_vector(2 downto 0); host_triggen_readouttrigger : in std_logic; host_triggen_default_freq : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger0high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger0low : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger1high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger1low : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger2high : in std_logic_vector(31 downto 0); host_triggen_cnt_trigger2low : in std_logic_vector(31 downto 0); host_triggen_ext_debounce : in std_logic_vector(31 downto 0); host_triggen_ext_polarity : in std_logic; host_triggen_gen_polarity : in std_logic_vector(2 downto 0); -- HOST Interface - FPN/PRNU Correction host_fpn_prnu_values : in std_logic_vector((16*16)-1 downto 0); -- HOST Interface - Sync Generator host_syncgen_delay : in std_logic_vector(15 downto 0); host_syncgen_hactive : in std_logic_vector(15 downto 0); host_syncgen_hfporch : in std_logic_vector(15 downto 0); host_syncgen_hsync : in std_logic_vector(15 downto 0); host_syncgen_hbporch : in std_logic_vector(15 downto 0); host_syncgen_vactive : in std_logic_vector(15 downto 0); host_syncgen_vfporch : in std_logic_vector(15 downto 0); host_syncgen_vsync : in std_logic_vector(15 downto 0); host_syncgen_vbporch : in std_logic_vector(15 downto 0); -- I/O pins io_vita_clk_pll : out std_logic; io_vita_reset_n : out std_logic; io_vita_trigger : out std_logic_vector(2 downto 0); io_vita_monitor : in std_logic_vector(1 downto 0); io_vita_spi_sclk : out std_logic; io_vita_spi_ssel_n : out std_logic; io_vita_spi_mosi : out std_logic; io_vita_spi_miso : in std_logic; io_vita_clk_out_p : in std_logic; io_vita_clk_out_n : in std_logic; io_vita_sync_p : in std_logic; io_vita_sync_n : in std_logic; io_vita_data_p : in std_logic_vector(7 downto 0); io_vita_data_n : in std_logic_vector(7 downto 0); -- Trigger Port trigger1 : in std_logic; -- Frame Sync Port fsync : out std_logic; -- XSVI Port xsvi_vsync_o : out std_logic; xsvi_hsync_o : out std_logic; xsvi_vblank_o : out std_logic; xsvi_hblank_o : out std_logic; xsvi_active_video_o : out std_logic; xsvi_video_data_o : out std_logic_vector((C_XSVI_DATA_WIDTH-1) downto 0); -- Debug Ports debug_spi_o : out std_logic_vector( 95 downto 0); debug_iserdes_o : out std_logic_vector(229 downto 0); debug_decoder_o : out std_logic_vector(186 downto 0); debug_crc_o : out std_logic_vector( 87 downto 0); debug_triggen_o : out std_logic_vector( 9 downto 0); debug_video_o : out std_logic_vector( 31 downto 0) ); end fmc_imageon_vita_core; architecture rtl of fmc_imageon_vita_core is signal host_iserdes_reset_n : std_logic; -- -- VITA SPI Controller -- component spi_top is generic ( gSIMULATION : integer := 0; gSysClkSpeed : integer := 50; --LowLevel SPI settings gSpiClkSpeed : integer := 1000; -- SPI Clock Speed in kHz gUseFixedSpeed : integer := 1; -- 0: use timing input -- 1: use SysClkSpeed/SpiClkSpeed generics gDATA_WIDTH : integer := 26; gTxMSB_FIRST : integer := 1; gRxMSB_FIRST : integer := 1; gSCLK_POLARITY : std_logic := '0'; --'0': idle low, '1': idle high gCS_POLARITY : std_logic := '1'; --'0': active high, '1': active low gEN_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMOSI_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMISO_POLARITY : std_logic := '0'; --'0': normal, '1': invert gMISO_SAMPLE : std_logic := '1'; --'0': sample on rising edge --'1': sample on falling edge gMOSI_CLK : std_logic := '0'; --'0': clock out on rising edge --'1': clock out on falling edge --Seq SPI settings gSyncTriggerWidth : integer; -- min 1, max 15 gRWbitposition : integer := 0 --seen from LSB ); Port ( CLOCK : in std_logic; RESET : in std_logic; TIMING : in std_logic_vector(15 downto 0); BUSY : out std_logic; --synchro signals synctriggers : in std_logic_vector(gSyncTriggerWidth-1 downto 0); sync1_select : in std_logic_vector(3 downto 0); sync2_select : in std_logic_vector(3 downto 0); -- Fifo signals -- read fifo interface (SPI write path/SPI read address path) APP_RDFIFO_CLK : out std_logic; APP_RDFIFO_EN : out std_logic; APP_RDFIFO_DATA_OUT : in std_logic_vector( 31 downto 0); APP_RDFIFO_EMPTY : in std_logic; -- write fifo interface (SPI read data path) APP_WRFIFO_CLK : out std_logic; APP_WRFIFO_EN : out std_logic; APP_WRFIFO_DATA_IN : out std_logic_vector( 31 downto 0); APP_WRFIFO_FULL : in std_logic; ERROR : out std_logic; -- -- SPI -- SCLK : out std_logic; MOSI : out std_logic; MISO : in std_logic; CS : out std_logic; EN : out std_logic ); end component spi_top; signal vita_spi_status_busy : std_logic; signal vita_spi_status_error : std_logic; -- -- VITA SPI FIFOs -- component afifo_32 is generic ( C_FAMILY : string := "virtex6" ); port ( rst : IN std_logic; wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(31 downto 0); rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(31 downto 0); empty : OUT std_logic; full : OUT std_logic ); end component afifo_32; signal vita_spi_txfifo_clk : std_logic; signal vita_spi_txfifo_ren : std_logic; signal vita_spi_txfifo_dout : std_logic_vector(31 downto 0); signal vita_spi_txfifo_empty : std_logic; signal vita_spi_rxfifo_clk : std_logic; signal vita_spi_rxfifo_wen : std_logic; signal vita_spi_rxfifo_din : std_logic_vector(31 downto 0); signal vita_spi_rxfifo_full : std_logic; -- -- VITA Serial LVDS Receiver -- constant gSIMULATION : integer := 0; constant NROF_CONN : integer := 5; constant NROF_CONTR_CONN : integer := 5; constant NROF_CLOCKCOMP : integer := 1; constant NROF_WINDOWS : integer := 8; constant DATAWIDTH : integer := 10; constant CLKSPEED : integer := 62; constant INVBOOL : boolean := FALSE; constant NROF_DELAYCTRLS : integer := 1; -- usedatapathfunc(gEngineering, gLVDS_OUT) ? -- APP_CFG_REG.Sysmode(5) ? = ?? -- APP_CFG_REG.Sysmode(6) ? = ?? -- APP_CFG_REG.Sysmode(7) ? = INITVALUE = '0' component iserdes_interface is generic ( SIMULATION : integer := 0; NROF_CONN : integer := 4; --16 bits NROF_CONTR_CONN : integer := 4; NROF_CLOCKCOMP : integer := 1; DATAWIDTH : integer := 10; -- can be 4, 6, 8 or 10 for DDR, can be 2, 3, 4, 5, 6, 7, or 8 for SDR. RETRY_MAX : integer := 32767; --16 bits, global STABLE_COUNT : integer := 16; TAP_COUNT_MAX : integer := 64; DATA_RATE : string := "DDR"; -- DDR/SDR DIFF_TERM : boolean := TRUE; USE_FIFO : boolean := FALSE; USE_BLOCKRAMFIFO : boolean := TRUE; INVERT_OUTPUT : boolean := FALSE; INVERSE_BITORDER : boolean := FALSE; CLKSPEED : integer := 50; -- APPCLK speed in MHz. Everything is generated from Appclk to be as sync as possible --DATAWIDTH, DATARATE, and clockspeed are used to calculate high speed clk speed. --SIM_DEVICE : string := "VIRTEX5"; --VIRTEX4/VIRTEX5, for BUFR C_FAMILY : string := "virtex6"; NROF_DELAYCTRLS : integer := 1; IDELAYCLK_MULT : integer := 4; IDELAYCLK_DIV : integer := 1; GENIDELAYCLK : boolean := FALSE; -- generate own idelayrefclk based on mult and div parameters or use external clk -- ext clk can come from common part and thus always be in spec regardless of clkspeed USE_OUTPLL : boolean := TRUE; --use output/multiplieng PLL instead of DCM USE_INPLL : boolean := TRUE; --use input/dividing PLL instead of DCM USE_HS_EXT_CLK_IN : boolean := FALSE; -- use external clock high speed clock in -- YES -> use as CLK source, either via BUFG or BUFIO/BUFR, -- -> when USE_HS_REGIONAL_CLK = YES -- use BUFIO (only IOblock can be clocked) -- -> when USE_HS_REGIONAL_CLK = NO -- use BUFG -- -- NO -> when use USE_LS_EXT_CLK_IN = YES -- not supported -- when use USE_LS_EXT_CLK_IN = NO -- appclk combined with DCM as CLK source -- use BUFG as CLK source USE_LS_EXT_CLK_IN : boolean := FALSE; -- use external clock low speed clock in -- YES -> use as CLKDIV source, either via BUFG or BUFIO/BUFR, -- -> when USE_LS_REGIONAL_CLK = YES -- use BUFR -- -> when USE_LS_REGIONAL_CLK = NO -- use BUFG -- -- -- NO -> when USE_HS_EXT_CLK_IN = YES -- -> when USE_HS_REGIONAL_CLK =YES and BUFR can divide -- use BUFIO/BUFR to divide HS -- -> when USE_HS_REGIONAL_CLK =YES and BUFR can not divide -- use BUFIO/BUFR + DCM to divide HS -- -> when USE_HS_EXT_CLK_IN = NO -- use DCM (same as HS_EXT_CLK_IN) as clk source, sync with appclk -- -- USE_DIFF_HS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_HS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_HS_EXT_CLK_IN = yes USE_LS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_LS_EXT_CLK_IN = yes USE_HS_EXT_CLK_OUT : boolean := FALSE; -- use external clock high speed clock out USE_LS_EXT_CLK_OUT : boolean := FALSE; -- use external clock low speed clock out USE_DIFF_HS_CLK_OUT : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_OUT : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer USE_DATAPATH : boolean := TRUE ); port( CLOCK : in std_logic; RESET : in std_logic; CLK_RDY : out std_logic; CLK_STATUS : out std_logic_vector((16*NROF_CLOCKCOMP)-1 downto 0); CLK200 : in std_logic; -- optional 200MHz refclk -- to sensor (external) LS_OUT_CLK : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); LS_OUT_CLKb : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); -- only used in differential mode HS_OUT_CLK : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_OUT_CLKb : out std_logic_vector(NROF_CLOCKCOMP-1 downto 0); -- from sensor (only used when USED_EXT_CLK = YES) LS_IN_CLK : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); LS_IN_CLKb : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_IN_CLK : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); HS_IN_CLKb : in std_logic_vector(NROF_CLOCKCOMP-1 downto 0); --serdes data, directly connected to bondpads SDATAP : in std_logic_vector(NROF_CONN-1 downto 0); SDATAN : in std_logic_vector(NROF_CONN-1 downto 0); -- status info EDGE_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); TRAINING_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); STABLE_DETECT : out std_logic_vector(NROF_CONN-1 downto 0); FIRST_EDGE_FOUND : out std_logic_vector(NROF_CONN-1 downto 0); SECOND_EDGE_FOUND : out std_logic_vector(NROF_CONN-1 downto 0); NROF_RETRIES : out std_logic_vector((16*NROF_CONN)-1 downto 0); TAP_SETTING : out std_logic_vector((10*NROF_CONN)-1 downto 0); WINDOW_WIDTH : out std_logic_vector((10*NROF_CONN)-1 downto 0); WORD_ALIGN : out std_logic_vector(NROF_CONN-1 downto 0); TIMEOUTONACK : out std_logic_vector(NROF_CONTR_CONN-1 downto 0); -- control ALIGN_START : in std_logic; ALIGN_BUSY : out std_logic; ALIGNED : out std_logic; FIFO_EN : in std_logic; AUTOALIGN : in std_logic; TRAINING : in std_logic_vector(DATAWIDTH-1 downto 0); MANUAL_TAP : in std_logic_vector(9 downto 0); EN_LS_CLK_OUT : in std_logic; EN_HS_CLK_OUT : in std_logic; -- parallel data out FIFO_RDEN : in std_logic; FIFO_EMPTY : out std_logic; FIFO_DATAOUT : out std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0) ); end component iserdes_interface; component iserdes_interface_s6 is port ( CLOCK : in std_logic; RESET : in std_logic; -- serdes clock, directly connected to bondpads SCLKP : in std_logic; SCLKN : in std_logic; -- serdes data, directly connected to bondpads SDATAP : in std_logic_vector(4 downto 0); SDATAN : in std_logic_vector(4 downto 0); -- control ALIGN_START : in std_logic; FIFO_EN : in std_logic; TRAINING : in std_logic_vector(DATAWIDTH-1 downto 0); MANUAL_TAP : in std_logic_vector(9 downto 0); -- status PLL_LOCKED : out std_logic; ALIGN_BUSY : out std_logic; ALIGNED : out std_logic; -- parallel data out FIFO_RDEN : in std_logic; FIFO_EMPTY : out std_logic; FIFO_DATAOUT : out std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0) ); end component iserdes_interface_s6; signal CLK_RDY : std_logic; signal CLK_STATUS : std_logic_vector((16*NROF_CLOCKCOMP)-1 downto 0); signal EDGE_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal TRAINING_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal STABLE_DETECT : std_logic_vector(NROF_CONN-1 downto 0); signal FIRST_EDGE_FOUND : std_logic_vector(NROF_CONN-1 downto 0); signal SECOND_EDGE_FOUND : std_logic_vector(NROF_CONN-1 downto 0); signal NROF_RETRIES : std_logic_vector((16*NROF_CONN)-1 downto 0); signal TAP_SETTING : std_logic_vector((10*NROF_CONN)-1 downto 0); signal WINDOW_WIDTH : std_logic_vector((10*NROF_CONN)-1 downto 0); signal WORD_ALIGN : std_logic_vector(NROF_CONN-1 downto 0); signal TIMEOUTONACK : std_logic_vector(NROF_CONTR_CONN-1 downto 0); -- control --signal ALIGN_START : std_logic; signal ALIGN_BUSY : std_logic; signal ALIGNED : std_logic; --signal FIFO_EN : std_logic; --signal AUTOALIGN : std_logic; --signal TRAINING : std_logic_vector(DATAWIDTH-1 downto 0); --signal MANUAL_TAP : std_logic_vector(9 downto 0); --signal EN_LS_CLK_OUT : std_logic; --signal EN_HS_CLK_OUT : std_logic; -- parallel data out signal FIFO_RDEN : std_logic; signal FIFO_EMPTY : std_logic; signal FIFO_DATAOUT : std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); -- -- Sync Channel Decoder -- component syncchanneldecoder generic ( NROF_CONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; -- Internal signaling en_decoder : in std_logic; --busy_decoder : out std_logic; PAR_DATA_RDEN : out std_logic; PAR_DATA_EMPTY : in std_logic; PAR_DATAIN : in std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); PAR_SYNCOUT : out std_logic_vector((DATAWIDTH)-1 downto 0); PAR_DATAOUT : out std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID : out std_logic; PAR_DATA_BLACKVALID : out std_logic; PAR_DATA_LINE : out std_logic; PAR_DATA_FRAME : out std_logic; KERNEL_ODD_EVEN : out std_logic; START_KERNEL : out std_logic; StartOddEven : in std_logic_vector(31 downto 0); LS_value : in std_logic_vector(9 downto 0); LE_value : in std_logic_vector(9 downto 0); FS_value : in std_logic_vector(9 downto 0); FE_value : in std_logic_vector(9 downto 0); BL_value : in std_logic_vector(9 downto 0); IMG_value : in std_logic_vector(9 downto 0); TR_value : in std_logic_vector(9 downto 0); CRC_value : in std_logic_vector(9 downto 0); -- synchro signals framestart : out std_logic; windowstart : out std_logic; windowend : out std_logic; linestart : out std_logic; lineend : out std_logic; blacklinestart : out std_logic; blacklineend : out std_logic; imagelinestart : out std_logic; imagelineend : out std_logic; validcrc : out std_logic; -- counters FramesCnt : out std_logic_vector(31 downto 0); -- lines/frame counter BlackLinesCnt : out std_logic_vector(31 downto 0); ImgLinesCnt : out std_logic_vector(31 downto 0); -- pixels/frame counter BlackPixelCnt : out std_logic_vector(31 downto 0); ImgPixelCnt : out std_logic_vector(31 downto 0); -- windows/frame counter WindowsCnt : out std_logic_vector(31 downto 0); -- clocks/frame counter -> fps ClocksCnt : out std_logic_vector(31 downto 0); StartLineCnt : out std_logic_vector(31 downto 0); EndLineCnt : out std_logic_vector(31 downto 0); -- monitors MONITOR : in std_logic_vector(1 downto 0); Monitor0HighCnt : out std_logic_vector(31 downto 0); Monitor0LowCnt : out std_logic_vector(31 downto 0); Monitor1HighCnt : out std_logic_vector(31 downto 0); Monitor1LowCnt : out std_logic_vector(31 downto 0) ); end component; --signal SYNC_PAR_DATA_RDEN : std_logic; --signal SYNC_PAR_DATAIN : std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); signal SYNC_PAR_SYNCOUT : std_logic_vector(DATAWIDTH-1 downto 0); signal SYNC_PAR_DATAOUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal SYNC_PAR_DATA_IMGVALID : std_logic; signal SYNC_PAR_DATA_BLACKVALID : std_logic; signal SYNC_PAR_DATA_LINE : std_logic; signal SYNC_PAR_DATA_FRAME : std_logic; signal SYNC_KERNEL_ODD_EVEN : std_logic; signal SYNC_START_KERNEL : std_logic; --signal SYNC_VIDEO_SYNC : std_logic_vector(4 downto 0); -- synchro signals signal framestart : std_logic; signal windowstart : std_logic; signal windowend : std_logic; signal linestart : std_logic; signal lineend : std_logic; signal blacklinestart : std_logic; signal blacklineend : std_logic; signal imagelinestart : std_logic; signal imagelineend : std_logic; signal validcrc : std_logic; -- -- CRC Checker -- constant POLYNOMIAL : std_logic_vector(10 downto 0) := "11001001111"; component crc_checker is generic ( NROF_DATACONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer; POLYNOMIAL : std_logic_vector ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; -- APP_CFG_REG : in AppCfgRegTp; INITVALUE : in std_logic; en_decoder : in std_logic; -- Data input PAR_SYNC_IN : in std_logic_vector(DATAWIDTH-1 downto 0); PAR_DATA_IN : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID_IN : in std_logic; PAR_DATA_BLACKVALID_IN : in std_logic; PAR_DATA_CRCVALID_IN : in std_logic; PAR_DATA_LINE_IN : in std_logic; PAR_DATA_FRAME_IN : in std_logic; START_KERNEL_IN : in std_logic; KERNEL_ODD_EVEN_IN : in std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); -- Data out PAR_SYNC_OUT : out std_logic_vector(DATAWIDTH-1 downto 0); PAR_DATA_OUT : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID_OUT : out std_logic; PAR_DATA_BLACKVALID_OUT : out std_logic; PAR_DATA_CRCVALID_OUT : out std_logic; PAR_DATA_LINE_OUT : out std_logic; PAR_DATA_FRAME_OUT : out std_logic; START_KERNEL_OUT : out std_logic; KERNEL_ODD_EVEN_OUT : out std_logic; VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0); --status CRC_STATUS : out std_logic_vector(NROF_DATACONN-1 downto 0) ); end component; signal CRC_PAR_SYNC_OUT : std_logic_vector(DATAWIDTH-1 downto 0); signal CRC_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal CRC_PAR_DATA_IMGVALID_OUT : std_logic; signal CRC_PAR_DATA_BLACKVALID_OUT : std_logic; signal CRC_PAR_DATA_CRCVALID_OUT : std_logic; signal CRC_PAR_DATA_LINE_OUT : std_logic; signal CRC_PAR_DATA_FRAME_OUT : std_logic; signal CRC_START_KERNEL : std_logic; signal CRC_KERNEL_ODD_EVEN : std_logic; signal CRC_VIDEO_SYNC : std_logic_vector(4 downto 0); signal CRC_STATUS : std_logic_vector(NROF_CONN - 2 downto 0); signal CRC_DEBUG : std_logic_vector(((NROF_CONN-1)*(2*DATAWIDTH+1))-1 downto 0); -- -- Data Channel Re-Mapper -- component remapper generic ( NROF_DATACONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; WriteCfg : in std_logic_vector(2 downto 0); RemapMode : in std_logic_vector(2 downto 0); -- Data input --from serial PAR_SYNC : in std_logic_vector((DATAWIDTH)-1 downto 0); PAR_DATA : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID : in std_logic; PAR_DATA_BLACKVALID : in std_logic; PAR_DATA_CRCVALID : in std_logic; PAR_DATA_LINE : in std_logic; PAR_DATA_FRAME : in std_logic; -- kernel odd/even control START_KERNEL : in std_logic; KERNEL_ODD_EVEN : in std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0); en_decoder : in std_logic; -- Data output PAR_DATA_OUT : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); PAR_DATA_VALID_OUT : out std_logic; PAR_DATA_LINE_OUT : out std_logic; PAR_DATA_FRAME_OUT : out std_logic; PAR_DATA_WINDOW_OUT : out std_logic ); end component; signal REMAP_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal REMAP_PAR_DATA_VALID_OUT : std_logic; signal REMAP_PAR_DATA_LINE_OUT : std_logic; signal REMAP_PAR_DATA_FRAME_OUT : std_logic; signal REMAP_PAR_DATA_WINDOW_OUT : std_logic; signal REMAP_VIDEO_SYNC : std_logic_vector(4 downto 0); -- -- FPN/PRNU Correction -- component correct_column_fpn_prnu_dsp48e is generic ( NROF_DATACONN : integer; DATAWIDTH : integer; ENABLECORRECT : boolean; C_FAMILY : string ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; CorrectValues : in std_logic_vector((NROF_DATACONN*4*16)-1 downto 0); WR_DATA_in : in std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); WR_NEXT_in : in std_logic; WR_FRAME_in : in std_logic; WR_LINE_in : in std_logic; WR_WINDOW_in : in std_logic; WR_DATA_out : out std_logic_vector((NROF_DATACONN*DATAWIDTH)-1 downto 0); WR_NEXT_out : out std_logic; WR_FRAME_out : out std_logic; WR_LINE_out : out std_logic; WR_WINDOW_out : out std_logic; VIDEO_SYNC_IN : in std_logic_vector(4 downto 0); VIDEO_SYNC_OUT : out std_logic_vector(4 downto 0) ); end component; signal BLC_CORRECT_VALUES : std_logic_vector(((NROF_CONN-1)*4*16)-1 downto 0); signal BLC_PAR_DATA_OUT : std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); signal BLC_PAR_DATA_VALID_OUT : std_logic; signal BLC_PAR_DATA_LINE_OUT : std_logic; signal BLC_PAR_DATA_FRAME_OUT : std_logic; signal BLC_PAR_DATA_WINDOW_OUT : std_logic; signal BLC_VIDEO_SYNC : std_logic_vector(4 downto 0); -- -- Trigger Generator -- component triggergenerator port ( -- Control signals csi_clockreset_clk : in std_logic; csi_clockreset_reset_n : in std_logic; coe_external_trigger_in : in std_logic; readouttrigger : in std_logic; ENABLETRIGGER : in std_logic_vector(2 downto 0); SYNCTOREADOUT_OR_EXT : in std_logic_vector(2 downto 0); --(0): enable timeout default frequency --(1): trigger on readout input trigger --(2): trigger on external input trigger --Note: (0) can be combined with (1) xor (2), (1) and (2) can be combined but it is prob not usefull DEFAULTFREQ : in std_logic_vector(31 downto 0); --acutally an interval TRIGGERLENGTHLOW0 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH0 : in std_logic_vector(31 downto 0); TRIGGERLENGTHLOW1 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH1 : in std_logic_vector(31 downto 0); TRIGGERLENGTHLOW2 : in std_logic_vector(31 downto 0); TRIGGERLENGTHHIGH2 : in std_logic_vector(31 downto 0); EXTERNAL_TRIGGER_DEB : in std_logic_vector(31 downto 0); EXTERNAL_TRIGGER_POL : in std_logic; --0 is active low 1 is active high coe_vita_TRIGGER : out std_logic_vector(2 downto 0) ); end component; -- signal readouttrigger : std_logic; -- signal readouttrigger_d1 : std_logic; -- signal readouttrigger_d2 : std_logic; signal triggen_vita_trigger : std_logic_vector(2 downto 0); -- -- Delayed Start Frame signal -- component pulse_regen is generic ( C_FAMILY : string := "kintex7" ); port ( rst : IN std_logic; clk1 : IN std_logic; pulse1 : IN std_logic; clk2 : IN std_logic; pulse2 : OUT std_logic ); end component; signal framestart_cnt : unsigned(15 downto 0) := (others => '0'); signal framestart_active : std_logic := '0'; signal framestart2 : std_logic; signal framestart2_regen : std_logic; -- -- Video Sync Generator -- component VideoSyncGen is generic ( HWidth_g : integer := 16; VWidth_g : integer := 16 ); port ( -- Global Reset i_Clk_p : in std_logic; i_Reset_p : in std_logic; -- i_Restart_p : in std_logic; -- Video Configuration iv16_VidHActive_p : in std_logic_vector(15 downto 0); iv16_VidHFPorch_p : in std_logic_vector(15 downto 0); iv16_VidHSync_p : in std_logic_vector(15 downto 0); iv16_VidHBPorch_p : in std_logic_vector(15 downto 0); -- iv16_VidVActive_p : in std_logic_vector(15 downto 0); iv16_VidVFPorch_p : in std_logic_vector(15 downto 0); iv16_VidVSync_p : in std_logic_vector(15 downto 0); iv16_VidVBPorch_p : in std_logic_vector(15 downto 0); -- Video Synchronization Signals o_HSync_p : out std_logic; o_VSync_p : out std_logic; o_De_p : out std_logic; o_HBlank_p : out std_logic; o_VBlank_p : out std_logic; -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p : out std_logic_vector(HWidth_g-1 downto 0); ov_VCount_p : out std_logic_vector(VWidth_g-1 downto 0); o_PixelRequest_p : out std_logic ); end component VideoSyncGen; signal syncgen_hsync : std_logic; signal syncgen_vsync : std_logic; signal syncgen_de : std_logic; signal syncgen_hblank : std_logic; signal syncgen_vblank : std_logic; --signal syncgen_pixelrequest : std_logic; -- -- De-Multiplexer -- component afifo_64i_16o is generic ( C_FAMILY : string := "virtex6" ); port ( rst : IN std_logic; wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(63 downto 0); rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(15 downto 0); empty : OUT std_logic; full : OUT std_logic ); end component; signal demux_din : std_logic_vector(63 downto 0); signal demux_dout : std_logic_vector(15 downto 0); signal demux_empty : std_logic; signal demux_full : std_logic; -- -- I/O registers & buffers -- signal clk_n : std_logic; signal net0 : std_logic; signal net1 : std_logic; signal oe_n : std_logic; signal vita_clk_pll_o : std_logic; signal vita_reset_n_o : std_logic; signal vita_trigger_o : std_logic_vector(2 downto 0); signal vita_spi_sclk_o : std_logic; signal vita_spi_ssel_n_o : std_logic; signal vita_spi_mosi_o : std_logic; signal vita_clk_pll_t : std_logic; signal vita_reset_n_t : std_logic; signal vita_trigger_t : std_logic_vector(2 downto 0); signal vita_spi_sclk_t : std_logic; signal vita_spi_ssel_n_t : std_logic; signal vita_spi_mosi_t : std_logic; begin host_iserdes_reset_n <= not host_iserdes_reset; -- -- SPI Controller -- vita_spi: spi_top generic map ( gSIMULATION => 0, --gSIMULATION, gSysClkSpeed => 50, -- 50MHz --gSysClkSpeed, --LowLevel SPI settings gSpiClkSpeed => 1000, -- 1000KHz (or 1MHz) gUseFixedSpeed => 0, gDATA_WIDTH => 26, gTxMSB_FIRST => 1, gRxMSB_FIRST => 1, gSCLK_POLARITY => '0', gCS_POLARITY => '1', gEN_POLARITY => '0', gMOSI_POLARITY => '0', gMISO_POLARITY => '0', gMISO_SAMPLE => '0', gMOSI_CLK => '0', --Seq SPI settings gSyncTriggerWidth => 10, gRWbitposition => 16 ) port map ( CLOCK => host_spi_clk, RESET => host_spi_reset, TIMING => host_spi_timing, --TIMING, BUSY => vita_spi_status_busy, --synchro signals synctriggers => (others => '0'), --synctriggers, sync1_select => (others => '0'), --sync1_select, sync2_select => (others => '0'), --sync2_select, -- Fifo signals -- read fifo interface (SPI write path/SPI read address path) APP_RDFIFO_CLK => vita_spi_txfifo_clk, APP_RDFIFO_EN => vita_spi_txfifo_ren, APP_RDFIFO_DATA_OUT => vita_spi_txfifo_dout, APP_RDFIFO_EMPTY => vita_spi_txfifo_empty, -- write fifo interface (SPI read data path) APP_WRFIFO_CLK => vita_spi_rxfifo_clk, APP_WRFIFO_EN => vita_spi_rxfifo_wen, APP_WRFIFO_DATA_IN => vita_spi_rxfifo_din, APP_WRFIFO_FULL => vita_spi_rxfifo_full, ERROR => vita_spi_status_error, -- -- SPI -- SCLK => vita_spi_sclk_o, MOSI => vita_spi_mosi_o, MISO => io_vita_spi_miso, CS => vita_spi_ssel_n_o, EN => open ); host_spi_status_busy <= vita_spi_status_busy; host_spi_status_error <= vita_spi_status_error; -- -- VITA SPI FIFOs -- vita_spi_txfifo_l : afifo_32 generic map ( C_FAMILY => C_FAMILY ) port map ( rst => host_spi_reset, wr_clk => host_spi_txfifo_clk, wr_en => host_spi_txfifo_wen, din => host_spi_txfifo_din, rd_clk => vita_spi_txfifo_clk, rd_en => vita_spi_txfifo_ren, dout => vita_spi_txfifo_dout, empty => vita_spi_txfifo_empty, full => host_spi_txfifo_full ); vita_spi_rxfifo_l : afifo_32 generic map ( C_FAMILY => C_FAMILY ) port map ( rst => host_spi_reset, wr_clk => vita_spi_rxfifo_clk, wr_en => vita_spi_rxfifo_wen, din => vita_spi_rxfifo_din, rd_clk => host_spi_rxfifo_clk, rd_en => host_spi_rxfifo_ren, dout => host_spi_rxfifo_dout, empty => host_spi_rxfifo_empty, full => vita_spi_rxfifo_full ); -- -- VITA Serial LVDS Receiver -- vita_iserdes_s6 : if ( C_FAMILY = "spartan6" ) generate vita_iserdes : iserdes_interface_s6 port map ( CLOCK => clk , RESET => host_iserdes_reset , -- serdes clock, directly connected to bondpads SCLKP => io_vita_clk_out_p , SCLKN => io_vita_clk_out_n , -- serdes data, directly connected to bondpads SDATAP(4 downto 1) => io_vita_data_p(3 downto 0) , SDATAP(0) => io_vita_sync_p , SDATAN(4 downto 1) => io_vita_data_n(3 downto 0) , SDATAN(0) => io_vita_sync_n , -- control ALIGN_START => host_iserdes_align_start , FIFO_EN => host_iserdes_fifo_enable , TRAINING => host_iserdes_training , MANUAL_TAP => host_iserdes_manual_tap , -- status PLL_LOCKED => CLK_RDY , ALIGN_BUSY => ALIGN_BUSY , ALIGNED => ALIGNED , -- parallel data out FIFO_RDEN => FIFO_RDEN , FIFO_EMPTY => FIFO_EMPTY , FIFO_DATAOUT => FIFO_DATAOUT ); host_iserdes_clk_ready <= CLK_RDY; host_iserdes_clk_status <= CLK_STATUS; host_iserdes_align_busy <= ALIGN_BUSY; host_iserdes_aligned <= ALIGNED; end generate; vita_iserdes_v5 : if not ( C_FAMILY = "spartan6" ) generate vita_iserdes : iserdes_interface generic map ( SIMULATION => gSIMULATION , NROF_CONN => NROF_CONN , NROF_CONTR_CONN => NROF_CONN , NROF_CLOCKCOMP => 1 , DATAWIDTH => DATAWIDTH , RETRY_MAX => 32767 , STABLE_COUNT => 16 , --TAP_COUNT_MAX => 64 , -- for Virtex-5 IODELAY TAP_COUNT_MAX => 32 , -- for Virtex-6 IODELAYE1 DATA_RATE => "DDR" , DIFF_TERM => TRUE , USE_FIFO => TRUE , USE_BLOCKRAMFIFO => TRUE , INVERT_OUTPUT => INVBOOL , --change back for final system !!!!! INVERSE_BITORDER => FALSE , CLKSPEED => CLKSPEED , --SIM_DEVICE => "VIRTEX5" , C_FAMILY => C_FAMILY , NROF_DELAYCTRLS => NROF_DELAYCTRLS, --should be 2 for 'correct' char board, change when required IDELAYCLK_MULT => 3 , IDELAYCLK_DIV => 1 , GENIDELAYCLK => FALSE , USE_OUTPLL => FALSE , --use output/multiplieng PLL instead of DCM USE_INPLL => FALSE , USE_HS_EXT_CLK_IN => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , USE_LS_EXT_CLK_IN => FALSE , USE_DIFF_HS_CLK_IN => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_IN => FALSE , -- differential mode, automatically instantiates the correct buffer USE_HS_REGIONAL_CLK => TRUE,--useLVDSclocks(gEngineering, gLVDS_OUT) , -- only used when USE_HS_EXT_CLK_IN = yes USE_LS_REGIONAL_CLK => FALSE , -- USE_HS_EXT_CLK_OUT => FALSE , -- use external clock high speed clock out USE_LS_EXT_CLK_OUT => FALSE , -- use external clock low speed clock out USE_DIFF_HS_CLK_OUT => TRUE , -- differential mode, automatically instantiates the correct buffer USE_DIFF_LS_CLK_OUT => FALSE , -- differential mode, automatically instantiates the correct buffer USE_DATAPATH => TRUE--usedatapathfunc(gEngineering, gLVDS_OUT) ) port map( CLOCK => clk , RESET => host_iserdes_reset, CLK200 => clk200 , CLK_RDY => CLK_RDY , CLK_STATUS => CLK_STATUS , -- to sensor (external) --LS_OUT_CLK(0) => open, --CLK_PLL , --LS_OUT_CLKb(0) => open, --CLK_PLL_n , --HS_OUT_CLK(0) => open, --ClockIn_P , --HS_OUT_CLKb(0) => open, --ClockIn_N , -- from sensor (only used when USED_EXT_CLK = YES) LS_IN_CLK(0) => '0', LS_IN_CLKb(0) => '0', HS_IN_CLK(0) => io_vita_clk_out_p, HS_IN_CLKb(0) => io_vita_clk_out_n, --serdes data, directly connected to bondpads SDATAP(4 downto 1) => io_vita_data_p(3 downto 0), SDATAP(0) => io_vita_sync_p , SDATAN(4 downto 1) => io_vita_data_n(3 downto 0), SDATAN(0) => io_vita_sync_n , -- status info EDGE_DETECT => EDGE_DETECT , TRAINING_DETECT => TRAINING_DETECT , STABLE_DETECT => STABLE_DETECT , FIRST_EDGE_FOUND => FIRST_EDGE_FOUND , SECOND_EDGE_FOUND => SECOND_EDGE_FOUND , NROF_RETRIES => NROF_RETRIES , TAP_SETTING => TAP_SETTING , WINDOW_WIDTH => WINDOW_WIDTH , WORD_ALIGN => WORD_ALIGN , -- control ALIGN_START => host_iserdes_align_start, ALIGN_BUSY => ALIGN_BUSY , ALIGNED => ALIGNED , FIFO_EN => host_iserdes_fifo_enable, AUTOALIGN => host_iserdes_auto_align, TRAINING => host_iserdes_training, MANUAL_TAP => host_iserdes_manual_tap, EN_LS_CLK_OUT => '0' ,--APP_CFG_REG.Sysmode(5), EN_HS_CLK_OUT => '0' ,--APP_CFG_REG.Sysmode(6), TIMEOUTONACK => open , -- parallel data out FIFO_RDEN => FIFO_RDEN , FIFO_EMPTY => FIFO_EMPTY , FIFO_DATAOUT => FIFO_DATAOUT ); host_iserdes_clk_ready <= CLK_RDY; host_iserdes_clk_status <= CLK_STATUS; host_iserdes_align_busy <= ALIGN_BUSY; host_iserdes_aligned <= ALIGNED; end generate; -- -- Sync Channel Decoder -- vita_syncchanneldecoder: syncchanneldecoder generic map ( NROF_CONN => NROF_CONN , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => 8 ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , -- Internal signaling en_decoder => host_decoder_enable , PAR_DATA_RDEN => FIFO_RDEN , PAR_DATA_EMPTY => FIFO_EMPTY , PAR_DATAIN => FIFO_DATAOUT , PAR_SYNCOUT => SYNC_PAR_SYNCOUT , PAR_DATAOUT => SYNC_PAR_DATAOUT , PAR_DATA_IMGVALID => SYNC_PAR_DATA_IMGVALID , PAR_DATA_BLACKVALID => SYNC_PAR_DATA_BLACKVALID , PAR_DATA_LINE => SYNC_PAR_DATA_LINE , PAR_DATA_FRAME => SYNC_PAR_DATA_FRAME , KERNEL_ODD_EVEN => SYNC_KERNEL_ODD_EVEN , START_KERNEL => SYNC_START_KERNEL , StartOddEven => host_decoder_startoddeven, LS_value => host_decoder_code_ls , LE_value => host_decoder_code_le , FS_value => host_decoder_code_fs , FE_value => host_decoder_code_fe , BL_value => host_decoder_code_bl , IMG_value => host_decoder_code_img , TR_value => host_decoder_code_tr , CRC_value => host_decoder_code_crc , -- synchro signals framestart => framestart , windowstart => windowstart , windowend => windowend , linestart => linestart , lineend => lineend , blacklinestart => blacklinestart , blacklineend => blacklineend , imagelinestart => imagelinestart , imagelineend => imagelineend , validcrc => validcrc , -- counters FramesCnt => host_decoder_cnt_frames, -- lines/frame counter BlackLinesCnt => host_decoder_cnt_black_lines, ImgLinesCnt => host_decoder_cnt_image_lines, -- pixels/frame counter BlackPixelCnt => host_decoder_cnt_black_pixels, ImgPixelCnt => host_decoder_cnt_image_pixels, -- windows/frame counter WindowsCnt => host_decoder_cnt_windows, -- clocks/frame counter -> fps ClocksCnt => host_decoder_cnt_clocks, StartLineCnt => host_decoder_cnt_start_lines, EndLineCnt => host_decoder_cnt_end_lines, -- monitors MONITOR => io_vita_monitor, Monitor0HighCnt => host_decoder_cnt_monitor0high, Monitor0LowCnt => host_decoder_cnt_monitor0low, Monitor1HighCnt => host_decoder_cnt_monitor1high, Monitor1LowCnt => host_decoder_cnt_monitor1low ); host_decoder_frame_start <= framestart; -- -- CRC Checker -- vita_crc_checker: crc_checker generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => NROF_WINDOWS , POLYNOMIAL => POLYNOMIAL ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , INITVALUE => host_crc_initvalue , en_decoder => host_decoder_enable , -- Data input PAR_SYNC_IN => SYNC_PAR_SYNCOUT , PAR_DATA_IN => SYNC_PAR_DATAOUT , PAR_DATA_IMGVALID_IN => SYNC_PAR_DATA_IMGVALID , PAR_DATA_BLACKVALID_IN => SYNC_PAR_DATA_BLACKVALID , PAR_DATA_CRCVALID_IN => validcrc , PAR_DATA_LINE_IN => SYNC_PAR_DATA_LINE , PAR_DATA_FRAME_IN => SYNC_PAR_DATA_FRAME , START_KERNEL_IN => SYNC_START_KERNEL , KERNEL_ODD_EVEN_IN => SYNC_KERNEL_ODD_EVEN , VIDEO_SYNC_IN => "00000", --SYNC_VIDEO_SYNC , -- Data out PAR_SYNC_OUT => CRC_PAR_SYNC_OUT , PAR_DATA_OUT => CRC_PAR_DATA_OUT , PAR_DATA_IMGVALID_OUT => CRC_PAR_DATA_IMGVALID_OUT , PAR_DATA_BLACKVALID_OUT => CRC_PAR_DATA_BLACKVALID_OUT , PAR_DATA_CRCVALID_OUT => CRC_PAR_DATA_CRCVALID_OUT , PAR_DATA_LINE_OUT => CRC_PAR_DATA_LINE_OUT , PAR_DATA_FRAME_OUT => CRC_PAR_DATA_FRAME_OUT , START_KERNEL_OUT => CRC_START_KERNEL , KERNEL_ODD_EVEN_OUT => CRC_KERNEL_ODD_EVEN , VIDEO_SYNC_OUT => open, --CRC_VIDEO_SYNC , --status CRC_STATUS => CRC_STATUS -- CRC_DEBUG => CRC_DEBUG ); host_crc_status(31 downto (NROF_CONN - 1)) <= (others => '0'); host_crc_status((NROF_CONN - 2) downto 0) <= CRC_STATUS; -- -- Data Channel Re-Mapper -- vita_remapper: remapper generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , NROF_WINDOWS => 8 ) port map ( -- Control signals CLOCK => clk , RESET => host_decoder_reset , WriteCfg => host_remapper_write_cfg , RemapMode => host_remapper_mode , -- Data input --from serial PAR_SYNC => CRC_PAR_SYNC_OUT , PAR_DATA => CRC_PAR_DATA_OUT , PAR_DATA_IMGVALID => CRC_PAR_DATA_IMGVALID_OUT , PAR_DATA_BLACKVALID => CRC_PAR_DATA_BLACKVALID_OUT , PAR_DATA_CRCVALID => CRC_PAR_DATA_CRCVALID_OUT , PAR_DATA_LINE => CRC_PAR_DATA_LINE_OUT , PAR_DATA_FRAME => CRC_PAR_DATA_FRAME_OUT , -- kernel odd/even control START_KERNEL => CRC_KERNEL_ODD_EVEN , KERNEL_ODD_EVEN => CRC_START_KERNEL , VIDEO_SYNC_IN => CRC_VIDEO_SYNC , VIDEO_SYNC_OUT => REMAP_VIDEO_SYNC , en_decoder => host_decoder_enable , -- Data output PAR_DATA_OUT => REMAP_PAR_DATA_OUT , PAR_DATA_VALID_OUT => REMAP_PAR_DATA_VALID_OUT , PAR_DATA_LINE_OUT => REMAP_PAR_DATA_LINE_OUT , PAR_DATA_FRAME_OUT => REMAP_PAR_DATA_FRAME_OUT , PAR_DATA_WINDOW_OUT => REMAP_PAR_DATA_WINDOW_OUT ); -- -- FPN/PRNU Correction -- vita_blc: correct_column_fpn_prnu_dsp48e generic map ( NROF_DATACONN => NROF_CONN - 1 , DATAWIDTH => DATAWIDTH , ENABLECORRECT => true , C_FAMILY => C_FAMILY ) port map ( -- Control signals CLOCK => clk, RESET => host_decoder_reset, CorrectValues => host_fpn_prnu_values, WR_DATA_in => REMAP_PAR_DATA_OUT, WR_NEXT_in => REMAP_PAR_DATA_VALID_OUT, WR_FRAME_in => REMAP_PAR_DATA_LINE_OUT, WR_LINE_in => REMAP_PAR_DATA_FRAME_OUT, WR_WINDOW_in => REMAP_PAR_DATA_WINDOW_OUT, WR_DATA_out => BLC_PAR_DATA_OUT, WR_NEXT_out => BLC_PAR_DATA_VALID_OUT, WR_FRAME_out => BLC_PAR_DATA_LINE_OUT, WR_LINE_out => BLC_PAR_DATA_FRAME_OUT, WR_WINDOW_out => BLC_PAR_DATA_WINDOW_OUT, VIDEO_SYNC_IN => REMAP_VIDEO_SYNC, VIDEO_SYNC_OUT => BLC_VIDEO_SYNC ); -- -- Trigger Generator -- -- readouttrigger <= host_triggen_readouttrigger or trigger1; -- triggen_readouttrigger_l : process (clk) -- begin -- if rising_edge( clk ) then -- readouttrigger_d1 <= readouttrigger; -- readouttrigger_d2 <= readouttrigger_d1; -- end if; -- end process; vita_triggergenerator: triggergenerator port map ( -- Control signals csi_clockreset_clk => clk , csi_clockreset_reset_n => host_iserdes_reset_n , -- readouttrigger => readouttrigger_d2 , coe_external_trigger_in => trigger1 , readouttrigger => host_triggen_readouttrigger , ENABLETRIGGER => host_triggen_enable , SYNCTOREADOUT_OR_EXT => host_triggen_sync2readout , DEFAULTFREQ => host_triggen_default_freq , TRIGGERLENGTHLOW0 => host_triggen_cnt_trigger0low , TRIGGERLENGTHHIGH0 => host_triggen_cnt_trigger0high, TRIGGERLENGTHLOW1 => host_triggen_cnt_trigger1low , TRIGGERLENGTHHIGH1 => host_triggen_cnt_trigger1high, TRIGGERLENGTHLOW2 => host_triggen_cnt_trigger2low , TRIGGERLENGTHHIGH2 => host_triggen_cnt_trigger2high, EXTERNAL_TRIGGER_DEB => host_triggen_ext_debounce , EXTERNAL_TRIGGER_POL => host_triggen_ext_polarity , coe_vita_TRIGGER => triggen_vita_trigger ); triggen_gen_polarity_l : process (clk) begin if rising_edge( clk ) then -- TRIGGER0 if ( host_triggen_gen_polarity(0) = '0' ) then vita_trigger_o(0) <= triggen_vita_trigger(0); else vita_trigger_o(0) <= not triggen_vita_trigger(0); end if; -- TRIGGER1 if ( host_triggen_gen_polarity(1) = '0' ) then vita_trigger_o(1) <= triggen_vita_trigger(1); else vita_trigger_o(1) <= not triggen_vita_trigger(1); end if; -- TRIGGER2 if ( host_triggen_gen_polarity(2) = '0' ) then vita_trigger_o(2) <= triggen_vita_trigger(2); else vita_trigger_o(2) <= not triggen_vita_trigger(2); end if; end if; end process; -- -- Delayed Start Frame signal -- framestart2_l : process (reset, clk) begin if ( reset = '1' ) then framestart_active <= '0'; framestart_cnt <= (others => '0'); framestart2 <= '0'; elsif rising_edge( clk ) then -- default values framestart2 <= '0'; -- detect incoming framestart if ( framestart = '1' ) then framestart_active <= '1'; framestart_cnt <= (others => '0'); end if; -- create delayed framestart2 if ( framestart_active = '1' ) then framestart_cnt <= framestart_cnt + 1; if ( framestart_cnt = unsigned(host_syncgen_delay)-1 ) then framestart_active <= '0'; framestart2 <= '1'; end if; end if; end if; end process framestart2_l; -- regenerate framestart2 to clk4x clock framestart2_regen_l : pulse_regen generic map ( C_FAMILY => C_FAMILY ) port map ( rst => reset, clk1 => clk, pulse1 => framestart2, clk2 => clk4x, pulse2 => framestart2_regen ); -- -- Video Sync Generator -- --XSVI_WITH_SYNCGEN : if (C_XSVI_USE_SYNCGEN = 1) generate syncgen_l : VideoSyncGen generic map ( HWidth_g => 16, VWidth_g => 16 ) port map ( -- Global Reset i_Clk_p => clk4x, i_Reset_p => reset, i_Restart_p => framestart2_regen, -- Video Configuration iv16_VidHActive_p => host_syncgen_hactive, iv16_VidHFPorch_p => host_syncgen_hfporch, iv16_VidHSync_p => host_syncgen_hsync, iv16_VidHBPorch_p => host_syncgen_hbporch, -- iv16_VidVActive_p => host_syncgen_vactive, iv16_VidVFPorch_p => host_syncgen_vfporch, iv16_VidVSync_p => host_syncgen_vsync, iv16_VidVBPorch_p => host_syncgen_vbporch, -- Video Synchronization Signals o_HSync_p => syncgen_hsync, o_VSync_p => syncgen_vsync, o_De_p => syncgen_de, o_HBlank_p => syncgen_hblank, o_VBlank_p => syncgen_vblank, -- Data Request strobe (1 cycle in advance of synchronization signals) ov_HCount_p => open, ov_VCount_p => open, o_PixelRequest_p => open --syncgen_pixelrequest ); -- syncgen_delay_l : process (clk) -- begin -- if rising_edge( clk ) then ---- SYNC_VIDEO_SYNC(4) <= syncgen_vsync; ---- SYNC_VIDEO_SYNC(3) <= syncgen_hsync; ---- SYNC_VIDEO_SYNC(2) <= syncgen_vblank; ---- SYNC_VIDEO_SYNC(1) <= syncgen_hblank; ---- SYNC_VIDEO_SYNC(0) <= syncgen_de; -- CRC_VIDEO_SYNC(4) <= syncgen_vsync; -- CRC_VIDEO_SYNC(3) <= syncgen_hsync; -- CRC_VIDEO_SYNC(2) <= syncgen_vblank; -- CRC_VIDEO_SYNC(1) <= syncgen_hblank; -- CRC_VIDEO_SYNC(0) <= syncgen_de; -- end if; -- end process; -- --end generate XSVI_WITH_SYNCGEN; --XSVI_WITHOUT_SYNCGEN : if (C_XSVI_USE_SYNCGEN = 0) generate -- Without the VideoSynGen module, -- only the DE signal is availabel via IMGVALID CRC_VIDEO_SYNC(4) <= '0'; -- vsync CRC_VIDEO_SYNC(3) <= '0'; -- hsync CRC_VIDEO_SYNC(2) <= '0'; -- vblank CRC_VIDEO_SYNC(1) <= '0'; -- hblank CRC_VIDEO_SYNC(0) <= CRC_PAR_DATA_IMGVALID_OUT; -- de --end generate XSVI_WITHOUT_SYNCGEN; DEMUX_GEN : if (C_XSVI_DIRECT_OUTPUT = 0) generate -- -- De-Multiplexer -- demux_fifo_l : afifo_64i_16o generic map ( C_FAMILY => C_FAMILY ) port map ( rst => framestart, wr_clk => clk, wr_en => BLC_VIDEO_SYNC(0), -- delayed version of CRC_PAR_DATA_IMGVALID_OUT din => demux_din, rd_clk => clk4x, rd_en => syncgen_de, --syncgen_pixelrequest, dout => demux_dout, empty => demux_empty, full => demux_full ); demux_din(63 downto 58) <= BLC_VIDEO_SYNC & framestart; demux_din(57 downto 48) <= BLC_PAR_DATA_OUT( 9 downto 0); demux_din(47 downto 42) <= BLC_VIDEO_SYNC & '0'; demux_din(41 downto 32) <= BLC_PAR_DATA_OUT(19 downto 10); demux_din(31 downto 26) <= BLC_VIDEO_SYNC & '0'; demux_din(25 downto 16) <= BLC_PAR_DATA_OUT(29 downto 20); demux_din(15 downto 10) <= BLC_VIDEO_SYNC & '0'; demux_din( 9 downto 0) <= BLC_PAR_DATA_OUT(39 downto 30); -- -- XSVI Interface -- XSVI_8BIT_GEN : if (C_XSVI_DATA_WIDTH = 8) generate xsvi_8bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 2); end if; end process; end generate XSVI_8BIT_GEN; XSVI_10BIT_GEN : if (C_XSVI_DATA_WIDTH = 10) generate xsvi_10bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 0); end if; end process; end generate XSVI_10BIT_GEN; XSVI_16BIT_GEN : if (C_XSVI_DATA_WIDTH = 16) generate xsvi_16bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= X"80" & demux_dout(9 downto 2); end if; end process; end generate XSVI_16BIT_GEN; XSVI_24BIT_GEN : if (C_XSVI_DATA_WIDTH = 24) generate xsvi_24bit_oregs_l : process (clk4x) begin if rising_edge( clk4x ) then -- xsvi_vsync_o <= demux_dout(15); -- xsvi_hsync_o <= demux_dout(14); -- xsvi_vblank_o <= demux_dout(13); -- xsvi_hblank_o <= demux_dout(12); -- xsvi_active_video_o <= demux_dout(11); -- fsync <= demux_dout(10); xsvi_vsync_o <= syncgen_vsync; xsvi_hsync_o <= syncgen_hsync; xsvi_vblank_o <= syncgen_vblank; xsvi_hblank_o <= syncgen_hblank; xsvi_active_video_o <= syncgen_de; fsync <= framestart2_regen; xsvi_video_data_o <= demux_dout(9 downto 2) & demux_dout(9 downto 2) & demux_dout(9 downto 2); end if; end process; end generate XSVI_24BIT_GEN; end generate DEMUX_GEN; DIRECT_OUTPUT_GEN : if (C_XSVI_DIRECT_OUTPUT = 1) generate -- -- XSVI Interface -- XSVI_40BIT_GEN : if (C_XSVI_DATA_WIDTH = 40) generate xsvi_40bit_oregs_l : process (clk) begin if rising_edge( clk ) then xsvi_vsync_o <= BLC_VIDEO_SYNC(4); xsvi_hsync_o <= BLC_VIDEO_SYNC(3); xsvi_vblank_o <= BLC_VIDEO_SYNC(2); xsvi_hblank_o <= BLC_VIDEO_SYNC(1); xsvi_active_video_o <= BLC_VIDEO_SYNC(0); fsync <= framestart; xsvi_video_data_o <= BLC_PAR_DATA_OUT(39 downto 30) & BLC_PAR_DATA_OUT(29 downto 20) & BLC_PAR_DATA_OUT(19 downto 10) & BLC_PAR_DATA_OUT( 9 downto 0) ; end if; end process; end generate XSVI_40BIT_GEN; XSVI_64BIT_GEN : if (C_XSVI_DATA_WIDTH = 64) generate xsvi_64bit_oregs_l : process (clk) begin if rising_edge( clk ) then xsvi_vsync_o <= BLC_VIDEO_SYNC(4); xsvi_hsync_o <= BLC_VIDEO_SYNC(3); xsvi_vblank_o <= BLC_VIDEO_SYNC(2); xsvi_hblank_o <= BLC_VIDEO_SYNC(1); xsvi_active_video_o <= BLC_VIDEO_SYNC(0); fsync <= framestart; xsvi_video_data_o <= "000000" & BLC_PAR_DATA_OUT(39 downto 30) & "000000" & BLC_PAR_DATA_OUT(29 downto 20) & "000000" & BLC_PAR_DATA_OUT(19 downto 10) & "000000" & BLC_PAR_DATA_OUT( 9 downto 0) ; end if; end process; end generate XSVI_64BIT_GEN; end generate DIRECT_OUTPUT_GEN; -- -- I/O registers & buffers -- clk_n <= not clk; oe_n <= not oe; net0 <= '0'; net1 <= '1'; --io_oregs1_l : process (clk) --begin -- if Rising_Edge(clk) then vita_reset_n_o <= not host_vita_reset; -- vita_trigger_o <= (others => '0'); -- vita_reset_n_t <= oe_n; vita_trigger_t <= (others => oe_n); -- end if; --end process; --io_oregs2_l : process (host_spi_clk) --begin -- if Rising_Edge(host_spi_clk) then vita_spi_sclk_t <= oe_n; vita_spi_ssel_n_t <= oe_n; vita_spi_mosi_t <= oe_n; -- end if; --end process; S6_GEN : if (C_FAMILY = "spartan6") generate ODDR_vita_clk_pll_o : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_o, C0 => clk, C1 => clk_n, CE => net1, D0 => net0, D1 => net1, R => net0, S => net0); ODDR_vita_clk_pll_t : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_t, C0 => clk, C1 => clk_n, CE => net1, D0 => oe_n, D1 => oe_n, R => net0, S => net0); end generate S6_GEN; V6_GEN : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate ODDR_vita_clk_pll_o : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_o, C => clk, CE => net1, D1 => net0, D2 => net1, R => net0, S => net0); ODDR_vita_clk_pll_t : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => vita_clk_pll_t, C => clk, CE => net1, D1 => oe_n, D2 => oe_n, R => net0, S => net0); end generate V6_GEN; -- -- Tri-stateable outputs -- Can be used to disable outputs to FMC connector -- until FMC module is correctly identified. -- OBUFT_vita_reset_n : OBUFT port map ( O => io_vita_reset_n, I => vita_reset_n_o, T => vita_reset_n_t ); IO1: for I in 0 to 2 generate OBUFT_vita_trigger : OBUFT port map ( O => io_vita_trigger(I), I => vita_trigger_o(I), T => vita_trigger_t(I) ); end generate IO1; OBUFT_vita_clk_pll : OBUFT port map ( O => io_vita_clk_pll, I => vita_clk_pll_o, T => vita_clk_pll_t ); OBUFT_vita_spi_sclk : OBUFT port map ( O => io_vita_spi_sclk, I => vita_spi_sclk_o, T => vita_spi_sclk_t ); OBUFT_vita_spi_ssel_n : OBUFT port map ( O => io_vita_spi_ssel_n, I => vita_spi_ssel_n_o, T => vita_spi_ssel_n_t ); OBUFT_vita_spi_mosi : OBUFT port map ( O => io_vita_spi_mosi, I => vita_spi_mosi_o, T => vita_spi_mosi_t ); -- -- Debug Ports -- Can be used to connect to ChipScope for debugging. -- Having a port makes these signals accessible for debug via EDK. -- debug_spi_l : process (host_spi_clk) begin if Rising_Edge(host_spi_clk) then debug_spi_o(15 downto 0) <= host_spi_timing; debug_spi_o( 16) <= vita_spi_sclk_o; debug_spi_o( 17) <= vita_spi_ssel_n_o; debug_spi_o( 18) <= vita_spi_mosi_o; debug_spi_o( 19) <= io_vita_spi_miso; debug_spi_o( 20) <= host_vita_reset; debug_spi_o( 21) <= host_spi_txfifo_wen; debug_spi_o( 22) <= host_spi_rxfifo_ren; debug_spi_o( 23) <= '0'; debug_spi_o( 24) <= '0'; debug_spi_o( 25) <= host_spi_reset; debug_spi_o( 26) <= vita_spi_status_busy; debug_spi_o( 27) <= vita_spi_status_error; debug_spi_o( 28) <= vita_spi_rxfifo_wen; debug_spi_o( 29) <= vita_spi_txfifo_ren; debug_spi_o( 30) <= vita_spi_rxfifo_full; debug_spi_o( 31) <= vita_spi_txfifo_empty; debug_spi_o(63 downto 32) <= vita_spi_rxfifo_din; debug_spi_o(95 downto 64) <= vita_spi_txfifo_dout; end if; end process; debug_iserdes_l : process (clk) begin if Rising_Edge(clk) then debug_iserdes_o( 49 downto 0) <= FIFO_DATAOUT; debug_iserdes_o( 50) <= FIFO_EMPTY; debug_iserdes_o( 51) <= host_iserdes_fifo_enable; debug_iserdes_o( 52) <= host_iserdes_auto_align; debug_iserdes_o( 53) <= host_iserdes_align_start; debug_iserdes_o( 54) <= host_iserdes_reset; debug_iserdes_o( 59 downto 55) <= EDGE_DETECT; debug_iserdes_o( 64 downto 60) <= TRAINING_DETECT; debug_iserdes_o( 69 downto 65) <= STABLE_DETECT; debug_iserdes_o( 74 downto 70) <= FIRST_EDGE_FOUND; debug_iserdes_o( 79 downto 75) <= SECOND_EDGE_FOUND; debug_iserdes_o( 89 downto 80) <= host_iserdes_training; debug_iserdes_o( 99 downto 90) <= host_iserdes_manual_tap; debug_iserdes_o(115 downto 100) <= CLK_STATUS; debug_iserdes_o( 116) <= CLK_RDY; debug_iserdes_o( 117) <= ALIGN_BUSY; debug_iserdes_o( 118) <= ALIGNED; debug_iserdes_o( 119) <= '0'; debug_iserdes_o(124 downto 120) <= WORD_ALIGN; debug_iserdes_o(129 downto 125) <= TIMEOUTONACK; debug_iserdes_o(179 downto 130) <= TAP_SETTING; debug_iserdes_o(229 downto 180) <= WINDOW_WIDTH; --debug_iserdes_o(309 downto 230) <= NROF_RETRIES; end if; end process; debug_decoder_l : process (clk) begin if Rising_Edge(clk) then debug_decoder_o( 49 downto 0) <= FIFO_DATAOUT; debug_decoder_o( 50) <= FIFO_EMPTY; debug_decoder_o( 51) <= FIFO_RDEN; debug_decoder_o( 52) <= host_iserdes_fifo_enable; debug_decoder_o( 53) <= host_decoder_enable; debug_decoder_o( 54) <= framestart; debug_decoder_o( 55) <= windowstart; debug_decoder_o( 56) <= windowend; debug_decoder_o( 57) <= linestart; debug_decoder_o( 58) <= lineend; debug_decoder_o( 59) <= blacklinestart; debug_decoder_o( 60) <= blacklineend; debug_decoder_o( 61) <= imagelinestart; debug_decoder_o( 62) <= imagelineend; debug_decoder_o( 63) <= validcrc; debug_decoder_o( 67 downto 64) <= CRC_STATUS(NROF_CONN - 2 downto 0); debug_decoder_o( 77 downto 68) <= SYNC_PAR_SYNCOUT; debug_decoder_o(117 downto 78) <= SYNC_PAR_DATAOUT; debug_decoder_o( 118) <= SYNC_PAR_DATA_IMGVALID; debug_decoder_o( 119) <= SYNC_PAR_DATA_BLACKVALID; debug_decoder_o( 120) <= validcrc; debug_decoder_o( 121) <= SYNC_PAR_DATA_LINE; debug_decoder_o( 122) <= SYNC_PAR_DATA_FRAME; debug_decoder_o( 123) <= SYNC_START_KERNEL; debug_decoder_o( 124) <= SYNC_KERNEL_ODD_EVEN; -- debug_decoder_o(134 downto 125) <= CRC_PAR_SYNC_OUT; -- debug_decoder_o(174 downto 135) <= CRC_PAR_DATA_OUT; -- debug_decoder_o( 175) <= CRC_PAR_DATA_IMGVALID_OUT; -- debug_decoder_o( 176) <= CRC_PAR_DATA_BLACKVALID_OUT; -- debug_decoder_o( 177) <= CRC_PAR_DATA_CRCVALID_OUT; -- debug_decoder_o( 178) <= CRC_PAR_DATA_LINE_OUT; -- debug_decoder_o( 179) <= CRC_PAR_DATA_FRAME_OUT; -- debug_decoder_o( 180) <= CRC_START_KERNEL; -- debug_decoder_o( 181) <= CRC_KERNEL_ODD_EVEN; -- debug_decoder_o(134 downto 125) <= (others => '0'); debug_decoder_o( 125) <= CRC_PAR_DATA_FRAME_OUT; debug_decoder_o( 126) <= CRC_PAR_DATA_LINE_OUT; debug_decoder_o( 127) <= CRC_PAR_DATA_CRCVALID_OUT; debug_decoder_o( 128) <= CRC_PAR_DATA_BLACKVALID_OUT; debug_decoder_o( 129) <= CRC_PAR_DATA_IMGVALID_OUT; debug_decoder_o( 130) <= syncgen_de; debug_decoder_o( 131) <= syncgen_hblank; debug_decoder_o( 132) <= syncgen_vblank; debug_decoder_o( 133) <= syncgen_hsync; debug_decoder_o( 134) <= syncgen_vsync; debug_decoder_o(174 downto 135) <= REMAP_PAR_DATA_OUT; debug_decoder_o( 175) <= REMAP_PAR_DATA_VALID_OUT; debug_decoder_o( 176) <= REMAP_PAR_DATA_LINE_OUT; debug_decoder_o( 177) <= REMAP_PAR_DATA_FRAME_OUT; debug_decoder_o( 178) <= REMAP_PAR_DATA_WINDOW_OUT; debug_decoder_o( 179) <= host_remapper_write_cfg(0); debug_decoder_o( 180) <= host_remapper_write_cfg(1); debug_decoder_o( 181) <= host_remapper_write_cfg(2); debug_decoder_o( 182) <= REMAP_VIDEO_SYNC(3); -- hsync debug_decoder_o( 183) <= REMAP_VIDEO_SYNC(4); -- vsync debug_decoder_o( 184) <= REMAP_VIDEO_SYNC(0); -- de debug_decoder_o( 185) <= REMAP_VIDEO_SYNC(1); -- hblank debug_decoder_o( 186) <= REMAP_VIDEO_SYNC(2); -- vblank end if; end process; debug_crc_l : process (clk) begin if Rising_Edge(clk) then debug_crc_o( 3 downto 0) <= CRC_STATUS; debug_crc_o(87 downto 4) <= (others => '0'); --CRC_DEBUG; end if; end process; debug_video_l : process (clk4x) begin if Rising_Edge(clk4x) then debug_video_o(10 downto 0) <= demux_dout(10 downto 0); debug_video_o( 11) <= syncgen_de; debug_video_o( 12) <= syncgen_hblank; debug_video_o( 13) <= syncgen_vblank; debug_video_o( 14) <= syncgen_hsync; debug_video_o( 15) <= syncgen_vsync; debug_video_o( 16) <= demux_empty; debug_video_o( 17) <= demux_full; debug_video_o( 18) <= syncgen_de; --syncgen_pixelrequest; debug_video_o( 19) <= framestart2_regen; debug_video_o( 20) <= framestart2; debug_video_o( 21) <= framestart; debug_video_o( 22) <= BLC_VIDEO_SYNC(0); debug_video_o( 23) <= CRC_PAR_DATA_IMGVALID_OUT; debug_video_o( 24) <= CRC_PAR_DATA_BLACKVALID_OUT; debug_video_o( 25) <= CRC_PAR_DATA_CRCVALID_OUT; debug_video_o( 26) <= CRC_PAR_DATA_LINE_OUT; debug_video_o( 27) <= CRC_PAR_DATA_FRAME_OUT; debug_video_o( 28) <= CRC_START_KERNEL; debug_video_o( 29) <= CRC_KERNEL_ODD_EVEN; debug_video_o( 30) <= clk; debug_video_o( 31) <= '0'; end if; end process; debug_triggen_l : process (clk) begin if Rising_Edge(clk) then debug_triggen_o( 2 downto 0) <= host_triggen_enable; debug_triggen_o( 3) <= host_triggen_sync2readout(0); debug_triggen_o( 4) <= host_triggen_readouttrigger; debug_triggen_o( 5) <= trigger1; debug_triggen_o( 6) <= '0'; --readouttrigger_d2; debug_triggen_o( 9 downto 7) <= vita_trigger_o; end if; end process; end rtl;
gpl-3.0
CprE488/Final
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_2/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_pctrl.vhd
1
18511
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL sim_done_d1 : STD_LOGIC := '0'; SIGNAL sim_done_wr1 : STD_LOGIC := '0'; SIGNAL sim_done_wr2 : STD_LOGIC := '0'; SIGNAL empty_d1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL state_rd_dom1 : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '0'; SIGNAL rd_en_wr1 : STD_LOGIC := '0'; SIGNAL wr_en_d1 : STD_LOGIC := '0'; SIGNAL wr_en_rd1 : STD_LOGIC := '0'; SIGNAL full_chk_d1 : STD_LOGIC := '0'; SIGNAL full_chk_rd1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom3 : STD_LOGIC := '0'; SIGNAL rd_en_wr2 : STD_LOGIC := '0'; SIGNAL wr_en_rd2 : STD_LOGIC := '0'; SIGNAL full_chk_rd2 : STD_LOGIC := '0'; SIGNAL reset_en_d1 : STD_LOGIC := '0'; SIGNAL reset_en_rd1 : STD_LOGIC := '0'; SIGNAL reset_en_rd2 : STD_LOGIC := '0'; SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; SIGNAL data_chk_rd1 : STD_LOGIC := '0'; SIGNAL data_chk_rd2 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 100 ns; PRC_RD_EN <= prc_re_i AFTER 50 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- ----------------------------------------------------- -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN empty_wr_dom1 <= '1'; empty_wr_dom2 <= '1'; state_d1 <= '0'; wr_en_d1 <= '0'; rd_en_wr1 <= '0'; rd_en_wr2 <= '0'; full_chk_d1 <= '0'; reset_en_d1 <= '0'; sim_done_wr1 <= '0'; sim_done_wr2 <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN sim_done_wr1 <= sim_done_d1; sim_done_wr2 <= sim_done_wr1; reset_en_d1 <= reset_en_i; state_d1 <= state; empty_wr_dom1 <= empty_d1; empty_wr_dom2 <= empty_wr_dom1; wr_en_d1 <= wr_en_i; rd_en_wr1 <= rd_en_d1; rd_en_wr2 <= rd_en_wr1; full_chk_d1 <= full_chk_i; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_d1 <= '1'; state_rd_dom1 <= '0'; state_rd_dom2 <= '0'; state_rd_dom3 <= '0'; wr_en_rd1 <= '0'; wr_en_rd2 <= '0'; rd_en_d1 <= '0'; full_chk_rd1 <= '0'; full_chk_rd2 <= '0'; reset_en_rd1 <= '0'; reset_en_rd2 <= '0'; sim_done_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN sim_done_d1 <= sim_done_i; reset_en_rd1 <= reset_en_d1; reset_en_rd2 <= reset_en_rd1; empty_d1 <= EMPTY; rd_en_d1 <= rd_en_i; state_rd_dom1 <= state_d1; state_rd_dom2 <= state_rd_dom1; state_rd_dom3 <= state_rd_dom2; wr_en_rd1 <= wr_en_d1; wr_en_rd2 <= wr_en_rd1; full_chk_rd1 <= full_chk_d1; full_chk_rd2 <= full_chk_rd1; END IF; END PROCESS; RESET_EN <= reset_en_rd2; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_wr2 = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_vdma_0_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_rd2 = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND empty_wr_dom2 = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(empty_wr_dom2 = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
gpl-3.0
CprE488/Final
system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg.vhd
1
11785
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_exdes IS PORT ( CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(5-1 DOWNTO 0); DOUT : OUT std_logic_vector(5-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg; PACKAGE BODY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/afifo_32_k7.vhd
1
10438
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2011 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file afifo_32_k7.vhd when simulating -- the core, afifo_32_k7. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY afifo_32_k7 IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END afifo_32_k7; ARCHITECTURE afifo_32_k7_a OF afifo_32_k7 IS -- synthesis translate_off COMPONENT wrapped_afifo_32_k7 PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_afifo_32_k7 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 4, c_default_value => "BlankString", c_din_width => 32, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 32, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "kintex7", c_full_flags_rst_val => 1, c_has_almost_empty => 0, c_has_almost_full => 0, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 2, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 1, c_preload_regs => 0, c_prim_fifo_type => "512x36", c_prog_empty_thresh_assert_val => 2, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 3, c_prog_empty_type => 0, c_prog_empty_type_axis => 5, c_prog_empty_type_rach => 5, c_prog_empty_type_rdch => 5, c_prog_empty_type_wach => 5, c_prog_empty_type_wdch => 5, c_prog_empty_type_wrch => 5, c_prog_full_thresh_assert_val => 13, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 12, c_prog_full_type => 0, c_prog_full_type_axis => 5, c_prog_full_type_rach => 5, c_prog_full_type_rdch => 5, c_prog_full_type_wach => 5, c_prog_full_type_wdch => 5, c_prog_full_type_wrch => 5, c_rach_type => 0, c_rd_data_count_width => 4, c_rd_depth => 16, c_rd_freq => 1, c_rd_pntr_width => 4, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 4, c_wr_depth => 16, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 4, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_afifo_32_k7 PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, empty => empty ); -- synthesis translate_on END afifo_32_k7_a;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/pulse_regen_s6/simulation/fg_tb_rng.vhd
3
3978
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY fg_tb_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF fg_tb_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
gpl-3.0
victor1994y/BipedRobot_byFPGA
Project_BipedRobot.srcs/sources_1/ip/clk_bluetooth/clk_bluetooth_sim_netlist.vhdl
1
7684
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 -- Date : Tue Aug 15 11:27:48 2017 -- Host : ACER-BLUES running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- D:/Design_Project/E_elements/Project_BipedRobot/Project_BipedRobot.srcs/sources_1/ip/clk_bluetooth/clk_bluetooth_sim_netlist.vhdl -- Design : clk_bluetooth -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_bluetooth_clk_bluetooth_clk_wiz is port ( clk_txd : out STD_LOGIC; clk_rxd : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_bluetooth_clk_bluetooth_clk_wiz : entity is "clk_bluetooth_clk_wiz"; end clk_bluetooth_clk_bluetooth_clk_wiz; architecture STRUCTURE of clk_bluetooth_clk_bluetooth_clk_wiz is signal clk_in1_clk_bluetooth : STD_LOGIC; signal clk_rxd_clk_bluetooth : STD_LOGIC; signal clk_txd_clk_bluetooth : STD_LOGIC; signal clkfbout_buf_clk_bluetooth : STD_LOGIC; signal clkfbout_clk_bluetooth : STD_LOGIC; signal reset_high : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_bluetooth, O => clkfbout_buf_clk_bluetooth ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_clk_bluetooth ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_txd_clk_bluetooth, O => clk_txd ); clkout2_buf: unisim.vcomponents.BUFG port map ( I => clk_rxd_clk_bluetooth, O => clk_rxd ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 20.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 20, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_bluetooth, CLKFBOUT => clkfbout_clk_bluetooth, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_clk_bluetooth, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_txd_clk_bluetooth, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => clk_rxd_clk_bluetooth, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset_high ); mmcm_adv_inst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => resetn, O => reset_high ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_bluetooth is port ( clk_txd : out STD_LOGIC; clk_rxd : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_bluetooth : entity is true; end clk_bluetooth; architecture STRUCTURE of clk_bluetooth is begin inst: entity work.clk_bluetooth_clk_bluetooth_clk_wiz port map ( clk_in1 => clk_in1, clk_rxd => clk_rxd, clk_txd => clk_txd, locked => locked, resetn => resetn ); end STRUCTURE;
gpl-3.0
CprE488/Final
system/pcores/led_pwm_v1_00_a/hdl/vhdl/testbench/tb_pwn.vhd
1
3112
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:53:44 11/19/2014 -- Design Name: -- Module Name: /home/vens/classes/Fall2014/cpre488/labs/final/Final/system/pcores/led_pwm_v1_00_a/hdl/vhdl/testbench/tb_pwn.vhd -- Project Name: led_pwm -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: pwm -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY tb_pwn IS END tb_pwn; ARCHITECTURE behavior OF tb_pwn IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT pwm PORT( clk : IN std_logic; rst : IN std_logic; enable : IN std_logic; top : IN std_logic_vector(31 downto 0); duty_cycle : IN std_logic_vector(31 downto 0); output : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal enable : std_logic := '0'; signal top : std_logic_vector(31 downto 0) := (others => '0'); signal duty_cycle : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal output : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: pwm PORT MAP ( clk => clk, rst => rst, enable => enable, top => top, duty_cycle => duty_cycle, output => output ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. rst <= '1'; enable <= '1'; top <= std_logic_vector(to_unsigned(65536, 32)); duty_cycle <= std_logic_vector(to_unsigned(32768, 32)); wait for 100 ns; rst <= '0'; wait for clk_period*500000; duty_cycle <= std_logic_vector(to_unsigned(100, 32)); wait for clk_period*500000; duty_cycle <= std_logic_vector(to_unsigned(60000, 32)); wait for clk_period*500000; duty_cycle <= std_logic_vector(to_unsigned(32768, 32)); enable <= '0'; wait for clk_period*100000; enable <= '1'; wait for clk_period*100000; -- insert stimulus here wait; end process; END;
gpl-3.0
CprE488/Final
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_1/simulation/system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_synth.vhd
1
11114
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL data_count : STD_LOGIC_VECTOR(7-1 DOWNTO 0); SIGNAL wr_ack : STD_LOGIC; SIGNAL valid : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL srst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(75-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(75-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(75-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(75-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; SIGNAL rst_sync_rd1 : STD_LOGIC := '0'; SIGNAL rst_sync_rd2 : STD_LOGIC := '0'; SIGNAL rst_sync_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Synchronous reset generation for FIFO core PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_sync_rd1 <= RESET; rst_sync_rd2 <= rst_sync_rd1; rst_sync_rd3 <= rst_sync_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ srst <= rst_sync_rd3 OR rst_s_rd AFTER 50 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; fg_dg_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_dgen GENERIC MAP ( C_DIN_WIDTH => 75, C_DOUT_WIDTH => 75, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_dverif GENERIC MAP ( C_DOUT_WIDTH => 75, C_DIN_WIDTH => 75, C_USE_EMBEDDED_REG => 1, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 75, C_DIN_WIDTH => 75, C_WR_PNTR_WIDTH => 7, C_RD_PNTR_WIDTH => 7, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_3_1_exdes PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, WR_ACK => wr_ack, VALID => valid, ALMOST_EMPTY => almost_empty, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
gpl-3.0
victor1994y/BipedRobot_byFPGA
Project_BipedRobot.srcs/sources_1/ip/fifo_EEPROM/fifo_EEPROM_sim_netlist.vhdl
1
197215
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 -- Date : Thu Aug 24 05:36:23 2017 -- Host : ACER-BLUES running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- D:/Design_Project/E_elements/Project_BipedRobot/Project_BipedRobot.srcs/sources_1/ip/fifo_EEPROM/fifo_EEPROM_sim_netlist.vhdl -- Design : fifo_EEPROM -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_prim_wrapper is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end fifo_EEPROM_blk_mem_gen_prim_wrapper; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_prim_wrapper is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_1\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_10\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_11\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_12\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_13\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_16\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_17\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_18\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_19\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_2\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_20\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_21\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_24\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_25\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_26\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_27\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_28\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_29\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_3\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_4\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_5\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_8\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_9\ : STD_LOGIC; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 36, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 36 ) port map ( ADDRARDADDR(13 downto 11) => B"000", ADDRARDADDR(10 downto 5) => ADDRARDADDR(5 downto 0), ADDRARDADDR(4 downto 0) => B"00000", ADDRBWRADDR(13 downto 11) => B"000", ADDRBWRADDR(10 downto 5) => Q(5 downto 0), ADDRBWRADDR(4 downto 0) => B"00000", CLKARDCLK => rd_clk, CLKBWRCLK => wr_clk, DIADI(15 downto 10) => B"000000", DIADI(9 downto 8) => din(3 downto 2), DIADI(7 downto 2) => B"000000", DIADI(1 downto 0) => din(1 downto 0), DIBDI(15 downto 10) => B"000000", DIBDI(9 downto 8) => din(7 downto 6), DIBDI(7 downto 2) => B"000000", DIBDI(1 downto 0) => din(5 downto 4), DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"00", DOADO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_0\, DOADO(14) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_1\, DOADO(13) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_2\, DOADO(12) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_3\, DOADO(11) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_4\, DOADO(10) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_5\, DOADO(9 downto 8) => dout(3 downto 2), DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_8\, DOADO(6) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_9\, DOADO(5) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_10\, DOADO(4) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_11\, DOADO(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_12\, DOADO(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_13\, DOADO(1 downto 0) => dout(1 downto 0), DOBDO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_16\, DOBDO(14) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_17\, DOBDO(13) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_18\, DOBDO(12) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_19\, DOBDO(11) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_20\, DOBDO(10) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_21\, DOBDO(9 downto 8) => dout(7 downto 6), DOBDO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_24\, DOBDO(6) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_25\, DOBDO(5) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_26\, DOBDO(4) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_27\, DOBDO(3) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_28\, DOBDO(2) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_29\, DOBDO(1 downto 0) => dout(5 downto 4), DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_32\, DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_33\, DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_34\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_n_35\, ENARDEN => tmp_ram_rd_en, ENBWREN => WEBWE(0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => \out\(0), RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"00", WEBWE(3) => WEBWE(0), WEBWE(2) => WEBWE(0), WEBWE(1) => WEBWE(0), WEBWE(0) => WEBWE(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_rd_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\ : out STD_LOGIC_VECTOR ( 4 downto 0 ); ram_empty_fb_i_reg : out STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_rd_bin_cntr : entity is "rd_bin_cntr"; end fifo_EEPROM_rd_bin_cntr; architecture STRUCTURE of fifo_EEPROM_rd_bin_cntr is signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 5 downto 0 ); signal ram_empty_i_i_5_n_0 : STD_LOGIC; signal ram_empty_i_i_6_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[1]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[1]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[4]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of ram_empty_i_i_5 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of ram_empty_i_i_6 : label is "soft_lutpair6"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5 downto 0); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\(4 downto 0) <= \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(4 downto 0); Q(5 downto 0) <= \^q\(5 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), I4 => \^q\(3), I5 => \^q\(5), O => plusOp(5) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(0), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(1), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(2), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(3), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(4), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => \^q\(5), Q => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => E(0), D => plusOp(0), PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(3), Q => \^q\(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(4), Q => \^q\(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => E(0), CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), D => plusOp(5), Q => \^q\(5) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(0), I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(1), O => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(1), I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(2), O => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(2), I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(3), O => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(2) ); \gnxpm_cdc.rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(3), I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(4), O => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(3) ); \gnxpm_cdc.rd_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(4), I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5), O => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(4) ); ram_empty_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"8200008200000000" ) port map ( I0 => ram_empty_i_i_5_n_0, I1 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(0), I2 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(0), I3 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(1), I4 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(1), I5 => ram_empty_i_i_6_n_0, O => ram_empty_fb_i_reg ); ram_empty_i_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(2), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(3), O => ram_empty_i_i_5_n_0 ); ram_empty_i_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram_0\(4), I1 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(4), I2 => \^device_7series.no_bmm_info.sdp.wide_prim18.ram\(5), I3 => \gnxpm_cdc.wr_pntr_bin_reg[5]\(5), O => ram_empty_i_i_6_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_rd_status_flags_as is port ( empty : out STD_LOGIC; \out\ : out STD_LOGIC; tmp_ram_rd_en : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[0]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_rd_status_flags_as : entity is "rd_status_flags_as"; end fifo_EEPROM_rd_status_flags_as; architecture STRUCTURE of fifo_EEPROM_rd_status_flags_as is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin empty <= ram_empty_i; \out\ <= ram_empty_fb_i; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => ram_empty_fb_i, I1 => rd_en, I2 => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), O => tmp_ram_rd_en ); \gc0.count_d1[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => ram_empty_fb_i, O => E(0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gc0.count_reg[0]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \gc0.count_reg[0]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_synchronizer_ff is port ( \out\ : out STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_synchronizer_ff : entity is "synchronizer_ff"; end fifo_EEPROM_synchronizer_ff; architecture STRUCTURE of fifo_EEPROM_synchronizer_ff is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_synchronizer_ff_0 is port ( \out\ : out STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_synchronizer_ff_0 : entity is "synchronizer_ff"; end fifo_EEPROM_synchronizer_ff_0; architecture STRUCTURE of fifo_EEPROM_synchronizer_ff_0 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_synchronizer_ff_1 is port ( AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; rd_clk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_synchronizer_ff_1 : entity is "synchronizer_ff"; end fifo_EEPROM_synchronizer_ff_1; architecture STRUCTURE of fifo_EEPROM_synchronizer_ff_1 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_synchronizer_ff_2 is port ( AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; wr_clk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_synchronizer_ff_2 : entity is "synchronizer_ff"; end fifo_EEPROM_synchronizer_ff_2; architecture STRUCTURE of fifo_EEPROM_synchronizer_ff_2 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \fifo_EEPROM_synchronizer_ff__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \fifo_EEPROM_synchronizer_ff__parameterized0\ : entity is "synchronizer_ff"; end \fifo_EEPROM_synchronizer_ff__parameterized0\; architecture STRUCTURE of \fifo_EEPROM_synchronizer_ff__parameterized0\ is signal Q_reg : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; begin D(5 downto 0) <= Q_reg(5 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(5), Q => Q_reg(5) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \fifo_EEPROM_synchronizer_ff__parameterized0_3\ is port ( D : out STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); wr_clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \fifo_EEPROM_synchronizer_ff__parameterized0_3\ : entity is "synchronizer_ff"; end \fifo_EEPROM_synchronizer_ff__parameterized0_3\; architecture STRUCTURE of \fifo_EEPROM_synchronizer_ff__parameterized0_3\ is signal Q_reg : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; begin D(5 downto 0) <= Q_reg(5 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => Q(5), Q => Q_reg(5) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \fifo_EEPROM_synchronizer_ff__parameterized0_4\ is port ( \out\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \Q_reg_reg[5]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \fifo_EEPROM_synchronizer_ff__parameterized0_4\ : entity is "synchronizer_ff"; end \fifo_EEPROM_synchronizer_ff__parameterized0_4\; architecture STRUCTURE of \fifo_EEPROM_synchronizer_ff__parameterized0_4\ is signal Q_reg : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; begin \out\(5 downto 0) <= Q_reg(5 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[5]_0\(5), Q => Q_reg(5) ); \gnxpm_cdc.wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(4), I1 => Q_reg(3), I2 => Q_reg(5), O => D(0) ); \gnxpm_cdc.wr_pntr_bin[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(4), I1 => Q_reg(5), O => D(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \fifo_EEPROM_synchronizer_ff__parameterized0_5\ is port ( \out\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \Q_reg_reg[5]_0\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); wr_clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \fifo_EEPROM_synchronizer_ff__parameterized0_5\ : entity is "synchronizer_ff"; end \fifo_EEPROM_synchronizer_ff__parameterized0_5\; architecture STRUCTURE of \fifo_EEPROM_synchronizer_ff__parameterized0_5\ is signal Q_reg : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[4]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[4]\ : label is "yes"; attribute msgon of \Q_reg_reg[4]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[5]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[5]\ : label is "yes"; attribute msgon of \Q_reg_reg[5]\ : label is "true"; begin \out\(5 downto 0) <= Q_reg(5 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(3), Q => Q_reg(3) ); \Q_reg_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(4), Q => Q_reg(4) ); \Q_reg_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \Q_reg_reg[5]_0\(5), Q => Q_reg(5) ); \gnxpm_cdc.rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => Q_reg(4), I1 => Q_reg(3), I2 => Q_reg(5), O => D(0) ); \gnxpm_cdc.rd_pntr_bin[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(4), I1 => Q_reg(5), O => D(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_wr_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); ram_full_i_reg : out STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_rst_busy : in STD_LOGIC; \out\ : in STD_LOGIC; wr_en : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_wr_bin_cntr : entity is "wr_bin_cntr"; end fifo_EEPROM_wr_bin_cntr; architecture STRUCTURE of fifo_EEPROM_wr_bin_cntr is signal \gwas.wsts/comp1\ : STD_LOGIC; signal \gwas.wsts/comp2\ : STD_LOGIC; signal p_13_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal ram_full_i_i_4_n_0 : STD_LOGIC; signal ram_full_i_i_5_n_0 : STD_LOGIC; signal ram_full_i_i_6_n_0 : STD_LOGIC; signal ram_full_i_i_7_n_0 : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gic0.gc0.count[1]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of ram_full_i_i_4 : label is "soft_lutpair10"; begin \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => wr_pntr_plus2(0), O => \plusOp__0\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), O => \plusOp__0\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => wr_pntr_plus2(0), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(2), O => \plusOp__0\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => wr_pntr_plus2(1), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(2), I3 => wr_pntr_plus2(3), O => \plusOp__0\(3) ); \gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => wr_pntr_plus2(2), I1 => wr_pntr_plus2(0), I2 => wr_pntr_plus2(1), I3 => wr_pntr_plus2(3), I4 => wr_pntr_plus2(4), O => \plusOp__0\(4) ); \gic0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => wr_pntr_plus2(3), I1 => wr_pntr_plus2(1), I2 => wr_pntr_plus2(0), I3 => wr_pntr_plus2(2), I4 => wr_pntr_plus2(4), I5 => wr_pntr_plus2(5), O => \plusOp__0\(5) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => wr_pntr_plus2(0), PRE => AR(0), Q => p_13_out(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => wr_pntr_plus2(1), Q => p_13_out(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => wr_pntr_plus2(2), Q => p_13_out(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => wr_pntr_plus2(3), Q => p_13_out(3) ); \gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => wr_pntr_plus2(4), Q => p_13_out(4) ); \gic0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => wr_pntr_plus2(5), Q => p_13_out(5) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(0), Q => Q(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(1), Q => Q(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(2), Q => Q(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(3), Q => Q(3) ); \gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(4), Q => Q(4) ); \gic0.gc0.count_d2_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => p_13_out(5), Q => Q(5) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(0), Q => wr_pntr_plus2(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => E(0), D => \plusOp__0\(1), PRE => AR(0), Q => wr_pntr_plus2(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(2), Q => wr_pntr_plus2(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(3), Q => wr_pntr_plus2(3) ); \gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(4), Q => wr_pntr_plus2(4) ); \gic0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(5), Q => wr_pntr_plus2(5) ); ram_full_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"55550400" ) port map ( I0 => wr_rst_busy, I1 => \gwas.wsts/comp2\, I2 => \out\, I3 => wr_en, I4 => \gwas.wsts/comp1\, O => ram_full_i_reg ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000009009" ) port map ( I0 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(5), I1 => wr_pntr_plus2(5), I2 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(4), I3 => wr_pntr_plus2(4), I4 => ram_full_i_i_4_n_0, I5 => ram_full_i_i_5_n_0, O => \gwas.wsts/comp2\ ); ram_full_i_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000009009" ) port map ( I0 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(5), I1 => p_13_out(5), I2 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(4), I3 => p_13_out(4), I4 => ram_full_i_i_6_n_0, I5 => ram_full_i_i_7_n_0, O => \gwas.wsts/comp1\ ); ram_full_i_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => wr_pntr_plus2(1), I1 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(1), I2 => wr_pntr_plus2(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(0), O => ram_full_i_i_4_n_0 ); ram_full_i_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => wr_pntr_plus2(3), I1 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(3), I2 => wr_pntr_plus2(2), I3 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(2), O => ram_full_i_i_5_n_0 ); ram_full_i_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => p_13_out(1), I1 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(1), I2 => p_13_out(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(0), O => ram_full_i_i_6_n_0 ); ram_full_i_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"6FF6" ) port map ( I0 => p_13_out(3), I1 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(3), I2 => p_13_out(2), I3 => \gnxpm_cdc.rd_pntr_bin_reg[5]\(2), O => ram_full_i_i_7_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_wr_status_flags_as is port ( full : out STD_LOGIC; \out\ : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; wr_clk : in STD_LOGIC; \grstd1.grst_full.grst_f.rst_d2_reg\ : in STD_LOGIC; wr_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_wr_status_flags_as : entity is "wr_status_flags_as"; end fifo_EEPROM_wr_status_flags_as; architecture STRUCTURE of fifo_EEPROM_wr_status_flags_as is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin full <= ram_full_i; \out\ <= ram_full_fb_i; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \grstd1.grst_full.grst_f.rst_d3_reg\, PRE => \grstd1.grst_full.grst_f.rst_d2_reg\, Q => ram_full_fb_i ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \grstd1.grst_full.grst_f.rst_d3_reg\, PRE => \grstd1.grst_full.grst_f.rst_d2_reg\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_prim_width is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end fifo_EEPROM_blk_mem_gen_prim_width; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.fifo_EEPROM_blk_mem_gen_prim_wrapper port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_clk_x_pntrs is port ( \out\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); ram_empty_fb_i_reg : out STD_LOGIC; ram_empty_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 5 downto 0 ); ram_full_i_reg : out STD_LOGIC_VECTOR ( 5 downto 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); \gc0.count_d1_reg[0]\ : in STD_LOGIC; rd_en : in STD_LOGIC; ram_empty_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d2_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); wr_clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_clk_x_pntrs : entity is "clk_x_pntrs"; end fifo_EEPROM_clk_x_pntrs; architecture STRUCTURE of fifo_EEPROM_clk_x_pntrs is signal \__2_n_0\ : STD_LOGIC; signal \__3_n_0\ : STD_LOGIC; signal \__4_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \^out\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_0_out : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^ram_empty_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal ram_empty_i_i_2_n_0 : STD_LOGIC; signal ram_empty_i_i_4_n_0 : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 5 downto 0 ); signal wr_pntr_gc : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__0\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \__3\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \__4\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[3]_i_1\ : label is "soft_lutpair2"; begin \out\(5 downto 0) <= \^out\(5 downto 0); ram_empty_fb_i_reg_0(5 downto 0) <= \^ram_empty_fb_i_reg_0\(5 downto 0); \__0\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => \^out\(3), I1 => \^out\(1), I2 => \^out\(2), I3 => \^out\(5), I4 => \^out\(4), O => gray2bin(1) ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => \^out\(3), I1 => \^out\(2), I2 => \^out\(5), I3 => \^out\(4), O => gray2bin(2) ); \__2\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => p_6_out(2), I1 => p_6_out(0), I2 => p_6_out(1), I3 => p_6_out(5), I4 => p_6_out(3), I5 => p_6_out(4), O => \__2_n_0\ ); \__3\: unisim.vcomponents.LUT5 generic map( INIT => X"96696996" ) port map ( I0 => p_6_out(3), I1 => p_6_out(1), I2 => p_6_out(2), I3 => p_6_out(5), I4 => p_6_out(4), O => \__3_n_0\ ); \__4\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_6_out(3), I1 => p_6_out(2), I2 => p_6_out(5), I3 => p_6_out(4), O => \__4_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\fifo_EEPROM_synchronizer_ff__parameterized0\ port map ( D(5 downto 0) => p_3_out(5 downto 0), Q(5 downto 0) => wr_pntr_gc(5 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), rd_clk => rd_clk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\fifo_EEPROM_synchronizer_ff__parameterized0_3\ port map ( AR(0) => AR(0), D(5 downto 0) => p_4_out(5 downto 0), Q(5 downto 0) => rd_pntr_gc(5 downto 0), wr_clk => wr_clk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\fifo_EEPROM_synchronizer_ff__parameterized0_4\ port map ( D(1) => p_0_out, D(0) => gray2bin(3), \Q_reg_reg[5]_0\(5 downto 0) => p_3_out(5 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(5 downto 0) => \^out\(5 downto 0), rd_clk => rd_clk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\fifo_EEPROM_synchronizer_ff__parameterized0_5\ port map ( AR(0) => AR(0), D(1) => \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6\, D(0) => \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7\, \Q_reg_reg[5]_0\(5 downto 0) => p_4_out(5 downto 0), \out\(5 downto 0) => p_6_out(5 downto 0), wr_clk => wr_clk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => ram_full_i_reg(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \__3_n_0\, Q => ram_full_i_reg(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \__4_n_0\, Q => ram_full_i_reg(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7\, Q => ram_full_i_reg(3) ); \gnxpm_cdc.rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6\, Q => ram_full_i_reg(4) ); \gnxpm_cdc.rd_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => p_6_out(5), Q => ram_full_i_reg(5) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(0), Q => rd_pntr_gc(0) ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(1), Q => rd_pntr_gc(1) ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(2), Q => rd_pntr_gc(2) ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(3), Q => rd_pntr_gc(3) ); \gnxpm_cdc.rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(4), Q => rd_pntr_gc(4) ); \gnxpm_cdc.rd_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[5]\(5), Q => rd_pntr_gc(5) ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \^ram_empty_fb_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(1), Q => \^ram_empty_fb_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(2), Q => \^ram_empty_fb_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(3), Q => \^ram_empty_fb_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_out, Q => \^ram_empty_fb_i_reg_0\(4) ); \gnxpm_cdc.wr_pntr_bin_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(5), Q => \^ram_empty_fb_i_reg_0\(5) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[5]\(0), I1 => \gic0.gc0.count_d2_reg[5]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[5]\(1), I1 => \gic0.gc0.count_d2_reg[5]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[5]\(2), I1 => \gic0.gc0.count_d2_reg[5]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[5]\(3), I1 => \gic0.gc0.count_d2_reg[5]\(4), O => bin2gray(3) ); \gnxpm_cdc.wr_pntr_gc[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[5]\(4), I1 => \gic0.gc0.count_d2_reg[5]\(5), O => bin2gray(4) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => wr_pntr_gc(0) ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => wr_pntr_gc(1) ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => wr_pntr_gc(2) ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => bin2gray(3), Q => wr_pntr_gc(3) ); \gnxpm_cdc.wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => bin2gray(4), Q => wr_pntr_gc(4) ); \gnxpm_cdc.wr_pntr_gc_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[5]\(5), Q => wr_pntr_gc(5) ); ram_empty_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF82000082" ) port map ( I0 => ram_empty_i_i_2_n_0, I1 => Q(0), I2 => \^ram_empty_fb_i_reg_0\(0), I3 => Q(1), I4 => \^ram_empty_fb_i_reg_0\(1), I5 => \gc0.count_d1_reg[0]\, O => ram_empty_fb_i_reg ); ram_empty_i_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"00008200" ) port map ( I0 => ram_empty_i_i_4_n_0, I1 => \^ram_empty_fb_i_reg_0\(5), I2 => Q(5), I3 => rd_en, I4 => ram_empty_fb_i_reg_1, O => ram_empty_i_i_2_n_0 ); ram_empty_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_fb_i_reg_0\(4), I1 => Q(4), I2 => \^ram_empty_fb_i_reg_0\(3), I3 => Q(3), I4 => Q(2), I5 => \^ram_empty_fb_i_reg_0\(2), O => ram_empty_i_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_rd_logic is port ( empty : out STD_LOGIC; \out\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); tmp_ram_rd_en : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\ : out STD_LOGIC_VECTOR ( 4 downto 0 ); ram_empty_fb_i_reg : out STD_LOGIC; \gc0.count_reg[0]\ : in STD_LOGIC; rd_clk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_rd_logic : entity is "rd_logic"; end fifo_EEPROM_rd_logic; architecture STRUCTURE of fifo_EEPROM_rd_logic is signal p_7_out : STD_LOGIC; begin \gras.rsts\: entity work.fifo_EEPROM_rd_status_flags_as port map ( E(0) => p_7_out, empty => empty, \gc0.count_reg[0]\ => \gc0.count_reg[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1 downto 0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1 downto 0), \out\ => \out\, rd_clk => rd_clk, rd_en => rd_en, tmp_ram_rd_en => tmp_ram_rd_en ); rpntr: entity work.fifo_EEPROM_rd_bin_cntr port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5 downto 0), \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\(4 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\(4 downto 0), E(0) => p_7_out, Q(5 downto 0) => Q(5 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[5]\(5 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[5]\(5 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1), ram_empty_fb_i_reg => ram_empty_fb_i_reg, rd_clk => rd_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_reset_blk_ramfifo is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end fifo_EEPROM_reset_blk_ramfifo; architecture STRUCTURE of fifo_EEPROM_reset_blk_ramfifo is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\ : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); wr_rst_busy <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.fifo_EEPROM_synchronizer_ff port map ( in0(0) => rd_rst_asreg, \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\, \out\ => p_7_out, rd_clk => rd_clk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.fifo_EEPROM_synchronizer_ff_0 port map ( in0(0) => wr_rst_asreg, \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\, \out\ => p_8_out, wr_clk => wr_clk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.fifo_EEPROM_synchronizer_ff_1 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, in0(0) => rd_rst_asreg, \out\ => p_7_out, rd_clk => rd_clk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.fifo_EEPROM_synchronizer_ff_2 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, in0(0) => wr_rst_asreg, \out\ => p_8_out, wr_clk => wr_clk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => rd_clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => wr_clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => wr_clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_wr_logic is port ( full : out STD_LOGIC; WEBWE : out STD_LOGIC_VECTOR ( 0 to 0 ); Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); wr_clk : in STD_LOGIC; \out\ : in STD_LOGIC; wr_en : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_rst_busy : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_wr_logic : entity is "wr_logic"; end fifo_EEPROM_wr_logic; architecture STRUCTURE of fifo_EEPROM_wr_logic is signal \^webwe\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gwas.wsts_n_1\ : STD_LOGIC; signal wpntr_n_6 : STD_LOGIC; begin WEBWE(0) <= \^webwe\(0); \gwas.wsts\: entity work.fifo_EEPROM_wr_status_flags_as port map ( E(0) => \^webwe\(0), full => full, \grstd1.grst_full.grst_f.rst_d2_reg\ => \out\, \grstd1.grst_full.grst_f.rst_d3_reg\ => wpntr_n_6, \out\ => \gwas.wsts_n_1\, wr_clk => wr_clk, wr_en => wr_en ); wpntr: entity work.fifo_EEPROM_wr_bin_cntr port map ( AR(0) => AR(0), E(0) => \^webwe\(0), Q(5 downto 0) => Q(5 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[5]\(5 downto 0) => \gnxpm_cdc.rd_pntr_bin_reg[5]\(5 downto 0), \out\ => \gwas.wsts_n_1\, ram_full_i_reg => wpntr_n_6, wr_clk => wr_clk, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_generic_cstr is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end fifo_EEPROM_blk_mem_gen_generic_cstr; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.fifo_EEPROM_blk_mem_gen_prim_width port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_top is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_top : entity is "blk_mem_gen_top"; end fifo_EEPROM_blk_mem_gen_top; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_top is begin \valid.cstr\: entity work.fifo_EEPROM_blk_mem_gen_generic_cstr port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_v8_3_6_synth is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_v8_3_6_synth : entity is "blk_mem_gen_v8_3_6_synth"; end fifo_EEPROM_blk_mem_gen_v8_3_6_synth; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_v8_3_6_synth is begin \gnbram.gnativebmg.native_blk_mem_gen\: entity work.fifo_EEPROM_blk_mem_gen_top port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_blk_mem_gen_v8_3_6 is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_blk_mem_gen_v8_3_6 : entity is "blk_mem_gen_v8_3_6"; end fifo_EEPROM_blk_mem_gen_v8_3_6; architecture STRUCTURE of fifo_EEPROM_blk_mem_gen_v8_3_6 is begin inst_blk_mem_gen: entity work.fifo_EEPROM_blk_mem_gen_v8_3_6_synth port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_memory is port ( dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; WEBWE : in STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); ADDRARDADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); Q : in STD_LOGIC_VECTOR ( 5 downto 0 ); din : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_memory : entity is "memory"; end fifo_EEPROM_memory; architecture STRUCTURE of fifo_EEPROM_memory is begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.fifo_EEPROM_blk_mem_gen_v8_3_6 port map ( ADDRARDADDR(5 downto 0) => ADDRARDADDR(5 downto 0), Q(5 downto 0) => Q(5 downto 0), WEBWE(0) => WEBWE(0), din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => \out\(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_fifo_generator_ramfifo is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end fifo_EEPROM_fifo_generator_ramfifo; architecture STRUCTURE of fifo_EEPROM_fifo_generator_ramfifo is signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_10\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_11\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_12\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_13\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_14\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_20\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_1\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_22_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal p_2_out : STD_LOGIC; signal p_5_out : STD_LOGIC_VECTOR ( 5 downto 0 ); signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 5 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal \^wr_rst_busy\ : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin wr_rst_busy <= \^wr_rst_busy\; \gntv_or_sync_fifo.gcx.clkx\: entity work.fifo_EEPROM_clk_x_pntrs port map ( AR(0) => wr_rst_i(0), D(0) => gray2bin(0), Q(5 downto 0) => rd_pntr_plus1(5 downto 0), \gc0.count_d1_reg[0]\ => \gntv_or_sync_fifo.gl0.rd_n_20\, \gc0.count_d1_reg[5]\(5) => p_0_out_0(5), \gc0.count_d1_reg[5]\(4) => \gntv_or_sync_fifo.gl0.rd_n_10\, \gc0.count_d1_reg[5]\(3) => \gntv_or_sync_fifo.gl0.rd_n_11\, \gc0.count_d1_reg[5]\(2) => \gntv_or_sync_fifo.gl0.rd_n_12\, \gc0.count_d1_reg[5]\(1) => \gntv_or_sync_fifo.gl0.rd_n_13\, \gc0.count_d1_reg[5]\(0) => \gntv_or_sync_fifo.gl0.rd_n_14\, \gic0.gc0.count_d2_reg[5]\(5 downto 0) => p_12_out(5 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(5 downto 0) => p_5_out(5 downto 0), ram_empty_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_fb_i_reg_0(5 downto 0) => p_22_out(5 downto 0), ram_empty_fb_i_reg_1 => p_2_out, ram_full_i_reg(5 downto 0) => p_23_out(5 downto 0), rd_clk => rd_clk, rd_en => rd_en, wr_clk => wr_clk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT6 generic map( INIT => X"6996966996696996" ) port map ( I0 => p_5_out(2), I1 => p_5_out(0), I2 => p_5_out(1), I3 => p_5_out(5), I4 => p_5_out(3), I5 => p_5_out(4), O => gray2bin(0) ); \gntv_or_sync_fifo.gl0.rd\: entity work.fifo_EEPROM_rd_logic port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(5) => p_0_out_0(5), \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(4) => \gntv_or_sync_fifo.gl0.rd_n_10\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(3) => \gntv_or_sync_fifo.gl0.rd_n_11\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(2) => \gntv_or_sync_fifo.gl0.rd_n_12\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(1) => \gntv_or_sync_fifo.gl0.rd_n_13\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram\(0) => \gntv_or_sync_fifo.gl0.rd_n_14\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM18.ram_0\(4 downto 0) => p_0_out_0(4 downto 0), Q(5 downto 0) => rd_pntr_plus1(5 downto 0), empty => empty, \gc0.count_reg[0]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[5]\(5 downto 0) => p_22_out(5 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(1) => rd_rst_i(2), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => rd_rst_i(0), \out\ => p_2_out, ram_empty_fb_i_reg => \gntv_or_sync_fifo.gl0.rd_n_20\, rd_clk => rd_clk, rd_en => rd_en, tmp_ram_rd_en => tmp_ram_rd_en ); \gntv_or_sync_fifo.gl0.wr\: entity work.fifo_EEPROM_wr_logic port map ( AR(0) => wr_rst_i(1), Q(5 downto 0) => p_12_out(5 downto 0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_1\, full => full, \gnxpm_cdc.rd_pntr_bin_reg[5]\(5 downto 0) => p_23_out(5 downto 0), \out\ => rst_full_ff_i, wr_clk => wr_clk, wr_en => wr_en, wr_rst_busy => \^wr_rst_busy\ ); \gntv_or_sync_fifo.mem\: entity work.fifo_EEPROM_memory port map ( ADDRARDADDR(5 downto 0) => p_0_out_0(5 downto 0), Q(5 downto 0) => p_12_out(5 downto 0), WEBWE(0) => \gntv_or_sync_fifo.gl0.wr_n_1\, din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), \out\(0) => rd_rst_i(0), rd_clk => rd_clk, tmp_ram_rd_en => tmp_ram_rd_en, wr_clk => wr_clk ); rstblk: entity work.fifo_EEPROM_reset_blk_ramfifo port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, \out\(1 downto 0) => wr_rst_i(1 downto 0), rd_clk => rd_clk, rst => rst, wr_clk => wr_clk, wr_rst_busy => \^wr_rst_busy\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_fifo_generator_top is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_fifo_generator_top : entity is "fifo_generator_top"; end fifo_EEPROM_fifo_generator_top; architecture STRUCTURE of fifo_EEPROM_fifo_generator_top is begin \grf.rf\: entity work.fifo_EEPROM_fifo_generator_ramfifo port map ( din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_fifo_generator_v13_1_4_synth is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; wr_en : in STD_LOGIC; rd_clk : in STD_LOGIC; wr_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); rst : in STD_LOGIC; rd_en : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_fifo_generator_v13_1_4_synth : entity is "fifo_generator_v13_1_4_synth"; end fifo_EEPROM_fifo_generator_v13_1_4_synth; architecture STRUCTURE of fifo_EEPROM_fifo_generator_v13_1_4_synth is begin \gconvfifo.rf\: entity work.fifo_EEPROM_fifo_generator_top port map ( din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM_fifo_generator_v13_1_4 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 5 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 5 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 5 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 5 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 5 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 5 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 5 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 5 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 6; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 8; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 8; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 61; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 60; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 6; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 64; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 6; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 6; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 64; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 6; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of fifo_EEPROM_fifo_generator_v13_1_4 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of fifo_EEPROM_fifo_generator_v13_1_4 : entity is "fifo_generator_v13_1_4"; end fifo_EEPROM_fifo_generator_v13_1_4; architecture STRUCTURE of fifo_EEPROM_fifo_generator_v13_1_4 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.fifo_EEPROM_fifo_generator_v13_1_4_synth port map ( din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), empty => empty, full => full, rd_clk => rd_clk, rd_en => rd_en, rst => rst, wr_clk => wr_clk, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity fifo_EEPROM is port ( rst : in STD_LOGIC; wr_clk : in STD_LOGIC; rd_clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 7 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 7 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of fifo_EEPROM : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of fifo_EEPROM : entity is "fifo_EEPROM,fifo_generator_v13_1_4,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of fifo_EEPROM : entity is "yes"; attribute x_core_info : string; attribute x_core_info of fifo_EEPROM : entity is "fifo_generator_v13_1_4,Vivado 2017.1"; end fifo_EEPROM; architecture STRUCTURE of fifo_EEPROM is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 6; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 8; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 1; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 8; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 2; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 61; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 60; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 6; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 64; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 6; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 6; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 64; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 6; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.fifo_EEPROM_fifo_generator_v13_1_4 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9 downto 0) => B"0000000000", axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9 downto 0) => B"0000000000", axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9 downto 0) => B"0000000000", axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9 downto 0) => B"0000000000", axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(5 downto 0) => NLW_U0_data_count_UNCONNECTED(5 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(7 downto 0) => din(7 downto 0), dout(7 downto 0) => dout(7 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1 downto 0) => B"00", m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1 downto 0) => B"00", m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(5 downto 0) => B"000000", prog_empty_thresh_assert(5 downto 0) => B"000000", prog_empty_thresh_negate(5 downto 0) => B"000000", prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(5 downto 0) => B"000000", prog_full_thresh_assert(5 downto 0) => B"000000", prog_full_thresh_negate(5 downto 0) => B"000000", rd_clk => rd_clk, rd_data_count(5 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(5 downto 0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arcache(3 downto 0) => B"0000", s_axi_arid(0) => '0', s_axi_arlen(7 downto 0) => B"00000000", s_axi_arlock(0) => '0', s_axi_arprot(2 downto 0) => B"000", s_axi_arqos(3 downto 0) => B"0000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => B"000", s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awcache(3 downto 0) => B"0000", s_axi_awid(0) => '0', s_axi_awlen(7 downto 0) => B"00000000", s_axi_awlock(0) => '0', s_axi_awprot(2 downto 0) => B"000", s_axi_awqos(3 downto 0) => B"0000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => B"000", s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7 downto 0) => B"00000000", s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => wr_clk, wr_data_count(5 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(5 downto 0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
gpl-3.0
CprE488/Final
system/implementation/system_axi_vdma_0_wrapper_fifo_generator_v9_1_1/example_design/system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_exdes.vhd
1
5718
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_exdes is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(11-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(11-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(20-1 DOWNTO 0); DOUT : OUT std_logic_vector(20-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_exdes; architecture xilinx of system_axi_vdma_0_wrapper_fifo_generator_v9_1_1_exdes is signal wr_clk_i : std_logic; signal rd_clk_i : std_logic; component system_axi_vdma_0_wrapper_fifo_generator_v9_1_1 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(11-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(11-1 DOWNTO 0); RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(20-1 DOWNTO 0); DOUT : OUT std_logic_vector(20-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); exdes_inst : system_axi_vdma_0_wrapper_fifo_generator_v9_1_1 PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, WR_DATA_COUNT => wr_data_count, RD_DATA_COUNT => rd_data_count, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/pulse_regen_s6/simulation/fg_tb_dgen.vhd
3
4633
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF fg_tb_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 12 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:fg_tb_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/netlist/afifo_64i_16o_k7_ste/example_design/afifo_64i_16o_k7_top.vhd
1
19646
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.2 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: <componenet name>_top.vhd -- -- Description: -- This is the actual FIFO core wrapper. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity afifo_64i_16o_k7_top is PORT ( CLK : IN STD_LOGIC; BACKUP : IN STD_LOGIC; BACKUP_MARKER : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(64-1 downto 0); PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(14-1 downto 0); PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(12-1 downto 0); PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(12-1 downto 0); PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(12-1 downto 0); RD_CLK : IN STD_LOGIC; RD_EN : IN STD_LOGIC; RD_RST : IN STD_LOGIC; RST : IN STD_LOGIC; SRST : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; WR_EN : IN STD_LOGIC; WR_RST : IN STD_LOGIC; INJECTDBITERR : IN STD_LOGIC; INJECTSBITERR : IN STD_LOGIC; ALMOST_EMPTY : OUT STD_LOGIC; ALMOST_FULL : OUT STD_LOGIC; DATA_COUNT : OUT STD_LOGIC_VECTOR(12-1 downto 0); DOUT : OUT STD_LOGIC_VECTOR(16-1 downto 0); EMPTY : OUT STD_LOGIC; FULL : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; PROG_EMPTY : OUT STD_LOGIC; PROG_FULL : OUT STD_LOGIC; VALID : OUT STD_LOGIC; RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(14-1 downto 0); UNDERFLOW : OUT STD_LOGIC; WR_ACK : OUT STD_LOGIC; WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(12-1 downto 0); SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; -- AXI Global Signal M_ACLK : IN std_logic; S_ACLK : IN std_logic; S_ARESETN : IN std_logic; M_ACLK_EN : IN std_logic; S_ACLK_EN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic; S_AXIS_TREADY : OUT std_logic; S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TLAST : IN std_logic; S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0); S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic; M_AXIS_TREADY : IN std_logic; M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TLAST : OUT std_logic; M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0); M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic; AXI_AW_INJECTDBITERR : IN std_logic; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_SBITERR : OUT std_logic; AXI_AW_DBITERR : OUT std_logic; AXI_AW_OVERFLOW : OUT std_logic; AXI_AW_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic; AXI_W_INJECTDBITERR : IN std_logic; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_SBITERR : OUT std_logic; AXI_W_DBITERR : OUT std_logic; AXI_W_OVERFLOW : OUT std_logic; AXI_W_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic; AXI_B_INJECTDBITERR : IN std_logic; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_SBITERR : OUT std_logic; AXI_B_DBITERR : OUT std_logic; AXI_B_OVERFLOW : OUT std_logic; AXI_B_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic; AXI_AR_INJECTDBITERR : IN std_logic; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_SBITERR : OUT std_logic; AXI_AR_DBITERR : OUT std_logic; AXI_AR_OVERFLOW : OUT std_logic; AXI_AR_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic; AXI_R_INJECTDBITERR : IN std_logic; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_SBITERR : OUT std_logic; AXI_R_DBITERR : OUT std_logic; AXI_R_OVERFLOW : OUT std_logic; AXI_R_UNDERFLOW : OUT std_logic; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic; AXIS_INJECTDBITERR : IN std_logic; AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_SBITERR : OUT std_logic; AXIS_DBITERR : OUT std_logic; AXIS_OVERFLOW : OUT std_logic; AXIS_UNDERFLOW : OUT std_logic); end afifo_64i_16o_k7_top; architecture xilinx of afifo_64i_16o_k7_top is SIGNAL WR_CLK_i : std_logic; SIGNAL RD_CLK_i : std_logic; component afifo_64i_16o_k7 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(64-1 DOWNTO 0); DOUT : OUT std_logic_vector(16-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin fg0 : afifo_64i_16o_k7 port map ( WR_CLK => WR_CLK_i, RD_CLK => RD_CLK_i, RST => RST, WR_EN => WR_EN, RD_EN => RD_EN, DIN => DIN, DOUT => DOUT, FULL => FULL, EMPTY => EMPTY); wr_clk_buf: bufg PORT map( i => WR_CLK, o => WR_CLK_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => RD_CLK_i ); end xilinx;
gpl-3.0
CprE488/Final
repository/ProcessorIPLib/pcores/fmc_imageon_vita_receiver_v1_13_a/hdl/vhdl/syncchanneldecoder.vhd
1
37489
-- ********************************************************************* -- Copyright 2008, Cypress Semiconductor Corporation. -- -- This software is owned by Cypress Semiconductor Corporation (Cypress) -- and is protected by United States copyright laws and international -- treaty provisions. Therefore, you must treat this software like any -- other copyrighted material (e.g., book, or musical recording), with -- the exception that one copy may be made for personal use or -- evaluation. Reproduction, modification, translation, compilation, or -- representation of this software in any other form (e.g., paper, -- magnetic, optical, silicon, etc.) is prohibited without the express -- written permission of Cypress. -- -- Disclaimer: Cypress makes no warranty of any kind, express or -- implied, with regard to this material, including, but not limited to, -- the implied warranties of merchantability and fitness for a particular -- purpose. Cypress reserves the right to make changes without further -- notice to the materials described herein. Cypress does not assume any -- liability arising out of the application or use of any product or -- circuit described herein. Cypress' products described herein are not -- authorized for use as components in life-support devices. -- -- This software is protected by and subject to worldwide patent -- coverage, including U.S. and foreign patents. Use may be limited by -- and subject to the Cypress Software License Agreement. -- -- ********************************************************************* -- Author : $Author: fwi $ @ cypress.com -- Department : MPD_BE -- Date : $Date: 2010-07-02 09:41:24 +0200 (vr, 02 jul 2010) $ -- Revision : $Revision: 531 $ -- ********************************************************************* -- Description -- -- ********************************************************************* --common: --------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_signed.all; --user: ----------- library work; use work.all; ----------------------- -- ENTITY DEFINITION -- ----------------------- entity syncchanneldecoder is generic ( NROF_CONN : integer; DATAWIDTH : integer; NROF_WINDOWS : integer ); port ( -- Control signals CLOCK : in std_logic; RESET : in std_logic; -- Internal signaling en_decoder : in std_logic; --busy_decoder : out std_logic; PAR_DATA_RDEN : out std_logic; PAR_DATA_EMPTY : in std_logic; PAR_DATAIN : in std_logic_vector((NROF_CONN*DATAWIDTH)-1 downto 0); PAR_SYNCOUT : out std_logic_vector((DATAWIDTH)-1 downto 0); PAR_DATAOUT : out std_logic_vector(((NROF_CONN-1)*DATAWIDTH)-1 downto 0); PAR_DATA_IMGVALID : out std_logic; PAR_DATA_BLACKVALID : out std_logic; PAR_DATA_LINE : out std_logic; PAR_DATA_FRAME : out std_logic; KERNEL_ODD_EVEN : out std_logic; START_KERNEL : out std_logic; StartOddEven : in std_logic_vector(31 downto 0); LS_value : in std_logic_vector(9 downto 0); LE_value : in std_logic_vector(9 downto 0); FS_value : in std_logic_vector(9 downto 0); FE_value : in std_logic_vector(9 downto 0); BL_value : in std_logic_vector(9 downto 0); IMG_value : in std_logic_vector(9 downto 0); TR_value : in std_logic_vector(9 downto 0); CRC_value : in std_logic_vector(9 downto 0); -- synchro signals framestart : out std_logic; windowstart : out std_logic; windowend : out std_logic; linestart : out std_logic; lineend : out std_logic; blacklinestart : out std_logic; blacklineend : out std_logic; imagelinestart : out std_logic; imagelineend : out std_logic; validcrc : out std_logic; -- counters FramesCnt : out std_logic_vector(31 downto 0); -- lines/frame counter BlackLinesCnt : out std_logic_vector(31 downto 0); ImgLinesCnt : out std_logic_vector(31 downto 0); -- pixels/frame counter BlackPixelCnt : out std_logic_vector(31 downto 0); ImgPixelCnt : out std_logic_vector(31 downto 0); -- windows/frame counter WindowsCnt : out std_logic_vector(31 downto 0); -- clocks/frame counter -> fps ClocksCnt : out std_logic_vector(31 downto 0); StartLineCnt : out std_logic_vector(31 downto 0); EndLineCnt : out std_logic_vector(31 downto 0); -- monitors MONITOR : in std_logic_vector(1 downto 0); Monitor0HighCnt : out std_logic_vector(31 downto 0); Monitor0LowCnt : out std_logic_vector(31 downto 0); Monitor1HighCnt : out std_logic_vector(31 downto 0); Monitor1LowCnt : out std_logic_vector(31 downto 0) ); end syncchanneldecoder; --------------------------- -- BEHAVIOUR DESCRIPTION -- --------------------------- architecture rtl of syncchanneldecoder is alias SyncChannel : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAIN((DATAWIDTH-1) downto 0); alias DataChannels : std_logic_vector(((NROF_CONN-1)*DATAWIDTH-1) downto 0) is PAR_DATAIN((NROF_CONN*DATAWIDTH-1) downto DATAWIDTH); --debug only --inp alias DataChannel0 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAIN((DATAWIDTH-1)+1*DATAWIDTH downto 1*DATAWIDTH); alias DataChannel1 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAIN((DATAWIDTH-1)+2*DATAWIDTH downto 2*DATAWIDTH); alias DataChannel2 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAIN((DATAWIDTH-1)+3*DATAWIDTH downto 3*DATAWIDTH); alias DataChannel3 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAIN((DATAWIDTH-1)+4*DATAWIDTH downto 4*DATAWIDTH); --outp alias ParOutChannel0 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAOUT((DATAWIDTH-1)+0*DATAWIDTH downto 0*DATAWIDTH); alias ParOutChannel1 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAOUT((DATAWIDTH-1)+1*DATAWIDTH downto 1*DATAWIDTH); alias ParOutChannel2 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAOUT((DATAWIDTH-1)+2*DATAWIDTH downto 2*DATAWIDTH); alias ParOutChannel3 : std_logic_vector((DATAWIDTH-1) downto 0) is PAR_DATAOUT((DATAWIDTH-1)+3*DATAWIDTH downto 3*DATAWIDTH); type SyncDelayPipetp is array (0 to 5) of std_logic_vector((DATAWIDTH-1) downto 0); signal SyncDelayPipe : SyncDelayPipetp; type DataDelayPipetp is array (0 to SyncDelayPipe'high) of std_logic_vector(((NROF_CONN-1)*DATAWIDTH-1) downto 0); signal DataDelayPipe : DataDelayPipetp; signal DataValidPipe : std_logic_vector(0 to 5); constant zeros : std_logic_vector((DATAWIDTH-1) downto 0) := (others => '0'); --signal extstartframe : std_logic; --signal intstartframe : std_logic; signal startframe : std_logic; signal startwindow : std_logic; signal endwindow : std_logic; signal startwindowid : std_logic_vector(1023 downto 0); signal endwindowid : std_logic_vector(1023 downto 0); signal windowid : std_logic_vector((DATAWIDTH-1) downto 0); signal startline : std_logic; signal endline : std_logic; signal startblackline : std_logic; signal endblackline : std_logic; signal startimageline : std_logic; signal endimageline : std_logic; signal blackdatavalid : std_logic; signal imgdatavalid : std_logic; signal datavalid : std_logic; signal crcvalid : std_logic; signal en_valid : std_logic; --signal firststartframe : std_logic; --signal nextstartframe : std_logic; signal StartLineCntr : std_logic_vector(31 downto 0); signal EndLineCntr : std_logic_vector(31 downto 0); signal rst_cntrs : std_logic; signal decode : std_logic; --signal dec : std_logic; signal enpipe : std_logic_vector(15 downto 0); signal syncvalid : std_logic; signal syncvalid_r : std_logic; -- framescounter signal FramesCntr : std_logic_vector(31 downto 0); -- lines/frame counter signal BlackLinesCntr : std_logic_vector(31 downto 0); signal ImgLinesCntr : std_logic_vector(31 downto 0); -- pixels/frame counter signal BlackPixelCntr : std_logic_vector(31 downto 0); signal ImgPixelCntr : std_logic_vector(31 downto 0); -- windows/frame counter signal WindowsCntr : std_logic_vector(31 downto 0); -- clocks/frame counter -> fps signal ClocksCntr : std_logic_vector(31 downto 0); --signal ClocksCnt : std_logic_vector(31 downto 0); type DataStatetp is ( Idle, Valid ); signal BlackDataState : DataStatetp; signal ImgDataState : DataStatetp; type DecoderEnablerStatetp is ( Idle, DetectEnableStart, --DetectFirstFrameStart, Enabled ); signal DecoderEnablerState : DecoderEnablerStatetp; type blacklinecntstatetp is ( WaitFirstBlackLine, CountBlackLines ); signal blacklinecntstate : blacklinecntstatetp; signal Monitor0HighCntr : std_logic_vector(31 downto 0); signal Monitor0LowCntr : std_logic_vector(31 downto 0); signal Monitor1HighCntr : std_logic_vector(31 downto 0); signal Monitor1LowCntr : std_logic_vector(31 downto 0); signal monitor_rising : std_logic_vector(1 downto 0); signal monitor_falling : std_logic_vector(1 downto 0); type Monitor_synctp is array (2 downto 0) of std_logic_vector(1 downto 0); signal Monitor_sync : Monitor_synctp; begin PAR_DATA_RDEN <= enpipe(4); en_valid <= enpipe(15) and en_decoder; syncvalid <= not PAR_DATA_EMPTY; syncvalid_r <= DataValidPipe(0); EnPipePr: process(RESET, CLOCK) begin if (RESET = '1') then enpipe <= (others => '0'); elsif (CLOCK'event and CLOCK = '1') then enpipe(0) <= en_decoder; for i in 0 to enpipe'high-1 loop enpipe(i+1) <= enpipe(i); end loop; end if; end process; DataPipe: process(RESET, CLOCK) begin if (RESET = '1') then SyncDelayPipe <= (others => (others => '0')); PAR_SYNCOUT <= (others => '0'); -- DataDelayPipe doesnt need reset state DataValidPipe <= (others => '0'); PAR_DATA_LINE <= '0'; PAR_DATA_FRAME <= '0'; elsif (CLOCK'event and CLOCK = '1') then PAR_DATA_LINE <= (startimageline or startblackline) and syncvalid_r; --needs one cycle delay PAR_DATA_FRAME <= startframe and syncvalid_r; if (PAR_DATA_EMPTY = '0') then SyncDelayPipe(0) <= SyncChannel; DataDelayPipe(0) <= DataChannels; for i in 0 to (SyncDelayPipe'high-1) loop SyncDelayPipe(i+1) <= SyncDelayPipe(i); DataDelayPipe(i+1) <= DataDelayPipe(i); end loop; end if; PAR_DATAOUT <= DataDelayPipe(3); PAR_SYNCOUT <= SyncDelayPipe(3); for i in 0 to (DataValidPipe'high-1) loop DataValidPipe(i+1) <= DataValidPipe(i); end loop; DataValidPipe(0) <= not PAR_DATA_EMPTY; end if; end process; PAR_DATA_IMGVALID <= imgdatavalid and DataValidPipe(1); PAR_DATA_BLACKVALID <= blackdatavalid and DataValidPipe(1); framestart <= startframe; windowstart <= startwindow; windowend <= endwindow; linestart <= startline; lineend <= endline; blacklinestart <= startblackline; blacklineend <= endblackline; imagelinestart <= startimageline; imagelineend <= endimageline; validcrc <= crcvalid and DataValidPipe(1); -- counters FramesCnt <= FramesCntr; -- lines/frame count BlackLinesCnt <= BlackLinesCntr; ImgLinesCnt <= ImgLinesCntr; -- pixels/frame count BlackPixelCnt <= BlackPixelCntr; ImgPixelCnt <= ImgPixelCntr; -- windows/frame count WindowsCnt <= WindowsCntr; -- StartLineCnt <= StartLineCntr; EndLineCnt <= EndLineCntr; --extstartframe <= nextstartframe or firststartframe; Decoder: process(RESET, CLOCK) begin if (RESET = '1') then startframe <= '0'; startwindow <= '0'; endwindow <= '0'; startline <= '0'; startblackline <= '0'; startimageline <= '0'; endline <= '0'; endblackline <= '0'; endimageline <= '0'; datavalid <= '0'; blackdatavalid <= '0'; imgdatavalid <= '0'; crcvalid <= '0'; -- StartFrameState <= Idle; BlackDataState <= Idle; windowid <= (others => '0'); startwindowid <= (others => '0'); endwindowid <= (others => '0'); -- firststartframe <= '0'; -- nextstartframe <= '0'; decode <= '0'; elsif (CLOCK'event and CLOCK = '1') then -- detect framestart by: -- 1. first looking for a linestart from a blackline -- 2. then look for the first framestart available --framedetection for decoder enabler -- startframe is detected 2 clks before internal startframe, should be enough --firststartframe <= '0'; if (en_valid = '1') then --if (syncvalid = '1') then case DecoderEnablerState is when Idle => decode <= '0'; if (SyncDelayPipe(2) = LS_value(9 downto (10-DATAWIDTH)) and SyncDelayPipe(0) = BL_value(9 downto (10-DATAWIDTH))) then DecoderEnablerState <= DetectEnableStart; end if; when DetectEnableStart => if (SyncDelayPipe(0) = FS_value(9 downto (10-DATAWIDTH))) then decode <= '1'; --firststartframe <= '1'; DecoderEnablerState <= Enabled; end if; when Enabled => decode <= '1'; when others => DecoderEnablerState <= Idle; end case; --end if; else decode <= '0'; DecoderEnablerState <= Idle; end if; if (decode = '1') then startframe <= '0'; if (SyncDelayPipe(2) = FS_value(9 downto (10-DATAWIDTH)) and SyncDelayPipe(1) = zeros(9 downto (10-DATAWIDTH) )) then startframe <= '1'; end if; else startframe <= '0'; end if; -- frameend detect, not feasible without software... --start window detection if (decode = '1') then startwindow <= '0'; startwindowid <= (others => '0'); if (SyncDelayPipe(2) = FS_value(9 downto (10-DATAWIDTH))) then startwindow <= '1'; startwindowid( TO_INTEGER(UNSIGNED(SyncDelayPipe(1))) ) <= '1'; windowid <= SyncDelayPipe(1); end if; else startwindow <= '0'; startwindowid <= (others => '0'); end if; --end window detection if (decode = '1') then endwindow <= '0'; endwindowid <= (others => '0'); if (SyncDelayPipe(4) = FE_value(9 downto (10-DATAWIDTH))) then endwindow <= '1'; endwindowid(TO_INTEGER(UNSIGNED(SyncDelayPipe(3))) ) <= '1'; end if; else endwindowid <= (others => '0'); endwindow <= '0'; end if; -- start line pulse generation --startline is detected every startline code passes if (decode = '1') then startline <= '0'; if (SyncDelayPipe(2) = LS_value(9 downto (10-DATAWIDTH))) then startline <= '1'; end if; else startline <= '0'; end if; --startblackline is detected after every combination of LS + dontcare + BL if (decode = '1') then startblackline <= '0'; if (SyncDelayPipe(2) = LS_value(9 downto (10-DATAWIDTH)) and SyncDelayPipe(0) = BL_value(9 downto (10-DATAWIDTH))) then startblackline <= '1'; end if; else startblackline <= '0'; end if; --startimageline is detected after either: -- 1. LS + dontcare + IMG (a normal line without a funny windowing exception) -- 2. LS + LS + dontcare (2 linestarts right after eachother -> 2 startimagelines will be detected) -- 3. LS + dontcare + LS (2 linestarts almost right after eachother -> 2 startimagelines will be detected -- 4. in theory 3 linestarts could follow eachother, this is not supported for now. -- 5. the same with FS in place of LS (ignore MSB) -- note: case 2 and case 4 are probably not possible if (decode = '1') then startimageline <= '0'; if ((SyncDelayPipe(2)(DATAWIDTH-2 downto 0) = LS_value(8 downto (10-DATAWIDTH)) and SyncDelayPipe(0) = IMG_value(9 downto (10-DATAWIDTH))) or (SyncDelayPipe(2)(DATAWIDTH-2 downto 0) = LS_value(8 downto (10-DATAWIDTH)) and SyncDelayPipe(1)(DATAWIDTH-2 downto 0) = LS_value(8 downto (10-DATAWIDTH))) or (SyncDelayPipe(2)(DATAWIDTH-2 downto 0) = LS_value(8 downto (10-DATAWIDTH)) and SyncDelayPipe(0)(DATAWIDTH-2 downto 0) = LS_value(8 downto (10-DATAWIDTH))) ) then startimageline <= '1'; end if; else startimageline <= '0'; end if; -- end line pulse generation -- endline is detected every endline code passes if (decode = '1') then endline <= '0'; if (SyncDelayPipe(4) = LE_value(9 downto (10-DATAWIDTH))) then endline <= '1'; end if; else endline <= '0'; end if; -- endblackline is detected after BL + LE if (decode = '1') then endblackline <= '0'; if (SyncDelayPipe(5) = BL_value(9 downto (10-DATAWIDTH)) and SyncDelayPipe(4) = LE_value(9 downto (10-DATAWIDTH))) then endblackline <= '1'; end if; else endblackline <= '0'; end if; -- endimageline is detected after either -- 1. IMG + LE -- 2. LE + LE -- 3 the same with frameend if (decode = '1') then endimageline <= '0'; if (SyncDelayPipe(5) = IMG_value(9 downto (10-DATAWIDTH)) and SyncDelayPipe(4)(DATAWIDTH-2 downto 0) = LE_value(8 downto (10-DATAWIDTH))) or (SyncDelayPipe(5)(DATAWIDTH-2 downto 0) = LE_value(8 downto (10-DATAWIDTH)) and SyncDelayPipe(4)(DATAWIDTH-2 downto 0) = LE_value(8 downto (10-DATAWIDTH))) then endimageline <= '1'; end if; else endimageline <= '0'; end if; -- data valid generation -- simple valid data, independant of black or img -- always valid except when TR and CRC are present datavalid <= '0'; if (decode = '1') then if (SyncDelayPipe(3) = TR_value(9 downto (10-DATAWIDTH)) or SyncDelayPipe(3) = CRC_value(9 downto (10-DATAWIDTH)) ) then datavalid <= '0'; else datavalid <= '1'; end if; end if; --black data valid -- black lines are non overlapping with other windows -> all blackline start/ blackline end pulses should be present if (decode = '1') then case BlackDataState is when Idle => if (startblackline = '1' and syncvalid_r = '1') then blackdatavalid <= '1'; BlackDataState <= Valid; else blackdatavalid <= '0'; end if; when Valid => if (endblackline = '1' and syncvalid_r = '1') then blackdatavalid <= '0'; BlackDataState <= Idle; else blackdatavalid <= '1'; end if; end case; else blackdatavalid <= '0'; BlackDataState <= Idle; end if; -- image data valid -> valid data and not black data = img data --img data valid --imgdatavalid <= datavalid and not blackdatavalid; if (decode = '1') then case ImgDataState is when Idle => if (startimageline = '1' and syncvalid_r = '1') then imgdatavalid <= '1'; ImgDataState <= Valid; else imgdatavalid <= '0'; end if; when Valid => if (endimageline = '1' and startimageline = '0' and syncvalid_r = '1') then imgdatavalid <= '0'; ImgDataState <= Idle; else imgdatavalid <= '1'; end if; end case; else imgdatavalid <= '0'; ImgDataState <= Idle; end if; -- CRC if (decode = '1') then crcvalid <= '0'; if (SyncDelayPipe(3) = CRC_value(9 downto (10-DATAWIDTH))) then crcvalid <= '1'; end if; else crcvalid <= '0'; end if; end if; end process; Counters: process(RESET, CLOCK) begin if (RESET = '1') then StartLineCntr <= (others => '0'); EndLineCntr <= (others => '0'); rst_cntrs <= '0'; FramesCntr <= (others => '0'); BlackLinesCntr <= (others => '0'); ImgLinesCntr <= (others => '0'); BlackPixelCntr <= (others => '0'); ImgPixelCntr <= (others => '0'); WindowsCntr <= (others => '0'); ClocksCntr <= (others => '0'); ClocksCnt <= (others => '0'); elsif (CLOCK'event and CLOCK = '1') then -- counter rst logic if (enpipe(0) = '1' and enpipe(1) = '0') then --rising edge rst_cntrs <= '1'; else rst_cntrs <= '0'; end if; -- startlinecounter (/readout) (including black lines) if (rst_cntrs = '1' ) then StartLineCntr <= (others => '0'); EndLineCntr <= (others => '0'); else if (syncvalid_r = '1') then if (startline = '1' and decode = '1') then StartLineCntr <= StartLineCntr + '1'; end if; if (endline = '1' and decode = '1') then EndLineCntr <= EndLineCntr + '1'; end if; end if; end if; -- framescounter if (rst_cntrs = '1') then FramesCntr <= (others => '0'); else if (syncvalid_r = '1') then if (startframe = '1' and decode = '1') then FramesCntr <= FramesCntr + '1'; end if; end if; end if; -- lines/frame counter -- counts total amount of blacklines if (rst_cntrs = '1') then BlackLinesCntr <= (others => '0'); blacklinecntstate <= WaitFirstBlackLine; else if (syncvalid_r = '1') then case blacklinecntstate is when WaitFirstBlackLine => if (startblackline = '1') then BlackLinesCntr <= X"00000001"; blacklinecntstate <= CountBlackLines; end if; when CountBlackLines => if (startblackline = '1') then BlackLinesCntr <= BlackLinesCntr + '1'; elsif (startimageline = '1') then blacklinecntstate <= WaitFirstBlackLine; end if; when others => blacklinecntstate <= WaitFirstBlackLine; end case; end if; end if; if (rst_cntrs = '1' or (startframe = '1' and decode = '1')) then ImgLinesCntr <= (others => '0'); else if (syncvalid_r = '1' and decode = '1') then if (startimageline = '1') then ImgLinesCntr <= ImgLinesCntr + '1'; end if; end if; end if; -- pixels/frame counter if (rst_cntrs = '1' or startblackline = '1') then BlackPixelCntr <= (others => '0'); else if (syncvalid_r = '1') then if (blackdatavalid = '1') then BlackPixelCntr <= BlackPixelCntr + '1'; end if; end if; end if; if (rst_cntrs = '1' or (startimageline = '1' and decode = '1')) then ImgPixelCntr <= (others => '0'); else if (syncvalid_r = '1' and decode = '1') then if (imgdatavalid = '1') then ImgPixelCntr <= ImgPixelCntr + '1'; end if; end if; end if; -- windows/frame counter if (rst_cntrs = '1') then WindowsCntr <= (others => '0'); elsif (startframe = '1' and decode = '1') then --equal to the first window start WindowsCntr <= X"00000001"; else if (syncvalid_r = '1' and decode = '1') then if (startwindow = '1') then WindowsCntr <= WindowsCntr + '1'; end if; end if; end if; -- clocks/frame counter -> fps if (startframe = '1' and syncvalid_r = '1') then ClocksCntr <= (others => '0'); ClocksCnt <= ClocksCntr; else ClocksCntr <= ClocksCntr + '1'; end if; end if; end process; -- should run sync to data Odd_Even_indication: process(RESET, CLOCK) begin if (RESET = '1') then KERNEL_ODD_EVEN <= '0'; START_KERNEL <= '0'; elsif(CLOCK = '1' and CLOCK'event) then START_KERNEL <= '0'; if (startwindow = '1') then KERNEL_ODD_EVEN <= StartOddEven(to_integer(unsigned(windowid(4 downto 0)))); START_KERNEL <= '1'; end if; end if; end process; -- monitor parser -- also used for lightsource triggering monitor_parser: process(RESET, CLOCK) begin if (RESET = '1') then Monitor0HighCntr <= (others => '0'); Monitor0LowCntr <= (others => '0'); Monitor1HighCntr <= (others => '0'); Monitor1LowCntr <= (others => '0'); Monitor0HighCnt <= (others => '0'); Monitor0LowCnt <= (others => '0'); Monitor1HighCnt <= (others => '0'); Monitor1LowCnt <= (others => '0'); for i in 0 to 1 loop monitor_rising(i) <= '0'; monitor_falling(i) <= '0'; end loop; Monitor_sync <= (others => (others => '0')); elsif(CLOCK = '1' and CLOCK'event) then --defaults Monitor_sync(0)(0) <= MONITOR(0); Monitor_sync(0)(1) <= MONITOR(1); for i in 0 to (Monitor_sync'high - 1) loop Monitor_sync(i+1) <= Monitor_sync(i); end loop; -- monitor counters for i in 0 to 1 loop --defaults monitor_rising(i) <= '0'; monitor_falling(i) <= '0'; if (decode = '1') then if (Monitor_sync(2)(i) = '0' and Monitor_sync(1)(i) = '1') then --rising edge monitor_rising(i) <= '1'; elsif (Monitor_sync(2)(0) = '1' and Monitor_sync(1)(i) = '0') then --falling edge monitor_falling(i) <= '1'; end if; end if; end loop; if (decode = '1') then if (monitor_rising(0) = '1') then Monitor0HighCnt <= Monitor0HighCntr; Monitor0HighCntr <= (others => '0'); elsif (Monitor_sync(2)(0) = '1') then Monitor0HighCntr <= Monitor0HighCntr + '1'; end if; if (monitor_falling(0) = '1') then Monitor0LowCnt <= Monitor0LowCntr; Monitor0LowCntr <= (others => '0'); elsif (Monitor_sync(2)(0) = '0') then Monitor0LowCntr <= Monitor0LowCntr + '1'; end if; if (monitor_rising(1) = '1') then Monitor1HighCnt <= Monitor1HighCntr; Monitor1HighCntr <= (others => '0'); elsif (Monitor_sync(2)(1) = '1') then Monitor1HighCntr <= Monitor1HighCntr + '1'; end if; if (monitor_falling(1) = '1') then Monitor1LowCnt <= Monitor1LowCntr; Monitor1LowCntr <= (others => '0'); elsif (Monitor_sync(2)(1) = '0') then Monitor1LowCntr <= Monitor1LowCntr + '1'; end if; end if; end if; end process; end rtl;
gpl-3.0
miguelgarcia/sase2017-hls-video
hdmi_in/repo/digilent/ip/dvi2rgb_v1_6/src/DVI_Constants.vhd
26
3151
------------------------------------------------------------------------------- -- -- File: DVI_Constants.vhd -- Author: Elod Gyorgy -- Original Project: HDMI input on 7-series Xilinx FPGA -- Date: 8 October 2014 -- ------------------------------------------------------------------------------- -- (c) 2014 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- Purpose: -- This package defines constants/parameters taken from the DVI specs. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; package DVI_Constants is -- DVI Control Tokens constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100"; constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011"; constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100"; constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011"; constant kMinTknCntForBlank : natural := 128; --tB constant kBlankTimeoutMs : natural := 50; end DVI_Constants; package body DVI_Constants is end DVI_Constants;
gpl-3.0