Search is not available for this dataset
content
stringlengths
0
376M
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, <NAME> -- Copyright (C) 2015 - 2017, <NAME> -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: ddrpkg -- File: ddrpkg.vhd -- Author: <NAME> - <NAME> -- Description: Components and types for DDR SDRAM controllers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; package ddrpkg is type ddrctrl_in_type is record -- Data signals data : std_logic_vector (127 downto 0);-- data in cb : std_logic_vector(63 downto 0); -- checkbits in -- Bus/timing control signals datavalid : std_logic; -- Data-valid signal (DDR2,LPDDR2,LPDDR3) writereq : std_logic; -- Write-data request (LPDDR2,LPDDR3) -- Calibration and configuration regrdata : std_logic_vector(63 downto 0); -- PHY-specific reg in (DDR2) end record; constant ddrctrl_in_none : ddrctrl_in_type := ((others => '0'), (others => '0'), '0', '0', (others => '0')); type ddrctrl_out_type is record -- Control signals to memory sdcke : std_logic_vector ( 1 downto 0); -- clk en sdcsn : std_logic_vector ( 1 downto 0); -- chip sel sdwen : std_ulogic; -- write en (DDR1,DDR2,LPDDR1) rasn : std_ulogic; -- row addr stb (DDR1,DDR2,LPDDR1) casn : std_ulogic; -- col addr stb (DDR1,DDR2,LPDDR1) address : std_logic_vector(14 downto 0); -- address out (DDR1,DDR2,LPDDR1) ba : std_logic_vector (2 downto 0); -- bank address (DDR1,DDR2,LPDDR1) odt : std_logic_vector(1 downto 0); -- On Die Termination (DDR2,LPDDR3) ca : std_logic_vector(19 downto 0); -- Ctrl/Addr bus (LPDDR2,LPDDR3) -- Data signals data : std_logic_vector(127 downto 0); -- data out dqm : std_logic_vector(15 downto 0); -- data i/o mask cb : std_logic_vector(63 downto 0); -- checkbits cbdqm : std_logic_vector(7 downto 0); -- checkbits data mask -- Bus/timing control signals bdrive : std_ulogic; -- bus drive (DDR1,DDR2,LPDDR1) qdrive : std_ulogic; -- bus drive (DDR1,DDR2,LPDDR1) nbdrive : std_ulogic; -- bdrive 1 cycle early (DDR2) sdck : std_logic_vector(2 downto 0); -- Clock enable (DDR1,LPDDR1,LPDDR2,LPDDR3) moben : std_logic; -- Mobile DDR mode (DDR1/LPDDR1) oct : std_logic; -- On Chip Termination (DDR2) dqs_gate : std_logic; -- DQS gate control (DDR2) read_pend : std_logic_vector(7 downto 0); -- Read pending within 7...0 -- cycles (not including phy -- delays) (DDR2,LPDDR2,LPDDR3) wrpend : std_logic_vector(7 downto 0); -- Write pending (LPDDR2,LPDDR3) boot : std_ulogic; -- Boot clock selection (LPDDR2,LPDDR3) -- Calibration and configuration cal_en : std_logic_vector(7 downto 0); -- enable delay calibration (DDR2) cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay (DDR2) cal_pll : std_logic_vector(1 downto 0); -- (enable,inc/dec) pll phase (DDR2) cal_rst : std_logic; -- calibration reset (DDR2) conf : std_logic_vector(63 downto 0); -- Conf. interface (DDR1,LPDDR1) cbcal_en : std_logic_vector(3 downto 0); -- CB enable delay calib (DDR2) cbcal_inc : std_logic_vector(3 downto 0); -- CB inc/dec delay (DDR2) regwdata : std_logic_vector(63 downto 0); -- Reg Write data (DDR2) regwrite : std_logic_vector(1 downto 0); -- Reg write strobe (DDR2) -- Status outputs to front-end ce : std_ulogic; -- Error corrected end record; constant ddrctrl_out_none : ddrctrl_out_type := ((others => '0'), (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'), '0', '0', '0', (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0' ); ----------------------------------------------------------------------------- -- DDR2SPA types and components ----------------------------------------------------------------------------- -- DDR2 controller without PHY component ddr2spax is generic ( memtech : integer := 0; phytech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; ddrbits : integer := 32; burstlen : integer := 8; MHz : integer := 100; TRFC : integer := 130; col : integer := 9; Mbyte : integer := 8; pwron : integer := 0; oepol : integer := 0; readdly : integer := 1; odten : integer := 0; octen : integer := 0; dqsgating : integer := 0; nosync : integer := 0; eightbanks : integer range 0 to 1 := 0; -- Set to 1 if 8 banks instead of 4 dqsse : integer range 0 to 1 := 0; -- single ended DQS ddr_syncrst: integer range 0 to 1 := 0; ahbbits : integer := ahbdw; ft : integer range 0 to 1 := 0; bigmem : integer range 0 to 1 := 0; raspipe : integer range 0 to 1 := 0; hwidthen : integer range 0 to 1 := 0; rstdel : integer := 200; scantest : integer := 0; cke_rst : integer := 0; pipe_ctrl : integer := 0 ); port ( ddr_rst : in std_ulogic; ahb_rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in ddrctrl_in_type; sdo : out ddrctrl_out_type; hwidth : in std_ulogic ); end component; -- DDR2 controller with PHY component ddr2spa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; TRFC : integer := 130; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; readdly : integer := 1; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; octen : integer := 0; dqsgating : integer := 0; nosync : integer := 0; eightbanks : integer := 0; dqsse : integer range 0 to 1 := 0; burstlen : integer range 4 to 128 := 8; ahbbits : integer := ahbdw; ft : integer range 0 to 1 := 0; ftbits : integer := 0; bigmem : integer range 0 to 1 := 0; raspipe : integer range 0 to 1 := 0; nclk : integer range 1 to 3 := 3; scantest : integer := 0; ncs : integer := 2; cke_rst : integer := 0; pipe_ctrl : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; clkref200 : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector ((ddrbits+ftbits)/8-1 downto 0); -- ddr dqsn ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits+ftbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(1 downto 0); ce : out std_logic; oct_rdn : in std_logic := '0'; oct_rup : in std_logic := '0' ); end component; -- DDR2 PHY with just data or checkbits+data on same bus, including pads component ddr2phy_wrap_cbd is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; ctrl2en : integer := 0; resync : integer := 0; custombits : integer := 8; extraio : integer := 0; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (extraio+(dbits+padbits+chkbits)/8-1 downto 0);-- ddr dqs ddr_dqsn : inout std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic; oct_rdn : in std_logic := '0'; oct_rup : in std_logic := '0' ); end component; -- DDR2 PHY with just data or checkbits+data on same bus, not including pads component ddr2phy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2 ; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1: integer := 0; cbdelayb2: integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; odten : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; resync : integer := 0; custombits : integer := 8; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; -- DDR2 PHY with separate checkbit and data buses, including pads component ddr2phy_wrap generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0; ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0; ddelayb6 : integer := 0; ddelayb7 : integer := 0; cbdelayb0 : integer := 0; cbdelayb1 : integer := 0; cbdelayb2 : integer := 0; cbdelayb3 : integer := 0; numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0; eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; cben : integer := 0; chkbits : integer := 8; ctrl2en : integer := 0; resync : integer := 0; custombits : integer := 8; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkref200 : in std_logic; -- input 200MHz clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock returned clkresync : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dqs ddr_dqsn : inout std_logic_vector ((dbits+padbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); ddr_cbdm : out std_logic_vector(chkbits/8-1 downto 0); ddr_cbdqs : inout std_logic_vector(chkbits/8-1 downto 0); ddr_cbdqsn : inout std_logic_vector(chkbits/8-1 downto 0); ddr_cbdq : inout std_logic_vector(chkbits-1 downto 0); ddr_web2 : out std_ulogic; -- ddr write enable ddr_rasb2 : out std_ulogic; -- ddr ras ddr_casb2 : out std_ulogic; -- ddr cas ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; customclk : in std_ulogic; customdin : in std_logic_vector(custombits-1 downto 0); customdout : out std_logic_vector(custombits-1 downto 0); testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; ----------------------------------------------------------------------------- -- DDRSPA types and components ----------------------------------------------------------------------------- -- DDR/LPDDR controller, without PHY component ddr1spax is generic ( memtech : integer := 0; phytech : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; ddrbits : integer := 32; burstlen : integer := 8; MHz : integer := 100; col : integer := 9; Mbyte : integer := 8; pwron : integer := 0; oepol : integer := 0; nosync : integer := 0; ddr_syncrst: integer range 0 to 1 := 0; ahbbits : integer := ahbdw; mobile : integer := 0; confapi : integer := 0; conf0 : integer := 0; conf1 : integer := 0; regoutput : integer := 0; ft : integer := 0; ddr400 : integer := 1; rstdel : integer := 200; scantest : integer := 0 ); port ( ddr_rst : in std_ulogic; ahb_rst : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in ddrctrl_in_type; sdo : out ddrctrl_out_type ); end component; -- DDR/LPDDR controller with PHY component ddrspa generic ( fabtech : integer := 0; memtech : integer := 0; rskew : integer := 0; hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; MHz : integer := 100; clkmul : integer := 2; clkdiv : integer := 2; col : integer := 9; Mbyte : integer := 16; rstdel : integer := 200; pwron : integer := 0; oepol : integer := 0; ddrbits : integer := 16; ahbfreq : integer := 50; mobile : integer := 0; confapi : integer := 0; conf0 : integer := 0; conf1 : integer := 0; regoutput : integer range 0 to 1 := 0; ddr400 : integer := 1; scantest : integer := 0; phyiconf : integer := 0 ); port ( rst_ddr : in std_ulogic; rst_ahb : in std_ulogic; clk_ddr : in std_ulogic; clk_ahb : in std_ulogic; lock : out std_ulogic; -- DCM locked clkddro : out std_ulogic; -- DCM locked clkddri : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (ddrbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (ddrbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (ddrbits-1 downto 0) -- ddr data ); end component; -- DDR/LPDDR PHY, including pads component ddrphy_wrap generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; scantest : integer := 0; phyiconf : integer := 0); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; clkread : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0);-- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; -- DDR/LPDDR PHY with data and checkbits on same bus, including pads component ddrphy_wrap_cbd is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; chkbits : integer := 0; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; scantest : integer := 0; phyiconf : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkread : out std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; -- DDR/LPDDR PHY with data and checkbits on same bus, without pads component ddrphy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; padbits : integer := 0; clk_mul : integer := 2; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits : integer := 14; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; scantest : integer := 0 ); port ( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic ); end component; component lpddr2phy_wrap_cbd_wo_pads is generic ( tech : integer := virtex2; dbits : integer := 16; nclk : integer := 3; ncs : integer := 2; chkbits : integer := 0; padbits : integer := 0; scantest : integer := 0); port ( rst : in std_ulogic; clkin : in std_ulogic; -- input clock clkin2 : in std_ulogic; -- input clock clkout : out std_ulogic; -- system clock clkoutret : in std_ulogic; -- system clock return clkout2 : out std_ulogic; -- system clock lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_ca : out std_logic_vector(9 downto 0); -- ddr cmd/addr ddr_dm : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector ((dbits+padbits+chkbits)/8-1 downto 0); -- ddr dqs ddr_dq_in : in std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits+padbits+chkbits-1 downto 0); sdi : out ddrctrl_in_type; sdo : in ddrctrl_out_type; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic); end component; ----------------------------------------------------------------------------- -- Other components using DDRxSPA sub-components ----------------------------------------------------------------------------- type ddravl_slv_in_type is record burstbegin : std_ulogic; addr : std_logic_vector(31 downto 0); wdata : std_logic_vector(256 downto 0); be : std_logic_vector(32 downto 0); read_req : std_ulogic; write_req : std_ulogic; size : std_logic_vector(3 downto 0); end record; type ddravl_slv_out_type is record ready : std_ulogic; rdata_valid : std_ulogic; rdata : std_logic_vector(256 downto 0); end record; constant ddravl_slv_in_none: ddravl_slv_in_type := ('0',(others => '0'),(others => '0'),(others => '0'),'0','0',(others => '0')); component ahb2avl_async is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; burstlen : integer := 8; nosync : integer := 0; ahbbits : integer := ahbdw; avldbits : integer := 32; avlabits : integer := 20 ); port ( rst_ahb : in std_ulogic; clk_ahb : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; rst_avl : in std_ulogic; clk_avl : in std_ulogic; avlsi : out ddravl_slv_in_type; avlso : in ddravl_slv_out_type ); end component; ----------------------------------------------------------------------------- -- MIG wrappers / bridges ----------------------------------------------------------------------------- component ahb2mig_7series_ddr2_dq16_ad13_ba3 generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false); port( ddr2_dq : inout std_logic_vector(15 downto 0); ddr2_dqs_p : inout std_logic_vector(1 downto 0); ddr2_dqs_n : inout std_logic_vector(1 downto 0); ddr2_addr : out std_logic_vector(12 downto 0); ddr2_ba : out std_logic_vector(2 downto 0); ddr2_ras_n : out std_logic; ddr2_cas_n : out std_logic; ddr2_we_n : out std_logic; ddr2_reset_n : out std_logic; ddr2_ck_p : out std_logic_vector(0 downto 0); ddr2_ck_n : out std_logic_vector(0 downto 0); ddr2_cke : out std_logic_vector(0 downto 0); ddr2_cs_n : out std_logic_vector(0 downto 0); ddr2_dm : out std_logic_vector(1 downto 0); ddr2_odt : out std_logic_vector(0 downto 0); ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; sys_clk_i : in std_logic; clk_ref_i : in std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic); end component ; component ahb2mig_7series_ddr3_dq16_ad14_ba3 generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; maxwriteburst : integer := 8; maxreadburst : integer := 8; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port( ddr3_dq : inout std_logic_vector(15 downto 0); ddr3_dqs_p : inout std_logic_vector(1 downto 0); ddr3_dqs_n : inout std_logic_vector(1 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(1 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; sys_clk_i : in std_logic; clk_ref_i : in std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic ); end component ; component ahb2mig_7series_ddr3_dq16_ad15_ba3 generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; maxwriteburst : integer := 8; maxreadburst : integer := 8; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port( ddr3_dq : inout std_logic_vector(15 downto 0); ddr3_dqs_p : inout std_logic_vector(1 downto 0); ddr3_dqs_n : inout std_logic_vector(1 downto 0); ddr3_addr : out std_logic_vector(14 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(1 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; sys_clk_i : in std_logic; -- clk_ref_i : in std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic ); end component ; component ahb2mig_7series_ddr3_dq16 generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; maxwriteburst : integer := 8; maxreadburst : integer := 8; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; chipabits : integer := 14; -- number of address bits on the memory abits : integer := 28; -- number of bits needed to address all RAM memory banksbits : integer := 3; -- number of bank address bits USE_MIG_INTERFACE_MODEL : boolean := false ); port( ddr3_dq : inout std_logic_vector(15 downto 0); ddr3_dqs_p : inout std_logic_vector(1 downto 0); ddr3_dqs_n : inout std_logic_vector(1 downto 0); ddr3_addr : out std_logic_vector(chipabits-1 downto 0); ddr3_ba : out std_logic_vector(banksbits-1 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(1 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; sys_clk_i : in std_logic; -- clk_ref_i : in std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic ); end component; end package;
<gh_stars>10-100 entity test is file foo : bar open qux is "baz"; end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 08:44:25 09/21/2011 -- Design Name: -- Module Name: MUXforcmpaddress - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MUXforcmpaddress is port(clk,NRMsignalint:in std_logic; ap,Qaddressval,ap1,Qaddressval1,ap2,Qaddressval2,ap3,Qaddressval3:in std_logic_vector(8 downto 0); addrsstomin1,addrsstomin2,addrsstomin3,addrsstomin4:out std_logic_vector(8 downto 0)); end MUXforcmpaddress; architecture Behavioral of MUXforcmpaddress is begin process(clk,NRMsignalint) begin if clk'event and clk='1' then if NRMsignalint='0' then addrsstomin1<=Qaddressval; addrsstomin2<=Qaddressval1; addrsstomin3<=Qaddressval2; addrsstomin4<=Qaddressval3; else addrsstomin1<=ap; addrsstomin2<=ap1; addrsstomin3<=ap2; addrsstomin4<=ap3; end if; end if; end process; end Behavioral;
-- (C) 1992-2014 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** FP_LNRND.VHD *** --*** *** --*** Function: FP LOG Output Block - Rounded *** --*** *** --*** 22/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY fp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (8 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (24 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END fp_lnrnd; ARCHITECTURE rtl OF fp_lnrnd IS constant expwidth : positive := 8; constant manwidth : positive := 23; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
<filename>src/debouncer.vhd library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity debouncer is generic( counter_size : integer := 19); port( clk, button : in std_logic; result : out STD_LOGIC); end debouncer; architecture debouncer_arch of debouncer is signal flipflops : std_logic_vector(1 downto 0); signal counter_set : std_logic; signal counter_out : std_logic_vector(counter_size downto 0) := (others => '0'); begin counter_set <= flipflops(0) xor flipflops(1); process(clk) begin if(clk'event and clk = '1') then flipflops(0) <= button; flipflops(1) <= flipflops(0); if(counter_set = '1') then counter_out <= (others => '0'); elsif(counter_out(counter_size) = '0') then counter_out <= counter_out + 1; else result <= flipflops(1); end if; end if; end process; end debouncer_arch;
------------------------------------------------------------------------------- -- microblaze_0_bram_block_elaborate.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity microblaze_0_bram_block_elaborate is generic ( C_MEMSIZE : integer; C_PORT_DWIDTH : integer; C_PORT_AWIDTH : integer; C_NUM_WE : integer; C_FAMILY : string ); port ( BRAM_Rst_A : in std_logic; BRAM_Clk_A : in std_logic; BRAM_EN_A : in std_logic; BRAM_WEN_A : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_A : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_A : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_A : in std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Rst_B : in std_logic; BRAM_Clk_B : in std_logic; BRAM_EN_B : in std_logic; BRAM_WEN_B : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_B : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_B : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_B : in std_logic_vector(0 to C_PORT_DWIDTH-1) ); attribute keep_hierarchy : STRING; attribute keep_hierarchy of microblaze_0_bram_block_elaborate : entity is "yes"; end microblaze_0_bram_block_elaborate; architecture STRUCTURE of microblaze_0_bram_block_elaborate is component RAMB16BWER is generic ( INIT_FILE : string; DATA_WIDTH_A : integer; DATA_WIDTH_B : integer ); port ( ADDRA : in std_logic_vector(13 downto 0); CLKA : in std_logic; DIA : in std_logic_vector(31 downto 0); DIPA : in std_logic_vector(3 downto 0); DOA : out std_logic_vector(31 downto 0); DOPA : out std_logic_vector(3 downto 0); ENA : in std_logic; REGCEA : in std_logic; RSTA : in std_logic; WEA : in std_logic_vector(3 downto 0); ADDRB : in std_logic_vector(13 downto 0); CLKB : in std_logic; DIB : in std_logic_vector(31 downto 0); DIPB : in std_logic_vector(3 downto 0); DOB : out std_logic_vector(31 downto 0); DOPB : out std_logic_vector(3 downto 0); ENB : in std_logic; REGCEB : in std_logic; RSTB : in std_logic; WEB : in std_logic_vector(3 downto 0) ); end component; attribute BMM_INFO : STRING; attribute BMM_INFO of ramb16bwer_0: label is " "; attribute BMM_INFO of ramb16bwer_1: label is " "; attribute BMM_INFO of ramb16bwer_2: label is " "; attribute BMM_INFO of ramb16bwer_3: label is " "; attribute BMM_INFO of ramb16bwer_4: label is " "; attribute BMM_INFO of ramb16bwer_5: label is " "; attribute BMM_INFO of ramb16bwer_6: label is " "; attribute BMM_INFO of ramb16bwer_7: label is " "; attribute BMM_INFO of ramb16bwer_8: label is " "; attribute BMM_INFO of ramb16bwer_9: label is " "; attribute BMM_INFO of ramb16bwer_10: label is " "; attribute BMM_INFO of ramb16bwer_11: label is " "; attribute BMM_INFO of ramb16bwer_12: label is " "; attribute BMM_INFO of ramb16bwer_13: label is " "; attribute BMM_INFO of ramb16bwer_14: label is " "; attribute BMM_INFO of ramb16bwer_15: label is " "; -- Internal signals signal net_gnd0 : std_logic; signal net_gnd4 : std_logic_vector(3 downto 0); signal pgassign1 : std_logic_vector(0 to 0); signal pgassign2 : std_logic_vector(0 to 29); signal pgassign3 : std_logic_vector(13 downto 0); signal pgassign4 : std_logic_vector(31 downto 0); signal pgassign5 : std_logic_vector(31 downto 0); signal pgassign6 : std_logic_vector(3 downto 0); signal pgassign7 : std_logic_vector(13 downto 0); signal pgassign8 : std_logic_vector(31 downto 0); signal pgassign9 : std_logic_vector(31 downto 0); signal pgassign10 : std_logic_vector(3 downto 0); signal pgassign11 : std_logic_vector(13 downto 0); signal pgassign12 : std_logic_vector(31 downto 0); signal pgassign13 : std_logic_vector(31 downto 0); signal pgassign14 : std_logic_vector(3 downto 0); signal pgassign15 : std_logic_vector(13 downto 0); signal pgassign16 : std_logic_vector(31 downto 0); signal pgassign17 : std_logic_vector(31 downto 0); signal pgassign18 : std_logic_vector(3 downto 0); signal pgassign19 : std_logic_vector(13 downto 0); signal pgassign20 : std_logic_vector(31 downto 0); signal pgassign21 : std_logic_vector(31 downto 0); signal pgassign22 : std_logic_vector(3 downto 0); signal pgassign23 : std_logic_vector(13 downto 0); signal pgassign24 : std_logic_vector(31 downto 0); signal pgassign25 : std_logic_vector(31 downto 0); signal pgassign26 : std_logic_vector(3 downto 0); signal pgassign27 : std_logic_vector(13 downto 0); signal pgassign28 : std_logic_vector(31 downto 0); signal pgassign29 : std_logic_vector(31 downto 0); signal pgassign30 : std_logic_vector(3 downto 0); signal pgassign31 : std_logic_vector(13 downto 0); signal pgassign32 : std_logic_vector(31 downto 0); signal pgassign33 : std_logic_vector(31 downto 0); signal pgassign34 : std_logic_vector(3 downto 0); signal pgassign35 : std_logic_vector(13 downto 0); signal pgassign36 : std_logic_vector(31 downto 0); signal pgassign37 : std_logic_vector(31 downto 0); signal pgassign38 : std_logic_vector(3 downto 0); signal pgassign39 : std_logic_vector(13 downto 0); signal pgassign40 : std_logic_vector(31 downto 0); signal pgassign41 : std_logic_vector(31 downto 0); signal pgassign42 : std_logic_vector(3 downto 0); signal pgassign43 : std_logic_vector(13 downto 0); signal pgassign44 : std_logic_vector(31 downto 0); signal pgassign45 : std_logic_vector(31 downto 0); signal pgassign46 : std_logic_vector(3 downto 0); signal pgassign47 : std_logic_vector(13 downto 0); signal pgassign48 : std_logic_vector(31 downto 0); signal pgassign49 : std_logic_vector(31 downto 0); signal pgassign50 : std_logic_vector(3 downto 0); signal pgassign51 : std_logic_vector(13 downto 0); signal pgassign52 : std_logic_vector(31 downto 0); signal pgassign53 : std_logic_vector(31 downto 0); signal pgassign54 : std_logic_vector(3 downto 0); signal pgassign55 : std_logic_vector(13 downto 0); signal pgassign56 : std_logic_vector(31 downto 0); signal pgassign57 : std_logic_vector(31 downto 0); signal pgassign58 : std_logic_vector(3 downto 0); signal pgassign59 : std_logic_vector(13 downto 0); signal pgassign60 : std_logic_vector(31 downto 0); signal pgassign61 : std_logic_vector(31 downto 0); signal pgassign62 : std_logic_vector(3 downto 0); signal pgassign63 : std_logic_vector(13 downto 0); signal pgassign64 : std_logic_vector(31 downto 0); signal pgassign65 : std_logic_vector(31 downto 0); signal pgassign66 : std_logic_vector(3 downto 0); signal pgassign67 : std_logic_vector(13 downto 0); signal pgassign68 : std_logic_vector(31 downto 0); signal pgassign69 : std_logic_vector(31 downto 0); signal pgassign70 : std_logic_vector(3 downto 0); signal pgassign71 : std_logic_vector(13 downto 0); signal pgassign72 : std_logic_vector(31 downto 0); signal pgassign73 : std_logic_vector(31 downto 0); signal pgassign74 : std_logic_vector(3 downto 0); signal pgassign75 : std_logic_vector(13 downto 0); signal pgassign76 : std_logic_vector(31 downto 0); signal pgassign77 : std_logic_vector(31 downto 0); signal pgassign78 : std_logic_vector(3 downto 0); signal pgassign79 : std_logic_vector(13 downto 0); signal pgassign80 : std_logic_vector(31 downto 0); signal pgassign81 : std_logic_vector(31 downto 0); signal pgassign82 : std_logic_vector(3 downto 0); signal pgassign83 : std_logic_vector(13 downto 0); signal pgassign84 : std_logic_vector(31 downto 0); signal pgassign85 : std_logic_vector(31 downto 0); signal pgassign86 : std_logic_vector(3 downto 0); signal pgassign87 : std_logic_vector(13 downto 0); signal pgassign88 : std_logic_vector(31 downto 0); signal pgassign89 : std_logic_vector(31 downto 0); signal pgassign90 : std_logic_vector(3 downto 0); signal pgassign91 : std_logic_vector(13 downto 0); signal pgassign92 : std_logic_vector(31 downto 0); signal pgassign93 : std_logic_vector(31 downto 0); signal pgassign94 : std_logic_vector(3 downto 0); signal pgassign95 : std_logic_vector(13 downto 0); signal pgassign96 : std_logic_vector(31 downto 0); signal pgassign97 : std_logic_vector(31 downto 0); signal pgassign98 : std_logic_vector(3 downto 0); signal pgassign99 : std_logic_vector(13 downto 0); signal pgassign100 : std_logic_vector(31 downto 0); signal pgassign101 : std_logic_vector(31 downto 0); signal pgassign102 : std_logic_vector(3 downto 0); signal pgassign103 : std_logic_vector(13 downto 0); signal pgassign104 : std_logic_vector(31 downto 0); signal pgassign105 : std_logic_vector(31 downto 0); signal pgassign106 : std_logic_vector(3 downto 0); signal pgassign107 : std_logic_vector(13 downto 0); signal pgassign108 : std_logic_vector(31 downto 0); signal pgassign109 : std_logic_vector(31 downto 0); signal pgassign110 : std_logic_vector(3 downto 0); signal pgassign111 : std_logic_vector(13 downto 0); signal pgassign112 : std_logic_vector(31 downto 0); signal pgassign113 : std_logic_vector(31 downto 0); signal pgassign114 : std_logic_vector(3 downto 0); signal pgassign115 : std_logic_vector(13 downto 0); signal pgassign116 : std_logic_vector(31 downto 0); signal pgassign117 : std_logic_vector(31 downto 0); signal pgassign118 : std_logic_vector(3 downto 0); signal pgassign119 : std_logic_vector(13 downto 0); signal pgassign120 : std_logic_vector(31 downto 0); signal pgassign121 : std_logic_vector(31 downto 0); signal pgassign122 : std_logic_vector(3 downto 0); signal pgassign123 : std_logic_vector(13 downto 0); signal pgassign124 : std_logic_vector(31 downto 0); signal pgassign125 : std_logic_vector(31 downto 0); signal pgassign126 : std_logic_vector(3 downto 0); signal pgassign127 : std_logic_vector(13 downto 0); signal pgassign128 : std_logic_vector(31 downto 0); signal pgassign129 : std_logic_vector(31 downto 0); signal pgassign130 : std_logic_vector(3 downto 0); begin -- Internal assignments pgassign1(0 to 0) <= B"0"; pgassign2(0 to 29) <= B"000000000000000000000000000000"; pgassign3(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign3(0 downto 0) <= B"0"; pgassign4(31 downto 2) <= B"000000000000000000000000000000"; pgassign4(1 downto 0) <= BRAM_Dout_A(0 to 1); BRAM_Din_A(0 to 1) <= pgassign5(1 downto 0); pgassign6(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign6(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign6(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign6(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign7(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign7(0 downto 0) <= B"0"; pgassign8(31 downto 2) <= B"000000000000000000000000000000"; pgassign8(1 downto 0) <= BRAM_Dout_B(0 to 1); BRAM_Din_B(0 to 1) <= pgassign9(1 downto 0); pgassign10(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign10(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign10(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign10(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign11(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign11(0 downto 0) <= B"0"; pgassign12(31 downto 2) <= B"000000000000000000000000000000"; pgassign12(1 downto 0) <= BRAM_Dout_A(2 to 3); BRAM_Din_A(2 to 3) <= pgassign13(1 downto 0); pgassign14(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign14(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign14(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign14(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign15(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign15(0 downto 0) <= B"0"; pgassign16(31 downto 2) <= B"000000000000000000000000000000"; pgassign16(1 downto 0) <= BRAM_Dout_B(2 to 3); BRAM_Din_B(2 to 3) <= pgassign17(1 downto 0); pgassign18(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign18(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign18(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign18(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign19(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign19(0 downto 0) <= B"0"; pgassign20(31 downto 2) <= B"000000000000000000000000000000"; pgassign20(1 downto 0) <= BRAM_Dout_A(4 to 5); BRAM_Din_A(4 to 5) <= pgassign21(1 downto 0); pgassign22(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign22(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign22(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign22(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign23(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign23(0 downto 0) <= B"0"; pgassign24(31 downto 2) <= B"000000000000000000000000000000"; pgassign24(1 downto 0) <= BRAM_Dout_B(4 to 5); BRAM_Din_B(4 to 5) <= pgassign25(1 downto 0); pgassign26(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign26(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign26(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign26(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign27(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign27(0 downto 0) <= B"0"; pgassign28(31 downto 2) <= B"000000000000000000000000000000"; pgassign28(1 downto 0) <= BRAM_Dout_A(6 to 7); BRAM_Din_A(6 to 7) <= pgassign29(1 downto 0); pgassign30(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign30(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign30(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign30(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign31(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign31(0 downto 0) <= B"0"; pgassign32(31 downto 2) <= B"000000000000000000000000000000"; pgassign32(1 downto 0) <= BRAM_Dout_B(6 to 7); BRAM_Din_B(6 to 7) <= pgassign33(1 downto 0); pgassign34(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign34(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign34(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign34(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign35(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign35(0 downto 0) <= B"0"; pgassign36(31 downto 2) <= B"000000000000000000000000000000"; pgassign36(1 downto 0) <= BRAM_Dout_A(8 to 9); BRAM_Din_A(8 to 9) <= pgassign37(1 downto 0); pgassign38(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign38(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign38(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign38(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign39(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign39(0 downto 0) <= B"0"; pgassign40(31 downto 2) <= B"000000000000000000000000000000"; pgassign40(1 downto 0) <= BRAM_Dout_B(8 to 9); BRAM_Din_B(8 to 9) <= pgassign41(1 downto 0); pgassign42(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign42(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign42(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign42(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign43(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign43(0 downto 0) <= B"0"; pgassign44(31 downto 2) <= B"000000000000000000000000000000"; pgassign44(1 downto 0) <= BRAM_Dout_A(10 to 11); BRAM_Din_A(10 to 11) <= pgassign45(1 downto 0); pgassign46(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign46(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign46(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign46(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign47(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign47(0 downto 0) <= B"0"; pgassign48(31 downto 2) <= B"000000000000000000000000000000"; pgassign48(1 downto 0) <= BRAM_Dout_B(10 to 11); BRAM_Din_B(10 to 11) <= pgassign49(1 downto 0); pgassign50(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign50(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign50(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign50(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign51(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign51(0 downto 0) <= B"0"; pgassign52(31 downto 2) <= B"000000000000000000000000000000"; pgassign52(1 downto 0) <= BRAM_Dout_A(12 to 13); BRAM_Din_A(12 to 13) <= pgassign53(1 downto 0); pgassign54(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign54(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign54(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign54(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign55(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign55(0 downto 0) <= B"0"; pgassign56(31 downto 2) <= B"000000000000000000000000000000"; pgassign56(1 downto 0) <= BRAM_Dout_B(12 to 13); BRAM_Din_B(12 to 13) <= pgassign57(1 downto 0); pgassign58(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign58(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign58(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign58(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign59(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign59(0 downto 0) <= B"0"; pgassign60(31 downto 2) <= B"000000000000000000000000000000"; pgassign60(1 downto 0) <= BRAM_Dout_A(14 to 15); BRAM_Din_A(14 to 15) <= pgassign61(1 downto 0); pgassign62(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign62(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign62(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign62(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign63(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign63(0 downto 0) <= B"0"; pgassign64(31 downto 2) <= B"000000000000000000000000000000"; pgassign64(1 downto 0) <= BRAM_Dout_B(14 to 15); BRAM_Din_B(14 to 15) <= pgassign65(1 downto 0); pgassign66(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign66(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign66(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign66(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign67(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign67(0 downto 0) <= B"0"; pgassign68(31 downto 2) <= B"000000000000000000000000000000"; pgassign68(1 downto 0) <= BRAM_Dout_A(16 to 17); BRAM_Din_A(16 to 17) <= pgassign69(1 downto 0); pgassign70(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign70(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign70(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign70(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign71(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign71(0 downto 0) <= B"0"; pgassign72(31 downto 2) <= B"000000000000000000000000000000"; pgassign72(1 downto 0) <= BRAM_Dout_B(16 to 17); BRAM_Din_B(16 to 17) <= pgassign73(1 downto 0); pgassign74(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign74(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign74(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign74(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign75(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign75(0 downto 0) <= B"0"; pgassign76(31 downto 2) <= B"000000000000000000000000000000"; pgassign76(1 downto 0) <= BRAM_Dout_A(18 to 19); BRAM_Din_A(18 to 19) <= pgassign77(1 downto 0); pgassign78(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign78(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign78(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign78(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign79(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign79(0 downto 0) <= B"0"; pgassign80(31 downto 2) <= B"000000000000000000000000000000"; pgassign80(1 downto 0) <= BRAM_Dout_B(18 to 19); BRAM_Din_B(18 to 19) <= pgassign81(1 downto 0); pgassign82(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign82(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign82(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign82(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign83(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign83(0 downto 0) <= B"0"; pgassign84(31 downto 2) <= B"000000000000000000000000000000"; pgassign84(1 downto 0) <= BRAM_Dout_A(20 to 21); BRAM_Din_A(20 to 21) <= pgassign85(1 downto 0); pgassign86(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign86(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign86(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign86(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign87(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign87(0 downto 0) <= B"0"; pgassign88(31 downto 2) <= B"000000000000000000000000000000"; pgassign88(1 downto 0) <= BRAM_Dout_B(20 to 21); BRAM_Din_B(20 to 21) <= pgassign89(1 downto 0); pgassign90(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign90(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign90(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign90(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign91(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign91(0 downto 0) <= B"0"; pgassign92(31 downto 2) <= B"000000000000000000000000000000"; pgassign92(1 downto 0) <= BRAM_Dout_A(22 to 23); BRAM_Din_A(22 to 23) <= pgassign93(1 downto 0); pgassign94(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign94(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign94(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign94(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign95(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign95(0 downto 0) <= B"0"; pgassign96(31 downto 2) <= B"000000000000000000000000000000"; pgassign96(1 downto 0) <= BRAM_Dout_B(22 to 23); BRAM_Din_B(22 to 23) <= pgassign97(1 downto 0); pgassign98(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign98(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign98(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign98(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign99(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign99(0 downto 0) <= B"0"; pgassign100(31 downto 2) <= B"000000000000000000000000000000"; pgassign100(1 downto 0) <= BRAM_Dout_A(24 to 25); BRAM_Din_A(24 to 25) <= pgassign101(1 downto 0); pgassign102(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign102(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign102(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign102(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign103(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign103(0 downto 0) <= B"0"; pgassign104(31 downto 2) <= B"000000000000000000000000000000"; pgassign104(1 downto 0) <= BRAM_Dout_B(24 to 25); BRAM_Din_B(24 to 25) <= pgassign105(1 downto 0); pgassign106(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign106(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign106(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign106(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign107(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign107(0 downto 0) <= B"0"; pgassign108(31 downto 2) <= B"000000000000000000000000000000"; pgassign108(1 downto 0) <= BRAM_Dout_A(26 to 27); BRAM_Din_A(26 to 27) <= pgassign109(1 downto 0); pgassign110(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign110(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign110(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign110(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign111(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign111(0 downto 0) <= B"0"; pgassign112(31 downto 2) <= B"000000000000000000000000000000"; pgassign112(1 downto 0) <= BRAM_Dout_B(26 to 27); BRAM_Din_B(26 to 27) <= pgassign113(1 downto 0); pgassign114(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign114(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign114(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign114(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign115(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign115(0 downto 0) <= B"0"; pgassign116(31 downto 2) <= B"000000000000000000000000000000"; pgassign116(1 downto 0) <= BRAM_Dout_A(28 to 29); BRAM_Din_A(28 to 29) <= pgassign117(1 downto 0); pgassign118(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign118(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign118(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign118(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign119(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign119(0 downto 0) <= B"0"; pgassign120(31 downto 2) <= B"000000000000000000000000000000"; pgassign120(1 downto 0) <= BRAM_Dout_B(28 to 29); BRAM_Din_B(28 to 29) <= pgassign121(1 downto 0); pgassign122(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign122(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign122(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign122(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign123(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign123(0 downto 0) <= B"0"; pgassign124(31 downto 2) <= B"000000000000000000000000000000"; pgassign124(1 downto 0) <= BRAM_Dout_A(30 to 31); BRAM_Din_A(30 to 31) <= pgassign125(1 downto 0); pgassign126(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign126(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign126(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign126(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign127(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign127(0 downto 0) <= B"0"; pgassign128(31 downto 2) <= B"000000000000000000000000000000"; pgassign128(1 downto 0) <= BRAM_Dout_B(30 to 31); BRAM_Din_B(30 to 31) <= pgassign129(1 downto 0); pgassign130(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign130(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign130(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign130(0 downto 0) <= BRAM_WEN_B(3 to 3); net_gnd0 <= '0'; net_gnd4(3 downto 0) <= B"0000"; ramb16bwer_0 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_0.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign3, CLKA => BRAM_Clk_A, DIA => pgassign4, DIPA => net_gnd4, DOA => pgassign5, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign6, ADDRB => pgassign7, CLKB => BRAM_Clk_B, DIB => pgassign8, DIPB => net_gnd4, DOB => pgassign9, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign10 ); ramb16bwer_1 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_1.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign11, CLKA => BRAM_Clk_A, DIA => pgassign12, DIPA => net_gnd4, DOA => pgassign13, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign14, ADDRB => pgassign15, CLKB => BRAM_Clk_B, DIB => pgassign16, DIPB => net_gnd4, DOB => pgassign17, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign18 ); ramb16bwer_2 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_2.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign19, CLKA => BRAM_Clk_A, DIA => pgassign20, DIPA => net_gnd4, DOA => pgassign21, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign22, ADDRB => pgassign23, CLKB => BRAM_Clk_B, DIB => pgassign24, DIPB => net_gnd4, DOB => pgassign25, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign26 ); ramb16bwer_3 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_3.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign27, CLKA => BRAM_Clk_A, DIA => pgassign28, DIPA => net_gnd4, DOA => pgassign29, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign30, ADDRB => pgassign31, CLKB => BRAM_Clk_B, DIB => pgassign32, DIPB => net_gnd4, DOB => pgassign33, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign34 ); ramb16bwer_4 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_4.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign35, CLKA => BRAM_Clk_A, DIA => pgassign36, DIPA => net_gnd4, DOA => pgassign37, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign38, ADDRB => pgassign39, CLKB => BRAM_Clk_B, DIB => pgassign40, DIPB => net_gnd4, DOB => pgassign41, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign42 ); ramb16bwer_5 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_5.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign43, CLKA => BRAM_Clk_A, DIA => pgassign44, DIPA => net_gnd4, DOA => pgassign45, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign46, ADDRB => pgassign47, CLKB => BRAM_Clk_B, DIB => pgassign48, DIPB => net_gnd4, DOB => pgassign49, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign50 ); ramb16bwer_6 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_6.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign51, CLKA => BRAM_Clk_A, DIA => pgassign52, DIPA => net_gnd4, DOA => pgassign53, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign54, ADDRB => pgassign55, CLKB => BRAM_Clk_B, DIB => pgassign56, DIPB => net_gnd4, DOB => pgassign57, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign58 ); ramb16bwer_7 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_7.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign59, CLKA => BRAM_Clk_A, DIA => pgassign60, DIPA => net_gnd4, DOA => pgassign61, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign62, ADDRB => pgassign63, CLKB => BRAM_Clk_B, DIB => pgassign64, DIPB => net_gnd4, DOB => pgassign65, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign66 ); ramb16bwer_8 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_8.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign67, CLKA => BRAM_Clk_A, DIA => pgassign68, DIPA => net_gnd4, DOA => pgassign69, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign70, ADDRB => pgassign71, CLKB => BRAM_Clk_B, DIB => pgassign72, DIPB => net_gnd4, DOB => pgassign73, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign74 ); ramb16bwer_9 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_9.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign75, CLKA => BRAM_Clk_A, DIA => pgassign76, DIPA => net_gnd4, DOA => pgassign77, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign78, ADDRB => pgassign79, CLKB => BRAM_Clk_B, DIB => pgassign80, DIPB => net_gnd4, DOB => pgassign81, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign82 ); ramb16bwer_10 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_10.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign83, CLKA => BRAM_Clk_A, DIA => pgassign84, DIPA => net_gnd4, DOA => pgassign85, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign86, ADDRB => pgassign87, CLKB => BRAM_Clk_B, DIB => pgassign88, DIPB => net_gnd4, DOB => pgassign89, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign90 ); ramb16bwer_11 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_11.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign91, CLKA => BRAM_Clk_A, DIA => pgassign92, DIPA => net_gnd4, DOA => pgassign93, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign94, ADDRB => pgassign95, CLKB => BRAM_Clk_B, DIB => pgassign96, DIPB => net_gnd4, DOB => pgassign97, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign98 ); ramb16bwer_12 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_12.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign99, CLKA => BRAM_Clk_A, DIA => pgassign100, DIPA => net_gnd4, DOA => pgassign101, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign102, ADDRB => pgassign103, CLKB => BRAM_Clk_B, DIB => pgassign104, DIPB => net_gnd4, DOB => pgassign105, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign106 ); ramb16bwer_13 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_13.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign107, CLKA => BRAM_Clk_A, DIA => pgassign108, DIPA => net_gnd4, DOA => pgassign109, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign110, ADDRB => pgassign111, CLKB => BRAM_Clk_B, DIB => pgassign112, DIPB => net_gnd4, DOB => pgassign113, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign114 ); ramb16bwer_14 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_14.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign115, CLKA => BRAM_Clk_A, DIA => pgassign116, DIPA => net_gnd4, DOA => pgassign117, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign118, ADDRB => pgassign119, CLKB => BRAM_Clk_B, DIB => pgassign120, DIPB => net_gnd4, DOB => pgassign121, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign122 ); ramb16bwer_15 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_15.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign123, CLKA => BRAM_Clk_A, DIA => pgassign124, DIPA => net_gnd4, DOA => pgassign125, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign126, ADDRB => pgassign127, CLKB => BRAM_Clk_B, DIB => pgassign128, DIPB => net_gnd4, DOB => pgassign129, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign130 ); end architecture STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; use ieee.numeric_std.all; entity Multiplier is port ( Op1 : in std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; Op2 : in std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; Result : out std_logic_vector(31 downto 0)); end Multiplier; architecture struc of Multiplier is begin Result <= std_logic_vector(to_unsigned(to_integer(signed(Op1)) * to_integer(signed(Op2)), 32)); -- Result <= Op1 * Op2; end struc;
---------------------------------------------------------------------------- -- This file is a part of the LEON VHDL model -- Copyright (C) 1999 European Space Agency (ESA) -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2 of the License, or (at your option) any later version. -- -- See the file COPYING.LGPL for the full details of the license. ----------------------------------------------------------------------------- -- Entity: tech_atc18 -- File: tech_atc18.vhd -- Author: <NAME> - Gaisler Research -- Description: Contains Atmel ATC18 specific pads and ram generators ------------------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use work.iface.all; package tech_atc18 is -- sync ram generator component atc18_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in clk_type; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic); end component; -- IU regfile generator component atc18_regfile_iu generic (rftype : integer := 1; abits : integer := 8; dbits : integer := 32; words : integer := 136); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end component; component atc18_regfile_cp generic ( abits : integer := 4; dbits : integer := 32; words : integer := 16 ); port ( rst : in std_logic; clk : in clk_type; rfi : in rf_cp_in_type; rfo : out rf_cp_out_type); end component; component atc18_dpram generic ( abits : integer := 10; dbits : integer := 8 ); port ( address1 : in std_logic_vector((abits -1) downto 0); clk1 : in clk_type; datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_logic; write1 : in std_logic; address2 : in std_logic_vector((abits -1) downto 0); clk2 : in clk_type; datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_logic; write2 : in std_logic ); end component; -- input pads, all others pads are taken from the atc25 package component atc18_inpad port (pad : in std_logic; q : out std_logic); end component; component atc18_smpad port (pad : in std_logic; q : out std_logic); end component; end; ------------------------------------------------------------------ -- behavioural pad models -------------------------------------------- ------------------------------------------------------------------ -- Only needed for simulation, not synthesis. -- pragma translate_off -- input pad library IEEE; use IEEE.std_logic_1164.all; entity pc33d00 is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d00 is begin cin <= to_x01(pad) after 1 ns; end; -- input schmitt pad library IEEE; use IEEE.std_logic_1164.all; entity pc33d20 is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d20 is begin cin <= to_x01(pad) after 1 ns; end; ------------------------------------------------------------------ -- behavioural ram models ---------------------------------------- ------------------------------------------------------------------ -- synchronous 1-port ram library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity atc18_syncram_sim is generic ( abits : integer := 10; dbits : integer := 8 ); port ( addr : in std_logic_vector((abits -1) downto 0); clk : in std_logic; di : in std_logic_vector((dbits -1) downto 0); do : out std_logic_vector((dbits -1) downto 0); me : in std_logic; oe : in std_logic; we : in std_logic ); end; architecture behavioral of atc18_syncram_sim is subtype word is std_logic_vector((dbits -1) downto 0); type mem is array(0 to (2**abits -1)) of word; begin main : process(clk, oe, me) variable memarr : mem; variable doint : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clk) and (me = '1') and not is_x(addr) then if (we = '1') then memarr(conv_integer(unsigned(addr))) := di; end if; doint := memarr(conv_integer(unsigned(addr))); end if; if (me and oe) = '1' then do <= doint; else do <= (others => 'Z'); end if; end process; end behavioral; -- synchronous 2-port ram LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity atc18_2pram_sim is generic ( abits : integer := 10; dbits : integer := 8; words : integer := 1024 ); port ( addra, addrb : in std_logic_vector((abits -1) downto 0); clka, clkb : in std_logic; dia : in std_logic_vector((dbits -1) downto 0); dob : out std_logic_vector((dbits -1) downto 0); mea, wea, meb, oeb : in std_logic ); end; architecture behavioral of atc18_2pram_sim is subtype word is std_logic_vector((dbits -1) downto 0); type mem is array(0 to (words-1)) of word; begin main : process(clka, clkb, oeb, mea, meb, wea) variable memarr : mem; variable doint : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clka) and (mea = '1') and not is_x(addra) then if (wea = '1') then memarr(conv_integer(unsigned(addra)) mod words) := dia; end if; end if; if rising_edge(clkb) and (meb = '1') and not is_x(addrb) then doint := memarr(conv_integer(unsigned(addrb)) mod words); end if; if oeb = '1' then dob <= doint; else dob <= (others => 'Z'); end if; end process; end behavioral; -- synchronous dual-port ram LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity atc18_dpram_sim is generic ( abits : integer := 10; dbits : integer := 8 ); port ( addra : in std_logic_vector((abits -1) downto 0); clka : in std_logic; dia : in std_logic_vector((dbits -1) downto 0); doa : out std_logic_vector((dbits -1) downto 0); mea, oea, wea : in std_logic; addrb : in std_logic_vector((abits -1) downto 0); clkb : in std_logic; dib : in std_logic_vector((dbits -1) downto 0); dob : out std_logic_vector((dbits -1) downto 0); meb, oeb, web : in std_logic ); end; architecture behavioral of atc18_dpram_sim is subtype word is std_logic_vector((dbits -1) downto 0); type mem is array(0 to (2**abits -1)) of word; begin main : process(clka, oea, mea, clkb, oeb, meb) variable memarr : mem; variable dointa, dointb : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clka) and (mea = '1') and not is_x(addra) then if (wea = '1') then memarr(conv_integer(unsigned(addra))) := dia; end if; dointa := memarr(conv_integer(unsigned(addra))); end if; if (mea and oea) = '1' then doa <= dointa; else doa <= (others => 'Z'); end if; if rising_edge(clkb) and (meb = '1') and not is_x(addrb) then if (web = '1') then memarr(conv_integer(unsigned(addrb))) := dib; end if; dointb := memarr(conv_integer(unsigned(addrb))); end if; if oeb = '1' then dob <= dointb; else dob <= (others => 'Z'); end if; end process; end behavioral; -- package with common ram simulation models LIBRARY ieee; use IEEE.std_logic_1164.all; use work.iface.all; package tech_atc18_sim is component atc18_syncram_sim generic ( abits : integer := 10; dbits : integer := 8 ); port ( addr : in std_logic_vector((abits -1) downto 0); clk : in std_logic; di : in std_logic_vector((dbits -1) downto 0); do : out std_logic_vector((dbits -1) downto 0); me : in std_logic; oe : in std_logic; we : in std_logic ); end component; -- synchronous 2-port ram component atc18_2pram_sim generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( addra, addrb : in std_logic_vector((abits -1) downto 0); clka, clkb : in std_logic; dia : in std_logic_vector((dbits -1) downto 0); dob : out std_logic_vector((dbits -1) downto 0); mea, wea, meb, oeb : in std_logic ); end component; component atc18_dpram_sim generic ( abits : integer := 8; dbits : integer := 32 ); port ( addra : in std_logic_vector((abits -1) downto 0); clka : in std_logic; dia : in std_logic_vector((dbits -1) downto 0); doa : out std_logic_vector((dbits -1) downto 0); mea, oea, wea : in std_logic; addrb : in std_logic_vector((abits -1) downto 0); clkb : in std_logic; dib : in std_logic_vector((dbits -1) downto 0); dob : out std_logic_vector((dbits -1) downto 0); meb, oeb, web : in std_logic ); end component; end; -- 1-port syncronous ram library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss1_128x32cm4sw0 is port ( addr, taddr : in std_logic_vector(6 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end; architecture behavioral of hdss1_128x32cm4sw0 is begin syncram0 : atc18_syncram_sim generic map ( abits => 7, dbits => 32) port map ( addr, clk, di, do, me, oe, we); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss1_256x32cm4sw0 is port ( addr, taddr : in std_logic_vector(7 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end; architecture behavioral of hdss1_256x32cm4sw0 is begin syncram0 : atc18_syncram_sim generic map ( abits => 8, dbits => 32) port map ( addr, clk, di, do, me, oe, we); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss1_512x32cm4sw0 is port ( addr, taddr : in std_logic_vector(8 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end; architecture behavioral of hdss1_512x32cm4sw0 is begin syncram0 : atc18_syncram_sim generic map ( abits => 9, dbits => 32) port map ( addr, clk, di, do, me, oe, we); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss1_1024x32cm4sw0 is port ( addr, taddr : in std_logic_vector(9 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end; architecture behavioral of hdss1_1024x32cm4sw0 is begin syncram0 : atc18_syncram_sim generic map ( abits => 10, dbits => 32) port map ( addr, clk, di, do, me, oe, we); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss1_2048x32cm8sw0 is port ( addr, taddr : in std_logic_vector(10 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end; architecture behavioral of hdss1_2048x32cm8sw0 is begin syncram0 : atc18_syncram_sim generic map ( abits => 11, dbits => 32) port map ( addr, clk, di, do, me, oe, we); end behavioral; -- 2-port syncronous ram library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity rfss2_136x32cm2sw0 is port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dob : out std_logic_vector(31 downto 0); mea, wea, tmea, twea, bistea : in std_logic; meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of rfss2_136x32cm2sw0 is begin syncram0 : atc18_2pram_sim generic map ( abits => 8, dbits => 32, words => 136) port map ( addra, addrb, clka, clkb, dia, dob, mea, wea, meb, oeb); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity rfss2_168x32cm2sw0 is port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dob : out std_logic_vector(31 downto 0); mea, wea, tmea, twea, bistea : in std_logic; meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of rfss2_168x32cm2sw0 is begin syncram0 : atc18_2pram_sim generic map ( abits => 8, dbits => 32, words => 168) port map ( addra, addrb, clka, clkb, dia, dob, mea, wea, meb, oeb); end behavioral; -- dual-port syncronous ram LIBRARY ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss2_64x32cm4sw0 is port ( addra, taddra : in std_logic_vector(5 downto 0); addrb, taddrb : in std_logic_vector(5 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of hdss2_64x32cm4sw0 is begin syncram0 : atc18_dpram_sim generic map ( abits => 6, dbits => 32) port map ( addra, clka, dia, doa, mea, oea, wea, addrb, clkb, dib, dob, meb, oeb, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss2_128x32cm4sw0 is port ( addra, taddra : in std_logic_vector(6 downto 0); addrb, taddrb : in std_logic_vector(6 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of hdss2_128x32cm4sw0 is begin syncram0 : atc18_dpram_sim generic map ( abits => 7, dbits => 32) port map ( addra, clka, dia, doa, mea, oea, wea, addrb, clkb, dib, dob, meb, oeb, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss2_256x32cm4sw0 is port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of hdss2_256x32cm4sw0 is begin syncram0 : atc18_dpram_sim generic map ( abits => 8, dbits => 32) port map ( addra, clka, dia, doa, mea, oea, wea, addrb, clkb, dib, dob, meb, oeb, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_atc18_sim.all; entity hdss2_512x32cm4sw0 is port ( addra, taddra : in std_logic_vector(8 downto 0); addrb, taddrb : in std_logic_vector(8 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end; architecture behavioral of hdss2_512x32cm4sw0 is begin syncram0 : atc18_dpram_sim generic map ( abits => 9, dbits => 32) port map ( addra, clka, dia, doa, mea, oea, wea, addrb, clkb, dib, dob, meb, oeb, web); end behavioral; -- pragma translate_on -- component declarations from true tech library LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_atc18_syn is component hdss1_128x32cm4sw0 port ( addr, taddr : in std_logic_vector(6 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end component; component hdss1_256x32cm4sw0 port ( addr, taddr : in std_logic_vector(7 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end component; component hdss1_512x32cm4sw0 port ( addr, taddr : in std_logic_vector(8 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end component; component hdss1_1024x32cm4sw0 port ( addr, taddr : in std_logic_vector(9 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end component; component hdss1_2048x32cm8sw0 port ( addr, taddr : in std_logic_vector(10 downto 0); clk : in std_logic; di, tdi : in std_logic_vector(31 downto 0); do : out std_logic_vector(31 downto 0); me, oe, we, tme, twe, awt, biste, toe : in std_logic ); end component; component rfss2_136x32cm2sw0 port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dob : out std_logic_vector(31 downto 0); mea, wea, tmea, twea, bistea : in std_logic; meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic ); end component; component rfss2_168x32cm2sw0 port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dob : out std_logic_vector(31 downto 0); mea, wea, tmea, twea, bistea : in std_logic; meb, oeb, tmeb, awtb, bisteb, toeb : in std_logic ); end component; component hdss2_64x32cm4sw0 port ( addra, taddra : in std_logic_vector(5 downto 0); addrb, taddrb : in std_logic_vector(5 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end component; component hdss2_128x32cm4sw0 port ( addra, taddra : in std_logic_vector(6 downto 0); addrb, taddrb : in std_logic_vector(6 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end component; component hdss2_256x32cm4sw0 port ( addra, taddra : in std_logic_vector(7 downto 0); addrb, taddrb : in std_logic_vector(7 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end component; component hdss2_512x32cm4sw0 port ( addra, taddra : in std_logic_vector(8 downto 0); addrb, taddrb : in std_logic_vector(8 downto 0); clka, clkb : in std_logic; dia, tdia : in std_logic_vector(31 downto 0); dib, tdib : in std_logic_vector(31 downto 0); doa, dob : out std_logic_vector(31 downto 0); mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic; meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic ); end component; -- input pad component pc33d00 port (pad : in std_logic; cin : out std_logic); end component; -- schmitt input pad component pc33d20 port (pad : in std_logic; cin : out std_logic); end component; end; ------------------------------------------------------------------ -- sync ram generator -------------------------------------------- ------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.tech_atc18_syn.all; use work.iface.all; entity atc18_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in clk_type; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic ); end; architecture rtl of atc18_syncram is signal d, q, gnd : std_logic_vector(35 downto 0); signal a : std_logic_vector(17 downto 0); signal vcc : std_logic; constant synopsys_bug : std_logic_vector(37 downto 0) := (others => '0'); begin gnd <= (others => '0'); vcc <= '1'; a(abits -1 downto 0) <= address; d(dbits -1 downto 0) <= datain(dbits -1 downto 0); a(17 downto abits) <= synopsys_bug(17 downto abits); d(35 downto dbits) <= synopsys_bug(35 downto dbits); dataout <= q(dbits -1 downto 0); q(35 downto dbits) <= synopsys_bug(35 downto dbits); a7d32 : if (abits <= 7) and (dbits <= 32) generate id0 : hdss1_128x32cm4sw0 port map (a(6 downto 0), gnd(6 downto 0), clk , d(31 downto 0), gnd(31 downto 0), q(31 downto 0), enable, vcc, write, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a8d32 : if (abits = 8) and (dbits <= 32) generate id0 : hdss1_256x32cm4sw0 port map (a(7 downto 0), gnd(7 downto 0), clk , d(31 downto 0), gnd(31 downto 0), q(31 downto 0), enable, vcc, write, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a9d32 : if (abits = 9) and (dbits <= 32) generate id0 : hdss1_512x32cm4sw0 port map (address(8 downto 0), gnd(8 downto 0), clk , d(31 downto 0), gnd(31 downto 0), q(31 downto 0), enable, vcc, write, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a10d32 : if (abits = 10) and (dbits <= 32) generate id0 : hdss1_1024x32cm4sw0 port map (address(9 downto 0), gnd(9 downto 0), clk , d(31 downto 0), gnd(31 downto 0), q(31 downto 0), enable, vcc, write, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a11d32 : if (abits = 11) and (dbits <= 32) generate id0 : hdss1_2048x32cm8sw0 port map (address(10 downto 0), gnd(10 downto 0), clk , d(31 downto 0), gnd(31 downto 0), q(31 downto 0), enable, vcc, write, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; end rtl; ------------------------------------------------------------------ -- sync dpram generator -------------------------------------------- ------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.tech_atc18_syn.all; use work.iface.all; entity atc18_dpram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address1 : in std_logic_vector((abits -1) downto 0); clk1 : in clk_type; datain1 : in std_logic_vector((dbits -1) downto 0); dataout1 : out std_logic_vector((dbits -1) downto 0); enable1 : in std_logic; write1 : in std_logic; address2 : in std_logic_vector((abits -1) downto 0); clk2 : in clk_type; datain2 : in std_logic_vector((dbits -1) downto 0); dataout2 : out std_logic_vector((dbits -1) downto 0); enable2 : in std_logic; write2 : in std_logic ); end; architecture rtl of atc18_dpram is signal vcc : std_logic; signal d1, d2, a1, a2, q1, q2, gnd : std_logic_vector(35 downto 0); begin vcc <= '1'; gnd <= (others => '0'); d1(dbits-1 downto 0) <= datain1; d1(35 downto dbits) <= (others => '0'); d2(dbits-1 downto 0) <= datain2; d2(35 downto dbits) <= (others => '0'); a1(abits-1 downto 0) <= address1; a1(35 downto abits) <= (others => '0'); a2(abits-1 downto 0) <= address2; a2(35 downto abits) <= (others => '0'); dataout1 <= q1(dbits-1 downto 0); dataout2 <= q2(dbits-1 downto 0); a6d32 : if (abits <= 6) and (dbits <= 32) generate id0 : hdss2_64x32cm4sw0 port map (a1(5 downto 0), gnd(5 downto 0), a2(5 downto 0), gnd(5 downto 0), clk1 , clk2 , d1(31 downto 0), gnd(31 downto 0), d2(31 downto 0), gnd(31 downto 0), q1(31 downto 0), q2(31 downto 0), enable1, vcc, write1, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0), enable2, vcc, write2, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a7d32 : if (abits = 7) and (dbits <= 32) generate id0 : hdss2_128x32cm4sw0 port map (a1(6 downto 0), gnd(6 downto 0), a2(6 downto 0), gnd(6 downto 0), clk1 , clk2 , d1(31 downto 0), gnd(31 downto 0), d2(31 downto 0), gnd(31 downto 0), q1(31 downto 0), q2(31 downto 0), enable1, vcc, write1, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0), enable2, vcc, write2, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a8d32 : if (abits = 8) and (dbits <= 32) generate id0 : hdss2_256x32cm4sw0 port map (a1(7 downto 0), gnd(7 downto 0), a2(7 downto 0), gnd(7 downto 0), clk1 , clk2 , d1(31 downto 0), gnd(31 downto 0), d2(31 downto 0), gnd(31 downto 0), q1(31 downto 0), q2(31 downto 0), enable1, vcc, write1, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0), enable2, vcc, write2, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; a9d32 : if (abits = 9) and (dbits <= 32) generate id0 : hdss2_512x32cm4sw0 port map (a1(8 downto 0), gnd(8 downto 0), a2(8 downto 0), gnd(8 downto 0), clk1 , clk2 , d1(31 downto 0), gnd(31 downto 0), d2(31 downto 0), gnd(31 downto 0), q1(31 downto 0), q2(31 downto 0), enable1, vcc, write1, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0), enable2, vcc, write2, gnd(0), gnd(0), gnd(0), gnd(0), gnd(0)); end generate; end; ------------------------------------------------------------------ -- regfile generator -------------------------------------------- ------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.tech_generic.all; use work.tech_atc18_syn.all; use work.iface.all; use work.config.all; entity atc18_regfile_iu is generic ( rftype : integer := 1; abits : integer := 8; dbits : integer := 32; words : integer := 136 ); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end; architecture rtl of atc18_regfile_iu is signal din1, din2, qq1, qq2, gnd : std_logic_vector(39 downto 0); signal vcc : std_logic; signal ra1, ra2, wa : std_logic_vector(14 downto 0); begin vcc <= '1'; gnd <= (others => '0'); ra1(abits-1 downto 0) <= rfi.rd1addr; ra2(abits-1 downto 0) <= rfi.rd2addr; wa(abits-1 downto 0) <= rfi.wraddr; din1(dbits-1 downto 0) <= rfi.wrdata; din2(dbits-1 downto 0) <= rfi.wrdata; wa(14 downto abits) <= gnd(14 downto abits); ra1(14 downto abits) <= gnd(14 downto abits); ra2(14 downto abits) <= gnd(14 downto abits); din1(39 downto dbits) <= (others => '0'); din2(39 downto dbits) <= (others => '0'); rf136x32 : if (words <= 136) and (dbits = 32) generate id0 : rfss2_136x32cm2sw0 port map ( wa(7 downto 0), gnd(7 downto 0), ra1(7 downto 0), gnd(7 downto 0), clk , clkn , din1(31 downto 0), gnd(31 downto 0), qq1(31 downto 0), vcc, rfi.wren, gnd(0), gnd(0), gnd(0), vcc, vcc, gnd(0), gnd(0),gnd(0), gnd(0)); id1 : rfss2_136x32cm2sw0 port map ( wa(7 downto 0), gnd(7 downto 0), ra2(7 downto 0), gnd(7 downto 0), clk , clkn , din2(31 downto 0), gnd(31 downto 0), qq2(31 downto 0), vcc, rfi.wren, gnd(0), gnd(0), gnd(0), vcc, vcc, gnd(0), gnd(0),gnd(0), gnd(0)); end generate; rf168x32 : if (words <= 168) and (words > 136) and (dbits = 32) generate id0 : rfss2_168x32cm2sw0 port map ( wa(7 downto 0), gnd(7 downto 0), ra1(7 downto 0), gnd(7 downto 0), clk , clkn , din1(31 downto 0), gnd(31 downto 0), qq1(31 downto 0), vcc, rfi.wren, gnd(0), gnd(0), gnd(0), vcc, vcc, gnd(0), gnd(0),gnd(0), gnd(0)); id1 : rfss2_168x32cm2sw0 port map ( wa(7 downto 0), gnd(7 downto 0), ra2(7 downto 0), gnd(7 downto 0), clk , clkn , din2(31 downto 0), gnd(31 downto 0), qq2(31 downto 0), vcc, rfi.wren, gnd(0), gnd(0), gnd(0), vcc, vcc, gnd(0), gnd(0),gnd(0), gnd(0)); end generate; rfo.data1(dbits-1 downto 0) <= qq1(dbits-1 downto 0); rfo.data2(dbits-1 downto 0) <= qq2(dbits-1 downto 0); end; LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.tech_generic.all; use work.tech_atc18_syn.all; use work.iface.all; entity atc18_regfile_cp is generic ( abits : integer := 4; dbits : integer := 32; words : integer := 16 ); port ( rst : in std_logic; clk : in clk_type; rfi : in rf_cp_in_type; rfo : out rf_cp_out_type); end; architecture rtl of atc18_regfile_cp is signal din1, qq1, qq2 : std_logic_vector(39 downto 0); signal wa : std_logic_vector(abits-1 downto 0); signal vcc, gnd, wen : std_logic; begin vcc <= '1'; gnd <= '0'; rfo.data1(dbits-1 downto 0) <= qq1(dbits-1 downto 0); rfo.data2(dbits-1 downto 0) <= qq2(dbits-1 downto 0); end; ------------------------------------------------------------------ -- mapping generic pads on tech pads --------------------------------- ------------------------------------------------------------------ -- input pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_atc18_syn.all; entity atc18_inpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of atc18_inpad is begin i0 : pc33d00 port map (pad => pad, cin => q); end; -- input schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_atc18_syn.all; entity atc18_smpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of atc18_smpad is begin i0 : pc33d20 port map (pad => pad, cin => q); end;
<gh_stars>1-10 `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> <KEY> `protect key_keyowner = "Synopsys", key_keyname= "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BBD40RKOZHIcxNddQXxF+m+4EXEch/XpqXBvDj9hU7hbLgpkKnc7mhoJBDLLDa1jtsIg9o2XAUZy <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 90000) `protect data_block LYoE+O9Z96el/BBD6NBzQcsbXWrDHju4zX6hRPz6J00q9aJWryA5jf65IXkzenhc5Z35/10GNMWb <KEY>NQ5GhNCcjVEyQMsFl4pfoRQ8Hi9ebj/QlhsNjIEd1WpjCufL1GCz6f6gxKxvBNXp3VES24VBDzj 0MpvKNqfNqPr4aDUJsAyVeDIT2D1JC6CnrsAzWKHg86VjqbIDP1X7AawJYURGem8qvuXBWbvfR5o ob3tDH8LQVOG3tcBL3gvEXvDjV83nmXC3fbUFu6eJDh/eEoYzArhtOhFYIMtQcENWMFDHkWW5Zoz PKt0cjwSkjfPkqOR/G6xa/Se52R6YwM0oF38ZmCKpGps0SoRJY+dmHlepHcKigEXRVz26SCpEt7q mz/MJniZOVz66hunjGvk3RIPcUb1RBKcYtjq9a+QKyu0f1MDrQh//LJi48yG5nzz2l7OHGy+jtF3 XInUa+KwVZQcgFFLq6rbGljiS+4XD7dcKB3x6tJnBca2CtNQ6y0kAncbFCoiBXBHz97bVSbr91UQ RCxR3nJm3lw5gVRKg0owAvy91cPm+nvlh/1r3zpHK034s7g7Or0U4Q7cH2Rl1DCj/8gU84YJ24m7 b7FkBaYzRPnL1xp4syZoJtjDqjlg6tZYbRhgEE3TgywpBFrtWGa7We/e5ALhBCShRMkc9sUbRSqM qKSaWsxPWF/4Xr7ir/hKVjZOCciQI9AIeusumcGbV36LZjnqOCex0t3yVSFTtvH+/+oP2QVtNUTg vewtblIszVnKWstlMxPrbn9tZ5+rDiqkLGU6mDV7I06v38gKq3pBqGqhPTjmZf8if/1I8T+gw4Va 6HoK5CQyxqvosdHC8pezY8OeulDLZNIKqL1AizDD2xIy4W+sFXD7QS3Ivl4R4Zwe1zAVUwLjyViB bM2OS7YB9ci0l0DEVl3muVBKgPnj4QQqUl+uZEdtz5boBpULeANIlpEU23RzY7yMfYracjIiLGuZ S4XYgPvebJyM4rTTqGABBb9Vi2n6U/92RBktQIL/Pe0qUCD266JdG7KDqVI3FphvqZxXTIuPj+7X BAG/v6/X689lZGmxxICdAMggXAJYPdFd8E/8AKTFM+RLERIZ2oPq8+xX/iid2kOEEn1D/FE+/2Vm MpsGTWq53MXnsin89H+/RIm4mTkkfLnUKG/aaWxR/U5/vihh1J5g1pj2SqXSDnZ7AkwABV5shhsn pKwA3mEJLF15tQcbyMoQS6H7tAaotJgtDjY2p5ZlsQGGgHjAORvcuXVsQkfPAUaAmik39Lck4iEr Z9sNNeUqgI3IaO0pTTW/dQyjH342nhTacGVXDdijn+TrzVke4kb//+35u7PO+Cz6KMZTgY9KXrbs RsKYFMkrwaPq+x3bimjMPNdVaPtggsIHRoZlw0QssmDZEVdh0znC/Nh/Epny3jhPHtg6PJaidi3R 75Cp27Tb+1V5hbCeGzpFhFYUUHmflEPz40Gi9G7Bndb4S9x2R2G85PgRldoxLMuX7tuxipuLi/bR lXkLlgcctErexsC144HDsReZ6VBGq4HH8iPv9Kn5b44vsHsWomDD2GEFrjN/pkKFnB58K0505WzU MT7Faia+973GUfSUASfLYAvCRVlVYBI1D8QPUkNxBw8oBV7oVl5YRgUeK6lMEQY9e55iPF0leXQ0 8rSBg33d9/Rh3S1IY/AEM4tOW2siKGP0P6m9JMNGC0j+FLoWe9poYKjU6sTQWfs74YkqO8AimpCv jfwnu6uzqB3SThYKRsxL4s/1zHJ8Ab2qvJhJ1JngB80AanfTU0FN5sw6R5yztjS1ziMVTjCvMFWz gQMMSLS4+mGeS2RpJ1UXRddSdbzROk/T4gKJfDX0q+sKSuE9Flz3yv2VHOiba/2mbhPwVfnvZbBQ ZUhDm9YT/VbxPdvyP97HZWjEbuNY7LNV6hit+qXqgohtBylUqfFthgrL0v0e33bwhNORi3+ipYTW /HkExok4bwp3XSeRoavmpjR+74C0ddz7dL3/uchQWygDktFSDZHJHrtVEfLn9xoRE9fn+d/T3n27 iyxgi7pqzibFHXuPs+ElIjntQk17n8b2Y1Z9yf7F0ANQ/HU7MaFOpbij1pF73sgk+sPo0hxlC/8e E9BBTws1Ym/yUsUxJlKcQTw9+yq5gVgzWDmXWMNEqo1wDPNXJPSJVR1S2Zrt+R9ra6t+DX0SnPx6 073K0mx+2DZz0fw0Z5j29qBPxwzGhPL52JIBSFcl5uUjc4+mAHvSUu8nf9e3tuqg6wQMCTTbjO4p FgzGQBO5lQT3zVLY4jx6hkZpQ3udkjTXPOF1+8R0Oc84ikxI6dmnQmAzK8xQcCXz/C0MUtHOcw4D 0boM40MWMrLkJFy/5S1wOiQboRUhlURBCXeUAr2TDrBkevwIE84r98YCKMLZXuxUtj+AoVxaH3Eh 3DQl/jUzgU2vpuMOnE7Ml33BAJT0KZXkekvrgN26nkn/5Y1VT6jKTJc/xWBDezqr44VM+gs07hHT EpLJl6IZjo0zdy3HpoucOhJp14FUhGX0qTip7gm6To7MeCX6iUHV0NCL0MtOZDvTJXd8dk6mRb5u hKbfGxQqG/tL7GttY3Y928rTvVfEz9BQRl8lAPA8JaX7CFXbrAQa6XGIi4q8qfYEDx2ilCaoTf4L aH7rmATcRbGzHhkBUPaVL2b0s4KbvoXS6+BML8+cmKhxy96k9l8uahdNbVBDU9zPXBW6f3q/GFOP FkRxEttV15sAm2g2aWnaBSWhlrr0ycDX2Jnw9zLMJ/gGbkH/B4dVCpBursdCZ1GoPIWkSDTUZ1ZD NaJbToKKQDtZZGUbNWkGo/65T4eiO490qi30d7MlFP5wq/8cDqObDI5sh5R5dQqv9Zycwj6f+gA0 Yj++ht4mljZl3+IEopFauqWlHvbJdaZFV3gsP1oHgcoDAl86EBv4B1+RpiG0C2ljBWH7dii7s0mg UOAb/NqlSEjYaTINxF1n04h5HPjDjlzE2oMIxTOsK3/AG0xRr65U5IgUDC9gMRovhk2+G9N75uQm +kseMoOSKJ1SLLbKpI69hkzy6TDLGOP2k7tBJAuZnKueWuEAZt/c7/1Bos4vanne8SoqVMoin3qL hQQpC0eIKJku3On1LKzH58MqTHqBAeQBVY7QWGTmL8tSe3/JaiuiZUCDo5DHzuSs9f6qF+5Mg9gV v7wPgYa80q26hR5MtmhgCN2SbBkGmokZTdC7Ud4sGCgOmoBtHTLxWgClOvq/AQG1EELZhiVWGzyt uKuMNLO6Oltqit9Xs72vZcykpc8337MT3efq5qCY48KUGQgZAZ3JcLDxGUgh5/Kc+nLmQv8mRZto rZrgOlZkXRStXWQxeJq9+bWAOJ/ndEqdYqRFHm+4of65+cUSIDX8T9mHUJWxSZLEGC2Haj8fpxwN J72PQqutwkJcwbg+0dgEPQm2+cstPyyIp1SJzCRV+vgNMZ95HjFe3AweehUAZttqo8bV3wphOXya BrNlNJ0fncEECNW6g5cU70+RrOMADv/eZWRs1KyUFQMi1d2kseydH3W0RvMXeTkG02bLRWbw6Y4W iiW0fI0tgsP3wo6rBdLjKHyOWKbf1rG/M1fm/12Czf/QLDg5eahqoLCotmCnrtef1ibd1M3rv3WS yex9JeYiqXfP98Wjjvz+LErlZGUgVEg7WLgW4YPnoCt4IeSwMuCdStCzxg17nkyYem23yN5smEcG 3WOox0k02joe8kLjy+loGwEXjcz23H4/PfzuaSrKdA6tVA5J7fKMyW756Y53svxqClIMJ9WkQ9zs NdXZAhggDRkzmqOg7Z67vg1LtMpZ8PmA3O0Yj9kxH4C0ctlvJ8SYEz3ayx1Ja270VpWcvmKMAKHS /0+x1+UKCVTZL5wpx70bnGAN40+GuJParGjvgvqwdgMxO41hCwFR+5D1BSQ5MA1ZbjkZaG0VKeSH 9jHuhkVBA+LaOmVzKp64zw+a+1SiLTaEAch4KcOGg8Q8hmVKG+D3V0Bn6Is5G5d8WvXxnOE2JDJr Qji3c1/ZZx1y8c6+qRCEX47Z8EfwXIXssEb+eTVnrnoQBVhMd7Izc5OY70X+H3ea9UfJXgts+/m3 nScYifMUqSPgnwzamgpuAYaIpASKJtSN2f+2pZD7K/Yh1gI6TprX9cbd9w/ceYFY9+Ys7dy/1jDd LnLSByqCzCr72X1vfc1ePU5ds5XqOsxjWXNHhbtq354thWZ4Z0s99ngn1bW79Ccobjx4SHWNOsTU 7Iasf7/vfs+Wdf3Z7tgbt8ZgDgdZF/IwfZML6SbcA8W7c5YUa9hx1mNVwun4cVhXJYH9CA5u7wEN BKyvZ4O19wMv31u7gSSeihqVnlQngBzAIGSuxfy3tFX0h97Z0dqBVHiQFVU2tLL26Cx7Mm6v3qZr rinCKnjKUT86BS6eHSg4EDw1znV9pNMyWhfkV9IuEac+BNLyXxRIXMHGg1t3NDCHc5JoMonuDBYg hDlWRorL4Gg0sgT+Gh+0XO7hBaNRgX65D4J5iXoJjnNcLMeDLLdkHBv2Z9LklAT8vyuPTHLcwAqX Jda5nM95H/aWfIH4RoRHyxC2+7fzgNYU4JN6UH6mZsjDQi7QMrSVcNAeVge9G+9e108FzZKJLQcv WUUIVBRL+3d7SRqgKU8LkUeZZfacdnalzUj6yb4bTHvOGu3wapQK20jcQA5z90oJdEPzOevNB7aJ 9bbRNdk1aZglrRTmLgxLj15q4zrGTGyyxLFzG4dS/RGugeT5e9UCbc2qE6NxP7Z5Pa3N5/mqGOFL R67Vcp3CJ1SoQIlNex94xnhta8jIui6rmwiTIFO6vc18I0hY4aDik/RDgastYRmxUdKvQLC11aXg /k/jMchzZ1owqknC3wJd+wbvqBY5ITsNtX9K2/o4XlXbx5YObIo9T/nzzP5S93J8UrjeCPJ5CfUS f2w05hqWbCzquZsKY5bX4/sqhf/toBD0h5TrhBgqRHTC7j7UoA57NDw/c7fwMNgQLSAk/xpWg3Ke fQqHVpj2UlM2Pk1ejjhoGsX0jYWLhUvUZDc2kFbcSRvWIKtUfxHUu7lmA0Ma3ApD5RpcajUxmj/0 S2LF7RtMaKknqMXSgOa7Q0fLAimHhucZ8nvWkv/nnGfdcfRxVziTOA1HYa8HUmgvrx1pEF3U3CyC bJAxnwCZbx4JITcvitaUy1GUDL6UqrplegvZmV3Dix4dfU0Qo0I3TX3VRpHDu2p6A0kCQueC3WH1 Et59l829gSm+NkhPRvs6v8rWkZIdZ1Dovobd2ddM7dIz1cmzPZQtStNBe8i4G+pSMdmgmN5ANjZa sRbQl0PTTCDCMDTZ9w3Ba2splAbw6z3RGg5SfMFCzk53+WxWluqxwxxwnC6pkcZspAKsYUvxbJU6 OrD//vQ+iP+24Nb1QLRacrtS1mbcGgvT4li9rPcDS7614Uc+uQTCWxQbYUBTV+TFAEa06bjIp5MZ bJ3i1ItM6pGh8tvvQ7cyoaASg7Qba/VXd/z1Rl+yaCZBlidprOJym75kUolKJODo28lxQhPjDgBF rCEnknZOjkX+Z3JwqFSMPJDSoSssqipXF0u1/0zNLjntDfR7gAHEet9SoMdOoRod47R46b4bbg7B K79wNQeD+aUGUbZbTzHYt6KgP3I+yJY2YZTPJimgLwrRaI5hrnZB5cJ3z/bD+nK0NrjgFELf8soi VC2d6lX5MRHV1fpcL1eXYBq2GEA2PTexRf1tFNu6Ok1kjSQ64x69plR74cImCIPs7Lmit2dKSoYu 8n7wZU3GWOForGPC2lRNpegb4ks5bLXe4BvrbdMVUg/EOwKN5XRMnzRnZMbGsXadyvZ0amNZqbrT Fq3FdIY7tsiO2cWh/O+ac8lJs6XLYgvqX5VQrWCd7w3cH8CM3MzvJrSbXjj9Nu74pYqGR5FIhvvV 5is+vXVSZYWvSPWXvK1P/uy60dSZEmM8pO3e3rYoHGwGpJhkuNC9UJ2o+u07jLwlAEE2dTzwdm+Z nBUP7S5LtQEHF7E+hprlmaeuGG7Q3/detUdy2qNXZqUpMhrBof/6vYPP0pZWamPjnEGiuJZxFvgo cPIvRGyuG28rrRjkq85+co5svaM62cjP+nYAqYp+fhBd7wOBPwdESJ78SDMSokMaCEk6lUWV3Dn4 Ir40ihoWlJHyJMQknONiQVzjULYWVa4wtxpjqY9AOEYDe4njj2rJOJaFQmV/6FqDKeL/BhGbTWja 2mbOJ+AlD56wnMaOB49TxnAAGi9yaU9cMs3XkMOxGJXB5rjwC7l+/2rov6P1dWVOC0sFvnPkwlA1 mbYt95K9yay7UzNziIaME2Jd6KB6CnlWFDau/7prMOvY127iDfIc7Tg2DlicXqRhH060biANZNht lIDBQxBzHyXMsqY18ipgJ7tyA6dKhqb40j8S6oxW52Q8zYvAAaieh61Q7qyQZMbBl+5l5FZPrnT0 HtrGFZ5/uN3PuGNtPYlMQCg1tyPX8Q0n3qo6zHnc5+F5PTTkbyc6dExj3mkEyeayy4IToKhXgD1s IKktZ8BxrR+wt4MfBnsn+abwuxDbqasTE8T2ma5t+53ymEEErnZcOVbZge0+Bu5rE+A1vuKKmUw2 YseS+qNs3xYqi/g/+1BS4jRMAwDjioA5vVqnnKamDp9KZqROeTvt/+WelbYKOuMoQAGgyYDDrlHo IXM4OL8+oe9pdYchWjrkDmVcrsNTWVBI28APiFYbT0EfJMvj+jurRu/jwTqFYolk05ACwiLZR4Q4 GiRnKsxGsWw1C0MAw8rPZ4WvpE1q2kk/RKkTqmFUfbnvvwBziS4iVEkXzFMy0znSQjFVoniA/koL 9CCBm9vGomY3MixVvAnDpd3xi2oA1iim2jI3DqoqJhEXrx59wxmmsD6ruL9j4qMjyi2t9eYYwrn0 iANRqNTSFbUUnD91UtPvV35DzVMDavhn93vFvt6BuAAVKajnbG5GMzZ+q0WIg/ARCKSBmsa7Uj7q i0dA4+K+06pmMTIYH9h2IrJsZ5dCoAGOwh5v8jx06K4uax7VwsaLYnp5MyaW89Vq8AVkPRXB8Ae8 9u1I31hmuGKdg+IhVVlDGWSHtlD99I6b5bLlKs81v+cnO/wOxvMTOtm0eI/A/SvgEU0gxpUJmt8e zmuRw0du2XlsjwhEP3DEN0AHw4xd6sCsHH+u5YWxtSSAmlQ1sqQEWZ83WIXKiLDrmekvTPAN66+H NdYAsipzzMCUkY8Ze/2OxFfiI18QxDYnFT90XNJZ8WfXXcoD/AYia57KY14ozvWxR4hESdRhscVs 0WCH3uFS7PIDGZP/LWTnbcXFytiZUknVBPFcMnukjY/6pKKUcLY9GDdfF0ETbmcJqV+CO25YJYhQ +UIZsQoAtAQ5Cna9jKSrF105slR5suw4lJJvd0mj6Y9s4b88oIjAt8S6tw0fIdYNJU3DjkfXywGs auUUpbx1OXLrvkAGeyAiM/jsLT6T73k0mkJ0olsXN11hwPBY1W3orljvTqJlgDl6st63FTggWl3X EQaHj6xOTuO1wXpuWHHkDhrTl5c2P9hrQBo5vF+OZjGe17HeYhLVqjgGGA6GOkxMuvYOcGEq3XDv rHqw+Uh7dL/fcTfDaObGASeYYLTAoHn53a5M3/WZh6ahyc4V/mHYt3CrgZvZKEQPjQH/7tT6Z8W+ t3sJ28xaKJXx7aezU2o0tGYoNxW7nWc63+FACiGBe5aaqm3jgaF0NO1dxyVsYWV3KR26qp5OWf6X 4rUU5OSkEkbLHqUHcvlsG/Xz2NEkdq15QOW5ZiExif3p7ne5tVHoLC3/2SYo5mmbew5X+GZnA3VD 7iDIw2tQjM4yJ3wgGgZI0h/OveMGCuiBv+gJhnQ7HGKmGtq4r2iTdzJ7SxvN9Jg8SxUjVN2mXNHV MmBFkV9iAQaFU82LqeVEOb1nrJ4xyPqFRtEManmAjS67SMkBLke6zrlrWJ3ieS+85fsXuDocLrR0 2wusHWjVFTLoxwl8LzifDMLyMxdPqpvuBtWPNdJTjaEX8yyd31hV0u3P/4k/bEUEyKuqNt0gT48U E9NFrO5WFtCmZSJuGN0ie6oMnJ/qB5jfEFebmEiT7/I+bCGSVfGCgaCwV6zI6pcuzAQpV5azaffK OABGjFYYrjFniC1+uh2aem4fQoSZ1PRmgwDSNW6dmjOr+FnFRi/rRshZ6lZxAWdVZmVWyhgRI7jQ 6TXEj8o5pG6hZ122/nhPeYxq5oSIjupdCKlUQsiVRo64E3x9EFyXV2Xi9IPmKtuxrAa4PJ/Cgb19 lnpQe4pYXrhnv9nZ0iCvk1b+PV2lQIyFlAveEduvN/bXOKywyWxzGgunYGYKL8yhySyGCvrs+6fl kllSfq7Q0Yk93jrrUdlPr7QNRbEmBChY+Urkii5TTv+NYrn6BUg4FeBc/QqsMnw33N/JYapUeeGK ++UcEa/eJM5hGAeQIYVTXPGYxRaRCXZrh9WeAG8Pey94U3Z04H8UxzkGUB0+1m3jekhHdeRuLmVc Kp8cBXQSAomfLMlLLCJK1XxFCBIbPckUWDFaBtRT8mzKFv6SaAJscVvrhwFur23Dzba5GjWZe9xY gV9cb0HKqTUwoGl5fkuV0A92daLDvG9/B2BknfAD1tcjDa50za+PBJgHOXkYNYP6RuBr+IchuJd1 fpJAV8xBveOvchQvFuHK9zm465JdpEOg28Iv6LtMcg7fXNz/LuHk/VEn1ZQ4cLXclunGVn/T7rj7 hifpG14/ExtRpZIkYr7NkDGjaLk1bDaQ2RoG5UTpOodCDkM14iYpWIPtHWsW9M84IuSX/7rjAtg5 E3Er3h8clzjslxd0VVJrA2hdIeRzs/66x0ynYfPoNgoZvWJ30Ye39p407UeKLjVbI1/1IGS2dUZP /7YWwqDmhsO7BR37zKDVnGJPyYIhvO5b5WXQjaFVBzKvWzMwEWBBksHcwBg0EFmSbjY+67omWFvJ vzDuVL6m7xuXRRSPUUqt1mgDLCvlO6000BUihUDobXUmfMh2p9xcG0f6oUF3CdBdAk8QxzTg6B3p n4MN1NMtw6RxgYg9m2b5oo7fBfuiJrbk2K9pj7c2IYwMNUD8BqSglB93WsHZEG7lFMFmlGVzJWPW xoZBGw0HOgED1wLK5gz8IIVZhPnPg0Jr6Lsh30jkk4wbyzPO3XVqY7VhQjB68FcQerzBRxz6kzP7 UgsM9J4qFqN2FUyFueeHn5XmhtZB5ZQCSw5S2zOP3EBmsBdJ6d4R1WSfkBxO5SdrAZR5vQf3vQIe sjNuefVB9MGpZceEX5vA7KpcsvIShzoaQR22Uh4kZWx+mD8gYOTlZ1HwBZ0dd87oscRduJz4cHoy bMij1OEN4sN16X9860sf8UlPlr1egxSpvhm3q7zafQg3MKx/9HoWMSTckGwQfJer3QkRZH5re1qU 4GDGKs10clziZEq3i1VcnWdVhc4cgaLmUn07Qf/Nk59k1mImYxDY+bN82almaNnmgQCcOIoChroX voDhos6ejeILr6enB2mGnX8p7KHS6Aw4I6EJ5ersWll5UDRwsy3NOriTvrhrn/ZSQW7FrBkWN8W1 i049rBdnHiPo6FYG7IfVbHWKhTtVTVZ2qBG+JHq5ZSsHYoaomv4TTGbMntFyA2wFVYrFom+jX1xz oCwdwJa+xJ+HXWiFdU5YeV6M6gF/JCmVEbq044My810+h3pO72yKIo4AcOiRTIM83unL8n+cKvQB YVkUjSItAXV36+5PDvFw7oKsLfGrKwxkyvOOcs6YAPpdKynUdKFF+2CZHpusJaGZxF7auULJFxxZ SOOysWd1/x1tF767rg3jXLn4mfta3MEkR6+NcUcqlZVTt2xWou6skFyuib0AWnran+0p+QrtcBLd oCuKlTA4clYhFNP2ViO/mxwR57LldEKAFTjIkYbG4mfYW6PQov93bAXm/5xmHORbDfNOOTlTvz6a nNygl26CU6qZHwY0XHwdLHEY5K2p12/YDvv7prIdVMhYet7j2Cru3OqoKLfbhiesGKxDmO7km8gm gxGOb8EP3hrFP7MWDiD6bZykJuIyRBOxfK5Tsm1uVDH2iFKCFnBtketjEjGaAncWshcTHBE21a3B q92s0zvViiTBcxewgKxxCFs95m3BKL5wfofL7qqhiXZCLWLejOV+K27FQSnxM3M9//4zHwdMBknx qLuyx7pT3R+xF0FFqP/nAbG8r7JRuPN75zMxKq52Zd8P1zyBr8XDoO1HZn+AzZL2W5UpUeOH6Mkn qVhNxfddiK+fiFrGmX7FPXa/sXOCf4jKHJoNU4ff2lCyfJYOYRGsF+gmA0tINDMM2pWI4cmqYSDC aDDwS+hp/ChrOePGUv837D9HRxXkPdWh2epuAkxgeSfLioFHW2MZgMP1fFJCOSK8KcnFHggWEuTE K9/JOt8aQpcF9dm4aMlrHn0V5j4Mw4RwgRTGtbTdKCdU09Lx5bN1Lk2MtpC69LVo6Ms2jgbxvk94 EHcG0S+/QOGRG5THMQSGnxMNyWJXYjuL7EAtfhSLecZxmevxGwBIVkbaaECVAfHPdyuBd3tNgT2+ ST4f7yKFJvnb9Xdt6coh9BCvIjBBHg6kMyC6TBQiLkfwEnuIqrNpzNVi+nTk9noRz0HFmU5PiAyt +635FuZwV84b/pKkxTNIY3vtSGMEn1h0ZrlT7O2lk60XwZX+WbD6EMOkrMk28C2H7mloM32IzAFt 7AEjznUCXQu1z2HMURxDWznnnrbuFGRmpg/hbbmRcP5Z7evfpRqTmYi7qZZTu7EZHdMvDyq9t0DT u+cPzefGIx7zMzrp8bq/yaQXIkdTsj4/DeLC+RnR/5YuR3+42fgMs7T5mJr9ObtNa0IAo8G42bSj XnUN0eJEuCSO/LOniA/CKcePHyjupJduXQFXeyit9dPvsLjatyMToKuL+kt1ODm5kbCZYoam7ISA K9hh2kqwg3EqeMsqfcSGAqOBojxqeR/TAi/1ER6LWwrE/wxPisyctmWXAoBsiUFSZIzNZg5pD/tm Xa65HzRDW/KFLfxGynUFd4A09nXwth4i0VqKp8j5TpE/TVuwdcSbJ5Ill3mx21UfVKMvSRVnqVw0 BQOqPIeZmCuDsAsiFIzep5Hn8Uazq+TAisUF5PEliwOf9avbi18exB8xReM4ZQ2xp3UcIOjd54MC VxAw+tG8tX2FVKrV08LpyU+rMYhDxdhxd88YK/t5OFe39w3eSNBkc/2r+YuMy+cIj6grSuh+ypuc 0cKuPpPmGjRzYqjUFA4YR66vkXGdGmIJPHsleN69fEZTHf6G07xb0g+Eb7RUQ5Lx9XxomQGn5jYh gIDalR+ZD/PFd3Cq4Uj+d0a4diY8/ib6a1tVq3t/DL58cVJprOF4IhqCNrd7iaHUqceQ8f4GX9Oz As8HBtRwrXCXONPlMydlz/bNshqGT8gkkfL3deCkc1GkTWQ4ors1U64aRvZIc0fqOB7drmh2UggU Bgmf7h46eDgG4sPeiT8FWfv+IXodnhRSkRnarxA3kTKXvCLugTCI7Lig5RD90p4Zn3kjSSJHKszY 2TvfrOTN/qx5JEs1TdclSGhps5E8CoEQx0yXCmgrqdjY5Qj3VGwfZ/wc2ETeY/w4emZIS8Y7pCzL EohzGMmg+9//hqQOz8dAXE45y2Uw6/8LmQJQUVC66Pngqj6dEafMNnpWidAfO4eGbsN7ObWywHOp ET0YFAqt0EhR4QW3p8SIhPaeDpSJY2A2VJo4HAciGDomjuh4r1w5ZXjYSXxOfIu0IGy0quIwRk19 PwujRZ2d+eotjaN0yzqdWPaUytpkVL8nctn0P0vl1l4IxJAyUMhPOrneWvwvW6CA4jhbIBtRvZwc rpdT9q40hRRxY9cAS6IEHtebnahf5EOo+5GYbLWzyV/2GFUhdhLKMPZkv8omy680fQHAafdfO3UZ Opur2TuxRzB6xFB/vLrjU588+hRojBXl4Ft9ofA/nM7LIQTiZy824dWkm1xsHh+lmHR8nqtS//Xw P7cXuAye39skS5UMVXEFx5Oj6oFJIsgU0pxKnARKFCbXiIBDYs08/KOA8zBJ1YO32BfUma2Q7NrB 5epUjsrRLVxHo+DD+gNOsdM7AF1mmKkiRELuelk8waZcMlYNrId792C+E9b4MiUKs7Foe4xryqCR ApS5KyEr7Hafc//FQA8CWlq0MZClB9JVKZWtZVjhNTIK7p4XyjYrsoiIGHZA4BOMin5OaN37VAUB HmjrtH8UPdG1tn4NHcNGEVXd6HnuD94yNeDUhStcbB/tXLgXqRftqunR3VU19uolwXB5nQ8vkvE+ 4VnIzkvb7BOlnJIrNRBw8D0ejkFlQRc0Nr78eqEN27pHly80ltpSXchgNwyyjT1YOt/iPaQRyoPU UakCXoOwlfDiQKLHonZRTnNK+AE4NvfZ4Ci6aMuUsKGxzdhckzmoZD92WHYO3uDe//VVaroMm9NG 7qKxLp14c0WoW7ChbFMAlz+vS/gSlru69iK3JT0YvGqHeFl1Giqi2N14UnsKlp18xjKxQB/dnEja eIrK2z/2B3DtigVrWT7tHi/inQ/OaSPLO/feJH1H2LOxGZfE/GPc/9bnr2/GVxwObBmKiXg5g2gC 6bTLR11+CD8/dXJVZ0R+lUUXhUkDIAYKiGeTFYI1g3R3OlSppLRWfnUqkxnxceAiqrDNHa9lRhZH wpFdYw73jHyijCdJG7ge9g0mjkUMrEoth3r3iunv8h4WyZzdo2/mEun0yvs0ZpHRDG+cawNQz15M f5/Mw1nhx88lMh+DXJYr4d5/DWOyvg2kNGIruxyfMZ5FJa8SaqNH8tuNakBTLlAToxfbn7YL9muY fVJSDHJH1qQ1DKABn472d3TfanAAx+flTMWDInKMTTV+X6qy2qsN8Ns2AYVsZT78RcjG2qYQiX0v fTk3C950vzitBIyTJ5d4UYpcbfc5/fD+j6qsqGc+wZFy16ICUsl/RaIDJN1zXPXLMS7/3XKqhGMW /gH83dBWOzF8ywelzs+bgLBhCurPPteD7xvIy86bNFTWhB2fNtqcCmtRNQRMZbFvRB7wtaADyzoD V++zGM5vQcT3SBKoJNKhuki+RcG1KTm3Ub8Do68wgs4Z4I0GUO3X3YyAYTR7Z9o2rM0lkrlFW7MP oYV9oMLesFGJ60zh0KAlSgSzVUzutYiiq8sMOnGTu/imagiqxAmQACXx1O/ALCkwpENcysm/y9ye pGBZtDaMFHOgNEmnMhhiNmMS0rzYbkSMgeL9eziYz+8yQ01GHFy+tQYLow6n1RDue4gYjiVSYn4S mUloFn/Ov+nwbPm7yUcP0fF//LQiFDJJyOzvaBWqt902yfajFmJ8TMD2ogOJ1sZOX1QgtbEl9sQm cRiXY2+DMuEzn4KxPwPqhDKnIdi1uaG/sgYAUFWwQsMtlm4vhXKdMRZMbSpCPzq4lfurpTjspdQB 1Ur3kuokTf18pvZuFYM4TvsaqULyEpnMiq00j9WNOCV1sJhieqyjYk9QDyeq7oS+TFD4u42MPnuN XThzrVegtAqbK1mj/aDoozqy8KLfT4I4fWoFsRlGgR1SvGNpN4D1c7oV8gfIHfjAIKdwT4TlzQbd ooxPk6R6+VSmgoAKZpvtB6VbN8e8MxH+BluwqLRaFE7oOIilSqlO5zQxPyeYOVTVBodaFT/z48zW 8adG/H+Tw5dvWZmNXuPTUcdLudex+FkvdaH+9uZLudAwSkSM61VKpLtaYJvPKhVDM0IOkexl1/yz 37KuVJnvr+qVV/cJEv95BL7meoFg2DKnEODvt+G4Ys+NmYQIam9div1zCCyJlBwb1VmiwQb6sXMy 7hhGhBNuzYmiExaD28jIWUug4+aRUzswiB193I+rElcs4BcPjPBR0mfDJJZEBHxYUnDbswMjGKm9 xU04f0Ej12quPiVC2TGrddccz2F57qHGI5SuCI4iPzZRSHNIZZxikMCBTsMIp5GvyDLTi1L8BXVM RM69+RRNAzuCOfiCTwvhdCRv/2E8LEkwb2cwoqG0PE79HM1bWnHkC30dXrhRsz6qLmimMQd+hT/d mL5sx1WkJHvRhZgrlEaipCEQ1Fbcl5h/eBiM+Mk71kow+AkcC05JcKmZWVBsG5Im8AMidiEDKA5+ CCxQ5ur34e2HrL7GakpNSEuo09Z9oN4mocmpZt9LV/lH3Z4MUvl5jEZZJwe6A2dSHVIcROuWv2+A Axljtsw3mSYuX7VQK/wAPzS1toj30HJr8lQVPXwqnqzeUVD0c6OtjFBiRQcCwcdjVdXabo7vzhgr MsExOrz0m49qiP/yHbbhOD9Yk1RXtWDShtHswIcFSI6PZLsYNY0n/a0UDIIeYenvHXqPzwXfs/qN SNERhJx/LMjlLfCbgHo5MqZEzEUNqsMT0ApJiy2wB8Ht2Mt6DFQ80oVDV1qgp/lGjGCXKtNaMUaP oU8JcwV3jY6q7wgesnGRj8fWbSQ27O59JM0RDRo4Z4RJheBVUt3Yz0P0voDZby78v+tprdNAVqVr BS1d7FhLDrTwmA7pR0Es6X/YmKYn7s8wK8DKzRAFw9DIvsTFOyhchOTzVXwYJJChzrraEdHiggrT LgMr+ea1tZfVwYY6LKozfC3xnFH+VjvikHtblOY6L1CyogKmNhvxMsTayIKtmj4vLL6323m1UHXE G0FQO+Ncj0YDfhwv62OxHWGX5kgJYg7NvD6XFt8LYcWhK9t+OzkRd0aJLsXcIoufPfMOhghG1PxM 8TffqkUq7i+R3EpjhusC+RJGpgYy/PuVf/gjd+SzeKVVbFF9tw8LmUgK7FTduOJCS8D7uEqk0Cdw m9yaDsWKlYKqck0ptmb5fhW8kuYm1QbVC2vbEeHc+vYV29b4Kf5RghDlmWDbim9rl5zcjAE2UEUT QBCO2mmjkfgoT1R1AxgLht1CmP9r7WRgEtTFzvoTtOqZmGAGDMFDrWeGp/1zxLqXar/45yL9Fzyl jt6owLXWb9fWazMA/BXAOInE78slEMxQvZ0z5PrZEn6GyxZv5ayiGqboDFIuJ+eKm1zRoy7GqaQA bEYXlCz71uilZ1QcFwa/tusWLA32mCBnWRIjGCguRqI2Jd5m8kKr1A90ZOXByd6XYiiKGezTkTDA nkTwCC458XtjHS1Hm3BddIPub5rTptZsSvsaS6bJfGyE99VkjVk1jkCnRbKY8yv3No5hqONId9cP h4lrjOL/v4sIO5aVABks/cNibV5aB739af7hdeadxGo5wawrPLSIMrT4xz4u1C3A4AyjSSgzLkNc ECh3zLnvFc1BbYBBP6JEIO8G5tvngyZmAA4IylHS5fAFrn+Gm18+fhMwSqrmtKg/s8dg3Xw4thnI sx7YY0HS56xyqZy980FyapPykTLgDh7WNksk/zyjUau6NBns6aSeLA/pb4uP4BmTJDLWIlbHOHhy ZhS6Jf3k/+UJsmeIBBn7ff1h2TwyyKXyAhzPqnOYXtv5Fou6YO909u/GmlSLbsPAweOOybwpZ+Km tDMQlmX1xfGDfVYNv9QIcQc0YNFSszuQfWMI5eX2OphQqI0BfSu70TJqsApqXPDRxAJd4jbRHii1 /04bglnUS7/i70LUZfIuF1DIPoWKWN7U80MTKlWD5FF4N+UrsA6ll6MPjwvg+P+6DUHSjeHHw5mr E6z9CHrBTDbwNsHoZXbIz0JofRZ3FBiID/kTD1ICkhj7c7bhQqS90BpLbKMLutTZxSmRl126dvoh yn44gGogbziZDhCDwi/gqj0Z66ehnvRb1oSn71rldvNfZUTpG20RESB7SXI2rGqAc/dMs/wlJwlI ka3adipkwYlhOhAavkDHyCRlqfZUtOjVLO5yjU8Z0XRwiruEyOYcS1vN1QBVJuGdpzngaiS6wmiK X28GtOtdAX2TVfw1btwRpAH56GxtMYTODjKbnO6lf/6tpSOkVwzD1V46Km9/+Aa94suSU65rItHM PvSffcUFZ/tD+zSFpXWfcshYjcIKz6txJMWyF4CWo0S8HYhqg4OWPEDwc2FlQKQoGeE3WAndgejH MXZP0S55ZcyeussqmKeQaQSJHeP4zUjEDPzQb54Yy8pB/iZma1YyjBGsMCFneUc6nXub04RKgu2e GN3Wo0g/nSpUUwLkHsi71D0+SBG3uwQXEk/GsjSQDwfikZv4bn9ZDJOgnMuhSKU6TENanoGkORbI yLeJ1zAlIFDmFj48x6DUTfrU2+u+E9DbtraBWA6kMhohLLFjUIUxH86pnHAwbFF2Al1WN/zl1gqO iMW9YnGnqUn87XvRaBbGxQkFMWdkbRCghmfZ+STL3/Jms2JE9oA8qHCnDUW6IR80B+nm9YAYTVe5 rDxvwQiKf9TihS3Lu2WwUehsNZDGd7D+gxH0e2VaYCgXzQBs+QOu7CJs2dLm6g7EwCGIH5Ry9qff FBGDU25qhNGFTmd835F43azxUp9m+Sm1udEJ9SdHgPT2cvQ46JHfgoavMi+SMvVKapHJzo183mOU Tbk/hU9hX/TR0iS3SESxt5o8hazbSK/vLjCqmFaWKfAAuGkd7r6CzL6/qfVWpBoDr3FlRWGzH6lM wJpOhzj0GClyGkxyKnW2VL6Nj09oDgnh6bNH0megoJo5d5QSBjJXnRweInJHUBCE8K2NE3WN/i7v YUBhjp9GQ25mTPI926NRYb8ZdA/jahEz5Pjd2/wvlsJRNM/k04uW4X1FTxMilQ+vqe7+00Pr8Pkt 3y8T7KlS2NRnObyCzLTqsUA0+xFCPWuN7XdxoVH+K5in09F6DD8HaAsf26RZfw2rKc1v2EqzOr0i Ubo1wouMJFcK1F0nrw7KLZ/HYY4x++IWuRioqFSAeLDgHN3uJF9JBzKEcgjkJ8PamkprBhLNXMNn mWrq6BbgVyvL+fmZDfcDI6reTtMPu95fLI0VtBj8zhcFvrap9Q3RK6SrErFnDENNUzYyGlLZT9VK WVy/a/zrive0k5HwqO3G/zVq/wVkmV3FnT1hBVvMnHAoBT/z25oVJfW90VJTurIjWEgog5cJeOE9 2JPjuRVyayYDB8u3mPqPJjMQ6xBZ14ajuUAz/dr5D4t6Hn6RvUi9L8k2NknXLgiHoOPXXXV9QWyX Qn/v93DAw8L22a8lr3YmtjJD+47CbR3msLUo5/7uToBs0e0C8c1HlkgRGQpr6+XZTRY/Xcry9SeZ +/NgLE386W7xYMTJSWZ25v4OiGZL4PKphtuxEGp7EHksHCtxMj1VmUx/Z1vqGEgs9eglBtqSt7hH /DTtTSdMxKob0Ns/PNYJCM1maoFngFBqNaY+O8jUM6MaRZrq4VWnzT0adkRbA1MlaiplAzqEhrOi EHrUOPLkRe3WjPzOARic8nIWyvk8eMs/OItw4P2upqCLresi9e/r3SP6kKuC9ZE1E4S5cHyz6vom XrgbqQZ/S3Q2NWhOdzJu6eCrqcHF4QlvpyT9kvmGyH8BCX5od7D1ymJci7mX0IiyPrE1DaDi+lYe 6lh4F7CZl91GVz9oCdvAR2PnzIu2PznvSD4JZFNFu/so4XT5wakaCb2/7BgiBjOWAbIkL8hAoNsG ONAKdoKpV2qZZUFgfyQGcKtcVtM1I2cysTTgIYA1gdxA0OZXUS2BF9aWbuosbNTI6/wsERLgb/hU cSwR3Ei7MltIA+L5Q8HK4lwLwL/bsardNO/t5FZKyAHahAN/kRqFiyewzflG4IfmnT66vT3K16Bs gRS/loPpvVSyxc6oU+eCGOpv+nWpiVhcv2MDDlD0dsn4pO8qgU+mVS5n6cqpXojRCKDWWffck/P7 +Zq+ISMC/BhGLTq4wOkIUk984QBcTSoDPjDQQ+wI+FFFogSRYtnlUZ5I/+XLx5RiSpMnobxEQn4N eKixGZ6ciG0VAsnXONSJQNy9uY+mc61wzgP7ES77G+3UEHDZ1yPGvq4CfuHXaETxs6foeNymjnsW 5bTmdyZF93NAiOIpw1zAAnXk9aHc+KbBJChTqVl239IRGQhcLd9hZ/T+n7d6mxFKaMqPWbDYELpq q5BvQ2BrSqT0zkvkypkHUpadh72jk3wHdmsiYm5D1F5EQsUMmnru91Ik4CTVRPy5CSoVqDs3zewe POXxOXKaWhkjxJ2YEiDlmwR7c+KWx8zf0ZZWErQqVmRF8c1UAwqfkI4aoGWcnI+Mp94GPgnmGpTj 46DpiZ79OfwCH4d77I+XYZYdHuL6lAx45SuQv02Y0IaVm2nvFhQTUMzhGqasA6uW4AK78r8tzCcs ClfCJBrFCMex3pCnb3al4wDXvHNq9D0tnS9oXMqcpUdWjIIXJqNHomuKiU32/PcRCSDUxo7I5FyD J/DMZTaaCgmBgNyazld/gUBGTqFVHMIJ6QmGsxUFxsS98n9cNEog+Cb68QS46BPtiZttPlkMQ6On EtqsV/lrneqAr4t0aYRCy7ZEmoM5HsnRfqqFzScdJgYrSCFoRaXm8Bx+xF2Cq2+kvBqhrvIHaxIo YEeczI8Bq+owt+SDTDlCtiQeYaU91IK/u/YHSnEBS8KV5bbJ4b656qLPFWngBqGkX4IKsVVshIr9 BiNjqf2N8oK86SOzobotjtH5zlAgjD6houftDaEeebKuM8B8gXobJtartf6ZiM9hBV+F0Hb/NLAn 76VliVHbNrH7dAiMNv4NbRPCuZ+bq/aobK3601BkJ4eInwTieUMdIAEmuviGY2zu0B0zHQUH7Zu3 6+H37PhR19R81FInhQM7PaTvuPxTRgUZvJArK1EUk6FRzjwacrBN5rIsqBVqNRxdLpZuWvvjY9wc dYR5CsJHIxk1/AVX2Ca9M9Vp4M1vtMrqowBOrqHOb/EKjsfQEYqv9CesaiYxBtjFKa5/VNpfzisE 52XupD7RaE4jlUpFltXMLzHN/atqyLUQl9VU692ZNZgYtofPQvP7ldaQ/9EG2CMiLavTsFmGvO1L UUUS9lz5W5wuG1GqGPMDp4uKhcDl+Zq/iF23n99IAtM6iIuc44Yq5A/ROzFYw+/qeogIqNHR+ueK dMJCH+8CaOf11oPGRDaV1R5Wcj6YYiZ8/zdKYKTziwhY5LAUraQn9W3sOoQ0OU6QzmdEyz7qCo86 J986/zZkdRdZm+DImM5C/ng2V4rw504aRdVd9/Qp/o/pBsjcqYDh0jmFmJLTUGgY5yRE4qT7616t Zt+BfVDR+JjY4iEIq4e+dEjj9YjBC53EVQ511xpYzEZHJur24c0Kj30AnBPFo73JhPQk0fMKtqgf 61NiyJWhjPLIG2xMH6TsDh6ppk8zYxFn50P6B+fiGXFOvao/QWe8u/4rYL983u+1NpZGxuTn2fGw uiQYrE0xRA9LB9L8aJ/A6rE9D7SJPV1zR8X24jUGhapGdNEUXn9auMvEyGDU9Ws6W7zChD1zc5AQ /INzSr965gNs4DNe0JOV7c/ujA+4pRUDh4g0/t2mQMTJgHFHYn/zA9xWRHeKXHnTVAj95Ui1R47R eWwf4NQafDNJdT3tcwXIzIchZGK5U7zQ6NstC3mcG6H0wdYkRslwKGV0NPjN766R6cLsQW0bemMJ L+ClPlq9l4R6HyMVWBgepcRbLT0gyJTRwPbEmpOcVGisU5L8/S3M+MjwY75Ptl5IJBReh3llG0TI /VW4P6VgKsFPFyi0i/6cEWA8CQGH4Foo5c2UFy6yN685t959anXPrfmEXe5OZuHpyE0tLYnUrj8+ Ljks32i8sK+Pvx+0okEv4aMF3GWJH7UqO8wTn7ImzuUT4jjzd49sR+gFkvATH3E80qliE/YOcvK4 L0nNdODSO0GNUPl511nLdNoR4d6hsb/SQ601POz13Y2th2+BmtCsyrhXZQ/ZsiaQzxQzSsJIeIPX dIsYDBOCk6b5kOuh7VRMy+IrQv2TV58o7Cp2C4yVBZgb4uortca0xtVXTL4oqTlt5rWt29XWjn7T n8lSxoi1h2+LVQDP2tgnvlEPYuVicn0Nqy69O6BXZF2dPynotzx2OUK+A1Xv5/qJEx8QGpvBI0xu M0UaVYE/fjSGrkscSVqgPIHxgmZR+iXv3uJqiFqCQzxZGywJr4w7UC5pvbODGE4Q4W4FVnlRfUIp EkyIR8EmLBI23x8PwGL8rs5Dzd4gYWR7te4uyXmztt+dKczeJSfDs80x9vjoXDbgmNDCmUgnCTCX YJecfXfoICHvypiL3Ng3Xg0lKfEI2dvrrfQPFT6y4yH5u38uqysGBKadFmzvpPu8bwcLrUEtGRAX AhyscwFgCtkWcV3229Mvnr7RzcXxtKcz4nimBl8KpCCkjz0w9s64gcmXtrbsc8/jHv8rQG+CHksu c6ozFFaNMC7rVH7sSbtoAfO2PAXOVQ+eXo80sJqgOOowRulL+a97K7jsk7wVxZXx+u5mbygHVBBV SUhbuyZgCBZ67vjsloGuLo7fuSPah8JUeCFW29yRDfpppczl/GSTUJQYHRifyNSsZpsLdTOY45Kv i2xJllf5uALAKFd7BMzEl2+UynzklKnA3jw+9/FhfC2fVFcwJh56EFiTU5K53giDqx07szYVrEzr +jB0sbq4qZ4ak3P2jELpGfzwmRwJk7PdpvcBV0TnzH3tRdBNDd5RtTtxv0AN57VkqhxWz4AfO9pS SLLq22NwZ15XHcNyqf/1g2lzw3SwkGM1CHDs5LLgDFEODfuw154RaIxNx2M1aB348DA3az/rdtDo dBwn4GFXOOOcjZAcl3FWuaDQiPbvdNZgEN6SlUYpZAD7aecUzDYjKO6dLffWvoL5DjimjguDh+A7 nRhrcCj/+KAElxc/3IH56sGBYRyHvup2XfvnKfx8nqL9F03rC+bPfnuFWJwd8AmgmJ+0M24W5XM7 XAGvkCs0NZAG9N95hsPLMXMUSUWYU+h1XX8XLAIJClD9AAsnC8+tPuVRo0v8fAmVafJPhs/UtFCr sY6wuOS5FIFl9YwDAqV7k9igKrk6Apd+wElOcUjHj8J38GefRn6OPHHjmZSTL7jkutHl2uelKcsV 3wd8J0eGCJYRgjGyxqLEUTycJIG7PbUbtdkZBuyCePLj9tesQ62hxIHRx7Nsxpi2AHpbEHiFeFYT luCMrWzhlD+o+AbESFZoth/EWMnluNrOtAlt+jdYtAqe8dblnS3AUmbz1mwbR0jYfvzFXNcy5sq4 Z8rQczYHS6xLjhNsD8uGj1SgLbLoW7Q+W0ws5lX/K21Rro4qzOqhdbTZmuKG8cLWYPIEBUyNcDyW LGmkN7VQjuWMjbwcxHPoXUnqwX2my+c/2HelcxzTMoYRG38U/dCBbJN6H5wZYj/LpLLMK0xJLANu bc5loIlGLnlXFNqSOEecY3P2dgQzPXJs5jCLGYL6/KMGaFNFZgi8vczbEKCngMH/2Usrk2s6o/YO B92lArWBHJ7ZBqm4sXepIGGOSkd68+Z9s7zgdEc/oYo6bIoyuKYsGbH8bLxNFocijbiSCscYm4tk l2hJ4T8QOp43NEacXDv+dcZP3CZ+z/o4NQ7t/DlWvPOMJwrwHidP+Bh3ACrJPXhu83e4ZJnJeEvE sTOYhdt/xCMfhbncKcJ8pY21jifpexSivQG61bxiZhAlb8h4WUPk0oa3dEcXQzhMLXgrpuP4sSHj 0ZxO1x3plrmcRBI8BKSo24PvOBg1WQtjTdsV5uZN75Gk83sdtsdqVX+VXfwDHUI6QqcvTGJmQ2cn lzhyCy0e9/nmuTpOPLEZNOxdlCeHg71U9tOeC1DuW3bfirVO+80PSWNCcOM/qU1aeK8rNf2zRKtj /UUKbTX1cQh9R1wgu5uVaW70f2LsqV9p4BYy+UmutS5Fm1535N0sBcc6HX0rE3RGlD9Latnx8jLL d0Iajv/y41Sjma0yEwusztx2M3D2+FoMISVEbWfoRzHeYz8MT56yQZtjcOBCiKxSNC7LYYENCmw9 1Ji2ueGEGTQPMQENocZmITqp14FQ30EFtGORDVN2KfvMQdqQ7p3Q/QoaE9wN7Ivb/MCKNe6489LQ RWnW5RV3xF6aAFNPe+dgkual50AL3o7E0gTxJ+4oKngNBQpGd1+7O7vkI0veTrLBAEyD0MQiWWTM YzuFoF+V5NLMwd57zKRTVc487Z+rr9E2LNM4hefsLVryUDNmi4qKAM6MmTc4I5GHTMK2yvr1Gv1A icMmsYENos92bDdx4ri4uHxZui7Ek6XqFKNvTtNbPfaEb+6hYqR65JuNgZuwAWR8qGkt7eu5t3/q 5i5+ulxW7hy7ZsCISlEu88az0+LhTtxmE14rdtDRLTNY1TvlUCY6BfGsHGb+66XpgVNtX8sawnsC X7BfxKj+SUVHJnIWMAA6WU4yLjB5zDheFEACex5gEb4jXoaZIawn29X8PkKrGh/cse9Q1hdonWN0 /n4ciOIgWuCagNYtQRhT1pVR8YMHsyNllVqpQPtQS3CKkkIirLGbsn6cSpmxlNDkZ/ZVeXwRK9hg wzXEHXthfY3eOfAskPzHl4RjVXuuwvu+/DCDL7YTIJAX6f9jI8mmkmYs9FSnzfeBPCMXN35PUMZe GIxREvPafmuI/b0BhPU5pZZhQJ3S69iEoOLX4Aq0CTsCpR4BVMnedEXvlApn5CSPLFtR4OHrQAmX as9Z92ywXElWUMEtxadYAfn/pxs5iAW2xliFbS9EqjiSQEEJLbYoOEpSwYJcHJWHy2GJdQh1p0CF 6LQGsMWa1qc4lejoa0TVXWzVSOL5NZrLAW9bjmy4pcHAmcWkH5u/ExuBYYRY+g1h7rK5BBDjnXqK mDkMTS33Bs8oQlDnHFtbawTC3+tkfBbvV+h3TR+1B25vc9CyeIkpNf8yWaO9NnSv8YSsvRJIny/j xaOyFitWqVIAbxiRlH4GSbIKCsoum5zGoGh01lYVN/E2aWqIBG305GlaasK7OJ5+AdwVJwTG8ItY Yrvu1ol6Xq/eFq7fzqHKhnsMahvQ8z6yxAtf9eJDF808Qd8wqml0kEemag3kA1fj1kE0AloZIKDx f8aQyKVtjBPk0U4kgILkLb9bVW9iujnxlXFiPMhWfjiFbl5yLTug/5n07CIFOxg4DYBXWTGdLefI du8JUS3JDjdXqjH0GIIE0HIA5pRdD9otb6iGJ9LdFC11W8RdwD85U2BiccGXiCZ+LiNJmEYCWwDB M6JdW5l8ABw7m0hpFmXycjXINCRtvUxKdpk2mWH7dHnBqrYCzrk4TfamWx0i1RUd/LsNIQCd4HQL BjHHlCvD/lK784HeL4IwKr1biilUR2op/K7NzhJIHD97zoGGrffoFMO0iiikrk93Vo9TxhD1PLWJ LaaLSrd3Uk62jSb1F5rsFJVvbUHk3xGzRHd9TWcNGAypYLJnMyRvvWLiCN6gGjGslyL9ZxFx/+7a T+sKlJ7d9MNhK45Ilv8e45f+YpCYfYKioyPLbU8u1Y0r4rC9ej6oB8mtQIOrjeak5TqsQtJMMs64 HmyfiW2DdNJ0Q0hZC+A8JCahJ6wepsnX2NDW0GYlnGqLZ/lPKbT0yEE6v27Up2b77oBxgZ8fEu+N vdsiHgvc70hbDA1o2M0ToSTOYuIb8wGGA7EBNIGg+/hXnB3XAknvQYX7waadrnxlUaUw0ZidSVy1 eRYlglSS6+ATalRyWM2k/oi8VqchpxwvYL5LWiXcRHWRBB2HsMzf8NPcNbl9a8CQKEySW7EwYphR nht8M73NueLax0xWB4334KO4f8C596Ye5/TpFArXn65AFS9txy3ahPqLHVy7axTlD2X2kdsHrNds F5YmX43OffMozp8JWHnhoswprTcUMoG/37IG5377F+4OviUyN+CEJdkpuUYZUCvWdxm9zEXeVdVz anDpzLnuIRYsFV5RRLXci6SXKDZTNi+1bZ58hVXjTkuYelHXwg8u3odZvbX62vM/mg/5C8FdrJiu cxTUboFUqlFAOfCLHDnHHhv8xWrrAYKYJpaAmX6C20bwFgk5Cm9NvyVmmhxDvCqITZopXyK2T9ez EmLbxJ6uqivTzyhvLoiiunJyw0EWSJUR68m08A29lkmdL0XNKpVnjf1wkgj2IySC5zYAjox53V55 mG+9ekIfISSD6P6GhPVSNr7vsVoBL6ZmT+gGm2Synq8wzXb7L+hV69ZVHxM5MBErIWd/Bd4aF8A5 nu+1a5U4zKpDFw3z54t0niuburrO6c+8V/xwT1mPgoVHA2eW9I1XVUJGQICI0cPfc3oYPGwWTE45 uRNQlvzin/h9TYZUSYZayJRBt0mVOXH1+aOmqMF59EpoRuPPY0R9u5u1Z7BD+hMhfkZuiv9UP+gf ZEwgKNDkQxtXZy8urH3fDAbe/hoVijRE7kWUpOTuxAmwOAMh1K6A7bT7w3/0VKOiWQJHMz3yhPoW iG2v3BTSr1Jb+9iLg0XyM6TxdjGMyLkswzuI4eKVK9YtgJhn0YIrG96kbl1CL6ckma63BYgVug/s TzTyIG2GpklkQHoEeCBiGdyBD5F/k4Zqwd4zr4UI/be9PZm/Ig3CpihG/Ylid8TZBYNnZm4Z2IAL MhxwAzLbEV5gpQyix7Nvre8OVvCnqOJnFM4JR94vI17bTiYq5zh0FqlHyrKwrCaVkx7aedCH/YXT IZL0kK6PrI2xg5tzKZXdY0jD/Nb1WCUZYvrIQGGrAWHZfNa16SyX6pdfY4sYWqqUbswwtyVkugzL inYzm3Gt3bHI05M2vuMc6zREfZTc6KsLB13Lf3fFJq3jC/gAkpjDD9ow0yg2S2rlHzJkEtb4zpAe YobYeNi2mq68YcWfii5xT3nCcTMgw6TLnZXk7AT498apm4PrXLZymHTz6+F7PMFZeous6TqzwKms rV4tljzjh6Y1X5rDDshIoz/ACUU5Le1hVZ7pq1Kt/dZt890wXbK01xfClCtjeiNrv2zxLMfjmYD/ N2pECY3PoOOflP3TC/0/2eY3du+g+IQ1qrZu+SdiCGu6ky5A+PmuXyXv2uN5o3kAwpqIvrVDpUAX T/1ITBh2TLmr0Us5uifjHNC+Ik727ObHsKmb1suzuGOoePvhzaeeKbVQu0UsQeNSkIHIsmXdZjxA 9/eNPg7buQGYkmtLj2w+mTkskFv/dkEExuOHuso9sv5Zg+HnnkDq2KCRTWCRapaoZOIS5Km8UJ/n YkmuN5koagPZW1o2eCe7VGqObsA5GXiC8sg5Hk9Dqj9Zgfn5vm02NxA6uj9zsHRWbtx0bsy9qixZ k+gUDjWORJL6S2yx2NG7+0bv9WCvznGT6ST24xWXMEb24jDVVjkOzI4GVJO6Cnks455bCAccJFbH 2u22W0tEkMwk9GRmUAHsL/7AdoYMVPpC2MWACTcAlG24Y5ce+zdTAu9vqamMuiFK1eHcmlmJ3eo8 c1ddcnfEz7OHcctcFceYrFcudg/1rmx7A0mU0A1WReJ5l2MPFu4y55ekilfPU75nZ2QvMszdkcYg E/bHpoglOtrUfh29VArldwoiAw/fQ92XzgqnluGq0ShhYPwZSCYJPZa9igNdF3Q197irDGtWhsQd Gj71ayG743KuJ0CP12+naYJk9KzUo81JEz+hJ6LoNi9x5shJdxFP0JRG+lMX0O752chXd19b16g5 ezszeQ/3MlbAp6RkEmvvzfcRUNMNsoKprjaObiRpQHrAYkKwpwcMytxriTtiNkaMDNB4lArwHZun X/YKy7eKxyvpyiPokpZE4Xa9e3NLrF+zeqKoy50Ax7zIAZA9brrIbESCXviJoZpH4Mf1XMiNfKC9 doKYIW0s7vXJPSt62JQs6ur/bRBS26EEBdoatm7+fXO1GWlO+uYMCbTSPAQo2KbS783ospMgZmP7 b8yP9m9xYBEKlsTHtJa9DgH6HlSLwS6QCjvZCPhqWK1IH93wvTsuDRnBdD0saCfSrh1b36EvN1k7 XtJi4WQflefUpwEF0EDUczNDa5gTjJRy4XcQ66x8VVuvRpxPhpTOshp24y7gwe++K46TRWap6WER z7LwB2iSvj1gsCiOd2t5uu6/xZYhSutTAbOc/+3ginXbdk5hPMR0ptuABwCR6EnR6Wbz/1nn+qct u2jrF+QW0Lxq9jrQWmXP+a8ua3TOCksT+oAgxegXxHkVjYeglaWZHIUUorreMnKSG1zb35yopaxh KsHGyAgMVWNSf7qCUpcoF/W5ESsBhUlS9tFa9eE7SHe9fvWWkKpdzHaZdyeyIDAOXL2YQYI0xm7u ClpqwpMjiQBbUw2crZ4qntkvHASqS8R6fIrRsS5GnO1WCltTImNU7mzXRanCkWEeAN2ko6f4FxF4 fSno76dlCZ3VORZbnT7R/B8Fb1b1ArP3x+8z0flgUT5+P3P1v0fRp4I10fT+KEqP3mMTX3VbVz66 RJNk5ZgJHBtJFSPKW6f1MxXHxm8JCdrgE+Kb37o3/Ino3USr5QKtlwl6sY2bOwhMKyOjQ5/VUqsT CTWJ9WrPE/j7jVoVo2/OnF3LbcRKPicj7e3PVMDA2t235A84/8cTZ3f6tCeT1KSsDsEXaJH9Yr84 P2062EdDzRqprOXq5RgduCu5r85Ac4vY75V1zxJcBc4FWzno0M3T4MdV/nHCYbAuD2JXN5/Is7ci IB55MLfmWJjwhCjw1Lln7f2wcsdbw55kz0Dswndptk/MU4JZKtWPP5mOeF9mBLP4tAds9eyU0nMi 9Xe+w8gVVn0QLwJo8chwxaR5AUlC3WqIB5+/B1kJSsuWN7O8RCg9E5ZL+XfgSH+FGBzNiwWvFrta ifPLya0asZrUFMfbqwhmsmLbvkNLKw5/mnXYZVr+FP7dup/vTLphtRwCVPgtT77CDhD8HSqTFnWT mpABXtrfJIwyW+J/oeDcbFT2ZGiIu+yiNabO5CXLPBiYoC/Pf/9DlFQSfvGyYOfLHL/peI7YwgBw tBhEjNmg1NHk0emlYiqiOwuP50Z8tTUtMhuV9iD9vHP1fyp+sHr8cEhtMwCdz2nUraPXxhjDbZtK WmEdAfl+k7reu4WYW7BFmQNmMwDQLmPtGwt4UUDaaehGfHga++1XHRkuvOTxXc19PEeMpO2htqpZ 2EPUEDTertsypwT9whMW9KhpU36Fg0mSGqA3N+n5KPSgF1bQvBhi0yCwa+VsOkx91PA/9PIxUWPT A76mjcArFeXaELityYQXio22u4GKTwVn7PN/ZpfizWuPGKC8WWtROAVrukbZtRzWoJDHGnizLyI2 oIFRNz3pkXfUPAhhxTKDPcwXLpkvbsux3aCSbsM3J4W+k+MG9g6G/u1GySRVbrz11bc1uOrJ1w6t mEXuC36FMvK/9EWiyxX0kHgB5PN0Sf3JQUOWSKF0Wivn+MqGaEMNUuJKroPtvMQvBFdvvhi9Naki y+nt2rCPIFE+mui+fzbyiKQybsri0wecjXgvq6GOvbXWd3juCpRDA/iMqT0mLIAlrfqNCEc9tvJL ubEKHu1UK6WfwrWDTU9N0zzQNk8ft4gIUzLSY9zEOQA6NozIc+0vUUAzswYcznpVvcQu9c8QvMfc ElPwZAMbwn2rzQBb28o46dcEsBQgm1e/Pq9ps86tCWv9ew3Tn1Jw71OywLqsJtTc1X4Bauz47y8F DssDBeL0EQc4+mDKenxRVnGl/Z/qLD/O3xDw/CAgqJrtEokk8VnD2DG6Mc9XWuS6KKfUFTIi234e 2Za/NPBCP0NYRc42ijMHXhodm4+2tFqwVgXz1eZug3oHbj9bdXeo/vw1Csws8UUlN/B7exy4tRYy ZUASp50InmDSXkWfMvbk4WE50oBRqr0gAPwxQKVZFy/kvR1fpEY1iv0SNX0FyGlSm/UHwoL/yrfl uAtyaui0kn8Y8adfLrn4czIsF4IpLhDrlkTebeJCua8FIK4SJ3g/oW/gwGNIrAIgEBhpc4ANAoAg /wz3VEcecZrg2hPYEyk5ldRf4imIzuqA6Yt9S4BDlSpFDyadtK/06j4HCvD4csr7wbKVwQPP3UXA f9SOmKk8Yw/3J3IPsLrA8UJ0C5s6hSwoab//Mf0iUvIPLJfQLew5Qe6CKwFC8qjdaJr8Rr0NCHLU h5dklkpk0XjRD+9lpTGSIpRRRFl3dPYU4zIpjkxYwZJf9eJZnxU8LBKUuAwQWqu7VH6Z09ZhlxPk O3FevxVJIJ9fPRPDzAh/KKDIVEJukGJkG6bko347SYie2TxLLmep7vFdz1B1ASSrEa7yzj5Tm2Gq waVjjlWgnlz8ub7ksJA0Jv9SLGFwDKS+dwKVG8nHxF1NRMTCipGIAWXNHhIGOsBR8wKIvgqnkiwt fyyxUekwSxbjs+kLXeJTHAoFBhoSazw6Q7aiihUQn3OcrA9tNH2tNHXxNhpV9FpadSsBiuZs7l4T n7PKuoySKLYDIK7MDMlpjLQGhzv2q8PHepYZ14B6JmlTq1Ww8AJo6UOvgQPUMidd0rwoV2tA/6AU T5uC4K2lllnHpo4DJ3NwEPjEIk9EjKycXBYQCsD7ayq1A8ZqeQmEMf2jJutd1uVkYDptV8EdCWtd tVwKuYnnQSEvXe2hNzXPgPTO+T6Yr+zi+h2q/RFr73XLoBenzkbAtgJD/6Ap50gn0TMrEXC2163X /hjtvF0+CjaqTR6klCmAKTEKg+eZ+XBZ2yXU4rI/1duZkdmage9Z3s/ceyYC3U+ejTmqVdYlb5dm cTyO43FTrqt2w/IODSAB9iy4xHgrLDK/ePDHUFf5/ffC0jOXbz92KhqOdl8CSBZhkaIkTDQ0dIK0 u5GuOADLaNlIJih2kq+PIE+TAtWYKEOCql7WvT5LGGqZMwond++3+h5p6ICcmGFkBtcN09R5wfE5 VvhXN88CeYwgT+suUAD5HJsgJjaBJtkEohDa63FRChzcJK+f16uBwaS+xxDho9XJr1GPnY2MmA9Y ECovWqqsjiCeYmgT2orsgxdwG6OOdckZcciu5F9bDhRZuIorUPCovbKBZovKaHSEGy+/mxhXEC1p RQ5qqczGwnoX5mhSG8V3KO/wsK/B1+k6oMiyVJLBZrouJRiNSDR4N6yFXPdd9xSC2dp/znGrn1IP TUfrWtVCy6JpVwzpJ1r2aID5IwZaNIVz14/E94Ay+rovSF99qdxyS2j/XF3waZ1+WZ5JyQLrx1XR 4YCB/AzZxfFq9gJmMH7Gv6bqiiG5tnxPVtN4kVEQSLa0rqMYJwBqZAiFEXH5FSjen1pZnwJFZnJU Vm2PCpyL51iT8RkxTBtRTkXNbYjZwC2JqXAV6EEkJe0TaNFODB9Fh0r8sfaZlHNEP9wXU9YkhZHD kX3hBrvA+GnbzpNLrVLOpqqFyE1w9my+y+KyOI4VOLUZmzhMgAyAZX8LZFkk2iYQgnq4aWo9vrWZ OkQn6WcxZxAk8F9uFvnzSIniOuDB40bLwmeny0CTje2AkciteXvdsZu9r4gFfYHHq5F2igZPPTbg oQKca32sytvqqEdpJAPOe57uCt5u6g67BgJmj5WtKRUUJO6591vmTeDvN0T38PssjohShPFaulbf 2vVrfVhPKXy7i41TKOd6fIE4VVPXRwJvUnNtCgOtxmO+srYw4wLH8SBx6YKwq1MOffcl1Zg7TQbu jJpLvMJPBT7KWraYMOXWhHDiRvx1yAODDz06xd0P86sGCX5sdRzdmoeQbMoNh/27qrgdviAbx09N d/mk/fAPLYBv/iy9lenM8/nZiB0jieu31IiH6M3Sv+kC88Kh7GSXrlrzRb5koiAhsaZMPjymWv84 DYdNbXQJ2sznC51+SY+WxwdYwV46+6OeCFEIulxZv+F2dyTpgsxI/FesWrX1HH58C1zawX/b/J6Q n+skLWdgjJx03RVRMmVgW9FLQbXQTheZG+WGnxVXKYVO9Qox0uCrMlv8dGyUsJwKDoEMHwbbffLc yoARKPIKHBmgw9TbcMqXk+Ehe+E1+m3nKRHxYoVXV/Z7C6XucGKOPsWcJ1krZK22dfjCzuxR10jt YAkpldERynZK8NI0q3kHorUyA34tqpMadeODUA3CwzMLE7RPxdrUrlwEOJPylyY6uFIwXAEBKQo/ wZVs92pGyPZovGbuRynHYXTJj+/3X+3flOVxq3QqPKrs/tFFcWcSoF52j7wGzH95mU7l5YsMDPAy 9iiNigJQ3QzIvKhdM3wqCU3cxMtN+8xNIo6Co0/J3HqJ6KC0tMRnVjiNdt3Jn90ypgmxPYjgZa7M Kk0EoWcZdLu+ZDpPk3KuSiPh+0cMcWnyLoaqjc7wRDgCBJac1gjtMgmhhEnJoEzxpD48ewG+qTvn JDwxbxPPf10MNMpndpbRnOv2BNuSExZHzJa+HRXljj9lGY0E1tC0nQzfgmeKoC4LOE8fI8UB1+Ms ZOWeJDcPd9CpuhtwAytQ0dx3m9rMdPJuHfuK6yOVjcuAKZ66d5FuTyF2RQMcaVJUTlPEsZg78Koj Cvkwd+EcDkBYRY17K+OMPbCjUgqPg53d/i5++HPh+MAT129mI+tmGAqts75MdlxVSWJOF1OpBChs kQhRllLWS68fzMCGgx+vsDiTltSQr1MVYZBfbAZkBY77sJiKWJ9w8HI2ofuAqxPHrZAkQQzyxmwt /+fPCOu4Jid9j7686zdmY+fJ7qf5GR8+5Fjg7rwJ2Lj95kwqoNUzhQv93Kb0vTVtPF3tHa+6ODPZ CUzVYJi1VJPMUEd8f2zm3RpUsCzW5rZX+lt+vaapq6TS18fMK8q6zU1BITHnyB+MSq0veAl5lFxE N9BoXv7wOiPpJxo88g1onvD2CHoTjDXEXWIemO49Y+U6LD7WlXdxbSbtX4gUKpaIFrEFvAe5FMWX Wq/jN+8SblgRFA+7DAxXicoyc3h/fGsrMZjmyskc3HSxG0YPSmcjCjZCc+qgg7Pp6oeA0vJM+OkZ BkQ478tZmaJ0GQu4hohbywCfPIcqojuLwQI2yK6O1eVpKMhzBCk1qP9qnA4OlbJadT5GZvDvpEJx wo9FMm9b8je8gA97asZSnkWGE9OmhNw1hjmF6e+NR7NJtLSDogeyhlzgzOtsQSiii5u3fR7i8PLJ ROysiqXb2n+q27f2dQLlfPN4vOkeh/v2NI39MlhiFF7l1ytFi4UU7LIqrFHUcJq7qgStFHwywC7+ oErVx3SNKaozltV6wKjmA3qVuG8VLCMBXiCrh4HYQWH+uozW7Vw/SPQuJBKAmoaSt9DzAxwjklG1 8LqzTAtFvW8IX8Ml1yWOlBeaiV3oGbkrnq66in+1BPP1QjqNDISnfJDrGTwz8fmRmdUKitJiDj69 XeTkxYiejU9F1zSllLZ4NAVT3MDPLFLvWf+gBPq0Vtt17B7vmTwA7Fr4N1WcS7ZUzhUuSGjs0sge DL/we9l1jLKh/FAz+6B1HjVL6bnkzWy3ydG9cqmExw8YF5nBUSiMtsIakd5rSh6J8VwPZcBVW24n vSKz6ZI9MhyvkiDU3OM+NZrRKmsBblp9aFKM4NLMj0jCW9pvYpojQ/b9GT+mLpZv74mE01bQEk1A pUkOChAzuj81tunr4rjsTv+DVv6JEEo2X9GcM54Mn8e+vvccjxab63NKj4UQDahBqLTxIC8pTFsH umHlZaO1c8sFI4EWUMylrm4ebQMj3ga1Qg6FdPCTHhcAQNpnFllHpGxe5q76wmdNs6ApYU+JB9oZ aqU7MjnGk0KScHyWPW1lsg0wj85l8tc7RrIq7ZDT4wHB7Wi4BvabKF8n9vKya37zC+T6p/jLviZR Okh4Z4VHszSeplJwRU9gggQWswrRbCklAppa47qdJFosME+BilNzMdqaJ5C0EumIzfiiRfwmNp/e SWZtDAq1C4ZkPHJX6LkYS2HA84ca/8ns6K2+YS3ljTtIzH+ARURqd56nJaQNEBvJivCipfxnCKna VEfl/qvHoZpo1NiOOiJWfA9aHjPa+EhnzW+aHwJ4h7mTguYRtZVQUr65zckVdBAJk6tULeTXG6wT X9iGKI3olUpY/nNonahpOaID1Jc5Z3MGCf6MSk4GbRw/hDE6XCGfqiTqezGyjKiDnkoCq7La+m4n Hbx2hnkfEhVLbcR2T69F3ay1SLwqLG7QSnaUY6swn5xGgNfLJgwGp1LPzjB3xBFHAIAdcDHniyeF +NqcGEzRYRhAN4SceRcR4p42uXPo0gXcyVE5Vvq4IBVcqOoarS2qhPd2qch+k8ze+Vfk3jW+xNWt WFtrMeXbMQ3KbnumX3rY7CWrRBS0fU8fjbGR2Ibpb/0d/V6smNpUYHseG1bhCd6S+y6zhEG+aPx8 jA1vevXGYrxuFN/P2yTL/DzkPOMJ4qzGbt30by32zDgZ+5I3GgkfLEL44neYoX1peeZpPP4Bt1mX +7PyD8sT6tkdHen2HGtcl/47X5qRJv+Uz6+nQG8VG90JxTdp0ZOl1F/3MubSX/E5DTrYDDc4R+dH qeTncEaJuPc+jcI+vrYP+VM76DF4Xsd0pFeylNuddTrmAXgBOw97eds2R6wvI0allWb21UZO8/FG 1kMr1BH/CUllHdD4e2u916wDJ/Kv/h76ZQPz/4OVZL24eLplt3XE3YcTuJvO/+MGSxzJ826/Xs6g gBWRMLqk9dtf6TgVgViBZSyA4DEk1FxTH578HXbnJryxNzoTSvN+FyweHQ6p15lVOxnEYdWedKYJ bDempqBFy4oat/vwTCQnxzRf38J0GH1Mxxj5qKcU6v/5gFlbcQcRWTE2eOVxSS8+khekaXGHep7Z 4u90aj+KZ7jXBBVS6xmD3YQjlTyBWRQxvm+Ixx7351xRUefLl8ptChfhJWZJkT0nZdkxMAK8mm5b QJl9IlFYyf03At08dCkWphaKqhFWPWGy9kWgeeYsfPryonRYk4VvGHMqPZaTn6ZEHy80613xprAt xq1L4Pjm5S7UkG9/SuoVaHrhE+XHHICsCDdR/lD3s+690KoV4qChgtGWy0AmdTOkk0J2DImnGFke J64hjZbV+qfMhznsWzCLBj1rWxTvMRzCkh+B45jq2FGW6RPX1aySqmPsty58psgqZ/hzNiCMVGPi QgoU/d2taLLC+L8ybQ8+N7LluTTa6cf8YK/x/cz4d1eGTKkXsKyq21m4Yxj2IniN3KApSRxBI4K+ JfNpTi0gbQ2mqIU6VC4Ts5Th64YR192beRpdz56Uv2nGwGOI8DWYDMQV7MJw9O66W0pwAloLv17a jXvoeh54S+felyLFQRS5AXHRZxB5HyEaXiU7Y8b+DCI5y2ZOam6ZnZHWe7kjQlnf2z2JK81yPluQ dqHoYZYqkABLtyVpUULmUxzBO4vjDy/N8o8Luhne5ZtDb8fXIam1U9A6kE17YHuQwvVbNFprenuH TCA0vRuGwMLxdFj16AYy+jk4/ERS+oJ0ZEhxZH5baR6gGc7g3shwq+nar9GYhW69ZXd1MkFqcN5T xI2+mSC5dE/paWpES1QX8oFwN4QFSvXyj6ez4B3h/a1qfkqQbW5x0Ds2CmLvLKHqVUUGI+iy2EnR 5jFGXwCc8h/Zyto2EqUe5le6YrAgdGBGEtNmfpjLUGAL8vl53YhEveh1sDPWPc5YYIihTRh3uTUj oQPA+ycpzpU5StOFXZhQCCcnUHsfXltHc8pUscAZOZLBJcWsdReRs5lQMMFbuqtBjktsAUdg7Kxv ezPeFJjVyF3iXYY1hy6z6G1q1otyZDrMHen3A5fGXcJDNEP9Ji7QBNaTPDBGEWH05M2RWzKOyfNV Zlt2EegLU4nOPB5SjHChOoJQiJ/IUk+tEzoQLge5jKJBqY3qoGYnixXM9JmdNLq6YlE4EDhvnptC Xv+WNZk9z8Rr8mZqXFrNfa75C1gecUZswDuQM+RoCvJ/0aF63LqPHJZlPTXBuJeZtXq7IMPb0jve xPAW/C0eWet2ZYq1vk5BFWMyN5+ISK/Zg1q+4f99ocrEK/2+HSm10XMZUpoUyCMYyC0Nru1tACMi PvTNua0wdJ3/zh0GvuiDmuGmvmvR+hMLBoY/lippjeiVRhC/22wUB2OyGtup3FrRJiqsCCQMqZCE c2J/WJcJhOPix9Wu1fcSG7NSIR3KtxJoCZ1AEZ28qOFAWGz+7yPTZo3I+Gs3bLcKRUv1s77ec/p0 LxWkdfvD+45Iall1BmyqxhzYPut0xmDXqjTeLauoiXOoR+OkAXPnMOCHh7QneZ0Z1xW0ZxLnJExF NfVsovxhwO7JEsbbytAjO9fO13I7UkcgtcPhqFF7p8ZNNX+2O86FOETPfKPhicAgv+1/QBnAtLxS rRByhWJ1VAzHB+DF13l0kPJt4yifE5sMW3eSrUtS0U4SUqruNzu9n/NAIXcBLXa3b2WowxVvYXWe vD+uP3RaOJ1ue2LA1tmRzpqUvW1V5m7qVx5RpgnjSWN7qJSgkIfj3+l3wKyHf0XudBdjzsEt0guY MYT41f++/p9hcXIrk3x3DjGTXoNWXVSfZ7PEidpCq+u3Pd+TvkVZQhYJfsLD+w0GxM1WUr1qAxZw 4aXKiFmVxTkZ91wY2GQPvGKRWerVD/YYKAWqcDjKZP97IRJ1Z8HyUi3SLAqK+sjIp7kkQiVOEE4U CAmhGJGOJAYiClncjRbp5QhkbAS0utgvhjQ0s6ni8dm3NFBwxxngL6Tdp8eCm5RRadTsFR20ER1s TMPLs/YIehQ6SUxd+qTMjNLKor/3yy9XkmWrBV7c7vb6JZPhMvDUdDDKbyeYzkI6uq6gatijwm4h Tyn3b1FlGRmvRR4+YDamjXY0ZI2zBE18VdF/78dmwTdVpVE7PuU5JdVsrbM5c4kJq7Pgb6oqQ/ql 9il02wrF0lJPdudNvjtVvbskAauRZKXy4q87Cz2CAJ72Hq5+T85WEL69z6dBJKjDY9qfqTd2CzUO drid+CsjGOOz+4MqeEMCRc+geQBL08anZE0MgxUifk3z3gFhuClk4AUo9KSiX4kK4BMtkkLto7D9 I1RPQ+1P6rbvIYHGYaokgT7vGh6mq4Ji1euF9tgvu/3YfAnv+TzNQhxP6ibo/n/ZYCWKulV89SCF /u/qr/qdSgRspOi9A6sE42rGSlDLEzBKkib3USeFHMjyl+nBoyJ+chMZo4p+iIs+5f3n7xuuLc1F U8oIujlHuNIfzeH+HbB5SKLLX8G2dT0qlZsHxqngPw2ZtdbvFqALMB6sq9wO6MADvtsWLn8WLONO wAzQC41UGf/dDlQlPRkh78BLR39w+bHrXxDdcsAEmlavlwMSX8bYDt8pA91YHbvbgyqYFvoKQSYF dtPUMnf1Mq2cCTZg9VoU0/Uk7VfpFvEA46doJ3B8QoqxJDD0M5HwfGqXTPFoD7GJos9kEuzp3hvh Qv8lYg9VxujaUcK+M3qVgvMCZ/j+JBpdUpNAagOHcGtGzokG3bjw0x2rfTOqYIUbBET+DrKZkWUa 1D0TC/pxsUVNa3R5nBBLuUObfX7u5TzKvTuWj4ndYNHWw+y44u0YRZoeqwRfVBlAwdLJRxnSWFX3 NkuV28Rm6rC1YYYIeYcnge/eKH0x3IKoUK0o890ThgHZzc/r6lmxyaa5AMiZ7BYYMtO9e6FuoZwI rz3MjkmoHAbO1HFBISxCqFvKJnjyL2Zwinl7/SxxuCRFLskvVmIvvVszNIJWRioMvOya4cyEOkqF n659TCU1z9uQr73EdiK1VJ4sZJGqj2uDosBgaUTeORtYp9iSh6YI6/Yq5lDouyHDvAALdidbXGLk zLlY3etxBryIXnC+5DljuIXXCLf/x8hqGqcnJ9FN4lJrdBWGuD/BuVs19RCCFEjqTwiBJ4mzzYtY hhRqXvQNGKkyKaChE3fPWRDmnQg3grkQXJdZ+WJOZlAD6AbM736jmN0Z0gq83ayc0VxwIEU6tQxY N56zkP6tS27vNx/a7HDLfRdeivEd+4r44HfzmjPHpk1xRupmD1/B8lWFjW7qmUt0DIWG6MyjXiHx FPSU8YEI6f6/0QEhjbk8W/dLs56qJzMYQ1IdAfGQSDmO1NAnYCsjL+eceGzo98+O97PA2ckqjFRT NfVp6JqNUTVxmzCPnZmCUx4naR4BKRWyVqpnF6QEVibIMctt+hsvE8XJh5YaztVfkUc/QYFsHsBN q57qoU7Fm3o33aaZ0TwxVS0+TSZYk+YZ6vsPEA6Xag6WPvL//vQSqHXhC6N01xs40u4HdD/o28je ZjReMLTjrkF3h2zcA7+Ay7pIKD2+wnOiVjvz1mbnmpDR7w9hd0U21Co5BV2pCcC9B5LliKarft5k ZDetbNcvQPYebeVinkt/K/GNufNadwX3Ahq5BYYL0KABxL4obCFGPNPdkd+b6Ir9YEupR/fHco8s J+QYu5zXk71hz4woJwkz2ccNXHWRLDaaM3QfxBoyiEMwczuHY0U5fF5bZsfaJJmkTQstV+nmMBXn sUtnzqq7OxsScXZaLj9b7uliTD1PoARPfOQH9HvhnWGnuo9pcCbMQNYk0TB8s5blqhKydi9SuyfL 3jPqUo8pirvCS2hX8Er/I2gUSC0m0BIGnT1X8r/pKGU4Axee4AMbt6s/8hpjsExl66k1F6NECUjm BBDt52/F/y6mxVMuuJkHArr35vG7T+MuoJNJe+uIMQRjzmPYGgnhybq8F8hyogJRhIsg4Z3HmvgU UgWshz1BIPsmeNKPEx1ZF6TStPgpE1cH7pPM6uhpw0pAri/9+H/wxzbgJOuNlrN2Smmf6DITUMNB NQ4IjGooSv7G0+4czYIix1BZufavlTHOXLsr8mWhERUrFuu3ujsZ8uQgy6j1E0lsIdhDn2VfwCOH ZFNGK54g5iUqV3NrD2kOG93AICKbcSAAgqTbTA5SPzRuCnu02a/OZ8PUeqviUYtx4QGZpHEYrQfg f3PXZVNuX30U3hixeHQdveI0NtAC51mp7EqXtYqadQOutlBj3jfHgMkGUmi0AF4zkAe5RZOeGUwS OR7X5xqO668ESneKEYf2BEO4AAUAaUulQpLLqhvJOsSb1VBnBWZkP2iirDGmUiWS5KWu/NNedtln 8OANDYhixY4ExquJpGYxdzvcIcT41/bxEogigEQlU9rafTJRyTPfz0vNAggocrCcwJLUY0QnvktS FL1ijKZNSke/3XvtQ6kNG2/Wewk6mwh8UFfLgUO9RmdCa8i6h2hsk4yz3B6qjdUZnQ+O3XUnSFUS At6poVBWICZ1bYTKJOE3Oi01zhqK79QmDdylBOG0iXSCE2srUY62yoFv2O8A1PRhp7ttTAaj26s4 aDdqFqhJGW8p1Dx/awIMhr2pY3mjAktp+GbkobbLicZMh/L1gtL5H7h+5WgZMj5DYg/o82TfkoD2 ZknoyU7U+OJQ2wFTyHCX+XdLkHwcHe3fuvFfzGbAs9p7PI/rCjIZ3OpEkR/zfgvSht/qn/mWKD/8 0zm2LqQ2tQjqhJrPNegvLOXQwloJofrozoG0APWLXBfOx6p5zxHWiWieDe/YUdmT/gUrMzQDijcK lujzDkS0NZ5jirlZesxDzf6306+L6QV4gAwtvWlBk0lXVj5ZCAtReQkYFUtpEru1rDvw2YqFr7YG lGpXOnb83Mqj3LfH3J77byiU938d1eRusa2ojFzWGfYP6vnOMRj8hoiix57HbXT/mQfJZjcCX69G 5/v2XlgGRxAt7bYPwEHuxnN64xpn47sO5sLPxvlOLlSYzcnnR2wTnXg7XvIIVNUnyShPOf3RDV0x Yg0Cjh9HEDPjzw+a13W8p0tn8XAxhT8ZAyeGzjHwIj545jpoiK8hREhVRK+mccy2po7Bj0deST24 E+jHy5HOE1A7Lxztzkjo9WBLL2zXoq6mZIHYrxWugXRbkscPmnWTJIdR/F9I0A+vbZGyVX7o1z8P JAFMxjySM402PulgX7ebbgIJgI+8H/DKQfnKJKw3PuvR6XDUwD+cVva4nuMEMnkW+tt+lrjOdS8m zh8fkfxaMhxsWSgOyDZpJ+ib13+gZ0ep+nnuHIQIkYq7JfbSNzib3f3atm2sjf3V8b4wNXjtlYAw ynxMXGTwaKV2Bc21EshbpfbGK8SUdDEWfxtyL5tdur0rNbVBnbM2nzAh8yGjrJcfMxem8tU8QzMB /R6NXytPf0X1wWEda+dO/zX4yTvseLOkiee4MfyhalZ7EW4ZeNEse7CNQYE3nUFfNl7ch0HqnW57 /7NB4BpMHSVsQH67Tv0Hli6QWuj2HH+hMQTkBZ/VOwNz/AyiHzMsWrrSfWBWQvDwJ0O6THAPVaZi tXLONiWwjuoZnSbF9wJQwGurOfTZ4zrLsPXisq3sQ5UneqZJXJbXRp4u9ED5oQtTAoF+tw5iyicy d1iFhekmarubUu+DTMw3qwqUOahQ7aBMCHJuI7/saHwV06ZcMR3jVzOlEUKtovZPdPYaZkUH5pM+ hcYl7daLdrqasiRHPhuwy2Q5ku0FEgVqkrcT8puYirBRWxNWE8+h7kKphPC3ne4MBGMNFbL+iHHz LsjLL8W2D01CReY/A3gpCey/G8NeDAocP5E5aMDgsMsd147MH/Nro40DCDs+XEfFQtEUSEdisHFc se+JT+UKJ5ljEHZVCFAus8C+5PEarr/4/BUWgveNr2PVtvWQRQ+LSIISEcE2xATl1dHH2W2d1Dsq MvBVs19Yp4UFHbl8jagNU8rDysKS0TiGn831ByAgQqJsziiq9vpKJdwRwesDDJ0unMIHDY+MNuhL STOZvIlI3sG8nYTL0Lf4ToiyATEa6X0jktiXmIkRbu8jXIv507vs3srfQj18pCPBIs5nf9oDOVs5 h45Ew/i6iApwbbISppx+xbX0tGdvWDlrQXOgibL+3hrH34aGK01XyrwcRDBssAIoLb42fmlMWLX9 MfsKcxaLTXmrhfkotmso1LPGMLWhoWuSCY4IEcdxRavYWHzj1dr6gFVWz5X02KDo6WWS2rPfCIc7 9V7GdaN1hXxDQc4P6Jh7C8/8zFbU7hEdsGCDZp54m+U5ICJm2N8B7hY1WiJckCyBNR+1d9+04Ray hm0vXoXRCJxAj9pR010qdR/wUd+nl09xbYp8bEACzT3oJJCJWD0jPEAmKFSIhQHSaHui+LOn2c1M Vl2z43E1HyKfouoVMKgeV98ovi5u1o1AplD0b/FOBoHHJK5uVbkieN6Eh7V0njfR7Ia4HQREU/Cb gb5Wn9wDC+W0ejGmdNsUDXsVtlwvk8EdwK1f3SvRi+wezf/4psq8JS4KIhKA6/J19lmmH3lwM9yA nJyjklshJRV6FH9qn4IsruO6qTvPfp8l6zpVkpIebkkQAlPgsmgAtXEZXcQd/U5h5meWcL5t+ayt gmgeyJifVBc1axmVNvTbY8IfHQ9YxTd5Ql+T1EGmXMOorGq6aGH2eV1REoGQIrsQjwa8GUa06jpe keHGX/7XoKbBQ83FRRTxoXjOsZmm01y3zW1mipLyXvHltLHWyp2U8Xehl1f6qB8/PlUOC+Je1gbP t9+chxGO8HHhGlCtddH+POkvrx9dNjDykOBRp2Owzf9nEjC1PrCjdsXO0p+kZTnRT1ryubALFvGl f7aznfLhN/87fqRjKdqHA1WJD/2POC38KwVHHpZJFdesKKj5RgpiC5JJywVgedjCOaYr9F9B5XCv ZeEXfoU4xRDo0Wuv0MxLCMs+kklwdY/bCyK8X1JI+LWtM3+6rgy8fZcrsMFT/cViBepbiSsOmRbq vcUzxjOyMlasq4Zs8bi5TDcYHLAAgzDxoJNnL/r8bXQVz8HESfkwxqXQ0MvZEm8zrwayc39JxtHS aJYtZ//loihnCEXukxqgdi45RFuZk76ct1TSd0NCcPl6TkwkK7S3A5L3yeOYiRz2QhZO8CiOE8HK vF0z5ydJjGsJpZYyaPlXD0CuQxNZS8w3Ql/gxib7qpTEOy5vF6soRzvW1NKp3n35TD7wqMBc+ySa QxdM+a3A+KWqQ79jo9gYcpdSxthakTLCZ1SiTgSm0eRh37KKue8HFqeL3HbrVAKvu4/LcWQfEOCj i7oXlTyJhCKQnus7eYeDmkYJ7BABsmMvzB3oCjsRdqDhsORvkxhroW8NWLCXpjTUF43DDI/nlEL7 gr+ySJmgVYlyjomcAhM3KzoZjDC9ZyG0sTnYwPfmSmt+ojiC6t0WqeemzQEz4Vzl3g1PYd/EicNV kX7W8wByuIG9XmsEMg0BSZZngDm/6Gg0m6BLzmYlbt4mDR6e6Z9Y336Ns8PFt7oN1KECcxsPj7U0 i4mrJiSOaOw/hJo60Exzqvtjxq+C9Vi4PqThJqn2LHOzSy3sQPGJpyHlIe2hzahZ82/hvmuvNU0r 9YPP2Uapay/JZVQnnQihmW0mo+n2QSk86L8pNSwG++yFRxoy+jCFmIN9tWXcKRAP1cvcjFmRujbf yZHJyazlBCTHch2eutHl7yO/HluUoy5ZlMY1fZupafbe/IzpLuNObPL3AsmHVseaySl3NPFAvP6V ouTJ5ZywE0Kdf0qTj5eA/Th7K9YmzX3x+z20NMY8lHARnAQWI+9Ltk4jtn81ioRkuZuULUHg4ro1 9rhb9eTuQ/KBZN8gKVYJQZOSi4waS5N235aJ7YRs+400GgZ5IOkLhZAGLAeGs6C2e8RcBuLAszrn KXblJTOHSrDscrF7EZ5htuDSPYDgqxcp6fTGqbB1oC31OnA+u+g96OxUuoFsz4ZInikgVi0ty9hQ Hc7uamXgulabL0jbY3GszzT6xvtQfEGV9w7h9+WK+9hTsyEku36OzprCNH3FziTb9hD88AVymyBd Gt5S6CaGPeHpP+maG351liHJIISTlvLJwIfoGMDWcJ4PdMlLjD38GJuN/nT4zwWSD2AqfhsF/YNg 5IHXUN47Kr6pOx1zZjdetFmX933RXG0GI4CRc0+VNFspP68xBMXgNeybul1r8TCi9pu4f3687+Ht EoYSHk8MsvvWL5y55OS61EBn0hNBTDDCBcrj424BPhTiuX9UlI5xJGhXh9IGC2FPUUjjlfOmY8TY 84CHMAvnZNFuGHrs8W2rys70P05LPX2Ii7qfbdM4nhLcXMTq/ktDn1OL/K4bQQJNajWpEi1nMWlF HP83TRAd0GeNFIAJGdQAYh3kqMtBIJQw1TcC6HGzlBIiyCsAU0mxalsJkZppZ+LPkKWO71tCFA+S 1Dr5mK8gs9/ggWYaL3b6saoM4s/mmSf9qFSsoXV26JGgkyF3+CFrsj9uq4nUqCQrlui9hdhkKsFW sinGS2hBrQ/T17/ue3EX7CskpS5zOTCRh0dCmMchoP2uy4IvVuO1k+9duaXj2ww3Z/wsfuWh3OK4 tJtbyEe35QpisSWzPRenUq53mA2RehILBbsZtGRPVC6gCngT51HspzhCHroebzlgw7QbYUTViomd lrCBZuN6JUTzZLl5zQ3/s+qrTIUSxxWcmU7Qukhg6kfU0JUHyBpmKlaNK0jnuecY5pYKqknWzqK8 6auWf6ObGasFB/rglwrh0sYkJZeaygg4P4sri8sTqq6Mq9RXaslrwpaC6H/EK0sH9MM3FCMpBEBc gma4qLmddQXZIi3yNmQc17MJQC/Z0aHfFDcpbCacCjgDLfVCMa7Tazpv1XhlQffrs3NI13iPZ6VJ XQtduu/+/ygICnMwGJqJ9b52j0myApi8xloZw575lOMyWFE1MTLLYvqtxzGRgbu8rQepZyLlj4D/ ITn8ZXcsZ70ia3/Nn8W8EqBUkSOAphzdXWNWi5ezkvJlz8Q1nDs1RvCltj/6eYdTpya6Q0MuSVvM SbxoTq0MzX6y5UzlX4PWuQu97uBD1sMlukver26PjE/IOY4lXDqTnyAuehdQYfohIaxxwdS4Xec1 2mMxy1kXGD/3Z7rHItuEs93C4JERwlOmPl2cO568DIj97nM2HC+U9nNKepBinai4U+gLRbqoqO3X PJEKMua4yZB4pJ4drwkFzGhXNMPMzZlngdn47grBxU4CtUszpfpVlTYVPub97Mky/tZsgRJHCuEo tIf8grCw0dqImClhYd0YMWZiLfDei1Y543mcfjMfu9NvYjUW8gIiZfgWUYBu7eJ5JiTkvqXX8wHi I7dwDd9FjlOCqwm8nj3ciTTA9RohkYNIx8O2SvJ4ttG80XenMUS10TJhCZbVdcjzoWXZxdMFg0qO Eh6lMFnkGAsSQdwzdvl+KxgqG4rk28CcJNIgJnqXJRHnJ8A3n72N+DZ4hWFYtPvX90mShDuj2n7H Bk1CqXte6Ygb0/TlV55IxtMZ618cO9DNodyaiC/RsoteykYeq5BQlcj55HZeY6B1GZ5+VNnNa4KS 49nPfcpKrewUpVGJriFMhHmCIqjF9Y9zFkQZdDo/LqU6pSskysWYxSE5gwbjYXCyTFqCpHlnwEC6 0WdKF4NBDrHWzO97uM+EoKfchAYnfviqhLPaxDhdFe4Ayx5r8j/h5ULmYE8hDEdfvGj9Dt0vYqj5 8XyXTDFCqCMehOjn1OOJCdJuyNt7QX5NtjNI/qlt6aGoBYxa2nnlBQcogwgNGQKT1+mylvPvbKhY hE5ZJSXB6c6/IjQh9OM/3ggGLikS41a7MUrSu5eEhDqzM+Zg2Htjd5av9lwaSkpzLoOib9L8nm5q JonHWexNAfx4RejPVxhCn+fD9LJnMFSrnAulHKSFlygOQ7cRC9nL5QgRXLIFpWL1SST1FA7UTNWj d5/qqROPNLMmZiTk3k/gwYjXVtx9k1PelxlFm/xPeAw2P4mliHuILEwKl9arrVD+MTRx8Rs5axhX 8GTa65JnhuDqs4KX2Ghw74fXiidAr5YGeTt30aRaw3n1B3vR1cmipLXLYZArsh3VA5K2inrEFfTT Rl4DqKMXqWr5JLZFF5svUBwtfNMnWAQfPI4kB1DhXHu+ydnZfm1aY3i2zRZMB3Y1SkCksKCOtSRT yx8dygGeAOyEV3jDcMRpFPRUL4Ysb2Jh+GJyrKOcCtZr9Ky9+FYcViIsZuJSzM0NO1QAtyvHSJSS P50hGoMwbpySyt8mDzkVCjwKdvPFqk2NVkyKt7D+14P0Ld7lybIl6O1FEZ73/QG8UwgVHg+PWKuW iWqII9WDtBLzHGDt5WZ++YeGQZ7ycmRJKO8eWdmfMo/tBah85FT7SgePETDdNtzLz4kfTnKeD1Sj CS32rEHJwBvM2Xdhy9IRaSLcTobA1DUJ3Kad4XHiQPyfBAX00FN/lMe74yP9XSPmdAm6KM0Zijxt JI80wa+gx68PUJdpntLSAOpzGneQydNaBNRGScHq1DG/caPE3s8XjHM9CMl7ZExUSVX3eR5w8+Hh 1qJBLyCHk8/4PAkuGn5PWGNa7Z9S79eSHORlqQg3m9o05grdbEfka6RkVpcYrGmtB3urZZ1Of9CA Cd+wm0Hy5R4ewKX4DMfuTRqmaJv7fUoX7/8zww3xojsanjo6mjFQw2oWCd6zaFctEMdbXcg0Gnri tZ69nIh5gkCItF56s5AZVL0jcQHzd6RXWohiamNMafIAcj8ZV6kkdBqXdyREJjxJnqMvmlG0mcN8 vp4M8dQMtoNSynBiVPyIYmvmbn/hh3IxpttlJMXFRcO1+4eLAcbXWCt1qh8MbMpZldGjwUlV2DI9 UB6JB2P4HOvqDIkFoBpNh8J6ayQH4dY8EN8CyCBb9frh5BU0TzsDO4mfB6FEiL/QBPSg4SlKVfge eTW9ZFUp/MZ0xU14RIX61B0a+BaXLO/VwC9PDOj73iJ5vy6WFIZwx3saRoc89z+Co4EdG5q2p21A 5F+G8kliF9sD1joEbd6tduTLahbGHLR52NCROGNBnLUzIInldhSf0lMGhSOC8POA5vwKUBKwcro7 44zbFiOXrIpl34XlFdBH5HZssjThzPetVZlvoiilANtHitYglfs1Hbgz3VLIK4UP3O6Y5lIij0wp E8gtiltCeGLG0ZUyryr61bsLrcOkpPuIHAxwRoJbHSVpZrZTIVgaExdW6nJClHD3MrEzgLGxJXS3 j4reLK9MLnndhnWk8b22OMVau4vZjRwzSGOahI6ymn8tgduYfCsEOmBF9nIAAVpZkQaoWJ32xnuB m1tFUjak0tjpUWPcMeu5e9gVMXzlaKW1Hin+r3T93gti70LA0uGB4h1C1QtbXNimCam5qr66DGB4 otGZ7yJMGgIuh83nXzmLKitiE2b6M8iOqBDOW6iKnsg0WCfNcB8DlJNz/j9EHCJSqJ1dUIeBdkPu ccmJY9ckWHw6oVjMxAbRWo7pZVMuuW9M6EmZmDzsB4E2AoClf5vPtNrGlaDI57IBJsla6ofypUAE OzKbFzAZ3dXVptQ9r3956RQ7+pMb3snUy/yRbVjZr3AKuIjjw7AS5nV+CzyqkCzDJcZDtIfdLRHx +/f2YnWzN7QSymUI9lNpg1J+f4PQhDzYKOpsU+UfklUGc6+SbfjKewl7EihqOJDRtcC5TdA8s/sB g4fQGKTWUOd/ZYCK4T+REv9Ubq2tZQ6pB0qGnxIXeicuVFFAVggRezS4becBgsac93piOd5h4uLN yqXLFEtFfLXaEK2jXS3ufG0xHArFyYdiibuEbp1pO/dyqwGeXjV341iOjEbrkvOanoYa4x0Wlsm/ 4PFX66L8odc1OYDjeOqOvsja0mgihx8WQmOTR2mV9xPhdQnytY2wNEobZamDcp4WFD0rk5bdcFTo EdO9DJadnBdb4ST1hiduz78iS4crGTZBPkM8/oqjmtelyalgwrDYa1UB+k7ElRe/arhTCu/ghbbK QHKTS3tjGgrBbm+rbOb98gtN+PqIUsEx3rQZ09AAUbNATrVP19d0+YJlzOSUbMrrOAdEuAesXOJA wjFSWddvD4ZQOFT3RtDHZ2EgE74tZZqXpmLKSakwGnXK61qegRYhvYT/TjcyRdKSFrieGsx5epCn ik9AcaLl/MdVsHY3KnU6G0dDAXgEmnVBB1dzQUHmKqd87mxB5SNWbJuM9qx77tPpOrmFOSXrquM3 x6hs11SjEbIZYZ/e80dJKHxEiMlnpyL2j2teH+/2Tu1qU4CpRQB4sbg4elvB7bb8H3eNYBNdWna2 FXlIlvzYtrPS60Vv7Yn+hx9hXs4vrnZnMPx8GaN2dvuLDxAD08Z48QCwxwAkuvIHpVMJRYG4H//J VpvZsg/FQUCqNR8ACRRjJzG+vJshl8vpdpgDGsI+0KElstQd4ELfxubTxo8FaWiM0LzGUA0zy1pu nlk+xtyFSbhi8tCtBlAxexP2/yTqu9rm/Xra0do0Wir8FL22Pwr+HbBeXJZR+KjRvkxjsv9F4T1i B9EvAPWOIGc2v5ECH0VvA1Ms6eJ8p+n2/pxoiwWCHDlLKb0vN9MR1b6k6/K+7vbjmLDv8I4ExS/e r7HXKl0X/sKGv9nGgvaNQRAxic+BAY892iSYgS9xfaerp91sNBEOw4hJfnxbjbO/zBGurqyBMswf deQsHAJlAHYFWS8ZAXfhFDyC8iizBwkzrCU76e+6vtyFqThafMtJR2MexFnXSAYJ7zfljZjOqw4h ZobyuHK0hP2ORwZ6NyJV2CBxN1uE/wG7SRBJjA5q069ohRGoR0dxelNgTqp2gBqVPQV3G8JwLFdd m6o55Lvig2j7pdhPTJerUVlel/D5ofg141D1ye5bEtF/ij1UN9tzd2WFLCq684CoqggoaW/KZAjT WxI/rUrXQez0hy0LQj07r/jxvB0MnwFL7F3SO8R7tKI6otR8zxTD+n6bW2hqtay3j8P25uhX+q7e XDrqYhsF8DUXacRD3ggPKDSKpMI/unOElEPC2NTt8zms1NAOfrntQJt1h1c24VQv9wFHk2mG7QXv CZqYmUQ+Utw/KTS3lKLWKwwp5PrsePqAGHuIRaD3l8EnOvYwrrZaNZXwzNJ2s0PmBKRPHOFxVWcJ XJmxF7o2Yxj+8b/TrbWXZNznTE/DvyMm4u00+gPs6JIivMIo1x0LCB7Jxo+zwWQcWAIdd4M/Ds7Z J4aHi93oOlSI9p/YF+Qg6CcBtwsHe21cD/JQ6rZQaw/N+AfSXXpq1AxkmnxVY9K8NatsEnfgGQkz a50d7cn+QJmhiue1MsROjeZolQItrhtEyIymvzt4OFBwa4r4ci9C2rNiodqgYZk1AUZabVylZN86 voGroK82//NaPxcicjQoVcgl19vthtmreEFZRELqxQ0t8jhq4KxvQUt+StHPVY2F7pnzrlmKLKdR XfSqVWvfOBsqPZRw9xgiLL+vXpYm3Z3BqJAaBVuDQCEDHC15sdeD+NexBww+9pynKo3ZGF6eU9uh 3Da9omF22O0jIbk8hko+forLlXohXiRSNKEK/MsL34WoY06qui8Uq88pKRUo7T9PfkZaeEkFcUlq wNQiI1ueU6VHKPA4vUYi5zBfBujXi9+eSIHh3RlWJ6Yf3ZfAQ5Ovzodkm9B0z+VnokwoB4HTRJKh zEvLR6DMZoKYxJQhMEg6sJsKXVq0i25oOaKwDyBCeKoNNMSnLlt05PGy9FrhIWLrvJZqIunKkEi1 MP4sUYql7Q9nf5UFG2DpSZrycYLvfwM/WcJHFuXwKsrTKpbovGrpcBn7rRSPujVAVkuLn4Zn/+YQ 6LHK3+Lyf7u4iO58OgwLOjoD8EGT8KdsVm2ihGqdQJtM7ZHLrMdN1gJmkWEhranh1VMzff95qDug DD0oyZOR1EUgkFjR3SlsUUMcjGqz/qxYte2HjupdH9h3FrVKaTTZKT5f+HLaS3cReK2+HrF05k8/ yIZAmSLgn8eSk9OKaZWUpeoZ1K5T2EphzHp7J1aPJqr+rnsr0QChEgZPW9Sjq7nxlQYXDtAfX334 g9qtsGY33jRHAW54dpIvwAe4gO9hoscqWFNSskDQhVKv1MtdVY9hvMDTZenLL2S4rHr0udNqicLS fUBQMcbvZp+ulSIE7NCy9KDGQ6joTuo/JT3HmlPKQsUo8QwJ5wItr12ZzplVfTaj95hlLCgcR8Xd D1cbpAeu1YnlcyBfHrKGFjFSjoNkCGyj8tgJt4o/T0eHrlYquDzi6Byn2cWw5Wjxq4WJxb9hIc5O YKecqoXkzL72gA/do6tXDe7A4BhsYscDVIlxL51iQ+cl19Di0tiHwS8EUbsPBGl8WTZn3LYARTfJ R+uUoFs3V/qhCRbVyMrl11Sy/lQuqbvsQ/ZoxeqRSHsVUiVnD2UmRAffpACkpjfYoIczTNuvcncN 55fiYBnp056qCflGklqCKzzi2I7nF8LCbNfpD2GxIyV+9DZGQS1ZffxLE2pqJW7r6oszt6mLvLS3 sN/DrrIzgKNVqd0RpkbtLDeoCDkx8dpEoC5FaI7zyfJ95/bWkhFpcWxMqlblLIbeJv+SxP3JScni vUcS7YxwrKC+/rKObvtmcODvYujmhXTqKcuSF7J2HYHz90mX4ycBipGVJuDEEMJ1NWk1jVtta7hc E2tpOTsVCrIiHEIVlCJHtWfNdJkMQIVTkKGPot+GVRLyMGXJfoqReedWZs5Z7qoODvkunUMbYgvA lp0npHIBpG1fpn0Dou9iAPmaZFxSuHcrPRaIDlJZvVGjhO601wcmqvsVi7syXjfgB7x5ndFiG/rG NLPll63JW/EGbDucGsEr1jS+IFt3I7GIzzuIeI5tcibqpw4fd6lXN9QoZm9IYokvTGPapnBGbNKj u3+zt200G/36mtY0XLgLaZpLbF71MENw53Z8NxbmmKJw2+BMBHLtwlgsDAGrJ0wTmJeLxS5mNzRT 8qTMpuSHskXbSjPNfYNAf+wkVdFcwFH3Nf9SVWlmVqVOH7h7oUmM2k980VgOWQdKBc0kC6tgNPtL S0FAnfThNZGZWXC0BBmfAZ0vZ72uhobt4VP+lBGJcpmKusNwPhqMWWQ9zJPJCZFUXDHgWnhpZg7m bwc0D8FpV+UCJ3PGotzrLb2IepfHDH5ch5UH1pjYSdHS04Fsn1yVSJf8kfn7J9h6TzDNxROvPQ0c 9bAKy12tft3Vz1pkJKXwb0iCRfW1hjZzu7IeEuXJYj6W/LSEkAf9GnpnN+mnzZk40ibyk04NDall Ac0Gy/GDDzngzXVSdSAKZTbGfm6u6Uj8KjkTS6rjjOc+PBgFmuEp5EihBOOGadPnIRC5MkInUv/9 knovttHPuZh7qjP+Ss0NU7pIvhpvoF1jb63F86Xg4w5ZGWHc0Pwix5Jo5n/fZ+ARLsapHkpE6hod KIB5suFAAVJAVb4KkBbGp6LPuCEwE/pyH2IHDPWrh1E7LubyaEBIjXp19FMQ3Bib1yuJEONrjfu4 VXtO8gzvjuENTi7ZFE2kZtdtNNnD05IyXR1381yULnAZ/c8k2A87RWGDS2N3QTbbCwnpk/Es6EbK bmwDmBQiJE69UKHifLMXs/jbUv75A4OvLwPdI2sEjpBKLnh6tQolGiuzYX+cEFakIubggdKBTARv JmkfcLkDeUblLd3YbMCwowHZUp4oPvLTFGk88mTscMtfKaKtYhbV8mJ6O1jGdkWHXzqZNXGsb5oA WRGl3J9nA4ErY0yB+nkhOph8ieQbRn+bu46l7mwzPPNPUZkk4B34zJ1aoiCtwsDyOAD5ioRy4Hjo fogM8RD0c5XRZSQWwn3qv3cGP14ky6Eaes+DIO1mX35wLy2ldHyiT1hjoiQASsKlwOIAe1sVZ3cj tAHt+flLRoju/Pai7RVC4mru6Iue6V9FG6IHhTeKeF9bLU+V77vQdHMFy1cEOUD2Lyz/4G2sG0Vz lFzU5CJYdxLxhlgynb7lPv2koF9+3SPGLhqa5PEqsgedVS9TF9nIYkHXLKcKooEV0t+E8HpdMr70 r5ontxt8hOuP97mqMlBzLmCE097M7fSWjwRQz2vr+yubM1RE+xL6I46d2R89rHZHy2qczvPgzhCQ 1EbdHnj5OgnrERy+etSt0khwRVd/iVXA3ZbAZyyLLSLOtnJNibkl3W2epvR92pPcFgfCUozb/jkv 7WgfAaZtvHZO1Y+1GRN6k+ZIr40Q3m9UmsmCmmRNDFp6Kmq3dMKcNJQ+/ZYT6aoPb/EQmGVrVQaQ QUxK9KP9Fk7v0ICuvsIeWqdjsXWrpqqe6UL7JVQFm+UTgf1TpXZzHc6/isYC7BuMdOySkpN7v6Qb GzJ7Pu/NcB7Q4vUpGgCf1CY66wbZnkfnh0v2XiqU7Igu8+H1FfZ66e7QC46GIxGCBGsamAckLdK8 fbg1bE+OosQrJ/6UAtQ5UCe21Sk+UmgJu2hXBcm5yXURlTQGako+GRoUu88tJ+WtyHibzuuxNkXR xsNgOP/iER/Pzhp34GHWT36tuAzYD16Hk+UVUmW/qIJS8TfKAU164S3FQbxTp8HNnsXGzORKYeyV JyObU3nutW/OK+RNa9mzYgjHhDP6rYP20Kco2V6NIvkiJtB3XsOnhSUzfDEXSeOm9mDtaGvf24Hp yS1MvUjESi8lw/e09SM2GzltQ0tnpM/Pc/VVhIqfQ49ibaoM1o4br9ULRq+Ell3o8OSfX7WesbDQ kWDcVkebdwTPhxQi0DDrc3iK4QKkCDATr6l/dVTqsYnc8U/Au4O8E3b4AUn6hKcp3JZA4jqwtWjR AJgtJTTHLofrAoJigs1hIZuaFZcRxtRAoUlK4DbjsxOxSxO5O22T8CInP+1u0izgj56xkPhmY6S0 NpT+cfIxtou16kmy6MEUqyIRjHA1rAfziHWX83YNLssYpOy50flxwYg5Gsahldy2bSgwDUhzphrO PHtAX0M4tYRNt5ZTwlBh+t4Z5VrLlcL9O+1dUjL1IEVE9VJw3aNGSYLkdklqXLCUsBOdnYUoYmzz WUSCNowjTVS+8cEP+te6E81+QvQUIEi4udXeL0MqkCzHiwbnLAxDCz3YCgincP/3doUkbChNdWhN 6iO6XGyVRW2bFbzqfyKmUeSw0spbU8N4ejhNdpeaQuxUYc+V2JpL66A8P1NVH6lQsBX8JRUDR4Tv ELItiXU48OMB9ysHjJ8HmvuEVB1BU3qKWOCRgx6QUKCIl4OdhFKE2QaoBRRqU/zik+YM+8y/7ON9 6xyrCT39xU2EzGAUYjkAXrfqa+a1vEiFtJcsYt7MHN2G+//CEMCBwAt+2ssV/zbh5HImDpi/ZE0l huniV78e5kJym2Iz+PJFZ/CXiSwezEdvohA6II3Np3XuAclVMCw2SR1YfySomiSxwoziBXyupp3v QQlNHVK6hMSWhx7rNhDzsjASyldwXiIZzN8l5+oxOuwKLVIuYJKts4xzmTt3drv9raUzCwPxItNG AXOUjgy9PB0NYMCYKGQTpq7ZqWP6+W0MRU/CSNiCxMozsaabfetdlxx5ursNXPIJpkndLW8ziSQn jpStoEkTOFiEO8Meljz2F4dmhfbtb9rPwpPiPv4ACmY8B3Hyv3kQJXF4Dd0d2JAGq6Ez0eOUnQEC 0jO3gkpNjarnp7fGIJ+S2WcQnxoMBJoUNyYsO623ZrNXIpj8LEjAyG9baGpGjTCiZ12mUT2eBZX3 MIHXuZLfTLPazd0r8ukSTYPQyFeMdrP3pmES3sA73oMfPYpF7r1aP4dFMFSmFUpcdYZjJpZicYQY rEkobeCMNlH74qFWsy3auUToJPYOtNj05oryXC+ag+2A/M1JYN1WltG70OnbtFazEKNuF9bw5pQN 6SFH9J1aTV8JEbn6C92wB0F3iE8j6yIT9hzrCQQxaZe4Zf7T3tuUhlobDktpOcTIWi94kNjGLhS9 Uad+gRaoKpn2AJ3eEs2+HgfB+brV7x/+w/DXJaM0deprihTDLBr12OwvsH1FRaR89arTmadHaPg4 fEzA9aDrDSu3td44L4supEoeCLqptKv38IBITJReonAvK0FWQ2hQ1qfSGGBkmGi8j3qfaU7y/Xrq t7xOHbrkAyPzcvf8RwTAbFDgpMLkj/0FVoU3CWg8sptG3hnFXA7nbRHXFqBxJVbE/z9F9m8jhG3g tjdA7tBOWwwQ5HQM6OZD3DLYghLWnPZmF9KWLCiFfU1nes3imIHJ2GmvORiMXCHYd2rzUtWhV3V3 N8kIpPucWb+ZSCZwNxT/rvd/l8hI1i4eSioW8QoeIW/Ts3sf+jSqomI2N43paaNFeP4WQBR4dcM9 ydOk3/7zeBIptIbkTZGu/pM4O4GkOaX1OerGFtNoXC1H2PwrJq4h5PrfsGuZYm6NK03Ush7+B6Ea YA5Jx7CGLpWWaaClUiqySV4QJFWAlZQtAsH4Oy4SXQaijXXqUWFr1Ziol4Bqcz88ogmTVrg9CUD2 c6uC3OPE0jb7nbIpVWZzsh3+Wp/2L1XcNfcqrgkIPQfkwUtwsnyNgtk1F1vBL/MQppMBT1gV+aHn vEFsKmWOFxFFNyAQyl58dqksBjAqmmhP5N7NYP0BsF0qADTahwqtpWDBkqHdjSCesb8qCjl5lp7/ sTil4upnfC7jvBIE4bZm6zIKwdo7fBQukOJUr8FBi6tnti7ecCj+fF6+KhzBaHWDqGLGpDPjyT2q jWs6o0fJkgFULE2w+NNeTEaFeRBVEhg3M6Yu73n2xHFlNfIW9a0JOUYicHPLkit4hhWtBU0tkfog l1sOM+KItXqFSXKiIjKpWIvouaUy6TTh2OV0Fuy2JYFPzUmk42YA9FnXszCEzJCXOLtzJVWt3dlR IfCuFf3kw9vgxJXDs6Mp2LehMuptIf5aja03tdP1MQcObsZdPS+RunolDp6e8OF3XqnNYW0mnEMP PLdTTk0wsGB8eXUf5V6gaG7TyCCOyh4OYDFQKM3Yd7T5Tcv/PTNhGT02KekK8xqYvVhj+b+zxASg 2Bso5N2zkwybURfwmLGVZQHeYWoiuK1sketc3Q1/MwD+r2xEt5sCrI3VJMQN3R/X+WHPOrxPqEG5 fOk0Ssj1qm63qXJBB9J5TbPZ4pfWOzKZT61j3I9yijxpb1Jb2Kz5GlYWwypSagpTcAmXKFiYuA59 0Jpu+mLGRVDiHpm0FmBSmQVPCIpiRWUoeVLXvzUwEXU2GmA6pjuqH0g7ZdRRpWAmyZOJCDYewPnv jZaXLZgUNnjJId34pIux3M6MZVrJaBgUyqrfU7QGVGdngN6eBV6pi4wannSfukCRpYmD5BxGCIaC N5wzrzJIA33jV/3Y+85YDIhYZRWYjrIXMoeU82H530KyjQ3wnKVSQ5mYdNI2wxrV/Sw2WFTrtywH J/qPJXnqNyclHvkZn0XNtHDfuWWqNoMHGREYpW8ZeAvlqnXAodR8pRLcVF063OxjcE2YCb+gX3kM E4UtoN9hTeC7DvJmghMIhZ/zK+ScpUSqJiK8T+RbGhco8Q61LyOHnG4TnjA+xAYO6J9Gzs24b6Lp rHbj2siyxzwdZjFxcJj3CrRh5074GFrfkVP0BgvR2opaUL6Ukq112JFGYHd0osST9DcpkBbNpVXa AafGDVoMvjrqh+J6cOJQfcVNBX+0Ekce1t+kI5n3XHez6emiNaJvZGKT2XVV5jSsyTuIBjfufJPK 3rfwaQV2UzKOOXepreFY924Q6yYYNB5YYI4tjK3Pm+tqa7fbH6zY6lX5ozr6p1TAeEFNuYTZTxSK rHYvOmR10gOtS1CnJnDYn1lY1jJzX646OBBeu2F2sST4Z/qUQtE1ecYo61AnQfSsDdkiGF/3Sxj4 Aeedn/Wa+HZ8kscdFqFvJ2dNQzIIkiygTXZFBMVapSA57/0oMAB14ONf1Ovv1nMABLGmUlI4Z+qB 0Ry74s8obIvYS2SgMGNzmHpP7e/07lAXyiq/HnjIxY0VnzZgDHn9fPga1c3rI23XZDfmr3lD/YzS tw8gtVawXRziau6ZP8d5vbfdykq1AtrVcn1K4oaTdT+/7Hn+u4hrAzM+Yw5SqF/QL91NRfQ2VmgT meAc5Xn6jBvOMw8VHH7HZe2j5knbTKzY4uC3yYswBcqtjLnkUJR/W9QqP8yBRDqVWMU/Vo40ra99 eO+caZ0s6iTRodrgoZ3i1H9U12rYCtI2XEvO5eYWkrKFS2CiR3oWE8/2jAhQ5KNOM4DX4x6iAgDA vu+u9os8JCyZ3FcKB15fxQVsye7/nQn/DsnXt5JYP6cNNzduqGW3v+c83zeWohmzJmweqGJD3anx vPdK5i7LGCA8OyGnLQTnYIJn+LRDXpX91XVmXlflegyb5m8WQs/lmCRpo+GTT4+eLSoDIF9ShpVJ Hc0FKvxBDtDXYMBHfkZU4sbgYAUZv0rvza7qypSZCyo5qKWQJiAnGC/Wi49ShtsrxgchC03xeYV5 wt2auXD13jjVroU1tW3AjRd68RHpFpynu0H/Ye1GVofB5LMpPTRCGbwT+geDVZKpP3mdvyK9khDq FZS5HHfaGmYPIrQccwdQg4+WfjUpe/s1x4tU15BepVWKR5Wol19LOY9urUFsDhitzZIFp/s+GmSn m2h0NPFj77t+bCoifrZnF72S2ND/nMjYq++7fq8UWcsxrv3cg19Ju6+k/4GC7O6eDfhUdc/HfCwV VeBmKAVCM97z7OJzWZJgr58VGaISsmdZ0JKvAavrJ9IvuovUyIqQ134eXvQX35cestSQBBs1UvoY W+CY/hNIh98L9b+W9y9MAS7kFmNRwx3MS/o9yWNNMC0YN3VAHCSOZ9Aek6PIvW86r2kdgTMH9e3c 6OPiZDr5Oxj2IY6AXnqBxObUNF3pfWCYgYbSz7usJbvAYGi3LpL4yGFu+91mrWbf+rkXM6cvliDm bqmjnw8+IhfHMnSDnqfVZ9EGWDuF/iVM0VtROyuikmbwNqKBSuQSj+9MiMbD4oAVzQrPPVST3qVC pETK1SST+dB4VGFSAsH9TRt0ahQI+WKKaKjl4+87A5fBSjxOwf+vkxBovMRmD5leznVu8vOOO3To 9CTHvvQqBdWYM5L5LcIAB/FCQjOGPgLvEGUAvvKhJo0tBdihkVSZxd8BEoKoBumNH0b0gd2rRTWh c+WG9YF2by3tMCfcFDwlDSKlDOzYVkKPxnIROb1H/sodkv5exDpNHfhfMY91XPxByMtzrcvOMWii 6EloBKncz5PNC4Q4kGzxMdGelJXQ0PDh8IquSlMRun2JNzGc9xYeQcteruulDND6Ixn55gsEvshQ tk9BubPpgH8Thy6ONMsC3PWz1xn9pYrtIE3mPuE8gHC7cx4zMhLu9GicLV9a/pyNnF4DQXsSx8K+ TN97blzQgIRCS3Y1RCYkFvs2cwBxqG1KjNTCpTVEy/h6k5iqzzkxOw8wRJfMWcM5lrdiKJ4IJggb iq+D0Zy0h79ynmvutyfFGlUqPFE/DNigeX0YYm6Y5mTgAwTFCKLFI92xyiGbdYqfs2uOUMBNyXUB RjAFnTF2yliIoq/vcLLzBK0p5k0vZ+tgQkXM4iykNS4/AJDOR5FlD+yjb5Q6+RMq5OaidDu1QAmy AiZvGfDsK/hNI+ZoDG26IQs9hg2X47dibLn2ZXLkiSibIWnmxtielcsQOwQCf/A4neaN3yfkE7oY UqIBW5X0Nz4m06fkJf8KY+ZgXejHIckr+lQeSSNWu2KjQzqAYH1+D55kaVL6HhTI5l/UqgdXjHkP Zk6gG+ZcHfkw8lQW/PSTtuTQYKf6bWy6df1p+KLeG5tYuI7pxED6pZv8gHKHXGnnWX3GXh8IVf9F Z++xeABy2x8Vt3i/b/4mOoNCYpVLIBRDtyN8p15x2/qztW1q/tUHB8U7XN9P/ghMhXnOZ/QvkXjQ ekSK+SX/tTOvOgGL/4BJHnoicKCgsd3odNUi+zpgOMWcHpgVmjszv4S9xcsCxlAiqqtvJ63ZoxXm SObfO1J1tNAdxSosLIAbtsGVtqadhoup+AEwqXu24b+Mi2E3S2ZzoUomtcQQHTsfV9pxvDuUX0gl cwfp4a0OID24LqOG5XuWb/wKsnIG5xUJSXHffmuasCRqwrXUCiRwBLtPZ7zBPNNlyPEdhAgumBVZ 744Gdv7wTalgbnXZfn+/tNTCyDu0GBOfCFjMerRxRl3Q7TEbYVazGN2LJD3chrrwl+/L1Ps34qA/ gfnjomr1Ku4uw0CK9PVNQIAHSFXyEwIIkZuiht45gNUVFPYnkWgdAu27j+Nvd+Xwu/iu4um2nX1X 6nbRHomv1lRH9bnccv7wuAyhs0wjMxuDPYjc2qH2LUP3nlFFnky5uLpQzZcDRwOgjNP6JR+BBOep OERpG+9rGF5CDcJh62O2csr1ko7KW06M+1IXbyfFjLfP6y2sDZoA0sYHysgnoK0IJg4DHcIcxHzM 1m3pGhfaNIsj2hNdl7FFZ73Nv+VISl/gCtRTtL1dwclwF5dOwcNrRxzvpjr7cszU/cTWP3iJuQS6 LTGXMvVKeyRbP+DYkB7tFLG6tN6hvtYaDhZwKrX0chR+gTM7c7u36QkebseJogrmxr7Ij8C6Bvrl 4i3VYC75ozq3ruV9YbiVeVDG75myrfRJXDS+27xe8fUfxuhvXV59sHjx3i8pj5MLRMmHkkDd7wc2 hY1kUpAPRc/lw7YpAHJpsXNg2GqFofQzAg2ITo55qvDgtdvoPW24XnIzM2fmRb9bGOmgViAeW3hh 1bhslXmMT6uUY5y3iSdIjXN72EiWvTEirLBaiU7tcJ9GTKXonn8KbeJPuEp+8sIN2t8a0vj/qWMp yTSW60eCT2A6GEyOJITh73oqyTyUpd8cBz3bjpiRzr8P8cH9e/AhyxZVHVx2Ew8rGSxRTGaAai5c yp112oZJo6pmsgQNNyjWlAefqn9E8NWfOvn4bchHxaSvDllnlWzgfW8XVdJCVjebfe7MgVM7WOjZ xllswN1/T13Fz8yFKFriBWMUWuqsICJSLbfAURhuUpd7Kcw2L54wqqflJM40i/LFzQqlnPD5DZQQ VRQbx7M39e1DeS9HxgiOh9gkkRLt0DkyR9toMjttwwgQnGsjEJzfQjk6lRnfJe+x2BVeuaMAYw7n 7Gk0oNqa9ejHj7sZkTJkiPJWKVNOUm+Opdbi8Z2EUKDVOviukXEengtV1T19w6//A33W22BdqfG+ oKXFdNivVlUoQfRKyUSl1kjb69tYql4+RlFpSExsBaBiBd82hETEvrsb1cxOAp2Wiq9GqtL6CHNx KqW2PYOi5jxrEsdGRQkNFOaVZZ5o5I0k382zxnXT3iU/SmB7CfsJVPs+XDzOi8k1DB2+p+FIaCQm prZn+EitmVuB9U+FLQ4bR45vyAR3j3kO5LdDvdn2i1erJyCEetd71cRbxNahPw8f7gVKj4JzFaSZ 46y1JIs4MSgn4beQIo7N67tfjKkon8xPXKmmOAWmT0iNS35vN7k2S7lC4eUFy5sijWtmHuXyHfSL EqDpt3aY2OjaUaDgbqyvT22bP7zGErUxuaGm5yO0gPd/QVISc8zY5ZQOYeBU/HIPFoAgKBvhqxbG 5b34lCP1Xp5oey0JYYSx2kjITubPLX2yGUF8P8W8YZA1qyJ2f4n8IhpZ3O+hgmHOn6lo3/sn7ABn y2P2m1JAAyB5fOoJ2c08mUkS/zMVzfP4IPBGuQroMDQ2mo5GX2/RWcpf3dwxDnWYjT42S373k9Vc AhJWQ72WgctxGr95fQhpfyRrvGPKUsb69j0lkaZJD3/GSuj98TJSNnAWBP6Hn5TKFJNynGvnGywG bcDSPpvo85u1WwKSeBgiL9r3+YqFu7e8UdTUcfHSgqO++z8S28gpJbISgabOCRoVA4MBBNBXCsbs 0G2C1f+rFUCsxBHQWT4fscgF44CWb4reXmsG8aKx47mxo5iPgjn/Eo4ARK+Qz60sTQEz3oD2uIcu rihDylUtGPcpvDe0KaokXnjXYAqaqQFsBt+FfAned4T5ndkQK7YDYNN17G/SBAvyic5nVHRjzCPb 9XeKv6oqk5qj2kV0IzPSHMwqXemZCzHj3g/pW2t/GkPXZ8++Pv1bI/SD9ENV/3/IdDnQduzwO/at Z3QjMjc4v1NGbcInP7lxf7M8SFN1GsDzs1ChZCKd8sd/Le1blCL1Ml6QhfJd1HE4IcBWzzWkOROL 2XlhYmd2a9BCEhn+pCHQUDDqBsOLhHlF9ZaadgIn8GrwQCxnE41C1b7ZjSoHcrw2O4hwq8KgFk+c 6oZcYUqbjpkgE4QDxzYuAhMtv+4pwUtqnjbW9GYea/OvYuvO79ukM8KtoSUiXw7iPLIC9NGMlloQ y8aan5h3GLinSPlxkU/5s86ixUZaYhrr4ABf+/P0eTV8poowa7SrczCR/tKgjYBdneWK1Y7gUvaU vKFJ7+bWkmttgYllTc1G9gRDQGBXHWyUHiwEHfliaCve60La/4Uy3ycTA22APnNPTp26QFnqr/Cd qyxPB97Yy2Ra4oNuNry9+TddvCwFSMbLSSN2xDCw3kqtGjNpJOHLks9U5bMwPuaO88yJ2h6ZmltY eSQIE9TuAcSgqgq14A3eODUTgcduzHWsw14ptBmrASLg4ySSxoYjhngD6p+YB42CDrY80CBgyoFp mzdsWS41+B35ovrGYzFavVvjBwcNyU5Lmh7e/v2qHOSmq+f51siER4O+hN4u7mYx+FmQc1YBMD1E vxe5vm6mA9/vAZvqtOGdhrvYcfiEOH3pJF+SI5NSbSXj34vX8gCV+xFF3FYKTfbIeVZy038bkUDv u/WvWqWRMuWyAXz3Rpo6qvLFMSwuKpOM01uyoh9ii+sX59xgscqVQ5UWTGQfZ34NUodnLa8e8v9P 5YnZFaMNwgiuliF3rTs9Li9NLJ3MNsiHuVVs8VPnsoMuroTuH76ciGi+5LSl3AbQZp3hVkUYCeDT J64Qm1PS7Z2ZeOLRB0h/HCNRgu3z7amn2xG/vIif992DZm2lSF5C1A814GCMWkNu8fW1huuZDOE6 WKSDye258G/VQlcm7Cn49jYii7yBDhHyzkFKwrmJl9gsJx0L75gmMTItWMp9KX/HyY2cNnDdEWyQ xlouGV3pWiMuGxzxTPKkdsWuxL7kg1pSLQOzlOKMeH3gAaNypcZB6k8L54fwLN6+ThvADgGklv4U q1he9MsDDolkilIUqCYNmeaGdjo+zHMyMRg2/fvYoKaSXzCGy1wg7if5r4JYDwHoknHyMQ9z66Ue maTRAOBsDtd1+5IHzUCJt0f/iELLeT/5dPZrCAzazfgXqsBuq+uyRU8B2YXF1vlrNm6MqSqQWhmG NXQSSe2ul3MNlG3A+eIQOvvy2ivY1CvdG8VM5bfpXS5VyCPuw7hfKTpT/UquUfGARuTvl2UJ72Xx 62jeJ5hr2nMqtIVtFArpNRmu2udhX0W5Z2xQ5CSvPxcW2LSJmWp6aU6osCv/ceerG63pMCg3jmbb JYwHsyMV5CmhF4kVoMRh484Sod/8alNAnGKIShJjlhAe1UaaME9/0oAXnwu8/3Eg82xI8lO1sfA9 EeK8A4qmd6G2zFTniVkpYOQjdgm8hDJhj7I4vcaz0CIE3Ur8wJ+lR45NFia6gfNZMT/QuZVvEwga U+w8laDxy9HIqLNFMIyy7NsaB3mugHx8q4SwXzmcHk2b2LsoV4KMabNlHLJZkfmQOufEO7RsIrBq gxRkTqOipgwRH9SKilBS+gwD3cBYHGx1o8n+gGqtHkLcylpT24WHqkjbYFq5eEzrJuug2xrcbruw sX8Tk4rAOgAVmNketiZpo2nQimf4ESsRdTFzDXNedtX1OUgaYy8rmonuUhlNkexkQFf1NkQCeTNy cmMvb6zr5SMJkacFKqy3PlDA+bXzjnncO6ABH9TpeTXS9iFYjzF9fofrfSOijhUOZ2YnkSfBG9bf KwBINuwE8yvKv4vHgAL0YBSCyo7pPZglvB30GBsa9l7JOstBHqmJKMG5jFi3DdOO+eknR0Yu4zEr 5MgamzVKnwYBp7Baqzv7AicefXo9Ha5v/RSLfAMBOaVmkckSmtRGAzQLjPjlx5bZVckxXaVPrOeg waWT8Mp2xtTDlpSjYjnyMM1ucb8A/ttic6kPD3hV4hLqapenNvLmjjBnmHA5BJyyFn7Z9lZ7Q4hw PCbK7oUzPxxgftBQPDHFAPY0cnXRf1GwtCQWNXZui10+IJ0n9gGifcu9s8yqnvLUcShjKvedJvdU 30YgpgUPSyEFxzF+lqA5MXHZGl3hRMisAnW/xrqrHTa3RhDki5owavZDL05TXlbPJ5mQTaXmECwg qY7qPsGpvNa3iQllgBqw4tUvQRNI4UmukKXl3MeZijH8I3QB5hXpbjhZDtHaNPkb/FP2juL+Np/4 HZb3y/GYkXq7HdQ0wrfV3N27KaPg7CxIp9fh5ijp+wTVBj8no225ScZFF/os8dStEjFwv6pO3bug 4EeRA67T199BfSrBw81P91HtM8HFNixap3dyi/eVQsLauP5kQAYfAzabXH9vTgI4HYsm/ndfICrw jxaZtWYqyJ36ajHqmch/Vagx72Tls9JY0RQx7T7hcpab0qkU/QC9Ri1czWPfArCCwuAshNxeGdaD 4S1Y7aWL480eJDYXWrZJEVnr2xBRxe0x9CtCxcAe3/E9RADV5eDh+d3l/B4ccoEyaOFgKBrJfqvp yy0RotPvdBNQC3OFf/GCyewd3b/WEweWY9FEmOedpYpKgkRehIoe0ktqMCFGS2YDF0LI8gzswWb0 wbXZvCzkugezh6TBsnPUQhCAWJZCJSOZEQkbK3Nc6jvk0mQjFgtovZeXnn4XWhVeo0gYg6VwMWGp G+ncc5nTIJ0EBHsfQlb7MQ8qXeU5HS4ueKv1q2MduJudL+MyJG8VW6spip6uYU3M6MnlXCFaR4mN 3N+iZ0F/kaGdgh2xU6wu5C8+8b+xkME40YcKtEJjvHewYhNxFVKz/fKXkZjmoUy1shXr1XOhbxBU +yxor5W+QJl4tD/ovfJkEnCuSybBXKgVB0UGuwPPQQAyIZu7muPPmIkdlTxGQi6paRIPc9fAMX/T 5hcnh6oRPXFOAomNNHg6KNI4cVGZhDLemM72weB8J2Vmfe+GfknJCco19FNPVs5VZAu5YawiLw0Y +ny2Kcq784PasUTbDYdRPf1NSxGbjxsKVTpgeEwBC4fsEejgdZFE1TC/7fgtnfchGKXzXcKGHjmk ct59mQfhyHCY/LuA/XAist7uUNmZEzVirwe/MOVXOx1HPXowE7Xb8YuO9cFWc00gI39/a31T+dJ0 iqu49D+4ZF9pWpQvAjg3yWconXoRNN+PpR4or4LQj8CBn5eRdWcqpCUDYrLOpazcwujleEeuDLOG p9R+Fh/DKvbHXq/lBoIi3yddsNOFDW8JgDR9hLictmNL+L77qnvE7XQmHZmQDD0F0HoUnQfiN/zk 3ZU9jqNtaBgyyFUjp/1Gs+qiHJGZVf8W9h6RfhCai+Ha7+Z0xoIdV1KQjiCq88ulw1TZbMLncAdI xEVrkvAZHFlizym+kM2H0YmHdXk3ZZTIOImLNOvhUFhNCawM4dl0/IyeqVa9zh5kuL4ZxSE/wC/5 zEyUpM61Bec0oymrVKtr29dh4kYHTbjt0PsCZFhseaMrcCNG819ARtGgxUMDdTxvmpD+Y7t9kx8d COE7n3PYqGG0wTryvB2DtJO/RyE+JDHCyMsah/n6LArxIgPrJOl/sLKPAEUzX3Rs0yO4PczR0SQd fwiCIIrIeoDl8GPs/NedI3oc8EawszxJhsatj5P+IlzvXPF4FYRFPXYWeYIfqHOio/BXhZRYK1kj sJuwqP2G7C6wFKM2alrNO0cZxFZ+aB81U/6U8d/geKNjLSMWKXzsIoQaZGzmbFncna1Pp5itcV+7 WeFXlp/eWw5VGNSGjp+hfCeY7ru93Vfr1bC7K/l3hNciZkAosQvhrTnF87EH9macwZ2CEfpqUsjA mDsDuFIO/59kAS0ZL/U3Zo8nBaVPQ/JvJsNvMYVR2HgET7keFnCk+CIeGwdA4CNfGHtmItcJIgPq TLE5/WNiA16Xz95vyx/5hmqm/oyTPDQofuS5DiPCmA/FWD6+mEXQ+l7301/jDQ26pLSrkO8K1g6t wyV1iz49nSn3zf2WFdl2fx9e8w410eyK0NI6csUooxYx8Zv8AWxtTH8VYmUMznCoOPuSDwWjsYcQ iSq7VdxzxeovJq5sausBacO9YdK+RQ7uDHmdBIRBCr/hw2b+BBTUEjT93WwRr20Ytc8w2Ho5bSEB fSuUurrqaEn8p5LBxvQR1LEfXVSt6gDQv9J5RbA9BeuNY/ho52H91ag9cTaad4DLFVSrwiywlHh6 1PlLf/DsEcr2PkzqC/sR5el1uhgwaCky3WCWnwVwyeQ889XL58xhRJxw0YLD/XswZY9uhAds7rWf 7F11R06m1NNNd8X2AMZL/wAw91jtI0kzsRvZhw/4p/5j5UmWtCycc4PLpVBG0OOedklVTy3bbTtj XbrQYZlI5AraGaxbUH3mswcnLDl/AyaguN+xX+n1WzA28K8Vg4QTon6msLuAkn5z20DohMvJMGku JyWarmxJ5GzZiPYifWjF2Ktpb6wlMUC4AeDKKzVztCV8OY4m6SwzKH58Or0yDNmdys4xW22HKn0Y 7GMwf+RaUiWoTdX/IsbRJf+mq2I0pnPruH+KH63ZBAHRziialSJymyb7hzCEsILEEdKc9QfQcZ81 oo/8POTD8Q0I8XBpxGmhl8Pv0i0AmFM3vWClyjGEdVlVYCFQ/KpdgYOLQs/j7VNSkNj5rqnNbhQl hdjV7HxM36e+wEphrSnPRefLkPHcyzeFC3q4xzNrAbvJMMjlkSZuzzkA5eRZ0h72h0/qL/rbtW5c sMIgNEJp5VYi2ffEpULp1PtEUnUeyJYVSRspavXqFaiHIsFmYLj8SfqsyJuF/qdukAUqvQ49ke5N McHL9bQWHJxbSaT9O60rgp8xUPJ47drK+1ZxSkHAuUSRwmxltyiMAWFQxXlg5e6A2YA/eND9WzbC wVc23DkAe0G6qphIw5nUs9J9eHYNPRHODOP6lo4H7r1TY+5gMoegPJeQkgQBkDWccyXdWxT7sCHL DrRkVDrNCiYhvq0qdugdI395Rp0rkz99/0hYBGKrqPJJm/d8fPrrk7Lz/PDcDV7LE7hUlvKQhRo1 12QsYzpkjqTwWH5IV7rpWzqmLMud65Pu6S1Hoty4bJY7rex2HX0lU9yS9z8dBkxtXtY3WYLPcbk8 LlsIfLSJNAEQjuW7y3yPmz0NylmMfB47GA4k8EVYRW75kQKNpF3jiaH3U3oSyItgA2eJaGyFHbhj 4njCeG32LlpLrfyjI+WufVYVPxwXRqwKEEpY9qPKYLp1rw6k7xJMYa3vPAIAsi2eP4Q9v9S2vnBj 6DnO7wgbzXOR2xpHy2EpMudixsTX+YP6RHqWKjPA2qS28/C004eyt6TzKqwkw4aJo9SVQlinRhXR p1WwpMdE2BxCyD9tHAfcw26vMYak+1A9CJLCldf2w33e5ZRUR+LeyFjG8Fq+R19D3I5TLkxqYKi0 Bu/yuG3vTQWgPfOYBMXBp3Ljd0vncxnC5cLnkSplafvxSKmODABqFYg1dFr38HkRS64YUtf+Wpug 5xz/BJnJTCg6X4D648/EjcFO7Aex9vOLCokJKmfI7kQFs0vttFpmmBEiWP9rlisBnnlNQCA4W2kS dJmhFox2CSUaSAL6dvj39goMweOJSYeB0ox1hlDKPuKi6mGmee3U5uM7UcrUS3SUyIUZi6pPohtF bVDDjBQBtAMAoc8m0zr4xxZAUOz5lPVaECbbVz+RWq97Ym9xzU3E4QD3d6zE/TcRpuOIdqNgBN+u vWb1xBJZJo7GHml0YN++VjAdk3LWx7KpNTo1YMNE6yGnypiAYaS75iiZ2GTDd1sX6t2kj8Q9fScH iqF89wL3rcIa1nnkIJyBodwXVyRZWDu5IJvhWZAACjxF+/RsKAXQPZwSPK2lB3Tp25vBS0TV2wCA WF+oLodPpQH5GRV6b7x3Pv3HlsOrsJGSIV1sBnYckJIHdZAYcyOsAZYD8s/gCJEc9Xx3DJiaSErP TM0cioBSmz6aKb0aa0DeFm8Ofzw9NVipEizdV5l8tx/ZGiGdmhfg3mw3JwhTkZ3Fb5znbT7sFdDf xrtXBNskKaLMvAmMs+5bXX7MlvB2ooLwFVM6Duaoqx9LuAe5dghQXs5UD19zRhZi6nVjTJ2NcrAR LWXCalF5NA93hyAXDimbhFOwN+Tc90u5vG1QWRCqCY3rrVHCXL+x7iF45dW14tKy8h+2aonubChk GeMTituL3KMiuBu2XlXLLBFvxtHmIVqfjt+O1CRIsHifUdfS0kR+PNWCrFMtWW0IcICE3DujSWFF Jo9h5YpfDI1Pa2NSaE7kE7PZwWqHEMRMAgaxX181/KxFvVqA3Zg3R8PYkW9n/UNrsUoNXAVCmR74 xVl7xWravivfzwgGUJvTVZfgzQ/B7mkhesGw3hxbrEwerXWr8kaU21/KlnwSiA8u5M/LwcE0XhED z7bi4VquMUXuTZ0KASTlpGOfnCLVvKQXd/tMnfYPm4AAUnA9aQra+a1wxRJr0q1hHhIpZfFdvyX2 LB4Cet5+tC7FfKAY5u31T/DgZv93yEypJKSSRX2KROYdhi0fB45h8y/F1k0e+2kuplAgnPOBrLEf ZyHSmPkQugmMGpEea4x6VUfw/HguacGOGQqJtL4+WWsKeTAUIy8JtYOe1FmYN6kTvMswxQszpqmp piSn8nbZhZOgS0jqnTTPTAvSgSLSySn7/UoaTSDLentIMfXtv8+84E/+aMytaB2Hh3t6ysLW3HbN elUlotkwM7napnalRSdBUe7jC4sC8cCeyZiJJOP6vq0Rn+rKXSUJMf//Kx1w0/q2S1KtfUUxwybU MCUbAdzfUtoTwPUtA0Rz4DlOdZd06M/9HzpDi7TKOWOi5fVPtquy2hxwnDBuIeO1pGn3OHfobS2z /Pmc4lswQvEujWBSvViy8IVPub2U9H4jhIgvJDaI/q6zbLeqo3DjDbEQd7XdsTb6wAfVYxdujo1f MevwOIVwljPetVmHQ2v4lToCBiB8K0/oRubCBiAl4cX3flT6VIbYj8mdO86DDJYtC1Fh91ZW3Mgk kraxZnG7aiFdMn3e1FxrpCcHAXUU2BYrunKFbWGjGuyta60UvOyktOzNgjeVbz5/ZcrP4XuhE7Mp 6/vXB6QFzXO9Xyg995LvdcIEcZRdzHCVNrDtUyzYwq0XhPEpBOewOeZJ6zkILUVu8iC8R0Sd8I/n QMHfeV12VWQyi26n59CR3d4yGMSXl+7Qwvf4GS1j4mAgkfkMfEKjcjmLlZsK/3GYXBHb0duoJLcr uERqD7JvFjAVb6DM2UHAOuQgCfTFtJtT4FK9qZbM4sPrdO+EVBlMSASrbw63gRxV67V8kNTnmEAO /4gHbyXEb7gN7mio/5F2wpocioiCv3iPH6tURb7DJN66cPwHyBNeDGab+O3ytCCnJTiWy260B4fd LLTAfAjmrVwi7hcegraaVj0YPFr8OMzRGYJHm/BpFTWwrhaXLoPNCxGfcy2BpFS7/8BpSPdCaOiN JXxcKH9OF57+mRw7nVzDnMI7wm3D/VGj4Fu47tmHZ2yqdSbDUW2ey7PkpYVz43JGuE0vaSSTWEGc IF22q8YEPqeXnHgC++w7UyjgnZhkIj+6upYRh7p1zKeMTYSISEq3jld0bIDm75IpR7gDuOrTLuQJ QktD7LqiYnIkpWEB/5H3Np0ZxS4tvoTVCHAqB0gMDbtVcP+WWx3nSP9oN9LNcHrURAGYdeX/hzem E/M4sU0d0TMGxCBUPhXd6ZtZ6+hLIjRd0Nld7gS2bTPin+/7lE/KbMndokac1T3hsKJpx3ajhrbf BHYk+atnXj+9HEhEeIxJYBg8zH9uztpkpSUiUip2nNJASHLnRA5DWvXsFykvEEVDBj8gRMc9LS0N Bk4Fe3yMw3ENBTuR6Mnj35J7wxjEuuP1T+iUZV76e+9B8xIw4d8VIYRhhJi8K45kZfcYvzTqYxQX aSj9Uod5xUhffWiromfRwiMERmtg12K7tBCM/2R7dbpAWOt4GVSXYRg+l7K7pizDwgdMPqMg6L8I xgnyP2HAu+ArPZ4fdWzlMsOPQ6flubA83SHEPbYONmYSgb1wT6EcD9qn6D916GCZfje8z3DD37Nz ULPnqYCMEMHJWmAI9mYlhBgzePQhFaMCGrzMm8EfYxAnfLmzBnZMUnMfzfrnzrnGPjl13njEgh1v ddvS4ZilveLBg6UerF8dH7m9/mL7uxih7q4wafmln2Xf2TEjr+hnVzhphbxdsSDxxbA4MYMMZB3S 9MdXw4lEdylFQNAu/HczS0MFVYuITR4KDe0owzVRaX8GdxSaD2r0Umxk0jm450uaTbWQrViMh53u klB7irIP5ONSwYweDOS3HWufralV9gAuQgtqa1myEvTT6pwKYHgsShtlwPC18zygGxDcsdsB1bCc NeP/rY2m4lzQ/jGP+m30bVQdAVDM2kp/LSCylosZz5aFYqEXGVCzQ4zfUHAkv7AcU1URI30nPoAq H8LF2mKIDWwim8fU9BGmdazRz5fgwBrn4/kMmxRbE9kH8MhbZr1w68sl6IQwz6nuletTAFWo+/Qy cOm/U+YurpPqIQ+K4BnSsCY8xyLcuB+zQqkHCI1B0XTj9V8Iu6TMUhSn+30kRnsRcSzK04FNRxkX Azrn6ORnJp3KDICOOWEDmhjfLcH7b1In0MDkQXRM5U5Ds3OSoJh86iwJv26i4qoeFeUy2/pErB6M wj/VWsHdb+Xr9RbhH68SGvAZJSCl6em4kbsX4wMCrzi7vL9zX+1zr2NfLFYMxn4mduamkdU90gWk FKIGg06LvkFBHnaJLtYEQepqP5TM9Jn4IEIU6a4DMObxiba75tla2RESLEbT1ZzrA1GgKD+jZ82F Ae/dMxfsaL7Toojo7FwXgYgwUjRGpOGOvqdwEM6w45o9JTTDp0t/PFOGx8zdfbx9WVZJajt0lqXv GFc/b6o3SkCRV5JHyvaevsVOsv8A2o38gAIVZ91xw9xLN4HBW+6WNWcG0j0FvZw6ygW5AXWdkTqf NsB186QL90Ks7zW5xZsvUktzlZlus4TqzEktnyAklASqWHY13btXj6KoxpgYWvGt+/IY6/bak+Zn 1WQei4lavn7C2AAnJT1EHll6OAfwmVTJhNhOxreyGQTCVNCMXqDpMbeIohiCCJFebuDwjEw++vKJ RE+gsG+no5mZ68ILG7yUxGAYg52bLSV96KlIDeqz1ToNpBVMAZ5lyob06ZdOoYroeUVsdRdznafF JhUkRvdeAoXIeHzKKwW5ayoy2ho1KFfUkWUyamCGkmaj8vZnfXO04nNfFOYWUkYwp7luADwxxs+5 8UlB6Iht2tfH+bwDIXdyG0QeDgmTYkzyFSytF2DyWXG9IYmSDdwRzm92DEQVYuJiBv9ILBVPMS5w 9OJVQaMsB2Lq8dVj8bZfOVszvIWZOCK6LStF2x4PATke5Bazgltn/67ZY5sQdRVAqAxgGQiCF9iJ nqeYS7ntLAALbQWNnfEDj6asTFouPTNkoMb3QfiMy4bWY2vyug9hdY7ZeYkIpMvgH9o/wwHzN4Co HaSg2l6tG5gR7//bv+suqPlrXJG7452Hfk6/MedR0Qg7H9SoCoUVLzNvBOEgYqQpZTpKYdmQMk8J OR5ERjHox/ooCGRA41h4RMOGRZNHmGGnZxGAgGpT6EHOPQXiFnDC2mzEDUD8cm0KsoI8zWxrnjL3 l3NCUrx5e/j3Kpf4Dw1/tncLnyMw67hkNS96D4FrFDLDBnZ07f3ANS69ap57vzhR6kbk7iCELwji tnQe80wO71D5XOahbPicmARzF7tD5bVKAj+bm7/73PRam5EZ2jvpCKRn24iQKKgBS7WL/oXIVSVE HeTOyWpPBBKhJSEveljfmNikHm0PB/SdtKDSexllsa8YJQteloZEbztEDEunQaZAgHfv6kaPl1OM wQ96BZaapaZHT27pvT6T8NnscFgQbVH1FP2iIZ/swmzwBg/X6iPYwgl68O8gHeDsXp/vu9O1mB5N BrLIXcn71Rwz1rrsf8ds0dJYjgvIvflR9P+VMmPAOcAfYQfJxUgCD203LnQB2Bg3/hwsFkH5QFph DIM+G4C9qAZVGMVYMNNxfDV+raJRM50YnXOE7BUz+dKtSQOgCe2Rr2siCpJEgGCcCqw8JnyH+mvu IzVDePVdhWSfCfq9cuUfp+lCfrgGdOL6we3MU0i0/1/0Ov8zXZ9h+ROdMw3lIip6aIIOv4HrqA/M M5vz+XzOzdYhZS/JkVg2Y8CD9/b9TVMuHpznEebgi9p+4yHwNB/lHL80dhQZEqDTTeyGESy3XJJY DMTBb2EVh7kslY+PngWyKvhpF0vDpATx7RNQUII7j0HvkgWkSLKbJxPZWuPvi8bzyURLu06UYjz4 m6FWS5/00cpCPQ/HzDqjq0T7pGcZcukffDgOarpvYnM4CNDK2nS/Xnl4jJkOV1qHzncxwazySA3z DrYMIvwIubc+ur5AwbHzByGOrhQRIL/GsfI+upLAqwavkKI2lAz9fsKzLdtTyVBBCDujAGeJnxJi jkxFWBr+ewTZBxGUuNvvMXoV0Y8qZYafoJcrcWF2N3ghx4zraFJmZVOiGuuUTEjYRvbVS0XKnIQw VTdLZlhVGu9g/+8AV6QDioRQbNNmtM1xTWY0/NE4eQFifiJPrWbT93HSIhvXWFGhQUwv7DiGg/DX hIUvZDxjoK01q6DWpR2KeIZaKnJ6dZuLmlGINyXn6EIU3Wnnrgg2yhQ0+0PssyiV2/XNFkU4Ichh PR6HbZDjjxR6zOCxpGcs3zy0cYvBdInVr49Ew+H2KU1UaQVVXnGw0/iEiNSMVN7mJRvWJp4nGFgP Sk8yScSuyllrZeD2CpsCMEJUssRG6ndRExoumLykOyFN9Yz/8JWlyL7s2bXIi55wVF7Wd60/BUSC c1pDkdAgwJcTTPShKKbUqhmi+1QEz8tdwgtufckFHkz6qslEJupd/CXFK1ZBe+8Qo6yUuazJ9Mg9 VDtAZUJthRJzGsaHN9jUeSw6Y/lNhV5dRBslj9DOGmiAZUTsugCmVzZ/EchltE8SK5MV3xmldrun jWI0od4jzb/x9AnNmHu5rVV5xht90kB9ObR9uaXU/mbH4hNp1BXVRlKN+R9v0XV1mk1y7h4xxYLW Pu7WoJ7MaCR01TAOsciuyr+M9QEAAnIj8AVceVOq797kpBXJZFIXCWFH4MYp9K+WJcBvHIacEvii aNfAxYx3NuSszmMDY1N8Q+kwZ6533g4rbwXuJ9oPZ4Drk+IqhZOau2p6HPdaXuBHQNb67M5dtqiA 4zyVcdQRRWANeZ/9Ia+cvq5xL28dItSVpCa+r0TYHt9aotZscnqSLhQowMWwZ90t+UMdfLQtaTaf C90VASlQZDi4oRF/TeYKergJZKxP3A0aAsMelF/CmJwjT48TaNEdwnfoU8BLGRAGTlpOmFibqcoG gr1+wQLniUFc+uHlkLQ3wUVk69AWZw7mqiP5g9BasXc+clIKdH3FND35eMHq7GvWlJ9OKg1higQs GwWYn6n9VGbmg34TwDBxmUUPMMW1VmwVnVHWb+JUZQY0jdNCZcqyjS+cST0HH9vd7044Zo08C7wo ihq49jt1KPgGNhFf9TLSJu6elto3KB6XBmuBvo4pA9Ngx89WdKPam3wlk6DiohxO0U5nzxYeBLjm E/WKGQE3E22RRCiK2xRkKVR+BnRO+WDIbNj5e3KVKRC2Z97+mYN1wvSF/eLqbNEobCgqo8ypz2y7 2RCUho6UhRSKZWHS1iFQpfTedpM69+qe+VPn6nNOpEIs3NuYk6FD2EIzXblE5mtWqtjB7WokEW1O 675eJsxGxE0aq0pzMP8g03Kys3CzXDR40WBllZJdxZ/tJXJf0l0m4jnypwftoK4Kh3iLJFhSNkbc 10nQIdK4dAWQtDTWRV0P9zEg5O4ECP+YRbdD2uutqpiWbJNuUSnKiQitZ3yxmxpmy7qsPArgqRDP DRkRCqNDWe9ZIqiLRurLuUV5KTYwpSqkZOd1LfKIhFe9WryqmNtvEfaEZ5KQP6z0CgMn2mplvDYX NnZaVacsCy5zD6CUm5KaqrdQ4EMaslJwr8J0D7mM3Vf5n7FJm11yjNbeVEXL7H3sterR39jKZ2Hx TcAi4jW6tJDg48mjI9TWJFaO7NfwIsuxPIYiBe53uaFkppGQBr2OqQx96V/y+4tp0H54qh3J5LV8 vaDiDIWg1jphMWg7lMyh2j90yQEjYPQznZKe99r6y/cduDKJwHgGHwJhJCNOgwEqF8v67euS3/FD BWBildMUJD1wetbQvltp5KrTNTx86elkb8i73SOwxgJN0god+sRckEKlN0Srz8Ma8AaFlTHJA6IV 8ceiy03GNhczNLsa+hC3MzeIfCqSjY07cHU3o/DZ4//aLogwI3leff1MtuvKhl9AH/DHkU9Y6rN2 1YrNDP6Pr/kSIWY2OLe4G17idvbXAC16WPJ8YSNSiY04owg5ItHv/OURuGZDA5q73mVonM53WtB7 53XTTKOItj+r0GNxZKhp4zkW62XLSaKl1UqTp0/vFA4tSZmwp/ATp+dTlzuaeiX14d1JTbrbyOdy 9pRsH0gB7iioE+C8BfUEz+2aIaqpDTQhufMreuApCiVNeyz1Vzsu9ZkKByGutmdWK78LeyP/Tnco t6z1QHxdUDQkaknzc6kib8raJfYcXDCAgyski2wUxnN07WMjyoQXjIT2EVgM8vUkCyhm2apHQQVI /KsRt8YMz72fUbfHOxLyAjJ3qSf64nWu1MaijwZpJXiC17Boe6o79HBlREzL3Sy9MntpJKrDXI2c +O/hLB6h84QsC72TWxECMouPQAhdmQsgeuGIF43EQV5tqhhwl3hNMZUHFQJCt/p16IJCCaEB9Eyc bOFo3c26IVY3E3TkT/8l/eQ5US6TJbJ6jv3ErN19xdWRHcmld6LYgCoI2KgfOdqVcpsEanM2Q46K hh3gjv5XIaLju+3WZry/JIICGXF5eQzzOziM8/cG1p8TEqSM0Oxf+2XaMI1JfRcvRilqlwdDkL2E +z9FkQ4y4/JqZWFQibzOgYOSpqGh8+Tv+/tS8rtMqioKxNbjAdCo23/fq4bqeOckWxuSEW90RDTH XVb3Er3Nl0bOlVny35IfwbCE5YjABof3kKaLqQBQnX71lUSL/pK/v/oWNzqwA+Kmqi2a9cwkTQ0J j1fC9Giv+kqDTKQMov5R3r6sdvnhjx3t6MOmFn9Zi54P1eGgEhHKTvp0AmL0G0kiHQA+5Dsiwkqq oluRYSSI+DIOiqmRFUfdVGqMBqUslSYPkp1tLRpwkWPildFiZOAwEnYwJ7SEjtLX8B9rMMeshrTW F25o7SZBLI2KVysKERDipvWUkYpbAheY9lZxMq3JTLapJmZvAsa8TqvNYMU25mRQ9v5RTGAi3C/k JBk0a2lE2GXRv+XYA+ZajEWDWvJrCDSXqCNX0Plc/8rGPBhyOhXrEKp7ySqKVf20cp8CJa70fLbI 2WwxqzrXNFUQcDkBmUkj4kptA//bMAWiIW5JxwIgEnOTE6TbNM5uO+/yfV+KwDRNgwZspu6f4mUf JE3D5Gz2/H8pgyctb9vfACR+iqDEaBLCTc7VNsR5W2p278kbAI9ZSvAuI+qKfudlqT2lTv8w3gun IBeMfHfOq/5WIE8Gsu5juHnKw+kE0H4gCmo14QF2D2+ZVUG0BFZUUpjbAJFt6uCmqF68hsNJdXP3 vyQBxSAjGr3BtZVOiL7LIHuEyr6J8BUO/neCcntR93I3uGNf1l1ND4Cj9wvOQfxlr50PMvTvr0NQ 9CachvqRmS8v3CMdlw49Boxo+BG+X1HJtcQkpYPDfb44692kdVVUjaYyip9ESkUnT5UGEVkUkAEK aJ+TrGoXRLbbtNnF10amJYaohl2oVvDVsx2jx0EX96mo/lEdJxY7Ko+HIZLFiZMoJOaczK4Tdcty eNbt3m2tw603XNyz//qc+cTM4MFXgcjsX9bDT7CEOTTXWvDFdPp+ZYMCao+eOHlQkLxhU2t53uV7 eferAmwU6Im8Qptd+HaJgt7mqmOsPkvNTEgY9pwffyY8aB+oPD8tctgf5Tr9aVZjiA+2b6wG1y4s CAUB8hTgSA/qikco23s3ANNzdki2zwDv+wPJ1l/C8DFKa982Sv8vP/g2Is0sLRD9pMSgxZJ72hHc AYYWxH5QviRrunGeglE/iaC/15diP6EX0t79jVhVr5nblinyJYflb9PCUbmIQuTWLDmt09kdrRq8 /13aOin5BCeHflMYjUdEXpgu/rDiLWty3q+yvroYohxqaeaR3ysksqyREDsWGI/B4X/Sj6dwPjwv znzjcL4/Jdv2B9ivqLlpNMPITuCehMQCZVtxcH2R2//+kHLOOKqRBS8KaM3l/J0hynd75CmlJUdi GFXsCKWr7Bc0YM2iw0Jo2tGD2phx7deBTA+GmTXO1NsRsoeTLOKJknAVnckvMoYdTlM/s66YNG6K 3Zmi/Orvu8Zr0NauoZ64tF741pIAw1r/jeEs1bhMhT3loQGG94TBk31lzsjepDc+UtJyXx32LQM9 IzfszdyjfJzoHxRNOqOxZO41qBHwIXQukObGvoH6eWKa1+Eoc43p/6nAfxa2BWVvmt8nWt8IOdT3 GiSZYh/S1r8WbtmFd8wF5zglUPAmDajjLrzGblSUFq7FjOkiXZ5Ke0XyGlivwzzH6MfcbMx7PPi2 K9BzSjpXms8QnwdYmYmvH3MPQWSU0b56QkHbLYt+PQ03xkHGmwoDMUbj3zCrev3gWSnT8sFg/PWF Y4BCmPfw2Cz6Xs07a4wwHVnXSAmHgZ98fvAxuKIg1uOr25C2qtMugIk6Xia2eTGSkUUU3aV6wrlH ceavxoN2IxGjtnecwxchGLi5n9HNhHIApjVenbvYJLjtyW9KBaPMqktdZYCGwYJQyD4r8iUxauYb egx/mem5MZxT0nlFA3yKEc1yBeI0NNIcX1IFLVvojIx7enU0fi9BWmgOufEhuDurto7M4wG5ROza 6U7UuBkjCnMvxZYa+pn9BqVE0PhrsMTk9vT/wQ3eKlGT+DhdIL+O2MAneg/DQgEZ8K5lrwJ7srs8 aHJQ+hV1Ly3Cp62SSLeZrWXsxiQFgqcOz0xdrQsLxTUt77udi3eOztFUPfImmWQuqIBaAx/B776/ X0IlgUQq3UXRGCuyJb122xtao/lzOrHblGfLcQHW6p19bs0332TH36dHIrRU+Im2HTRFmVVdDTaD fsgno6m1cZ40bx6mTcdE2rndemKyn2pHnz5KukKNVBJZnaxCexQnSmVnJfALCyN5BRcwQ6gqld6L auRLWaAXSb7RxkMV/fjZChDDZtWJ7TEUQHEdPA1DlOhU28sgFX7155LGTpNQ4GOWKY07EpEU0PdE 2FbQla5msVFERomvaRfHI4HpK4OKXnqBXdp/ZsA1pCJN3brVjbrn4aoPDf9ZgUS8Z2CIHrHMu2qw eORN64V5RHagd3n33x4a3qovQHQRzlY2IwGuMYiO7T9s1v8C5g8JQmAAECcLmqtznzT1A1VEpt0Z EXZRCcm2wBpTNZXzJOkCSpsDD9GHDiwvlWztHjYHlarrXEGQsYaApLyqlS1KhONOhS2gF+8EJAq5 /gppAC5Vc9WA+9SaE+YfV1z2BGfDjGXjmTQz/0GY/l3qj7EKsHZYVeTP2/ABtFlkZ2R+YoNQu+Qf edvDJ6J+dCUZsiXH485mbA2Dlz3uuoDwmRANLJVFINHGxX+KOdj5YMOoqDCdLDZ+hJnbL5HGKK7f qiSJHShkmQEAvyd3rdeAd+j43hHCNsmvmA760RcANzt+CF+Mjp60A2+FPIo5NVWAltk5qz9bxAmq c6DQzHagk0wC8YhAdpDJy4WChNIrGmvivWSPm0p4IVbgTrE+K8v/QTvAu/EjK8fMZ4ylNqzN3Lxg TrDACabFL3KbkhAWsctaGZlQRdGztf7pY4PgIgwxN/ADWMz+bz/cjGJ9nRlyeUg2wAyLCCxynD7Z XPiB9WIx2GnnLfCbt/KLyRLfviUn6xyTlcL5bx8fDjXeo8iBEw7mQzrefJEUDFL1pWMil0iHA13f K9+U7uaBmYnTpN7KN7ETB9iFxwybIhGS9ezIWdOgsCzNpPn/GKxdtIiIz+koVGpz1EUtaWJtljAK jbT/z+XiYZx6yYAoeO938tF5X/CKi2q5S5vRpWAe7V7czAKKvTYc/MRK+XpG3pJ2L3+G6QvKJD5E ZTwHdtlHOH0umexFc/qrbB+2U8Iq6gkP+rgwRsG3g/semp8sFW8kh2WintsCqViXcSODQxfbLPrf JTUsuo0YjhqA8TGNJhRspgKpWa/h+J0bxluAo0LLWjf3fwNU37L96TITS5OH+Mt7HRFLgxAlmwna 4uOXqdmuvrM4jV+mknEMG6CybUPJCFQ0sjH0jjaOtqs54na/ixehFZq5CtkqOJPi9fNYW9x9e6v3 jQaPllc2NRXFwLj51ZLDDU1EDl/ssWA+12QQRlV8neizCMFDkDKjtILzSKxOhvk7vaK9NczkgPiR cdeJ6mSTnf9xl86XlYaVYX9HSsGKaWjJimXjy1IdUeU7r4uZD7xtMK2Lvpw9KlfWnO9jIam7oZS4 k5OC7pSZ9qusM65XjIPF/Glydqk+++wRx9DzvdYBa8BuDsAi1aCP3PPUZhXtCXVXClvRtSVbqzVh IzG2GYbU6T+0rMAazxVjgmjqS5BfgHaVv/NJlJxGQUwgQh7/ktkQpnwXsRl3FThwsfgp6LDfoQR+ Z565+X/B3Pl9/s0l2uOXX5dv72RAJTP0S7/iokUz3vPq1vkDUtNAnDOI/d42yhU9bzXYUpFQe/0d vxBj2Rr5p2l/7RqbWMF6Hnn2TSy5f7qjfV1PxHsuafugLUIdCWMXeAG7vFiTzvbdyM/Tms+2qBKQ Fl7sWhdyvdFHghBCMcUYc9QAqZhjZIPU9T4iVZqgexnrEc+gspAc/LNd0R/hFtU6qd8wXTRm8zKl bu59t+W7qKipyZrIBB5hbesNt22EDEgkbYOsS6/HBSXJWKxP679/SwKHZXr1O0EmO5lgJamOTW0+ vzF2ymuRpzYTZsI46KQghGF6OdDXF5/w+cgPbicVlQaxEuAaRcIm8Sr9tEoOybM4rA4hxg/TZObo xQDPWEFGh101MXZPdrdUbPeY+ZvVaV22ai24BZMH9HZsNLsvoqok7LAIZ6PTUgsFhd9ZYkXvHBOV KbP74kRbD0+/NRCw+SIV1aCxF1qagPtLOshCnyg6ujeL5t8qE8qOd3SPtzA8SU6Z/76Ft897Y42G Upl4JHvluP89d8XCd8RhVnG6Osnub7FaiS6aCRFkr8MZtlHOgTN8fnTHAnQOpyAgDyzAdVxkohhr 8Foy3QstEWB0Jxe+Ztx0qlKRzFIRcdoTHLudrlS5WTos0Qi6P6eOT+WRU6fA3l3NpavnYai3/OP4 wkdJ/SkhbY+M/qjtZ2fRAin+DLUIrb+O9UvjTKSqP+SvsEv/7Mt94KFGtisOoa+fa6DvHxG6HTsk R7M+XcEFNC3Jl+3htipkEmOkoi2WAjZGycyXuJXsm4RydNmtMBfJe0gqbSnRLoqsbCsEMxSKNdYZ BF8xwgVANSlWYY0qV8J9f2C+ggA1hUxp8M5D55h/Kqxh/H0HUBYMV7N2u+WpaJZNB4xl/DFijLjG luBwNHyF0iQ/o6Jg6IUFVFPBcBVoxAFT4/tIlBk6S7Ns0y/1lD2sOamavhCT8vRkk0JLeF7aAFTH bx7b+DGGgW9N3TOMKLKn/BdNVkke9Zx0npaRvG5vlEatIBQK7yqDL2BZf9HtVcan4xSKIk6/xvPO itvA79JhpkOXm9UDgylirEv1HLJVa6XEAaovikqIAytFBeVtCX5TDOD5z0LW+HwFMGY8gFE2TVHE 2WDk2kxHjCWCik6Ey1TgefhsMCuvY4fP6xZxxyZlJBSlG+3ePKONgWXh+Cz8SEZsTep5qwE3CxcT cZ8UXKlizEfBowumJnJ8q6t6291vGNRiNeinYbRUPfmRxi2JgQyWtGlaZgqUS4a7Rh2F7sukI+EU hlL/P3sz3SbWOQv3h0MHik09hW7593jUiyf33gZ9/Ygy2LReROFBqK3yiI7XRiP+6XFkdN+/MpgY VBgtZDiDrH9bU+IxBBgJwKwzWfjK2yDTi2mxqRfAML68F/qd+B/HSkkuF1OOp1373a4W6A6odd34 4Q44SPHJrTbB2wlS2tt14r/7bvt1Jblk5GBNjHY2I7/Oi9hbZEo5KBo5S0jpu2DJQnbeIoGPr+ol 0j7RtRfic29Y1MLxl2UMT/3e3mK8Xxof9a/KqjApg9KTabGiBchAPzAe0+231VZBL74YaN4u0JeZ bNbzFb/4FlTKXTc1ZWwXKFUJQwyOoQK+u9HWpFZkc/NGNZelhtfQVvMhTLio/y0bU+fKqlnVwH2M HkUDQiYn/d2gM1XNXe7lXDVHJwMadWnJxsyd8so8C4f0YoXFiUr5m6n8Lgll8PHnvIA8iMJqugrl hNuApVDS2FVesemfWfd8xXY3ANub+TfMOm5EoFBxtOgdp9kqD6tzUqZBCsBspsA/Oj/weqtCR/3f WiK/G6tcbwWyZbK8cA/uvI6yUf6lepTxvtsmRZf9nLndqtf0E/j1Y2SSuBa1Ba/umZTuIHfBJhOq 5CzEUXML7FNcCuJO8tMSRoiuS4KFKnvafFpc0+TSGdh4ch8ltvniQV8jf4m6R7Ns+eTzY/7iauO1 SoIx7yFWQccYHK/kiW3Zc5aQRLMmHrTIeVqV2FoShuadqyRxRwb80Abck5YEiiSAdoy2UNdlKEYW ek30HikJJkopa5IjoiaIjkfrNZMJUK8pgtHqpx+IVIzb3kPnjANaRLWlbr+SYKxEpL/IzbzVaL8N HnjZUXiMyaJEGw8xKWw1Sr348gTNKSqxrC0F3QgppmW8TVg6dKbov7HLln/iN/EYSIwNY8ptjyyj Kb5qopYFd6OSHBhn+jgzpBJBXo0mOU6JrAhCS5UJCm9KVhDa5rcLwSMt/beqbHbSzdhj5Va/kcuS GIQOr/x51qlYmQTI/v4klLPrTaLvGRzU9lpdEgVht+K1gpmUHIrXuo5Wd6b5Z8N4OZ3M4FDaUz+L dOyUSH2I9zx1vg0zvhC38Ov/MFrXAopx5ghMYWj56GCCiwLX1Zia62nVzcQN420hrc2a5KPTU48y IVcYViBvJXzbSldh7WbxsyexRSrSbJ06K/dMpuuhFbGbEaJWxZtcOlx92y9/l8oz6UFgcF0VYwZA e+t8+hKSabxtkUffCEV7v+0t86Zsqh9rEUcTT9ewzpjacHjkJ1ovQGZ/y2sSbm68JPw+Br0Wm2nq DTIfZoq/wpUdFl3aLN1QbF5HPvhwFKnwG3ublc+fcZ6fPs1coPaCkaIaGAUZ/ZuHpdHLsHK6aNMt J/oy020WnpdcxAtidBpDPSf17yx2/2ewhofk9IJfep/vZOAfE/SwwRkQHjQmTTpfKv7pyPte82fm 4YrRNVW2+P8wZsVDbP3LtQb19UPJOvpw93kJ0U70H6pWmbyGkr9xNwMQ3cQQD/fCnyxnEv49c6br 1z5JB94DET92rkPady5RZAEyi11xD9v8gzdcoW/z/aYpTRrPYlDc39pdQ5BqTuy5B3rN3i48q+uv Uv2hj6mGtKiVodhEh2whhEBZXeWnYIAfmfwjLb5JgDaTAM9Y0O8xfZJXS1hO8Y+K8IVUaIrDHVeO pmPR3FU1PIjXvqs0W0EoBA67GB2Aop9V159mAZTJrC20dVZyuY4PdwjQ73i3K37b8hAiLE9ITq/s oKPDu2k12Jah4FDpJ41hSdWi3bxsOyOIKVcvokuuIHcxTZ+7tPyCzEB4VVnRyHahcOIX9+bk8G5+ GQHP1MPy/x/zg7HbaNo4o4NBEckYBvjFkEy1McW+s0mVsT3kFFaixjk0lA/qVqkZvfi74u5IFyyf /zMgP1s+DpRs/RJk3i1wU8NXqbAsw1scY7DerCJjbkSUzPFnZzuxCndyHog7B3tSigb0yI8mLFZe n8HD9xkq5KaAeenE+0GYmusJm9fQU4P2I/F9HPKiCGtJUIzZC3rDj+/OJKIS8bqY6msRwtC1AeIG j/ZhLEqeL0GkA7+DPndShggHfMRCb7+D2WTp0NtkTTgl27q0XEPcz9txQyeR1/pvddxHRm1FkkQM LNG9BqOqItHI/ApkdFJp1oQ7NG0ppQApfnf54miP5juIdMdwtd9LyChC5tLD2jz5QG/5jyASLoo/ odnSVLwO0kPZFM+c18NpEZ91rGqB4OWlOhtQ4OO2E2CNADPVZUbhqhHPvGjGgyxeAs3Ss1+my32o Hf5+dD1yHIUF8q1xgr0jODGrbur9NinA/CEwb400y7FEwra20mQNmHVZ0yUEZQEjGpt6kfSyKEU/ UAZd7BAf6bhZKAQuH/PRGii4wnHDNAUjclSrZGaUArvMPhj02ezic+RDGHbd5HrV5ulXV7B/Okpc 7X5y2IJDpQSKympHCDROwT89QNvKTdxBR4SSjUndH4sVQzYTaNMtGhTU3BR9DdQgQ0LTHd01CpCQ m/f1KfzARUN9kx+2GygctdQ5otjXnzUG+aiFBLS6hX8Glxlz/rmFvaUVuiliMir//iFTQ83glgxv cad/rQyn4aH6zQCD7022W56Pz/7wb5cyvNiaPorbhpuG7fiK2dOKDEL1k5nSVB7hB06Uh+h0WqW7 SQF98+Zg2DRcSIRoPE0FBuDmqkzZP3j8c5tR940iIBeyHWMa80rnNya649p1nBNi5PrP/GZ4L7Iq BthlUPFLZ+lkTgOQnH9loI9sVjWlD5mte9BPnCPkAUX6Pl+1MVHo/SyKfmq8U+A4LdsA97846j2Q UlUSRgDXczb/lGLOOFa74ZtlVXanH4iXC0Ry4IiYB9egBXrROurH1bxgoxo0/TEk2lmM38fCgLRN nZzcCXI2H91ZddxYttEEh5l16oWY/r55vE3+LICL/nxtcxln0M8HLf5Ipkknd8BC3QSMIe/PLV1P m1OKmxN4vUHKtszZMbY30vK4z0OicJVRb/fKmGQLXQA49HMjKIa3b5le+YlbZkWTuwu9IYPb2yAy rM95vnrJ2vgzufWFnxnXXLY2Is3KS/xQ1QXZU1G2iGqWWOeA0HzncwzipzsnsNOjqFipD6uG06R3 Vkr7euACW37eIWzkGTR0bYDvNxyqvYvVMKj6VkZS7U5lZizfyIcJXA0vGXbPkDgY16UtWikJRVcj oG4FCGwtJxCngpOQ3mzpoaRt6V6IYwKvcaJIOcn8FWmjTUXQU21Of8tMD5tP9C7yBigVl9NYTbmK wFLE22gqvSoQ5dKqDhY4QY6s/mDFjIlfODiv/JxydHY5s5X5mh9KZ6x5iTklwsy1r3TeHKZ8jy+G PZnVY0ScZot27CuUYGXp0klrp39Ek0+UFsix24jFYqpfbg2WLmvn8fPm31VAhW/bWwvMJpVDAZaI MdfHbpcg1G72OxxC+kBhANYXzQ5pH1LLldamONSOufH8lk+dexyjYqSoDH2RS+o/nXhxoUgMLuaY 1EaJxBzcd6VcPGaHn99H5ofsk1w6qBh454SZubklW3knUF2y5MW+FkZlNdPZ+GrfJ/eyJpLrWl2T /S4MuaPshZDdqG4pU+Z1UKdcfhOD0VCU4IGC/RoN3me81SpvEUAuyOWIbgTDaFsShjgqJ+8Jnb5a u34GE4r3suCTMW8Wtuwwb+uaEgvpy4MNFRctgiVh9qak+QQAqnQxcrABp5pLpF/ySNo9sXywFLMb A7slMmQILpXlGOiWEdsRIBs/nctH9gxn40f2GrmHuJ2KYVxRY8+1qJodRyagzAyR0RCvb42yyRvl C85avld2HR/ZJYHAPwkWbA5oKlfHDpu5lGdnZUAw50PAHnPz0wFHuOHJLNJDHAA8VFzNDK/U+dv8 sFk3xoZiH8v3d8CAod1HBWnrdHVxTuL8vXAsLBY7VuVfmj9MCoL7PzvVghH7V7yx9YohOQi+SM8l A5r2EYs8PfC417OLij1dVvTFgsVbJmInRQp/5fPa2QAfttXpy15IdSTgd00wVdSPK5gWP8l9z4nh dPNwlHvOsWXLRatW85QEjxXEy8m9xWXTQhxKDBOZwDT2gXEIj1nf+kf+tb7oL8eOWTazgZfYaYTu Hf2nXt/Txd8hEqqldlbrK/YcUbOGEnjGBl8Mfi+hljX7V5AIEPFtLFWAeCQrQrOfrn9+qw4PzJbq LYfKluEG/VhH4ZwUrnlzgdPJlxTFFeAQWZGhr5LaAs7EbsC6KxfqOMewb7rUF/A8ltQq2cAUZIqa CF+y1sZui3gdijPPXdpBKc6le2H8Gg6gPVVGIqvUmyqE5Ui7PI1AKy4IVNNI6N3XW4K/tW5TxHwE QsKdR3Vl6F65LrGOEPwm0zv5JV3ROJLTNsaKy4v8IRpxPkux33BO6MUwryqlSX/lrsY/Fxv8e3qF +hVfF0C1AahqvLxOl6yVCbSl6vI1sWuKFn2nHEzATmiiKsjUoX5JD27WTpS9D6Kg3aLcoVnpNXir otgcIgsImMWp8dM/xjCPX7dpYXlbZuMXQJvPxTAGQ3b+4OSYb7ygTb04xAXMfFwG0F0Y6iDZlnHI UYrjrP2pU19kBCIVl8pHcHi0PHXmRUtqk2Yri7sOgAiTQRu8Zs/dgbynXMOsK/YiJhRIdDFeecL5 3cctvQYQfqSs2TSJ3V76LRLDF4kAuPnYGTRnSOYxmav+DhDC3LGtTUBQgE9WJSHz7OoePwLFjI6l U+A7wViCDk3dUVJXT2GXFLIpDS3GQKDMSV4Hdd2cn0Jrkgp92w0SUaTrhRw7mZ69r/vFifRKVk9z FlsyX3rqbvwUNDdJ32Nfzza6AvsbTBC/KWNl44XvQSrpqAmvNBI3EEbdZ/ZppUi2GBE13PWRaKT7 aiObgJqIluz9i9gw2pEEgDIGu6lqaOpUN/aWbJrGYP3KlDFNor1xMz+1T1kT9imc2FmfSWdAXsje faAR0ZMG5tjTfM28cnhUrk59NdLQln1qhCX3uyEGrANQx8gGyC/Cu7OzppxVPILUDFuDVrHf/JYa VAw3KBJmD2up8Yvt5MhtdzxeN9vkVmFxMrA/EkcoK2TonPUOU2178hoKLZNYS+qNtrP0ux+ZmbYH PKrgPQcOqpnGai7mCaTOTDyM134JjvkFGLYf+Me/1Pe5d1Bo0FfYjamsBEpBWOr0+8yCPrb+PHHU gvpzDZoNN558NG79LbHUJw+6N37Yt0YUtrUvACqnwe/MqGz3761vpscV25fxI4Wf+kKjC3mX1xxd 3S7UbdVBVrFZSn4v10GQ3NuCqpEepHrbqt68KZlup6TM4zprp6ZuCA6HKf0GgrhV5L0bl8WA9EtV XpfGh6L/v0DvIZN9TZASf1jEoUBBH7Vmx0guHeCdJGRMYRVxbT33IY1tT5csaEtt4idY0Zlu0bjI 7XQ5xnvDr9aEl3y5VTfkWdw++BZ9OUaFKXd91rbDCEIOLlJDjwwVvzSj0DueGnSdWkKTbrK5jfLR 1qzhdygMM/WiOJXzDvyC0N2XcZtVT1KBgubTGNGQDYHv1D1fdQi8QKqaibTkN1bmOJ7NvESPbGtb lLvNyq/Xdji3Bl/1DEx81JoG3XixMPAkVTXZzAdNugo2eDd3a0X71kS4L0AnoCV5B+CHOU4F+8FV ee445O5adBYnvTQYRVDGyAjo8wLbk2Jw4hjGliUkfodTL9w2ltnF6z4sg2j9Qlqf2VuIJqdZarMU FW9Royjy+/35RtDkVW6Hk+6y+g9ZXy0S3kHWt8dzNLHkpl0/lrd1D56DQ+onMq2hQVKqZc2CBQ2L qDftfl+klflm5PCyjofE0OFpYwt5Mer1OLtFCZx/wwZXIeRN/+B0zNYNtFJ6wcdV2lZjUz4LJTs7 KHzDxfP8RNYqh7AURTIy2ZtWhiW0MU/HLUnHeZMFnoQAi+bMG9P5xc/8RED3Z2XQgW105WpgI1CT y+BRdHI8Fmgy2MdUGX1K98Q/52/Hp0cYSspzyIYjDxhRNfPtnQF46CinFOdHUi2Rjn45ixKND6fo dG5SK1vg9ekjo3faOclh+zacNzXUvRV5cB1uRBjN9QPk+rmDteD2arv7rUJ/JAQuZDkvWSIBNDN0 IvSL7p71XCSK0vzbHlruvK5SKFdvOqtikFQvfiJ1aw/llHIAjWw4qn+QHaNmFvPHzNGFawrcepDE KcV3ROshriIvt9bRCqs2kgOCbcZh11FKrxdDr72nYQwtbEv50tqNlH0MpadhmnXdhXvskbI8Ogkt CYMFWyqvhwTm+VdrAq0lCwd3vY0yzVIhC+7AKgJmKhoFgoMx++EkjseDSp6LQsl2NiOsnkb95tWi DwhYiR6fEm+Xu3F5R2hg1hjPho3QO6KbpBcsMIgJccQnaJdIAAUEbswqCKeoc02SwmHEgpgRwp0T dO2qokYRuFzvwObkUQYDvTQJ20wvXrjlL8UfOuYILntLbPMPxYfH7xxYDEybrqxzHzxLOK13+/5i ieZNmGefVLWng7RBs3jQoY3KtePR8LENkUZqbB3GbJqbnuSdmvpxF6f/K5SeLRbM/v0Xwz4w4F62 pnX/iYAYSxl8oPN00FbM05rOFw9v7igVCdmv4iLnDHZobPPK0b8AYGzEYBT7HNhba3wrPYumaKcL urwGNdt7raGUKyZDvBKrx1siTjJzHIctMDQEkhlp/RxGeqtx/acM3YBDR2663FqRPupapvhsnKpR /HLRi+E0DADOZOKoAop6Lmsm5x6xAm9ppo6DLdkTNW9IVhVzTdnUyc1AK2aLjoNo/gdK9xo4rDt3 T0rFNxo3SvHs1HUdHxpqxJObNSAABs2hnLPoG7LlKpgwEi5pyx+SCt7LUyhtHzacMFBDQfnDAI7Z lJhisbNGgjWGv/Kk7ynicI+bwsTzoNnkJjM50FAqyFuc2K/gI8FHI4b1kmWsidy+9WDVYNexnJn4 D0RuVujCizZ1R2lkCm3deSIlRen1msigvydjJ82cUkrkCf21UiOtbCSsw8GYCbev+DbSYLdW6SZR +3nZIMayWMgMkGC9Zat/a4enjQihvWO4aUGN3EpnVPJuH81eH0C89tv03F1Dt5thV96pAzHJSTl3 lvRf6PUWjeUogO1QEIrMmxR0U4zGFp4q7tAHa+BOznFr1EmTh/TtlcCu4MxTWX37hYqUYvghdn2l nvLkD/eM1GHtUO3AsBm4pHKP9Ubn5kHpwwuR23Ec5jJFzTBraxR0HtVIR+29sjCMvv0U/yoJxzOZ g9F8BoWg6/DTB34hN5YQH/IU8EcH6M3rPjcLtRvz0nNVozIMx0fVnZ21bGACxAZrQ5Gc77hg4HMT 1fzxNKg9u0X+UFDk9OWOHQY0WPLiCCK+K1sGYrDQtZxhi2SkVas4wRx7Zt6XRFqvd+lF1JjBkMQV f14SeX5BLzuGWVcn1dchyt4o/sF/g3DViQJEltx+W+bMURKBQllOTfHZY3ISs+4RiPAcnQwNwgGC ObiaEcmAImlGEyo7oRZbeZyJLhxx7TLtip4odL/yyBdMxO2qH92dElPuWoeDMCyVRQuvYnBaUUj4 DJa3ub2FUO6hhgO89Q/1iE0M0VQ4Bz+q62VqFTGZ9aBXlay7WPlJS83BeHvrWbwcXNKTEF/XdUTl YuIWo6YbGO3cYv2E8evWrwcsNNEwVI0DZ8E4pSMU6ko81VXve7bi5bLKQ5ZI5/oJfRkG5HXWr1hM 9rVEPaoTp/GmxMMIsQuiLzC+zET5fUgIAvesmEamwQFJdgMusR8ZPoEQ/PCTk/ScV6At5NivZsbu IRQmcVJBRZJSnZHI8EWhaOeg87NDxp49UbPZdbReC6oxuA0DoeO49/EV7bQIvd6CSW1k72VluELz mF4OGMV0vN0OOs/d3j1S8xVJRq9Tjopd57XWQS6pC3LST0cJbnbApN/+UBva6bJE59z1PziAe9ms ysWTenK9xtKCF+AYwOliB+TLaeAOaacrYJWSqiEsR2RtbjhdyFVXBADlmHY3beQ6D179GPK/4q/P svI+BxKXEWQn091ADfDYJXtWPkfJmHJnLkhRnGgFPjaUGIbhDmTrUbX6s7D6OpVTz2iACMudn3od BErqRUU05NL0wjtWVOVNEjcH+udoTxNDbCmgNsG4odkuF8/irpi6M+n30ItQ7HH7Si7MmiWzjHN4 aVvq8rIBZw74R2yYdFNBo2E13MUsWyN0MLPcPak8/IdGKDJ/5OStMWUGf3YyVm5zK49PDQXEBC3N pUcDjoWIbkNZrWEKnjKE3QgdBTbzHopnCG8V0+u+2uO5F6RzwWTM/AT6VgHlx1DVCO+/aq0u1Y8x j+uafnwGi3QYFQMaNknikaitTmhfXa7vH73j2UeiRjEE3kBRyvXLlrxlm8NjowxqnLeF6zZsV3P0 BSTxwzRMSDsl1VJVTH/j+01SU1Q/VolKPubX+DZWL0C09GSX7Orv49gqGnqCYExJhgB8rpCbav/g WAGcNcu38I3t1xSEWx9eNVxgzQQXArTRcoMcV1J+EXjbQP7LOiU/3rJBfxSCaVX1BusXTWXK+2Ho bJcdQa0dhmJOMAso0eqhzb/3it8zgN2kwMx7jzkEpsSyXr/2+QOdqIOfLY1iE7+tkq8Wr5+M1v5e MHal+qAROCswQU79oPPv4MQDIrapgJwCczgtb9EGiKIkDqm95eadDhl5pVf5sUVd+JuY5QN9+oTH GOSbCamsgsuPbVr5HubO2UgrOQkdthBGrZD3EXWqI0E68SgLP5OOvuOe40EXcO4sajyMJRgmhrWd 3O2yPHtusbWnGqNXBiAmOUEFisdSgGaMW95zKUkUwSuNqIubJlWgxtZFfDUNcMhKSQb85A2I9fP6 uTWuN4xeR/BcL/p4CbWfSsI+/5w+NRt/suJUVDlda9FDKh8rXnnrkT8RpOxuRNgkCW+wrluAt1wj tnsq4liAp4OfszLiUZLsmwibMsBBe/nfKTHVUwgejYj6h1eUMLiXGJ+cxzMFTsuXA7EG04VnGY0y iKVubsuda5BY1ub6JFl1nzc5g3ljyC8hohR3KVEe5mZdGr4YQX5zpGJlGXHmCO62JfeXYs9PE8dz KPDpCo/57QOnI5qzZ6ibYE3mEaKtIplS9Wi/HHTu7yhBPCMcsljDPy3CeQktqxmQonYdLcIlgUmF 1GI0iCLxQobJQ7B6SEGjF07kVGRMM7nCOJUKmMLoaxQQIdUt3eTsD0zftf0cfp2wFT7qYsGaviHx VdsUjiHgOtG4+vKslbWRiC0kn2mVE289fyS+mOjUnH43Nz8ijDbNblbmUZnVmLomygpDc3AJ6ifB 2S6b+evJCGXVFRBWQZwqJCyUcCPDIVsDfdcrDqupjlFx+/rv0pHupLZ3CbLOp7Xl5+dJ6Zq1OHzU Rp2X1ZXWkLDW9iGqP6gk3adTooeOG8ZrLe0Dd6MaPLZZoPpBfYCel2/ymIvcdwPkDhauRyWOrFHz JRH+j4m5kGxlwnLmU5pK5/sxpsJAArFAKP3oFrzK1h65Iq6HkXuj0HHFV/3FxIe/VzE8hojjsBC1 z1zKQLseVc0Nh66GQ7JdOS6fwPmPVGWrFEKCe063KqiP6jTJ4QWyyFn20j1qmYtbi88ggEWlOBJ3 M8W4qPGhlWKBAXTA6h8PQJxaNfZBgLRWir06cnnx35OjXJYsXD7A5GmqZmSTjs5y0gFDBKCIgP9U 6U9kjX9EivPYEKztaAjw0PcPuZb9FWYmoS+ZaMbjMs8eBlm2++pOT5ZKq7CI/cXz8JcLA5CTyKlT VB4iPJSPOuYJtDHrXzT0NR6lenoDeh6VWj7gJ/yEzUWn/6+lG00CyzBEm8mzeKX7qMiSNTAFGw69 7hM/zKe+TbmMVVq3BQ4V9wGH497XRbL9MUZJaGZ3w7WTEmEHJJnJuS3ESJm9EFmiyH1EYvWMgX1p g0umI48VhaRaIu1bYHkS1mK57N94sayHPAZ7/fH0wMX/9zdQu2rPTo5joxoP54VDW9TkiCeUwkxE NvvP7MGQsjErBmx+9o6ziQ/RJFI3nQU5rOXNPxj7Kk2oOH0qzYkeZot3xAQUJLeZHcBOFuJf657e Oda+gD0MM+96Y/dWkxb4n7DPBClNVwoZSHvCVS/DA9cC7y0XZ0XO88wh0AryTanJsSbAAHTB8Ugm DMzHezHQQXAQ6SBUyOwM3DkMiMaz0/NqV2Uy/+FHK5wc+3hOJlc4lC0ojmgltofl7a78cuAzgEgc SFFAqseGg4Eq+nrLwcYrguqE8z344lR27ldX7iQPXc+/1KBHyjbmSn4pRtryePNePlf87TDx8pl9 yb4gCuPJLDRnxF5k4Zd4ooB1nJj8Q9Le02z+1r8KxM3RSwJIxg2l/kTThe2/EDwpe0MBwfwCBf6v WrGlA7Y9VlxkvEugBvMXjfB4xmAp5f5EbjtB8TKGl8jfObbv/UDjsdIU/jSKWwDShr1HhqmXLWjs gbWX3Tyl5/P3vh397fF9m50jTaDOmSnleFDKTIOf2cu2+uKBPRlZD+iRf48qnF0eb68JjxfXZAB3 f7jp68YCtO13pz8O+ZyDlXaenZG8fowoqccvH+7uro59n/zwHurQyHCzHOe7dJ9Ex0GxILAppI2U gWSzZddJ/1fm5luko4S5knZYRNRZOMDCDosnEgMj8j+7bd3GSYPMJ3o46woOlnbd/l8KLazoa8bQ o2a1oqfjck3uMVs3Pzn5gRxldjiqG/Wy16HCSg92LHdToKIAa+B1Oisbeht/b5D8aPAYrIQUd2W5 qyclNQqlmy23fONoBl4eVEh+3uTnpFiLkaOZL9BTyKPsU7N4Gs0KHTp9ecrqbJlMeQvYgEdOLXbn suEUk7hJaAxtGcrOqmGWUR312bphazp4C5eOeH1ZRdDvoYJFV8HY+M+bsS9efQjzsi36Pzlj9gbi jA9D9F1e4c/oK6JA6JZkbDeIynw66FLMeIrGcBN8qpfyFg6XVPlYt4lFU7KlnSFZGgLkDAp+axr6 ISpWrIc7vcpin4kGyXxC9nE8Vvmf5GGt2jmye5QMYxfDDTkX3qe/bExn7ZvMr/nDC7wPlWC+VgV9 Bg5doNW/Umj2yIBEmpQ/eylOan8mTAW+5OJ6ilWiZOJ1slg1kG5WCvYG0nqmVVtwZKNu96W23Vnz iQ0NjJRegweU0G4IITkY7jyZfnruCAM1FUUNBuSgRoDy6LZpOwoByKbzJqMa7o+JpHqkS1YdCuU0 ljeI4xtfldXdExwRnMhHhJRZnhymmoM9BA//wE8lH/0pk44u17zMSZb2kRrkP9PTsG2Hs1xDAPGQ e852fPWbpmaBTe1sgLkHKtTxLuXsWUS9Vbb/ujt6t0RBqfTVBCFKgh2KJnMp3DUVMwZJ3h2hlrzT imGKQ0SSPsQhV78pFghswrxF4ddj2SfGMeBAiICr9LYpBUheLcRha8NRF/bQeJ5rvqHSoKUaHOgi nqoqDNo+vXtQXd3uNYlbsMAhHTkxt13MpMtMulIM+N8eFQzkzbMa7bRharfa7tKkZtdBUN+vTBSP qVPcaDoTKa11D7JNGO80JKA0+8zNIJXt5N7DN59cry3M7LiNSmSKQI9GyF5EKlQf4p4/YrTR1szL jDL8jML0Oa4MRoUWBsFUSIDJiAMTvaBkUiSOs4FXq+PZaANcBMdRyQCXmi5TkRqlYmlioYb7an7H Q6+T4tGhBrMDNmE3+0OgLtD+Z015QMp7BQ/w7VdgiydF5gDNbEBznYJ3efl7sKLcHbYiHbW0AUfb tGnBEQ9aTO4WAjWiz8EBF2vVdPW87bBMdczMF6VRiuZ+aJ6uxv14xusyIPwZn1heI1dWD8B+9j7x vpQpMQLUtmKgaqkNK3fo6I9QI2CbaQEoVR/mhXzqPpKk5BMOzzrHJHyBagQNKDjww0CqOULZmgjH ZgiFI9oXfC6XlFGQWo4+UNSpKNvwczinuMWcZunHlgeMjEypxmr8STDk993zPgNpgnjzaa2kibE6 2otW4oxiYtTGVIBno1rkWNaI/8L61uwrBPGj33QAJlJlyWSTKozR8zAaxfHjn0bxzthAsYDIgUYX BWLmfYHe7BZYVF7XtYfRyTPKv3r16y2yskJ8aE33utPH6RhL5K6icmPJwE8rmMXuTXZA3uNNS2xk dKpNz0zuj5SR+ZaUIHdMDeUC/J6WS4v55r2Xw30dWRKeWvV94gzTPw6YyYhiWhW83H3r4KxXwlYN uZ+8HaoGGeKY8vsYlgQoBiXyMcaWjp7yukBUrHIbf5BhLkae+oGWOv0jOeHgJHUp//pGt+dl/vk6 vokRljvZBtI5nEiGo5Tnafnx7mI5viQZEl9jvgGjgznjcX+EjmqKluvNbMEQA0ZTfCN1lJHpmQ07 BPRBLXQr94mZ2pryEyxKfpFzxQasOfK0HzZUUrkf0iJ63SxHZT3GntVakkU77kffpL0nEqVsXcDN 4lRODmjfIUDTSbFqFuX3N5iNqab+LCO3S7aWQsp1A29DLFWKSC/GUcVNPRvx2O1/2xv5/GQQdNq/ LTBqNad1QKlYMlvDeX1J8OIRCKTSR4Y3dKlWnyA8DUONwDARQQRdvCl58jdW7eqvfJ2OaQapuLQu MSHylwIXLEE4ah/D0ARBmSveuUlVA+q75+CStKV9V/A1niWjy8+Fp7wnMyzYZVt3h87tLEINutnp 82rxO9k16NIPFwaD8lc9khLbI2GaB8kG2dpDS7Q2GPJwjYUKACsqxp/KhoapwEYuLnjwglf9k0jp hFUXbCJnphfrr1CBCim3l4NKcH2s5Lis3oxmzuMHYJwG1Tgyl+ttNuzohgeiqrlajknBM/h9jMKX lajSKq1ZaZVV3ndj59AYAST9LEZ0WzYKgecsmLeqpRV2o1Le4FRR+RIP5KbhEg1bShohY6+pf1cr DvFel1oRu8WQzBU5vonSdwyCQabdSv6G0va5Fi12EPEPLVuLziqkysYezlARzDiPwYw2t+pkDPTE TfZrnu3rKyLRXWuckep0AOMnrB3a0H4V5mJ/Wza+2bq/FKpO+c3rG27ClxDX1LQe9HcgOJMQlY2Y O2ICDFlQzK7AdmXh4XF3ORvSqUb5TE7QU/IVKl/oPPTqHBkwGBJ5YdtPzG7OdTrYI6Tcil/x1J0p oqrWqC4Xcl8C8Plt5jL4v2qP/0di7kVYg0UdPK2IFGIpMlTQro7pHK1EAmysHxT29CFbk/3rwDAF 15TB17Q3/g2Gz/eHZbP1LxeIb5RWYjeMeh+ytUUS3q0e4xCKC1J0ACe2A3DvJZEYGlIkUKrDLxFB OcdX2vo1dC4mIIlA4upom1msOuJ0ESMs2Sm4lEFVLI14hf7t/mgLQ4FTNco8IeqeIYzVTzipAQPU DqacJsnHuLPCXXBJZTVi6OwMnnTqT0Rq05Dj4u81MnFWgdarebKgipp9o1/AfJCdzXUPA4t53tTv Dk66MMu9fKWhMfpxSxiqSv57nLEY2faQvGICnOHCAcdJni4Xw70at2LdX+vf+OTVhRYV1TQFzG6n CCALWQjk0IbtQDOFezIWGB5/00Frrw1foRWayylwm0E46NjdC9N3HTx3Pz3pXIkUYiVLACyxcled DI7I/kS+FnXO27Png3vjXduztxQgvG+AYAU2Gn3czf++m2s63jHhg3DmiNr/nAHvpKGuBpv+/eky bKcNTyYswCCSvoh2Zg30clC/fW/RIqmElDHdhBTywMqbqqsCv3O2egw0dGDMtWKlYbrJU6NWE/UZ JUgBKR0b+m/95XSVNWyy8s8HuEiX9kAccvdVGCoEnVuyCGF9biYb2Uw5rW5bx5pcMqQyJ/iPM9dO EMD3NuTKa0wdRfd4LY4w975XrxEJcXgBw2zM3lBM9DPZzUzerOCAmBkBbcxtKnGucF4F8GbFH3BK P8k/pmOogJaVjeMTXTX5qszVoiml3iltzgQzj+ePE4eXS9p0Qbkbn1EYcAr9MR1xeonsZtNt8z9f tfornVLkrIOEvXJS/cOsC6I7N6OzJsk8IHalbyIaofn+eSrYT3th9v/qityqVoqhAGyfKCvCtkU7 lU4muwy9nxWc6saz75lbETFuTYDgPSlXaOvHLTsvz1i96XRigvVUG+U8eRoWz6BPHhi67CQSxVI8 5j0f3CxKFfdw0pJlMbXXok+0WIeaoWTDs2HrIGs6VK8fnClIsZsDE5tpRScE3iNgeyBNyM5qxLnc 6pC9jMH/V169wvbbJxY9xMqm7HjlGzuvNCae0xLuwmxMrKvwTp3dkiPGQfFjll+fonl5EWDshTbI e7fnTx7sJ+2WJ2rrqEcoTUHEq8v6GpOOZL/myU9d6cNQFckGMQI2ATiyI/xFZHXDzKJRMScsWPO0 E5/0unp/3apSKC2xMbg3drPgwh4hCsdNo563TdYEwFxC07wlI1QM7epnhx6s/rOKHGShKqZQCkWS fjhA9JrI7lDTv1pn+2BalFLi0JY+DtlIFZ/9mOaEoTWHfthvuM5nCR2i9r0O3EpbakGtvwYaU+ck 7vpj/q8g7fY4wTfmt9sEmp4kjAa6txWJbT1hD6T0zSh/3q9y19TlQ6iPfDkNloK4hCLErMdrSvt3 TnPeD0OPq6nVb8UVXcfKaOaoEUisD/Oju5GLhLgtshJiNynQhp0FUinkHn6zW62wyVrmX8dziPuh ZpRWvF5/8Ct7PExhPFQoKWRv8zuWFcITZbaTTmLX5Akjnv1d7mRYt6rwQfM45i3rWJZtgMa6Vu2i FZ4erilmW/3/tc4/CNMbSM+w2g/ZTL279nVgaKOQ4DWMihK4x5XbmV6O9BoHGlzI2ruBvdfl9q1e Qfz7FhyeihilhfULH5XmAbNTvuOo0sXMK6QWp14kNPEOl8VL51Ui2p1cosnlpmQBZr95HqCqgaI5 qgkzK/SNZgC0Ca4cR/oFZD3ZbL33b/ENg2oTsrgBEbziVTpMZH8rbBugC2ghCZBisw8nA5v5TKxC S/+wWKPtvNj5/mHaiJ1ZFc37n1g4xlLbG+Mj1ckCg31ssWDOCvqMyIrWeMb44a64bRWD0tCeTyOd Hdhf5hH54ayXBMTMrNUTxUUGb7ToCJM/M36sWZBLG89J4HcLKCow7gQ607bwMZGt5WaUuwAzUIwr G9GyWVY9SdGd0KEoVsjk7rnl+ieUhfEjFDmAgS4Gc5PPCIJkICwd64uGZeI8OU/XIO+NUOo4jcUd yOd4/ezRq4mRPPGWPOd3N2b636s0cBSFeBwr5pt8v/elmaNon28NMeBFvp/Sq51QN5BOXuYajG2k ljrxJRwxa/xXVu/P+vQEVxGLc14zrGuhbd4xK65GVP2D+HGBEdvbL/jqx5Xf4mMb0UPCllESQddk S3vEzzbJM3dBRnIKbL1p3nxedh8NtI/PsDsrJvVkQ/lLDzMNpoOfKzDWKvimKDhePCXwRhW0C7pa 0UfjRvWAV3EYEH+Rc63xHG4eoEzRkWrlh4XTHf0zlfCXf76eIGPAtJDi7al1HfJCbmkagFb6mzrF Vsa/5btOQ7RhKQ1e4YiP8SZCWiIVg5oDT4OZ2ADQnY/y249qadeLdxa3yN1N3a/OeiH2g32NeZXZ UPsY8zwk+fSygVUvnIj+N0zEjeaL24CwRPVBVAtCrJOXs9eKW6QWIzTTYoWA0vcZGl6+TvQy4uEH u7q5p95Cp6R03tlHJLhBPX8u03gUP34U206Wx/3GZvlihxYGv0g+1nmkkxkzCE71vRWR0nGvFZEI eTCiViaVIpCAX1EJMWzh79664qcQ0Gwwj1MQAl9fNWg+ViJdL+7ck93jKpdA8e++8vtUoK4WhvLe 25h3sHZG08aDsNwAdnnwYnvVLpu0ssJBjgJGZF7ASqj2fFowWoiDDGlfR9ZldvRBAXs2nNDOD51x bRWwJNKHvm38blIClEMHR5pv504GkaGgG4VSg/yLKGUqkp7yo2UYdo2aLBP5TKEkR8s6sbphkha3 QZtCSLUs22XfdQos/K8Kas6taxsS+90zZ9CRQRyOl5ORQASAmxQvFOMMQMI0KEkW9ng/BboHyCJB smyolVFdSDV0hrxmB4lavVsNReu+QdvzUBAg5sORuzShqxGNd3gxrz+qRLB/qatPJ/8pJlZKRUDR 39Eh9BXgUntC5e75T2cUTs3NCqBoHSQLA9mj9hSpFpy2uxwkDjueuRUPBU3DUigH+n8aYVDS4a2t JjfkbJuMcw7iYi343yXaZI2Vw8wsEmL02ADQVCVjyju3dOHknHkcJ8EL0O5Iisjb59DzCNASzs0M s8Nr7A+r6/hF9O0SUAPfYwfK1PopLFNSFNTreMU7uu3Iv3aYxh+/w4jIvZ6v+fH/IlmQ85O/Hy9u KsAc+jCeFVtlLSYluZ5kKq6g/nldefXeAgVjBLekekT0TxIy00tGiPzfcBUgR7MtdBLfBHzwy63J a8836L2oMIJATlY2yY+TIo+5tfdQRSfrp6A49FlpKRgMt8D4aBiKK09MUOtFz9iPkUC1+UR/p/7b r7AFs1aoPMDsxT46G9+/t0TN00FDEBGmHGTObal4q59ktWCnj/EAKVzBeIYSLhphi9/AW2/rff9E mbABrM/Htn+VUpqYgVrHbLwO6RNcNcw+fAbsznQNzau18v7ka1/4kT/CTBETFeIbdDVpzMvyjjMF I0th4bMN4qUUiE2wakcQdP32Uzkbj677geZtSOOtc5YD29JNBNYjYvmgLaOSLbjyDrHOG/E7NlDU QcdSkDu+kOt9CUzcG6Z/rliCp51+8JV5/wCD0NKQLZF5cDucnBIbkmJBlY8AAW01S+0IeMtVnx8y oE2hmp9yMNK2JTTiX7KUBkObVSqCNq+sbt22JqTLw8B7w2BhnHoKfWQf6eJ4VoB2aTpz7AlH82Bg Uu/fAuFv+8+pkdiy9Q2+koCbXQYljkmeFA8tjMQCQi/8FfxomxHwrylvnMvOyPbSeNV5Vhrvc5Y5 qPcF415OJOnjoRMwJb7d+xFDWhduNLRSyi5ypOZCBI+pap6XvJrUOHOCtUAzp3XUVkviPtxf9C4Z tuu4YOXpP7Yswd8YIEvQwCSRJyZxEoloEg53feOojSUJS/D3p6Ke+fIoUNAOB12jFxN/AfZL71Zp E3BfGfMokrPoNocL8gsfcM4bsHzhri4LgkOg632MF+Re5TrrOug8zBvVvnv30/3eD07SIpcj8VMc FNDPyKqCFs/sW1kSyHjo0yKtdcYN6mhN7RmYJfmZbxrR3QFU6VZYXGv6R2d7wN4MSD+KKWzbuq4z b2CIxua3KSZ1Q0VLRO8PDjIJkJY6lFA3p7f6RteLnImV+q0LAHDiUr3pBpoWzeMQHsDmwA95CpDV CQZNR7AELFWZ0CsI+A98pxe1w9lnBu2uAddA2HgLRwsdYLastRpNUNL3zI/YUTgajE7qc4PGGQw5 JmI+6AI01aJxB3N/5joiA3Np0f0FvpR2gRoC+yMZdyVyAHFAEprgMklYBDmCzOdrHS01oAKePCZu NDz7/H7+J1Oxesn02GpAOGUNtTVgaMFNLW5Jt0EUX+oRFLFTmD7JCaWgn/DLZCdudt5XWsAs45Gl Gt4zt6H03iXH5zmxYjN7cn6ApnCofdUJ8aSCnCZyxdKHAjJ5GAxCh7tL6CWZlJgZ4/oiwkh1Y76q 9HSr3CXNnX/qwqZiTm68alF2wK+u1H29bDjTQJEzaeKgUaT8jINyt8fRvlwpKHTzkhXMGL+jJ3K6 U/x7Ro/xBeER7rjr1We6N95WvFIEnlc4znWEYakSGpPxrdNXZufacEzgHWH2hAwTwApUhpghPD7/ CT7OrvEBynwa9N5a9qac8QACJos00knpAlmyOcg5ONzVRlRRGtGBLYsnmwAmnR3jW65nv2Bf6XxY qYAlKfDod92eO5poTu1xNAleyOOIXqXbbR6K8xBSIHzbBEl4IQ2wH//e3wrLjxT/hOgFlRchMPox EQe2UiBHotn7eH5odYM9oENDXZAvqLieQ6QKQWdg78RmQ7hZ8qFLSqUCf26fAlOsn6VRp3Qn0sMB +B9Y9+F5ebAC4ct7W3PmhfNep47U+tZFhx5AZwL/h+hJwEBgYhlbkDkp4uB066uDTNvwi8x64HXI jzKh/d0FTcRM9WmvkaaQPEHred9oCRUa/cAcHmBvOankbjDhfMalvLVKdkuzdXEVekqvCVJZwu8T UfsfNWh3o87nxhh+hJoG5dlswIIULDgRjPnIBTCS211nSgPSH93uA5lrXywyzc7MoRKuOy4Pi4Yw EZtzK/je0+46OHYvtBn7W9tEYH7NT8zRj8hkhFv28ajI58GnT+FUr8PtLPjqnxwPViRaGqvmx2uk OX/Lxm0oa5qj7nYE8vxzk66hrykkTXQRgw+45CdfKI5Q6ZJ+UnQZd0Pf0jE5gHGvmJfiUJ0Vct9w 3kXRE5QxLrJnlQhuEMYGVGB1QO5Of/1QDTRSAnvW2cp0m7RWhvgwIFa6/YVQFiUR7R3BflZQCVl8 k3xyEMrVUDDGSMyy7tOd+4G5Xs98tWqBQ3W37uL9D/AdmQFCEJeadmQ59lAFyxfQ2AzFDYahmdwA 3ZLCcm5afraZliXmQfM9oLzqK+X103y4w1x6YOTaH8qoaNDpo0PSiRMt21WrCAeN4cNOLjeEl/Zc nO8FMGi4meeAnRId4mp52q4qWFtJDPhbSS0jx8TQiaJ4GH3UMYthG4j2ekOJAJKmH7e7a/3RyfSr pdMSE8ViBtq0SwPjIyKMvUXbVcd0Ha0TWOFpJK6b74q/SvAlEEMYZbsWUbXBVQrMWwAb5s5tCxET 8hsrzzBCqG2rTW+YLVT2E7mx7+msTg7xlst8HlIlvoJTegfclYUGHx+Sq6FvzY9HCoxIXvx/+Qsu +sEFRy90o9YVQtUNHF3bv1hX/U9v4MREWSjQhSQKweI3nHdl9Ikq/eBy8fAUQuNJQNzWVi2pgNsZ G9MPqYavG6DDdRoG/GvQjToBjBMrohUH3SM91nNonr4/cMoTZDCPTglDs8GiD2HnjqB6N9SjUExT eB0ePnNkclP6WJwWrhhU35PWvreQVfrQd64fAFwewf0hc/u2gYkCWZm2cx30yfHfRvDtD3J3l9+B FGw5sgE/oh92RVkn64qWxQxypXFRMVFz4Wh8nSxtxQhIZLnGYjeipqIwlemHfuPJ/F1pWbs1n3ej jyqFKf/igIkGmxQlX4wf/upSWDVHwKNFVy24uYo43dVKTv1zTtZJAw80Sq8yoSgTuFzibr5EOE21 GabT4XTVOzLxsHZ6Etswew65+4h/mLOb1m1lVRGApdexOIGHb+D5bjLhvtyAbfZRg/r7geCU82OO AxC4ZfF+d0hA5o7yIQyKRJh3F5c1rRwHb6ChcsQHTq/ztuG/vVxklDtFyfe73y9EkPfOx+aTiIfi 4OK+8V8WvijGuFLGP3vxh5blcNvPdLTZLSJ4tgjtlZ28xNsaH2PMpEnZAIKowPIeh+hK/vCcH+ir nFqg8d+GlHmUEX8dj+Qq1wn9l4MACTIWZY9hMJsZN4wL31l530VC/gPHXaRULeCN6u2ZKN1oQDxn GenxNdxQyNVoHVBkAIEPkZsynzQAlPEwpk0ti/ZNB5/XKykzx8XGEakcq7K2Sb2qRKawx6B8bI68 uR6JaTiLld54bZeGiMwgW82cVbCT27EOHDdtvz3cgXDZ223Q4gfqUW44fp16ulV3v2Kgl/y59dxp ZWUU0IwfKXYbCnxwgo+kQNeE5Bgt4sG0OJpQyju2ECc37y8GWzBT1gWJ0baB2f9QFMhddp871fE0 EHrZHGqT+bo5tBgcPP6qMzf78s4ZNCmn/bp+oR/KxTdEXtiDvasvGOW8swvHfTy2qRfIUjFgv1n9 uyJpm70R+Tk3IIaJQ27ouSBVNiINsuqXFGMHPxZmjRg6XxSozSIAU/NjeR0CvTZzas67a7BMP852 Xh5up1YfbV6VWeHcANh46OShJmZD1XeDnm29QuDCeNXEaA2oT7+i7QQ9H82ayX0bqEaSsTX2vKmR 9U4/lQYdzrC9T8X2Ej1hOGGZZy09oBPpisPZVsPDavbbiHkAM1W/HeIRhOBIG8PHyWemorB2LqKr dGnF0ao/4sODgCUKACadDcSf04MdKknTuVNjyCU98C/8qTmmiQAz51Jfit/nJzjFzRHpl1REFzt7 s/uHdiHi9QFC38VDB1U6jSoO9kDoh7P/SOQfWsht139j1oewszDysS5RlXR98nczukQp+RYcIYYk QoXEtAMwGF4PibXU8CjmmTe2Sv7T/O401d2zTdCwN6kwBjlsh8HyHGehd4FdWoqKGf3owtVFtEFa kHWn3JxE3LAl2tVnLKkMrjAFsZzk2c+DhTsBr/lIwhG0wMAPnpVpzH498gEjFTazOg2ijluHIOzu PjtTcCZ4KYtDOoS/64DhbjPxT/3QtsXZQ8ZQAN65e3qzTTLRsnt09SA4CMO7E1pMOWxi4RT3WK9l YQYSSPmkcVaG49lisKyIZj05K4N0QhrPr14vpvQgclV0wsXNjdLmNme9pAf/W7ljJ4v9emyY9+7A nV9QRLWG0dnYSzers3hfc2FuPvMJkkDlWzXZh76+UC1UyUT+BiK4tnTUYIdoC2jOxVNxJTY1Y0y3 hk8qIs3fRVOR2TzjAYPzeTFxkdEbiIFA0zlbX3KnS0VpN0CJSV7JkLgnAzH5Xur8sixC6c4oSep9 fNFdymAZksHle+WLNJxERVqJ5aw5HkIKL1tVX7Wd/etdmE68mfotXsNB5/HbYO7Yz/kNX249U9Ni 3WePok4tuBunKdzbq9xR8Ms6HINt+9G/tYvmv7bXe5VCYLZQa1kdTbsYcrAcmf62iuPxcPwGPtgI VQx6vNujlZI/61+9kxBoF6cmWfhCs9kQhE70eh4WIk8Edg3DIOBs0dPOtq0MRKdp3wWyGEdbT7XY X2BEq5/roQODv88RD6QpTIMhAyNKWB6/KJFwxqgYTm5m6W5WRf+yh1+9seUDjjXfX981buGXZ1tN dXjLHAgX12geq+Ki2U/GDfzz/on6MtRRqtyfeBcJA9G9TDVWwJoPt2n4Ih+3QsQaOcbd5gBrxSdS UMt0ukwcr1IzFGcSfDY3+K9W18owjIKTqYmhup5XPmO43FifJVjPY7wLOc6xfPwqZgQvg0Ldbsyg KSTZOzNO2mAF0fsxPOGlMgTA2gfPMjLCGMm378OUZUpj1LbQIp/lW4pSfHzc5oM4ADHJiHIzAYDA oVd4N5KmCYj6aFkjmrvJ+4artJnCN8EGhfLm3CHchwJRt6uLeI/Gy4i9FXtEm/ChSmcpOCsmSKSy 4IDmqdltgax4atGI9erVM6P3703WpQ1S/zITo0ofBvc5TScqWiugXrTlPBIbwM7HGpP8211UMXgD EVnYHEtTC0N/7k/KWOj2FWmXFfYmOzgxCmg1dHWF3GrZdP+gsxhzI1K/9aIk5jDeosQZxHu/ARda e4usKUWCqRz7RvLraF6/B90OErNG4dqJZ79sD9Gr2+Jj0Kt6m8gaSLc0acEEpmK3F299hQKJtEpr oN4rLMr6rX9QA40CPfhZ0LP2YwCVbm1GKPCMfEPWZTYm26HW5s/R2n+xC7EI8Kn6lZk4CbMH8Pf+ WqsnmSlZHY0iI/qrYGULJwBGuP69ReFy5+zf+iSlESJZNB31K1VF9lvUqFpkvQjIJxuT217A29WZ aOD4ipdG4KJOLywEEwQJCiS1zKgQSF9LWNkM7CDTiYij6uudtEfCyyeVlOS6P/FFTsebFyi8XdES Z48fXZtsei9Ub5Ap2Vk4BbZDfghSV/K5e4nPH80tZ0hD8/c0YaB1Wklt8R3MtP13EyoiRh8XNjye ri3rJUArLVmIO1xNFgRsou/Ng9/gE3d6pMHLPvJ45iO/xOgfWo/lCzqGatm7l8eOt+8UzfA+iIod efbzg7huoVhlfWc3x7O7NGZRrbuZkx6dMtTJ/GqmUsKXgUQ3gJt8iw62zcgJm8xSgR1ter01OmS/ Ca8uGHZABkSqMNNafJlkDpefJcLkZPQl6Jl/PRk2xFT14Wn2e60cmKdE5AmRRr/HpPG7TMNZ257+ J4fbIbAGN7DgGHOoGotkU+j2Pg8EhlJjkvLT48XxG7leByLoOBnyZs2CqtJ0LEf3PVfNVDaka2pe Ta7Ojvvly8XG/VjiReR3QBny9KSvK+iGeTAiIhzFEKU8buNfXUNhjQXStV//3gniCtLCZPmLbtMr 9mkZTLQKhM8pwBRDDPF354ElsPxVDYc/up0GRitsCRwcfhKry7sXC3HpgKmeYdecNfCG61cNBTdH dnQz3/dBZopTeC9rCzvUQpC+Z12dpZ0lqZP5TwXWazLL2HK+CH/mvntSy7xFFjSZxcZznCSBjCBm 2tYNv+sA2qmkIhDTxiT+BzlFGmIXsnDNVYaIBUQmItMQsm8FaZSx4Pr4ccSqYhxgE5cx0P55W+gx x6a5CEJaJ1bnuyefdLUqijztLf8qBPZkXeG8FJvcJ2FOtZ+IIFLrR8utXplmvuSu793Labgt+neE GVni4Vrt+nvpubMJzIcd9qxaD3/xhRRMVZZUQ4I6+p3MiVxZ1uzQp35SSQfmJrAx5BLGXfdRtqW0 IUXr2RdKz70HUyO+hbLDnQAOduCyy7kccPLzmiF254ESYtIzp5+DhNaiB6wJGIl7RIFI/Q2XHRNM 1ZcLHae7XCU8QkUnqufyDOIZn7iYq1XBjkPhWxxW93OBHzETOaD85WGlA92zUVX0zQBBVVBftXdu 2e1Fctxp0tWjPslD68qwJvLED1UFYeDgbC8ALJgUq4gEfHCZCvZb4P/TB4YjJCipLwxEqm63cRWN zccEgv4f6pWfvAroU5YMawSdEZqZqYxW+lu8NlewHfJrBlLA6kjdjUCsoO/Fkr58utt7Yexv3jDy UWUUD1Ucw/ZJo2dvuExZ96rgdeTCgrGSqxKq5TLJQPdWQ+V11uDlPa7gxStTOoAYkhjzuU/25j6c sCbSj613m20Zqu1jJHN+HcvTWlhnZ9PzHqpCFAvNkAS68byT4Lq8KPwKpmWTsVZGgChqK5VgygmH GdBJwKNQAp7dqlG2l6x/5924e9n/Xqxd6d/65r7x3NXOIqt8trnoZQ+JFy1k6UsRJ8YWSHdr1Em2 pDPa29Cq/XDudotOmlfloD36CnnC3dm/hASZmgxIR4JMW1zoof2veXLOvrrZUSLxsUy+6jvywujt qRsfqsnhhDyqJ2qMFV0Hp35drVEBOHNlg7uxMtIt1XJ1LNCy/tHxBxkn3dvzp1x0WUBknM3Df39p PcnIf6hSaUlGThZ1y+Sgmdj4JSOLO7KzDx3E6cbaZCMB0aPD5xp8Ut6mawO4aUUpZVzcCtxniAaj IqfLYRxs5fsWR3nYpxKRFPy1ZkPgwNdXr8UIGJfQFveArzGbtvQpW55vx0I2cXha+FH9nPTuiu/0 sM2EUdsG9sc6esSd+E4+Iu7DL8QTS0ul0ydaxjDZsO0MGTG22cN4xT0R0DkQl19z0qmijZ2XPofW CgrhDNI+nYfCIMePJHs9V+Td9m61EZxYpwGs6UQUhN8JQZpq2QDavO+sr+aEHrgUuysu6/yGfPas Fj7h3Ctsa790CGD9Ri2T3J+ptSGUao1pFMBCtnpuVfR9Jk+PjG1ZGPGLG33E6h/dz6A3FXDyiJIE e3XttDD971++KGm7hci4gtOcXfvLGxPEGTmPcE5vb5Aemk2mY4SqDL95PSObpPlOD7ynZ7/X+ED8 OiFyn+vfEBS0dRsIqRvoxCsbuFU5AsN6TXg4DVB1GY/sTPyCra9Pwg1EVxF/S+bxT3KDolgYaO4u Ea5tY7Eb92fpvsnpEeVDuFTimm4bAPr7Q2QHRUY1fWF5cLBqwI0Xly7XaU9na0ma9W9VqXvOSvfT PLumracs/phbqucr6gUUOOHCeJ+KfydRIPh3T8zk4FkxeX7EmYA8uJCGfSmv5h+s5jMctrT6gxgk SCEIAIowFBXwub6Ccqp2CDO6icSpoHOKDp5dtsAYD8Oriqa45g1/AAwmy9cidfbuEgIrceuULRjE wIWy7uN+UoXTDnT5u/sE2iiJsC65lFEcJb5I/YXopios0XgUVXN7X5Vtq3tH1l7gYWh9+rOvP+le IIcccvCrNi9yLLCad8nZWNB6ctSz2QjbrKCd/RNoxRcPicLLBocJ42RAP1spjmF29K/5aeO5H0cr I+xSHnhVvh3NGTTd0Xry3qoWhTpmCMzT1zEPULuvb8Azfx4h8SERXAl9umoU2qu8XzpZIocNP7QA ohvMzeDc+s/r/OIG0cH5fir4rf6Wihipj4pRurqwLhxl0gGRcn9GDHb3FKRdpjqyv2TyyZ4tDAMT C6Fst21Zv/lo/7mQnHGRnjqq27+HU+aOcMhAqonIeJlcY/o/f4ngmyEyQe9Dp4wR/SNFen3VH8y/ 2bzh97eHupglw5CaCy+MoJ7eUw6T7EbFW2XhGdmd0YR7P8S6K8d2uZeeNYOwVXJd0arhIDDbEuaW /Hoomu8EM0RssPxLJqVBjJnjOGVPq79hrdsij6weJdvTSBwV57lDs/LsjuidFmfTY/kE1WFN6dra sC9aC78ggCwyBHrA1yPXRCkdGpZ8nan1A1Qf9Vk9j0La1+YT/o0+/sKpUIK2p82hxGgYwMBE1jcZ 678NGvlHniuYrj/EHXxuqXp+yvo2AmBCFVJr2wDQDoiisCEREEWdQepz4K1DCD14ODenaXYwkuDb aiHtmHnKqSfcVHLZ0SQuxwY+7I/QhFas1WWE1yod3wlP41jPe4SUxagTG/BuYXRYES6R4kyIgPQ2 Jk2yDZwrXwGHj4TAyrpxd8tdd6A66H0MCbTvJYEkiV6b8w+uz/nZOjkb5wc4nKE2WjYmFWnr3Z5E Ypxx2tHyr7+K57nyuMOMLrfsvEUcqaNm+CvM9uRJPDrhTfkAxv2ZK6Mp0gIvec8kmU/uWXKjHOJt J7jVoRYnXNpahbQcncxlI3R51BY9PQQNr5aQCSyq+ORcbbrjRq5I0lJx6AuVgxwwiknhh1V1zj7W 1mwaBKYq1PEVZYhLrQWaoGjagSwQonuoXmJS3Bz2eoBk0xPKsZQQqFbSoXoip7LBuGx8dI7U+p7W wmVgqHhBbgdO3WHIEaL0kmsIWJoGJXa2cQ+L4sV6GOs07CcYqBBLk8mW5cdlWx6k5xOHxD7iP5YH rvYJzSY1N95KKbbMryX0NkWw1u8xwLXxi8RzHQxmFYgbnIqFV6nux1BCPQTFyciO32O6nXIZMrMm jrTzj9ZClk1pUVFqvuI6UQf84ZuW9iMOT3B9R6jnQwib4HF0YbXuRPba/kmNVRV7d0v5Mj9EGoWX ihzGU2naC2MzwX58pGuXkk8s4Ly9QH6P5AQhujJoaPOVb+sMFYIznTKHc4E2Q8a0zRSTn9hA93tD dd/2MUugZmbtOWSqlaOSALAX8SGaeRGuUdXqaPfePgSx2kTWE2zsIG6HLA4Cx196aEgEDxjucu9Y CN8LfahMzvPuPuBxAgguju4jwtnU4GBA3cCOZBPgOPdSRzuRdUY9agxoi18FySGwRgItbVRnTAY0 k+6HZdoLCjzZxfeiixubthR02jWCWofHxcSj9mXawyb0GcTWRFZlnQCxU5OrYC17AMA6+Hd7PyT+ +HFVQUoT3y0KhCTmefptL1GUAECK8Vcy5/qlKbU5wEEY+27Vn52HFdZejhgWrRvRDY/xhmyPHyvc F4RQVqGbiYyDUlQehhXSlUXS4+MRRs7scwNAbKp3QsZctseLxvlvrEtNu+kzYGRRp4A91qgDpTy3 IoE83kD8lyTPYql/dqOMSm2dCouNCuUZWonZlHgDL6C8jwa7n9muRK1LxorlrWMWnqAPLBCb/3nR UWptOdjg4QMiNK1UIECC/+nyOhVCxNvNWuo8jUOaliW0V28E6OKw38sshKG34aRPXFM169X6pRDf 3iKdq/yMd1Vu+/WCwJ9jfgrk5g2aZ/iUM7Y8SgRmTC0EaMLyP3fpYUyy+FlUX8dE+gF/1dQSaxXV TmliYS9H6smOUfjfS0nE/k6PVyCxgpLs8yYmI8sdMIs09W/1e+qwGy953OQ4nQ+PDo+1bsoqMQA7 12lVQAmT7k+Rf44a1yeybs1bntkAG6YdvJRR4oPfjRO6pYFBcBN0D/XbCp51K1fhQfkBfcqHQGk4 j786xad6oPN7cjJdbq8TEJ6qwoKweUaHszEimh+QMy6L5BxeeBWX2/sZd9yjIcJthDlhceMiv8ZH BfYKv/qxFEDjggaM4E202vBnWLoV6A90bjsEMQc0s87L4wJ6TDYFB0yZD1rzYd0tzY2S5lI00rB8 pvMmGIadBQwdpZgr1r5QcotPNcuOL99YmVmmBEZ7IEQ17qmpN88QB6hL21uNp8hsrUqoST0yy4Ho JDwiZqFv+WKZgt31pIG1McgaV8E+RpGWa2bNRKLgFG4gEVtfX7SW7NuLg/KJPdwKtqcqIQGTl/5U NCRPoqRckRt9JFKa9T+l5XAncVtIWSEe78g4y1LJiAtMHCCUpso8pWpVCmmvatRNwc06RJkFafMo RdNZz/u1cXV0ICC4yzfCuQ/ttiWdv0uvzQCOXL8ag4+Sk20JosYo8T5uqm9cWY/2QyOdeRfhQoYw CZeLiKb98GhvqSfwNNLAcZB8bDh9uODiiIV/Oa80eIeGxrk9ehYxBgGkwWiAhLpJJcRIcak/FL8X s7WgYRgpsq8oWmJhADfdw5eIyBns+K7aEvBeuN/QW41SOAcar5IiCNrnJzzWn/kuBqCs7OZBPUrR qOaWy9A261QPe96ED3opuFD5zpXem4J6ZgANZsgSc3HgaBv0EyDIY66y20sRF4ch2Kizlui8d8Ga yBT8OYl1WzWuCuK/H2rwjW6dJRWtZf6myAaoy8TkhzbOqCuRdp+j5HAEJreu2XwOJ3S9dheKZ/CG L34ba2gp6DCcUKwE6hXPQAJmErbIZ91/onZ3G/CytjX+GZXwzFAY3gYStIHAAR86nUHWnOhLUvfx EMqj0kXLb/XEEuFzOStEL9FmjysnQTwsLicA1wqT3tvyXKYpoFBPO6EoFV192YE9SPYXzjqp5768 u2owos0sdo0g+pHJFQIyc5LYiNHWojoJu4o4EN7DU5VNWeK5UVnPrBDSTjZtTo1yMwYfc6nkJxGK 1h9EAME2ZTcKCkab6yfoLiWHJLYx/DoHHSe6s6L+1XhGN30cBs7amQhxSbPtjNXRwe9NzYDX3deO 0sWIFFswwgkM8Cc2n4aNIWyC75fh2N8AZ4eNPCz5If2Tp+VTL/w5+pNPCsz0FGFaFXXKw40bpbEo HXOiF54LG8eTMExupyJJyzaADCvHZIEXGgdII/zt9h5SjicipSBJdTSD3b/psvfcZ8NrtKvzi4z0 EOge/shFIErpfvxn/q/yg473vzb/exDkonnS05ZA9MiSxmzrDCC9o1zzh7yDcxXkMB/rlJQF4rFT Z/sWONejIwbsuMSgAhhKZW/lY+wGnPWj4Bh2mT7p76+jzOwU3O9LFvFD53NVXgEFbkxdDHc9da5D m0v/aTWPizfFE7KL1LkfJR2NlhZ/ODlCIKfqriiYdCkI9bZXPoBETTL8WVlyvL0ljlAu/HdUVFSe jtGfqK8G/IWvp7wi5naWmwKEocBpS/mv6Fb2HTXpawknbYOlqOhkayQLe93qWFXEmIQcjB/8maqe I077t0RO64e+7dQ9tUiPB8KuCIvp3Qks9sdgDSFx3XywyDDt6vvMjdupg14eNbQUdz0QikywISu/ QdlbM9hRdz1luJuAMBVDgM3zL0yinOtUeR+Au53utA27fqKu3BfJhQnYPMLKR9kUW6pCHususfSD 7a8FmR8xPWi8Uf3yR9B2kvD0rk59A4Y3ZLM98nFsk8dUjJKpcptlLrlkghBRTv3lvLNCuIAYzhLZ n1NZHqa5dOVogGbI1QHz45vBRv5ZVE6NfAjlUh/ZPeWex9PQXP5D8ZPmNPu1xrpNGZR0v0Ya+aGF 9STHH8OO+pR7uN7y7wnJFjqmSH62wiu4/urOHlg8A7wTkSbL3GRADkvj/1XsKo1v+ix/nWdgXKsy WCG9AZdTyh62/Q+rc0Zy23c6nxbIZb++pLvAY3gN4LIqKQ9EinZpS5hn1MA8GqGv9+eHM/HN5Xdq LxKZ2i3YsvsZvXmvEBuzqOB5f6JXq6tCGyUKIDrRrnfTzXVEV/4zyZO5eTDnFxXs/yxZ9cEaFmtw e+ClR1B6A9ixRWpj9c7FnT3FSjBnXGLO2fcqSAfH9EVAKHVTAbLYWYl6vI2IiOlXaxruDoJoKYua uUfVTDVpXIBlWtcA9rCr0177DE5yF/m/GpI3Xp7DyF5q62vBzoKNs8iRi91QHSjI8QKYJKxpD2sw zHPC7csfmHtkt2rzIL4JlBO02XvtKGelR3NchHBaVFVi7ZNoFV5yPX/rYUj00h1UEwjlXHVuxlj3 vieSnL7oP16Lzgudt3IBnQvWqFJmGnf+q68pi8sTcJ44DX3xL31BmP65bfq8CemQte0wS7BHpaOh 0EGOC/u+9cj/UGnioAhZ8DywLBFkaaZGSx5AU9IyUFDVjm8x2oEJ4jFnVrGH2K9YuLF/aWhFsWUw kEBmvAxA6bfybmhEEhMDJT1sFRcS95cstq+7t193ahed2DsFFJg4PV5hbcjk2YvscHOsP7r9EyoO SbnBEyWfPN4/Y4vXH53hgroAdUVifw+7BmkB5IeKUM+P24kIrBsp28MUgS53LgoAVfyV34/HjO78 6LtHJDPNAqsVHcvLO89I8mvQN2bDIJvl3RmzE2KuSRJQLFh4FPeuHwMbSCedBVKqO+JOECcBX1z2 kFh3RvI2IiUIccbWcQOzWjFc5TFMDeqtakRwVZgfYiYPurpBmwsOQ3wvfZZeDZta7XVH3fJaC4gB 9CjfD4pUldrjiXzPf2OGmXcVybLAGEFm5rVRJXM1dXvZbGGGCqIdMxvzROcmPa+mVuxLVZ/Jgl9X QA8jbqWCaVpQi1+of1q7VcVBFETcGXvBL2GcOMIbQ/GVI/hnvE5a8isNkPnpBQo3tWb7ykvvw3pW 04GQLEjjmxZ+xfytaMHJbQUQBGINweUdZbw/qVbfo9ldudAHf8VQdwgSzaH7trDShzxFXnhwhon/ Wh4cb/NuFuqjdhPfsMnz/xghBPZIlqFBLsrzi2Eg0WvR9h/93gSk50OEWKOSyIJ5Anf0GVNBE7Wj hoUZS/QyJurezBjzMJP/rZ8ORWudAXzzCBcKyVv46SYEH4G8HxgBl91Xt6nFydsznuTK6kOlC+vA Ba2vk0/EoT3SE7eQR+2e+xEzg3t/82Wv4FCiHAeM+9GKlTeSn3w4IlHI8EpjTCnezheZn7r9bfEz qa29Ol8q5BtbBnFIlDcsENwCRzUu3DZL91ozpEIZQ8eO+aLr5p0NCR6IFAjZ7Y9bDE9/0sK5sBC7 z4lZwV75e0Ccu3J1frgShGPzFQ0R8ZSubFIZHEHvOQbJEs+kxzcpuJ0IrZ1qnIs4TPE70f6uiPxW PRNPTyVBPBLjIKGtB7l/Z3iHlpInv/tLYpnar/eoX8XevYFyPgGMlEUvvc+k1LoIdSRTmoBvDwin aRcekrIlPOkIUUud4NzjjSHAdjGzGSl374HObktUvr/RT3UvG2DIv4XycwJfjhJ4Py7Dh1JUMu8k 1FTS1igim0bxrZ5+1oOIPbVxzfaFn4UNyhF7+CLCrYKBzAbhGDsHyAq2KveUHaxZ0GjH4eqk4zXU FTq/HUyGOoFeqP/+TuONZRFcll4YnOb5Nq9F26DkP5KGz4ZQwdQQskbEdQDdDmckr0Muf+A4NVvZ oV7R6U8WSP6KSYg+1603CdP/lcnLb2cwniPp1d3KN/j6qZyXigVymcDRCg8UuDgjL0naNsdk8i0B QiNhaDW8grPIqM5poH6AgtOUdxZ1HTbx6ewq1LREC+s0sB1lKCbeFlZr8xllduTDsDihbZcLJ0gl 2ikKDz1Dce0HM0lN9R3d92b3XhaEOAtr4whLC9iFVK2xQca8t+7oYuKkZi1MILpqdMa6o5+2auJK 8s0a3sTLCx4F+QimzaK4JlcngrzRprFoBnSgZ/B+mEOHp2TCCJ6+tcLJkcXZTh6pcWp9DHtcZf+3 6WEGTYYsd+ySuTlRKigfgXkfxh9whllVe6OfkPzR1xWljguFbF+5VesJZ1yRu/LD2Y/+EoSe2sQL vL5KFuc8eKvKlvnP1PBfVoY8MUvADY4uy9cIJHtQqfAbxc79dhLHXLDf8W/RRnq7EO9/w8PUtShi CL1lRn9v8Fi1o40bA3ZjlGeeGbOi4Xdp+j1NYQVj9MVCJQhvLZulIRXz/8GYXyLN/tVrANxv+KHo j7VEZmyJn9EQwA6wIW1axbi4qdMQWtz+ZcNwR+7gYIV2s6AXP3Z97ZtIebUHQGo/oVaFZE2TQ+81 kZxCpeAm219XPv6umYxL1Wi8RGCcFVVNVwj8WMtOThd5Ek7msDD1dDUJ8D8f+D2RT8XiHF/n+f8H Y2o73GMZEUsQZcmyfg6OPheFR07KF9bC1ys1SLYEGtBmyh8zxV/rGiUICY41POuRN1ruw09iPqQ/ N2OF0XGlX7hicGnRGVBD1S1FUBH05aDaG7PsgRFixT77bUDz/TOTFKkegFgGA5+W3VuLinhmH9eM STC8VC1GuxQ/OXd0zkBZ4EfgcqRS8JLcUh4XQVyYz3i7wAYWjCQ4XzrSOwnJIlz7xna6lhSsu5Iq vufSL0EpHD5kklS2zndb1IiC5tzOqFN9DqGZxwY8NvcoeMtm6+Z/KCOQeTiA68QCijpjRh41pj3U VHimwFl0qTZ6h30SqDBSmIZeq8EiKAmxbCbX2fDVnacZoaY1aRuELVIBsOwcCGZLdysewXIb0HmS so3Rc+OVdc5RHxuqYiLNzGEcEkq4cDeJX7hQN9iQOat4ShSfYaC4ZHIj9lqgi2xEQLHEDRDqXITr vnE3J0o6MPDnRZAHgwwZ/RmJmKzy13fR/hXSUbeoEOjdymS8tCW7nXWknCGzx8ZCEfsKt9LOVRF6 d3c5dorSmeHOIpBiSIs3bn1H/CF6I3S5CTMtDO3IzKGtuDR7ls7tVvBwW4OTdOASi1sZV80OquHS o6VGVIPczS7bFJkF7KD6B3Un+2XtrXDOfFg/CHe4VS9VkTjemaVlaM9XRXZkC/3hIzp4rWRojFWx WgHpc3gLlrwFIgeLyCOV66XRdgbOhPJN26OtHPbT4k7mGJIzZwsxtuuOxYDH7P1C6cEHvgzLDiYU sDFFAWwvb30inrez/rRKgFqjeydGQ2Fi94OL3caYXfPJWIV9XnEAgDP6u4RDi08/+HEG85vWZrUb 0dYqiAwh7cz1SNjBOMd+F0MTBXoY4Uz1HLsoOdbARK22oYxtJ0QS/xeC8ayFAiL3MMabqMfZta2J 1kUUPaT2tQbVZm2f/RJ2ayuAZ9lw8M/msNDrsVyEpAg9D5g2NR89WfPLEfLLXSIAkulXMt5uVymf YhGcDUQJM5mZgqp0BaZk/VpYXyYYKwkLlGeWnycTbT0zs+KXBAu7c7HZG7lNtQTpehE+Oexa1FlX UyuAJY5OCF2ZMXXOs13vf0AkKErqX4Z1csQZge4oZyznDmZNZ3GoC4cB7qCQcPjW5FP3MWdoG+Ju Nz3NF8sCCDDMAEMFlGd8E9HAWLdHwlQj9Ry1vgwl3FMf2/FLbSD6jQ42ClaExJsjKLDF7Cr/lbmm jiiGk6da/KLwRyeinDhHaJc9aOPxBvkHK1gK7U/r7rEooeUBuAd4Aqz8IrLRTM9Eq7iN/8ztGwwG HGcE8LvoWBrx98rjeQoLUAel8kZxaVIsj7Et7Qb93t3Ju5lArx4Y1OzVk9+UGCHMHgi9ORDE6hju 6usGng/Uxb08XOkR4Zw/SU+tjJx+G+Kp0/ZK4cPyaiJABAUHTu6J2Y6FPsE5kTE0fg2Z+fWT5XVI mqSd2AaYfF0wZXbxkEbiaUTK1zc1iMctrw/gIUf3IvVMKIdoULCL6gPBn1T+HBLtgEpk0mjBMTCA 4YIZqRqL8krOStZLef/RmBPPP1Q6PdApvJGLsyE5tDUR42+jCWtgDr5jiX3OTsjKSia0kD0gshrr M/puQSBlJ4DqmMTq8IVShCOmQXntsfiEGRTcKhHhmlYCw9gk9+PfAayRwHAN+AW9lRxMP8luiXtR +Pxra+C0YfBOvBy4qBp1gOHqAE13pd+gJPjxlA7V0Nesmu/61jQ1lBCtzm+BvXFyq1nWTKerafer 3BN8Hm5LlDrBo99Joz35TBPzjar0V3tOVQh7QnvVP9pVl3lS2IwzZDxwq6bepuXKtdIp/+NmjGHX jg1OwM2f8iUZlTP7gYcg+n4FpS0uVq/CRzZNi/D/mIQJyuzcdb+01EPLDGBRn+M5kXVm6WbnStMM 9rw7+PMNjih4Cn4M9bvjxkWgU2NFJk5RBqT9Xqk8wZYGHfNufQFbzRHT0OGL440uIJHvBAFUd5+/ jtziRRZrb2Gg0MzDtLoOdlkT+BuVXv9Q+Z9OvN46UdnXsUgfcDJTnIY/Qssxw0QbnJ7p6N43bd6S ThmD55tzNbq++ea30yaGxJpo7xGsTqMsahbk4LALFndZK9kgSfj6uPYpDJMllHVxUBokkLpAnn5j ZCkMH7/WJnzQ/sKdJuZV7iFHT3MCf38Mz1pCRM5xBggyJsGHeqR21/AleT2sZ1a4ektDAihKeac1 v2dCQX02OHN5Esbpd2pUnPSzhAAfivUFSUpMJfE+71HZi9sjGu5rMD0+UwveBMYlYbrbZD/YdIk2 sawWz4lwD9sv3Ftpp7hmTBlwqikyy0271BM6zQFdcgpUXSaadnbiJGqOiXNZlkV92JRbSkNcgDca NzWzKlvtDr7o0AOMnbc8cqQNneshymJf6gcX/VyXpbC1dqUAOOKuQJKH5+XeNoN0M4C0q5LVR9r6 e7g7z4VgpryqGsWzQBMPPmGXkGmiD5rV8/aFn3M0DRVuE9W68LqXmpxZjOLRdHt5lzWPMLlwPGsw dEli58F0VKC7JW3tzAuA8KslokWo3aIsvpDjs64mc0IKq/PgzrPh6IwK0SgJ2fZONGc2X2E5wJ9N wks7AGlzAX7JA/1bQPVQGebufOPVk/HE9gMoRF51PoO3Ur+eKpywhrmYile7JlwbFcin3Mpgcb+L SEMIZwQO40weL31ooJX6UXS8ffsce6HNoBiPTqgLsO1aosM+F+v6gsDdAYYCZpjE4KPmkJtGC9pG L3NJEObp2un4YT8TjaVG/xdOQiFrUn52c1x2Buo5/SfgB4txD7OUWNttyCqfIC4AN7deN/Rn9Owb T1Xl+OeIv2J3lcui/wu5C30GhVm/5OvDNKsamuIBmEvRJsmjHNHc2gZdN5+HI4CsjqMqTj+a3FCY r/h1Mk0TITMKyAbnXVuIgo58bE3woVvXXio+xKj9OR5D+WzsoHz8Ax7i8q7nKvYXDiUkvEk0Y/Bk QHRodTKcWjwWr5vMZFZtPFCl/bnLqKI0bsEAVuNznM/JEHvG7MGNuyH0M827VqMzLAhN4sE2gHZ6 06n001A4RGr8xFa7KEwgz0L6lUE4NnfVbPfgeC9btYfNAdNWtVoUv+aNd+fyeF4qVyKsH4ydjx81 lS9v9EWdvVmiCYe52dr72pdpa7QJSi7rkA/27drHMUidSoCRGhTnFF1C0tM25gTqaOW4k2vEGRB+ o5UedZx92G6yKwLx1WBGIQAgKAsjYVDEGebLX7/gIZyRH/4w+bVmgef7ExsPqvuHGTo7XQw2Tn2C OjiobIDSLUjX1sTWo/GF+d2gns4TzWq4DuUclY74nZBG5IRrwi47agQ2ppwQtI3H2ciH7LiNxt8M BrSo/W4Ncs2QeXGGk1t/Xm54V+/mgyTSbrV4XgTOwnSmarMnd7pElsxt2bolTPaV6UdD03lgS6no Yr95zNKRVxxLhtl5BQLn7lmEoj3VGwIaZOHGPd5xGOKviVrSJxneXeij60dZ2VKBPC0vAjEyykYs 3nn/QqBmpYGW5QVFciC2Wp8Y3ZH97nCza1zlYhTk/cTSRp6n6yzxsNHChjLoaP99TZs0nnEydhET umaQy4x5waKN0L8UwRHwtETxa+MoVvA770us5kIkr2L9JmWTYehucOLlON1IwCzQKHw/qhuQH11u jgQi2R70HTx3bDFypCRFCgKyXQCPRpmwAMFBrnjmLkRdOiTVSGHdZfBIJN4ETFmoyARET+bjfmyV iZd9kyqOdI2iBLFlaL3fWOjz5kfh4FST6Ka5VXUz5W+7dh30kQwntD2B9mbVZRFn3PeZl2dKJnAz j824/oFBG35uNBeO9sNtUAOMIzZ/UfHGju7PIDM9x+sz6kTTtzdAoQAaHrQyTkiP48rHmCBJVRba QA/f87O4E2N0Gnao7k0amPGb/QIN4r4ePDj+4Y5x9zsuldKemRvbCgAw4Ji2UL1l8YxLJu6uHtZY op4emm5Pura/39r6UhCged0i3AO26XqoJwEkgIURYe8c/MRcrQhget87yNV81rwgUDayr5h8Dg5B U83BoHI+Z44CqwLP7I2yP8IniF6rmvBPK3iCnpvUJ1lkVBL6756i7khEDX6a43K4+4r9ztXXSyUi JDXuTMb4cnTCUrJ6+ULCT7y4XDUAOa57GdDzIZIo/JiGinOE5aq24r74avmMdi6lju7Zp+kDqQHg 0JC01Ni+rtOZNKpYYs6zUvYEyNYuDgxnOdxlrPYPdTaDizfQfV8JQfwPrBUoVXhvbesG74DZ+yoN RPJh9ELfg76cL3kcdgfZoHL82qSx5tOMmDHAHXeQlQaCDd8Dibz2Cuir5KSmZXgtXgQN+OIL9hB6 9Z0JB+T1dLzT4baCyQ34nYXKzV+RopyUrk03k4VspK9q1jnoNnlRm0QsjRTcinOI5i+75xmgCHRz RAU5MF+eiNDltobBcL4NqAA1ysGquL3IdOB0Knnga8xVv2SiRfFJdZDqzCQviB+fjjHElEeSv+A5 b1cztBH/c4WjC2rAu+CP97vJizqawu3DDPpcT2Pa488DjiWP+KgnY5I16oUGNS3wCe/ndzrmszcv tAMcUwZgxahhU6kW5ZEN+FIJxKcgU9YcgRcGlJ3yE1uDW9wE75p0baNL0mQ/ZARYrkpv7nuNK14E 71tY/ECothhuf9HJsaiA0P0XetBHKUCdtxSvkhzzumyZkSRbMug6IPNilxBXSgbegAT6HKo0lQQN +yVDKgEs/dRpRnIuahS7AqwJiTSHPE3+93O5IqLWBSPJz+eiER60RL81T5C21gQB9Kwm0CA7Hw+v 7FgcyJP/K6OA/jg51bkNGAaLdY7Hn3WZt0BTLkT/Yl6Lo2ilDw1JX8a2uj0/D0uGj5TH3s9+Gm5c 8Od8SRGHnDGoxM5Wq4hRuM65OtfL7COtQEOzN2L0FKlafpCxF4ZbiHGSjf0zx255CxJJWFXEcTie oejBr+9YSgYnGXrFHjBdr4Q2OhY1J8MwAF2Jz4h+RI5fWzn4KmLcdaEQDjHfZrJtSLhILvHJv2Bx CaXSAdGV8Ys2UcBSNkREq4agcj5/7D6Vdr6MIuQU1lkG7H0S4Vo5ap1IRMjOtXS33ZEorPScJmKj IxgmNWkARUZFcaWgPFKkqCcahHwMgKLEbYrfNzjBHyJrzCsTVHU5hdmit9pizxR16LnKcy+/5put a4st80JI+KZhf8DgUMFq9Uu0FBurYP7XhHbMtmMMQgeaxS4AjFRoDHav4FuyRdD7OUkUryLtEycv e++c83djrzwJI57UMv3NDcmIVotPbBdjgvTWKlJo0kD5ApBh7RVLgkM3xOYEJ9xuiHzyDoKAyoIi O67Nc1j2PP7riOqgQeqfxl4TyWmpQOWhMvF/UDPneZ+3PVXMt/jcAJPLJJJeH7+uVcHSOAvxhtQD G+/CnZc0CpZW2e1Rsi8JKgROidObnSmapTCyPYImcqtWBNoABfgM/pK+YXCF77KhS6ioy1DZlRhy Scm+XQ79f3A81A0jEW+e4MIHrNfLRAK8horIE4IV5S53bbTcBGNe3GBQVaid2Vdzdbbsj7n+nRYR BL7mfz2pspOTk/o+Ux0ISk/NTLw0VFZVH0KfpSDECCfwPIz6/ol2nBjtT12eQHIikKcVZ3luqAoC UDynM69ZvTPw7hHucCH2WhdPUK5rw9ZFnv10Mz59ghJq8U1wVCc8pvj5x2cUXKevb0PPvXN9l1Rg u9bDpw7Tv0p+J5KYxS40IXFB2aVbYhuqjilwV8cj5g0BrdI0+LNNCCrLoRu5DKG3y5fPVDffR95x ZuIVhl2qROn9YrYwpBuBEQF588NlI5+kjLHLd7iEOS33KNFwjIfPiumHP5+RnUEk+WqfWHhUzVvR o8gRr+x6gDGjlrhVC2K3yiOO+VFNxnluEtz7YPgEdd/HxLlVrMUznDa2tvHPI6JzkhFO4mnwiBS8 EZWCZh+hr5YXYIU3l0T/yzSSsT/OdY0ZKk0QELIfKbFGXWk9DX2YwKi8cmC70zC8GcuZFK0d9gcu 2y7TL0quH5ZhUGyWIczdoF2AjnnQyNrbYk9KWcA6GGBoZR2akShvJvqLU+zRHysKvm7sst0d+8r/ wDGvgiNj+S7ADCwTIFYT0DsSjVATlsfcB5iuEZ8cwGaBcm+cn7TDHMwouYhmW3vV5EC07Ih3YKRm FUVk+v8dCAH5vOY4bG4gw3GLJa1BYaxpQ//84R7nlhxk694Y47Y4nsx25S0Da/FKeELny2A2h3kq 8MeYs52lolMZhcHREG/cmOxkFFVYgyve8LQkUmPJvWwOedk5H8wjBqvEB2PUt9mRyrYH7u84G5K4 jimInpypMW0KAsN1m58JhvQ3rijYkAZ1ur8ZtP9trCcv2Mvt9TuL56iPa1ePxIh14vir64gcFXN4 jPRwKoGFHxJDVEfGKKkxwjXN02hAm04q30ZZlsAlIsVwQlHp2JQrB8paSb/sl3xTSMajIsPR4oyI BP0/cVrV4LyHupkBX+gODBHtp88OyLIpTdWowjvXM776tONT9x5Tp/dnTakVgGsEirwQ7kHKKvsO pOQ2v9Cci9VF6s48NRO4W/uARcBqmVbRWs5vTS+5iGm0tEbxNG17vrLPq8Bnrs3aBC1Gh42YKDI+ w1ZIXtaqnJRf67fw8OPWDkj8TwXuhz+OapR1kV/7In5YyxK+5oUvxHqUm438ixsxNZezLA/w0lnj iilmLRVr6tj27abJ/DMH727deYS26Tzb8tMgehu3do2hqTwI+HUVkoDdx13BeVMHhVzra6VfgYtr 43beuG+Vb+GQQS3IMGWB4BWL9cAZN23YfdMThQ3iUxEvGHmPqqXpOG0KIC2PZVnhxpsZYNtEpB1F UlVQUPIZPTtM4PnqZyaJPxpWuMFfRa8ut0pNSlcidNNBU9cZ1KgHwC9rUexoMEsM0uWKlIEfQHeX 1ewBHhv9QRkSkiY6HbKnpGBtNNry6Px/jhfeaLYVV8YPbmNo1XFM/1ovaesBEeiIsTnql18FEjPS r6JEcIZzdkmTOz4hT0zcNzoAnVGMT9KNegNp+hmodeVzFh1XS4EcMHUqQoPREkkaVjdOQ+cfcBVi xCWkzdmlC9gtmCm1+c2DZLDkdssvrnfYYU3lm7Vd+/V8Upx0jqp686otMM5w/ZvxpNQJPj9CTUjl B+96S1vsdyEZQtUJkbOhtqOQrhE1dlRQdG6mMpD6S9vyhQi+uV5BSILlxsT5KTShwq9fpD2ViKmc kKeTjEmxgnLM60Z710uXd4ZWVjlK2r0YlbYXwXwSbPYnWjttyJid9nv6DP1t02vCzMP7d+dEjAa4 3m3LUfOr2mVqA1PfwbAWE8ku1ZEBy3I5v104Z9mSu1mBXWrgBpQnO0cp7sGs+lyUei+BROQpyIF5 yJSWglcBS1IyKQhAnRq4IcfILPbf63D7XKYjHr3qsp7mMlnDKeqksfpopub8NN/tJyhJvuxpJcdN u9WRSjVns1NktdCSVmdKr4qOnDAMF5Bo40mp3E0jHR5ILId6KZshCkAS6+oxpOe/G/8Mk0HvcjSB 2wrT7gSu5UmKHFW8OPd2cVMDGeK10vUd80vjhfku+rpn97rx4gJjRWazb7O8z26fFXSdg48pjrH+ jWFgBED/+cStwVTQz48maW1WrkyqG5ZCEFYeL7E25FiwhUmGywqAb3Uyd+5EzcPANmA76WlBFnrI YtaGGj6Il8z/sm1nNwJrQEiR+j7kMQFxzgQVf0SbIIHOMbhE1uW2XhUG/VOJb3h2j3gfkJcqRRAG FRXGeiVdnW+D50jBHUMnGTqf00VhlOk0QWwubiN0RdNobWhVYpldXhBr6BqrxMBCave4bz2cLH/l uk1IQYYLr5xktng0Z6kUGgMZOY7vkNWEYeBPIoHDPnCO1LeIh0MS6vckxh2rdRF80O3iIvQ0T5lH QrtlVwfhby54Z0z/zz1efYLm85dFllSuhd02eP/8uJY/VpN8HbP74h8qspiVjHOdXL4QuyBA3um1 CBrGS6cId9bLR1/xcWe51xjxVBeiJUMurdkL+x6JXe+ioDhTZOh/r6/TV3X25rTZ+r1ygLdVXyPr zEgma8prkSAegmHvvqWP/lKKF19JbfzQfl3KrfvI4X+jLfokBw1bxUSIEzBL9mPk2pWh1WSmS63T RyKmAYSzuZecilIhOns6rtNWO9unJNuzuPis8kSGYApnNPuVZpZYtPqb0sI3e+aocznabdlXgmLF 6SSwiMTHlNn6E1lq4wOJ+n6NGTVAVsM1tj7VbC5GW5UTasAh6TJsxWJtSWYMm2cFLpSkvE5GZc5V 3J+cheDD7bwijgBiq2hL5Gc1b+ww5Ctl22VR8mdnT76CPsKbSv1t5rj5HhI9nko7nBtJprI4Upj4 ZP7lUdOjaAj5uAbgq50T5Yi2aZW7ykBjlW/NP3ZVEbFYtGPnxtjwkzV6k6sE7SL/9cHrWRFiaFvX os9pAFYoNAl2PiecbwCGNKakIGrfVs2L+fR5fEdMjvKcVm/QkCX9+pVFT3IKMjPzo0CAzdTN5T44 79ymaCFuq5ctVh8PZvjwM6b+5+QGzWoGpZQ6kVv1Z90+ov5lSXDnkWTp9nff4QPkkfWiR+9/dKZq PzyCLx4sqOGsKpeIE78R4eGqHF9XJu2FTRYxYBR3VsSyC1wzU18xSOE9CrL/jjVtmwpNudH7h5mc yzTfxrEFO+lFxdzi4vHcdqTcOjY8DjcTj2NI/ZUKeIk6yomRWF3alfJr7f8jdK0V0UVjsTwNlJqe oxasB3SG0DDa614IOa3Rvh0enEw0OEGR33FM2AVPXI4p7n0CeJumWFTzxlBzox25l3X1hELwX87R wsSnH8KJ69+bBrR2vQcs1hiCyIlE2CMbYj3B3KrUjVa7EgHDxdO8G9eQdlgUjbPWojN70xxzRyER AH6vSCAVqM379SmGPVyUmEh9BdbLh0TaVKuFVLrKlicwyq+gpXGefcJI7c7C6miYvcf8d+EttZgD SJ3qsOXHT4erEC13hpEmICN7GlgbahW2I3uz89O9T10pBe1WAw10JeyFUIQSTwkAIqaMIeMvp1YP zaRnos4m+KVAEUXg8XRFjzQ+kCNhfI1LoS136xUYJCtU+O+YA+Wu9/VVKk0DpC+dvua8OniAJCPi p1DfavRR22YNBfmaMK3A7PGg5yuzwnHd9lPV2mQdaUQOllAXhZdTimkt2Yx/MdAGxjEfpbkSptg8 ckvT6uRbEzcXENptJ1qh1bKgoRztFZi+63yPbJ5itrmFskqT3s8lhIJYTQEohxRl8HMrYxNMkTga BWh05YxgrBjlf8Yms0twkCErNCErYDrmPzbRErJVHcIYRrfWXl+qLfUpcm7dgW+ybZD1Zj0R4/Tq KzS0ARECVsY1Y8dmTHpbTU9h7Dc1b5MnSM2UHqD5RuFpUl1sDuysC2EXzajxpOYT40ESXe60oeSo pmZZjmDW7S7zw2vDpDPI+KYy2gP7QlryMdo8mW2m4dqmpZjAGZ+RdJkoIZ1IqRgHj0aJ1XVzSeDP Gtib+kFlINXfebEN5OUHOaaDEEvA+RgL4Vnx2xM3eltW8dSQ4AAFO+BYlTU+4Kl4SceS6G80Z3aX k/drFQoldBO8f3M2/Qff/HbHSzA2erYxlOkz01mXIQ2LQQoahftFMT2BIyRhif2cxJkWVarkdKPi IiNAJum2dQPD3dMscUVYOGx0sUWWQwWzZ+yPWvRGttVLtrFZSKGv7fTzAg6jNgFdPo+Hvp8kTQ+n dJoC/3WUt4edB0Kq3ZaBuDFKWxbxEjwj4ktHbn8MTPo3fz9uvQ8aRF3kwcKsWDjX3o1WnLTPiTzM Cl4dqxp25JozQIUNPu9zoe6NYYmYt8oOo8Zlx9KmQ+bzagP2YsvKnCsNNYdLObxFJzY+T7DqxRqo lhau2kZbmZogNCLvHnlLE/SMtJRFSiTIFBWai7YIwxQLNLr/QG2LqTO0eQZi5k3xPvUminilyMF3 IAX6E0Obj+dkUCvTx9nIgPCKEZ8vyeXUYDxLyDRx4MHOuaMstz3B725LJyeRTr/nvy+0gozWyWkD gY5BzOXHDlpA3KgAeSel3cl3RRReEdgMBi4BmCUiomTRcFyqVnCQkbsPbuoOoop/0PJDcVOy2ajW 3nUZhf5FRYyOfOp+Axla9ROXe03z5LENYiitoLj/DjAJ6SmN4SRlUnTKaGpUz5kKfTr3m6jCrODq gi2JigrTBCh19Qd+UboXt0ZIeCq5/Qhpa8dd2xKqYmyI4BAMkeViwbNJgSeRsjiKjR4JZ768A0v+ VUfdctR+j5VDjKWhzFId7dVXsjdxHT42r1HPqflmndz/r1LpOnTzweinM/4di7Wkr+dZ+Rq3JMQI vrwmSPbK21lfLhAiwwJjpnd2ZTO61Fzo6griavE8WsjykGfjEt9hNR1XkJGlC8ja4LGjrWxoxpY5 sAqKtyVnlGiAi9Sn2a5F16omjk2WGCfeu3jg81TJin+U9rYTKfRJf2CLyvF+4AJahrK6XnL32D5Y xHg3ZfiqY0TFJQcZfF3FcmHXZ+NZNSvtgh40MTEUQ+y0jo6eZxftxgjWtJgNJafauvNCPpxJP0Sn aVUzloAypEAMQ9mJi2irwSeqZMDo3WCwgPoqC6qYPGXpxWzQbziM6BHOau0DwxOI2ZjWSnPqZ3SQ xFRUPg+sLXfLNwiGLkRpaRO/om69byp0dR9iIUKAJ0n9wQUn/5hfhZy37ne+3lvG/+kit51c3RJ3 rh2qAhzNQHwWGhsy63Ptsc90tgN7RFIcqvVjFRWEtfrK0QkFSx9ORmmpKtGJGWm7eExOPYdvJGfq 8zcXCS0WC/nBZJwYS4AnaqJP8ZMbENCfrqes56NrQotQKc68X+lUrYEQYic2bVV8QuWh0WWwytAY 3+lz9Uj5D5xrZA9RoAAubpFRzm2CI5+NWuUHjbJ7rEFb2JvoRzmxmftXQAGxBubYIQKxMjlUd3i4 jr4m/KKirs+sRQEIRQYxIPvy7NCPB8kAl+ArjTKiCzEcE5lw25c9z7dxgPAA4J1yXoz0jQpis1a4 dqau0rsldCz8VhwpV5C9vemzZXK9yH8DlTwB3K6c+pRFDsZf1PgqxWnrd5+eXqhyGEIFKcjhIB0G x+PM2DI4HIy471DoA3WH0q7uPyZkGFvFw5/Wz1QJL8mh/hJ0kix9XZXG/kEAPAVvOdTjWIoWdw2h W5hOXAjh5Pijq1j83uDZRC4flBxbPGSwkZlyQZeNJrvglpY0PRxk57KgRBVsvDEHYeuj0oWmFWVz WYj8NNVDE7+UiO2OLr8rujAqtGgzbO4d9WmyUsmguSkXMyLICbyDF3ZaVmiV2nlmfOPt1qjO2g27 KbUEZBv/fETkx/62BH7gV5mgVq1KotxpjqObU3jtlrD8z/Pia9NuZVdgYCqhSfwr6fukrWKJiHIm tOHMeFWpKl0AZzF3PiFVZ3i//+fr4btX3H64mmitmf6VIAx8CzIuNjH8we2FORUdfxEPpbEHZ96x pqS3Hr0XoPBYHK/lsWTwfQ2U5R0IYDs0YKGRyr1mzJdjLpMrmvIbZWJ2qrBluC8hvB2YVfu3i9Ap 2Lz2+Fzq9zsqyKQVre/6IzuBcL3HX2eI9wEprlx6cpt/LH/3IeUzTYbTzYggZIxx3x3bcLo7FSCf gmkC/hPp/CLVIKmHnndtEVfcGBXPAFIg3A+BSqO8boyxifU8hApfBwgOi+MT+cHPkPEZJ57kJ2+i Zr3hXSUNSmd/7Th5Vur0Jk//fYW6hXq6vs4hANRgo33Mn4rY0tDAG4Z3ELqDvqf/QsHkmadk5Yxq 5Zwuds59VxUyTYa8fP5vQRDxwo+rozBfOvmazdlvXSMrHQBS6VcCwO1Rd9nqR1JARcpTXztJN3V4 j1spZZv/JabHchaW4M4+jIAPqGqkLy/KaOXlLN0E0MJmg6hGhL57DxFTnukUQq7bdwplug9fOzTi Y6s8HDhsgSAadqI0rJTe/IZe63+8hya6mqxhm1wTXZmxBBeMH5kJ58BFRX4YEMQ8bsegfCrWQw5W WYajcMOirtW4JfAVoYQ68UVfQJN/f/G5Jkwq0KQx5RtkSzUCSkEIDrc0wwL3ZfZDw4yidJaZPMXP PEqvsh3MdZWtR3DH7BcE4TzdFJlrW/8LH7IJrn5DZbxtLZGCkdPwKeRg+CJXA8PuWIiLE9MC11fB ISIIIvE7d66i2cN+9OnspxY/j513doPXlspn3QRfthiW4rSIVWmz/X1ocOhmHPE5flUNwD7hQCnp G3ZjMKnhLfT+bdqpFWrBsVvRzXcQTQyPqTQf/4IlUlZ2uhnMeEQDQyNIUo3F6uTRnuwi0VF68RT5 YlPP8pvuWkbcU73r/GRPfk8TEmlVBFZSfhd9nMKfGyjm+DR3rA4P4bQEKbxV/6YyyWLPkF+oRWLT Da/I3n+DiboxgPIbKibWKSdvDvEWRCWVgj7VaYZOc066U1kWKuXN3iCilxXtBOy2Vk39niGorpHT ptJLlqpy031fONMPWEZvDHx5504i37cwTm5aH0fJqfGU1BsOMbRST64qJTwfqq/EEbGT91qRRgHc s9QTpmaviqjEK/xu+HW7epiDnVckheK3HOMZSd6v/KTgIZfyhGFq7tINzKvgWArOrHE4tDmg+Q0G 87T9WmFdhVYwBnR+6+mR224AeiN3TJezMyf6f4SPs4jsLb2jNZ92q/dgrkLhtS7GURXmw9fwD0EM Zf5lOlEDMWJ1TWd9ouvmovW2jcGj8I6Po0dDLDtyf1K7rzBP1L7SjaB0sX1xwhRFL0DUtgOVkghG 5dzpNLzaa8iMpkpFMRbpGJb35FZM1sYNMUvkO/tkiHkl4SjLbya9VP1RfW9SvLyZEkMo8OLyPStj UWa8gU8EFq9CV6VBiQBNXvYzsaCJ8Ex/eBqUuNXHyuKmbWkjpMo3i5SQyPHVv0SM694XROJUrWz4 FfCdqSMKWlQv+p0URQkoC2H51obUt7Y+xZXUOpJtB54fARJV4/nmuD5ry2Ec99WP6U0vBhTMNb9E J9hIksiSuadjhOOzlLq0efU5OMHpw5NzLc0y8i4AaVpoxREkxW2FlAj4UuZGKsavpBgdGXNxB1pp ZYUSEk0wz96MEGcShpJBiabt3ClxoIj0qC8BuzX7UuV5y66lPGiNJ73+npFWKeg0O7mO4MNLvG7u yT15s0/caDxpqNR2k9lrxYCniZ8dkyL9j+Bq+HB1Q79F+U0rfwODw2DZyB67Ud7MvejS3MGTld5K f2iyQrznAzOGSlnIL4FdhRvvcpk+M150V8hLZyr85J9b0wIoKwzy+Zug4pDRO+16w52KvM2p2HOZ tM2nU/52SJPdc09xgwY32b1oxTXgQlvXV9V8OPZ7VuAlATLNU7id/Nrqb3DMGdoMK6OcFJrS2lyi DMS4Sb4Eztyf3wOZCatr/Va2TjxUYQZs/r+xyzuyiSynBQMDjIWgO1sCL8+d/+pCa9VMpGe8fgP8 KUKc+d6IOyJgwiQhVOL4iSYZnSKShIVbSHlRHFGlUP1sjujxrRXpL0DTbBNsPzl9Hp6Kv8vt/oPR D4doRDC3c+P+VJ7GGvImIwfLh30tznY/5lJ6KwofxGuMsnAIWM0oFxZXeiA15QlTEBYSjAoQSecD v6uq3MWftzJORELrKpsuxhtrAulnyc0aSzMF7scoctlyHgA4r4WAawH8sKIlrOqmAR4DPsw87a69 l4vI593Pc8jNL0ER6sT5d1xShZ2yrWeu0iqI+bGWk8Xd0DQkOlD5/GscZAuRaKagoFVrAk0xa2wG D07tjlIPBZ2klK473ZQrMBvWLU10xY+utPfJPSl98jWDHHBqSRWpOVkFW2zyUiojIWscGjYkHJe1 UmGx1fFai5esGyvQ8bJnmuhXP6EeudwRWMA58+zMaZmlxrGJLoIEtR3MImOlgncFGZRySKW+wOI0 ztrRv/eShX4Y5t83Tim5ncqUeEtAM2JZo+M7BMFgL0D0BdF346OCF0vAHjv7V0YbKPit0ayLUWdC MSCEH8zOTyY6ZQTpacnPVND4nATSJki3LaovTh85Zd9Azm6RL1B7a/+IW/qOgFalYLXt3MIUgKSq /X00iqcStk82wjKYlRkwJPDAI92+R8mM+GJMLoiSxRM2FG6oclkAop+d177XcANczeERHjCUHRZK OX9KlcB7+Galr9Xss6waWY70CdvywqCp6hN/lNZKk5X1g5m76ZNltQpmCPJDPUYdsLVGk/UhRrUx xFWAQopiAM6aGZlpe6egfLf+60ydM5P7Ql8VNLWT0aKcmYQXXCHwoyb+wmMPb98gqWGUck1D2pNO ksju+obZR7K1zTJQDc07/KLpxpXxe3swzFrdQReKXgCUDGKettDzAaH8B1sLF5ZyJp9RghNRDLAG Lo5LgIZor4W8Pqc0NGnB6zJYvgF+2ahlRpnHZrM58P6cMzuduSeOyMqhgg2CkvtXln0N05Mc0HSA 5No3fWywactl1G5nHOYFVH4xHEzRQ+ZGnnnZ2pBSFNejLJE7sKs45ySj0BC3R7Mf2JQbdmTvD304 rr1a7FwNtVi5y1FzzlElO5QWeRzo6znJ3Cs4fmXa9MriRY70B5OrgyT62IaZhgbjMt71lGHU `protect end_protected
-- args: --std=08 --ieee=synopsys library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.configure.all; use work.constants.all; use work.functions.all; use work.dwire.all; entity ddata is generic( cache_sets : integer; cache_ways : integer; cache_words : integer ); port( reset : in std_logic; clock : in std_logic; data_i : in ddata_in_type; data_o : out ddata_out_type ); end ddata; architecture behavior of ddata is type data_type is array (0 to 2**cache_sets-1) of std_logic_vector((2**cache_words)*64-1 downto 0); signal data_array : data_type := (others => (others => '0')); signal rdata : std_logic_vector((2**cache_words)*64-1 downto 0) := (others => '0'); begin data_o.rdata <= rdata; process(clock) begin if rising_edge(clock) then if data_i.wen = '1' then data_array(data_i.waddr) <= data_i.wdata; end if; rdata <= data_array(data_i.raddr); end if; end process; end architecture;
-- Testbench for 74151 TTL multiplexor library IEEE; use IEEE.std_logic_1164.all; entity testbench is -- empty end testbench; architecture tb of testbench is -- DUT component component TTL74151 is port( pin1_d3: in std_logic; pin2_d2 : in std_logic; pin3_d1: in std_logic; pin4_d0: in std_logic; pin5_y : out std_logic; pin6_w : out std_logic; pin7_ng : in std_logic; pin9_c : in std_logic; pin10_b : in std_logic; pin11_a : in std_logic; pin12_d7 : in std_logic; pin13_d6 : in std_logic; pin14_d5 : in std_logic; pin15_d4 : in std_logic); end component; signal d3,d2,d1,d0,y,w,ng, c,b,a,d7,d6,d5,d4: std_logic; begin -- Connect DUT DUT: TTL74151 port map(d3,d2,d1,d0,y,w,ng,c,b,a,d7,d6,d5,d4); process begin d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= 'X'; b <= 'X'; a <= 'X'; ng <= '1'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail qA not cleared" severity error; assert (w = '1') report "Fail qB not cleared" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= '0'; c <= '0'; b <= '0'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= '1'; c <= '0'; b <= '0'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= '0'; d0 <= 'X'; c <= '0'; b <= '0'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= '1'; d0 <= 'X'; c <= '0'; b <= '0'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= '0'; d1 <= 'X'; d0 <= 'X'; c <= '0'; b <= '1'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= '1'; d1 <= 'X'; d0 <= 'X'; c <= '0'; b <= '1'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= '0'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '0'; b <= '1'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= '1'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '0'; b <= '1'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '0'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= '0'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '0'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= 'X'; d4 <= '1'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '0'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= '0'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '0'; a <= '1'; ng <= '0'; d7 <= 'X'; d6 <= 'X'; d5 <= '1'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '1'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= '0'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '1'; a <= '0'; ng <= '0'; d7 <= 'X'; d6 <= '1'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '1'; a <= '1'; ng <= '0'; d7 <= '0'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '0') report "Fail Y not cleared" severity error; assert (w = '1') report "Fail W not set" severity error; d3 <= 'X'; d2 <= 'X'; d1 <= 'X'; d0 <= 'X'; c <= '1'; b <= '1'; a <= '1'; ng <= '0'; d7 <= '1'; d6 <= 'X'; d5 <= 'X'; d4 <= 'X'; wait for 100 ns; assert (y = '1') report "Fail Y not cleared" severity error; assert (w = '0') report "Fail W not set" severity error; assert false report "Test done." severity note; wait; end process; end tb;
<filename>src/dp_ram.vhd<gh_stars>1-10 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -------------------------------------------------------------------------------- -- Dual port RAM -------------------------------------------------------------------------------- entity dp_ram is generic ( ELEMENTS : integer := 21760; ELEMENT_SIZE : integer := 16; RAM_TYPE : string := "block" ); port ( clk : in std_logic; aresetn : in std_logic; wr : in std_logic; wraddr : in integer range 0 to ELEMENTS-1; wrdata : in std_logic_vector(ELEMENT_SIZE-1 downto 0); rd : in std_logic; rdaddr : in integer range 0 to ELEMENTS-1; rddata : out std_logic_vector(ELEMENT_SIZE-1 downto 0) ); end dp_ram; architecture rtl of dp_ram is type ram_t is array (0 to ELEMENTS-1) of std_logic_vector(ELEMENT_SIZE-1 downto 0); signal ram : ram_t := (others => (others => '0')); attribute ram_style : string; attribute ram_style of ram : signal is RAM_TYPE; begin process (clk) begin if (rising_edge(clk)) then if (rd = '1') then rddata <= ram(rdaddr); end if; end if; end process; process (clk) begin if (rising_edge(clk)) then if (wr = '1') then ram(wraddr) <= wrdata; end if; end if; end process; end rtl;
-- How this works: -- The process is used whenever a particular signal changes. -- -- A signal could change when a new result is computed, and/or the ALU -- opcode changes. -- -- The circuit basically works with all the inputs connected to each math unit -- When the multiplexer matches an opcode, that channel is opened, and the result -- from whatever math unit is moved into the output line. -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; use ieee.std_logic_arith.all; entity al_unit is port ( A, B : in std_logic_vector(7 downto 0); OP : in std_logic_vector(3 downto 0); F : out std_logic_vector(7 downto 0) ); end al_unit; architecture behavioral of al_unit is -- The adder component adder port ( vec1, vec2 : in std_logic_vector(7 downto 0); out_vec : out std_logic_vector(7 downto 0); co : out std_logic ); end component; -- The subtractor component subtractor port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The left-shifter component lshift port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The right-shifter component rshift port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The 8-bit AND component and8 port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The 8-bit OR component or8 port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The 8-bit XOR component xor8 port ( A, B : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The 8-bit NOT component not8 port ( A : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The negate (2's complement) unit component negate port ( A : in std_logic_vector(7 downto 0); F : out std_logic_vector(7 downto 0) ); end component; -- The signals signal add_out : std_logic_vector(7 downto 0); signal subtract_out : std_logic_vector(7 downto 0); signal lshift_out : std_logic_vector(7 downto 0); signal rshift_out : std_logic_vector(7 downto 0); signal and_out : std_logic_vector(7 downto 0); signal or_out : std_logic_vector(7 downto 0); signal xor_out : std_logic_vector(7 downto 0); signal not_out : std_logic_vector(7 downto 0); signal negate_out : std_logic_vector(7 downto 0); signal inc_out : std_logic_vector(7 downto 0); signal dec_out : std_logic_vector(7 downto 0); -- Constants constant one : std_logic_vector(7 downto 0) := "00000001"; begin add : adder port map(vec1 => A, vec2 => B, out_vec => add_out, co => open); sub : subtractor port map(A => A, B => B, F => subtract_out); lsh : lshift port map(A => A, B => B, F => lshift_out); rsh : rshift port map(A => A, B => B, F => rshift_out); and8_0 : and8 port map(A => A, B => B, F => and_out); or8_0 : or8 port map(A => A, B => B, F => or_out); xor8_0 : xor8 port map(A => A, B => B, F => xor_out); not8_0 : not8 port map(A => A, F => not_out); neg : negate port map(A => A, F => negate_out); inc : adder port map(vec1 => A, vec2 => one, out_vec => inc_out, co => open); dec : subtractor port map(A => A, B => one, F => dec_out); process (add_out, subtract_out, lshift_out, rshift_out, and_out, or_out, xor_out, not_out, negate_out, inc_out, dec_out, OP) is begin case OP is when "0000" => F <= add_out; -- Add when "0001" => F <= subtract_out; -- Subtract when "0010" => F <= rshift_out; -- Right-shift when "0011" => F <= lshift_out; -- Left-shift when "0100" => F <= and_out; -- And when "0101" => F <= or_out; -- Or when "0110" => F <= xor_out; -- Xor when "0111" => F <= not_out; -- Not when "1000" => F <= negate_out; -- 2's complement when "1001" => F <= inc_out; -- Increment when "1010" => F <= dec_out; -- Decrement when others => F <= (others => 'X'); end case; end process; end behavioral;
<filename>src/subbytes.vhd<gh_stars>1-10 library project use project.aes.all; library ieee; use ieee.std_logic_1164.all; entity subbytes is port( inMat : in Matrix; outMat : out Matrix ); end entity subbytes; architecture behaviour of subbytes is component sbox is port( inByte : in Byte; outByte : out Byte ); end component sbox; begin: sbox_00: sbox port map( inByte => inMat(0)(0); outByte => outMat(0)(0); ); sbox_01: sbox port map( inByte => inMat(0)(1); outByte => outMat(0)(1); ); sbox_02: sbox port map( inByte => inMat(0)(2); outByte => outMat(0)(2); ); sbox_03: sbox port map( inByte => inMat(0)(3); outByte => outMat(0)(3); ); sbox_10: sbox port map( inByte => inMat(1)(0); outByte => outMat(1)(0); ); sbox_11: sbox port map( inByte => inMat(1)(1); outByte => outMat(1)(1); ); sbox_12: sbox port map( inByte => inMat(1)(2); outByte => outMat(1)(2); ); sbox_13: sbox port map( inByte => inMat(1)(3); outByte => outMat(1)(3); ); sbox_20: sbox port map( inByte => inMat(2)(0); outByte => outMat(2)(0); ); sbox_21: sbox port map( inByte => inMat(2)(1); outByte => outMat(2)(1); ); sbox_22: sbox port map( inByte => inMat(2)(2); outByte => outMat(2)(2); ); sbox_23: sbox port map( inByte => inMat(2)(3); outByte => outMat(2)(3); ); sbox_30: sbox port map( inByte => inMat(3)(0); outByte => outMat(3)(0); ); sbox_31: sbox port map( inByte => inMat(3)(1); outByte => outMat(3)(1); ); sbox_32: sbox port map( inByte => inMat(3)(2); outByte => outMat(3)(2); ); sbox_33: sbox port map( inByte => inMat(3)(3); outByte => outMat(3)(3); ); end behaviour;
------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: SLV array to AXI-Lite Master Bridge ------------------------------------------------------------------------------- -- This file is part of 'SLAC Firmware Standard Library'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'SLAC Firmware Standard Library', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library surf; use surf.StdRtlPkg.all; use surf.AxiLitePkg.all; entity SlvArraytoAxiLite is generic ( TPD_G : time := 1 ns; COMMON_CLK_G : boolean := false; -- Set true if axilClk = clk SIZE_G : positive := 1; ADDR_G : Slv32Array := (0 => x"00000000")); port ( -- SLV Array Interface clk : in sl; rst : in sl; input : in Slv32Array(SIZE_G-1 downto 0); -- AXI-Lite Master Interface axilClk : in sl; axilRst : in sl; axilReadMaster : out AxiLiteReadMasterType; axilReadSlave : in AxiLiteReadSlaveType; axilWriteMaster : out AxiLiteWriteMasterType; axilWriteSlave : in AxiLiteWriteSlaveType); end entity SlvArraytoAxiLite; architecture rtl of SlvArraytoAxiLite is type StateType is ( IDLE_S, WAIT_S); type RegType is record cnt : natural range 0 to SIZE_G-1; valid : slv(SIZE_G-1 downto 0); inSlv : Slv32Array(SIZE_G-1 downto 0); req : AxiLiteReqType; state : StateType; end record; constant REG_INIT_C : RegType := ( cnt => 0, valid => (others => '0'), inSlv => (others => (others => '0')), req => AXI_LITE_REQ_INIT_C, state => IDLE_S); signal r : RegType := REG_INIT_C; signal rin : RegType; signal inSlv : Slv32Array(SIZE_G-1 downto 0); signal ack : AxiLiteAckType; -- attribute dont_touch : string; -- attribute dont_touch of r : signal is "true"; begin GEN_VEC : for i in (SIZE_G-1) downto 0 generate SyncFifo : entity surf.SynchronizerFifo generic map ( TPD_G => TPD_G, COMMON_CLK_G => COMMON_CLK_G, DATA_WIDTH_G => 32) port map ( -- Write Ports (wr_clk domain) wr_clk => clk, din => input(i), -- Read Ports (rd_clk domain) rd_clk => axilClk, dout => inSlv(i)); end generate GEN_VEC; U_AxiLiteMaster : entity surf.AxiLiteMaster generic map ( TPD_G => TPD_G) port map ( req => r.req, ack => ack, axilClk => axilClk, axilRst => axilRst, axilWriteMaster => axilWriteMaster, axilWriteSlave => axilWriteSlave, axilReadMaster => axilReadMaster, axilReadSlave => axilReadSlave); comb : process (ack, axilRst, inSlv, r) is variable v : RegType; variable i : natural; begin -- Latch the current value v := r; -- Loop through the SLV array for i in (SIZE_G-1) downto 0 loop -- Check for changes in the bus if inSlv(i) /= r.inSlv(i) then -- Set the flag v.valid(i) := '1'; end if; end loop; -- Update the registered value v.inSlv := inSlv; -- State Machine case (r.state) is ---------------------------------------------------------------------- when IDLE_S => -- Check if transaction completed if (ack.done = '0') then -- Increment the counter if r.cnt = (SIZE_G-1) then v.cnt := 0; else v.cnt := r.cnt + 1; end if; -- Check the valid flag and transaction completed if (r.valid(r.cnt) = '1') then -- Reset the flag v.valid(r.cnt) := '0'; -- Setup the AXI-Lite Master request v.req.request := '1'; v.req.rnw := '0'; -- Write operation v.req.address := ADDR_G(r.cnt); v.req.wrData := r.inSlv(r.cnt); -- Next state v.state := WAIT_S; end if; end if; ---------------------------------------------------------------------- when WAIT_S => -- Wait for DONE to set if ack.done = '1' then -- Reset the flag v.req.request := '0'; -- Next state v.state := IDLE_S; end if; ---------------------------------------------------------------------- end case; -- Synchronous Reset if (axilRst = '1') then v := REG_INIT_C; end if; -- Register the variable for next clock cycle rin <= v; end process comb; seq : process (axilClk) is begin if (rising_edge(axilClk)) then r <= rin after TPD_G; end if; end process seq; end architecture rtl;
<reponame>vhdlf/cpu_basic library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pkg_bits.all; package pkg_cpu is -- registers type cpu_registers is array (15 downto 0) of word; -- states type cpu_state is ( st_halted, st_fetch0, st_fetch1, st_fetch2, st_fetch3, st_load0, st_load1, st_execute, st_store0, st_store1 ); -- opcodes constant OP_HALT: bits8 := x"00"; constant OP_LOAD: bits8 := x"10"; constant OP_STORE: bits8 := x"11"; constant OP_MOVI: bits8 := x"12"; constant OP_MOV: bits8 := x"13"; constant OP_CMP: bits8 := x"20"; constant OP_JMP: bits8 := x"21"; constant OP_JZ: bits8 := x"22"; constant OP_JNZ: bits8 := x"23"; constant OP_JB: bits8 := x"24"; constant OP_JBE: bits8 := x"25"; constant OP_JG: bits8 := x"26"; constant OP_JGE: bits8 := x"27"; constant OP_ADD: bits8 := x"30"; constant OP_ADC: bits8 := x"31"; constant OP_SUB: bits8 := x"32"; constant OP_SBB: bits8 := x"33"; constant OP_MUL: bits8 := x"34"; constant OP_IMUL: bits8 := x"35"; constant OP_DIV: bits8 := x"36"; constant OP_IDIV: bits8 := x"37"; constant OP_INC: bits8 := x"38"; constant OP_DEC: bits8 := x"39"; constant OP_AND: bits8 := x"40"; constant OP_OR: bits8 := x"41"; constant OP_NOT: bits8 := x"42"; constant OP_XOR: bits8 := x"43"; constant OP_SHL: bits8 := x"44"; constant OP_SHR: bits8 := x"45"; constant OP_ROL: bits8 := x"46"; constant OP_ROR: bits8 := x"47"; -- flags constant FL_CARRY: integer := 0; constant FL_ZERO: integer := 1; constant FL_SIGN: integer := 2; constant FL_OVERFLOW: integer := 3; -- output type cpu_output is record -- status state: bits4; ip: bits8; -- instruction op: bits8; rd: bits4; rs: bits4; end record cpu_output; -- internal type cpu_internal is record -- status state: cpu_state; regs: cpu_registers; flags: bits4; ip: word; -- instruction op: bits8; rd: bits4; rs: bits4; imm: word; -- memory addr: bits16; data: bits16; buff: word; wr: std_logic; end record cpu_internal; function flags_word(v: word1) return bits4; function flags_dword(v: dword1) return bits4; end package pkg_cpu; package body pkg_cpu is function flags_word(v: word1) return bits4 is variable f: bits4 := (others => '0'); begin f(FL_CARRY) := v(32); f(FL_SIGN) := v(31); if v(31 downto 0) = 0 then f(FL_ZERO) := '1'; end if; return f; end function flags_word; function flags_dword(v: dword1) return bits4 is variable f: bits4 := (others => '0'); begin f(FL_CARRY) := v(64); f(FL_SIGN) := v(63); if v(63 downto 0) = 0 then f(FL_ZERO) := '1'; end if; return f; end function flags_dword; end package body pkg_cpu;
<filename>xtea-cripto-core/rtl/pipeline_version/xtea_pipeline.vhd --! @file enc_xtea_pipeline.vhd --! @brief --! @author <NAME>, <EMAIL> --! @date 2016-08-10 ------------------------------------------------------------------------------- -- Libraries ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity ------------------------------------------------------------------------------- entity xtea_pipeline is generic ( ROUNDS : integer := 32 ); port ( rst : in std_logic; clk : in std_logic; key_i : in std_logic_vector(127 downto 0); start_i : in std_logic; done_o : out std_logic; mode_i : in std_logic; mode_o : out std_logic; data_i : in std_logic_vector(63 downto 0); data_o : out std_logic_vector(63 downto 0) ); end xtea_pipeline; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture xtea_pipeline of xtea_pipeline is ----------------------------------- -- Types ----------------------------------- type matrix_32 is array (0 to ROUNDS - 1) of std_logic_vector (31 downto 0); type matrix_64 is array (0 to ROUNDS - 1) of std_logic_vector (63 downto 0); ----------------------------------- -- Constants ----------------------------------- constant DELTA_C : std_logic_vector(31 downto 0) := x"9e3779b9"; constant SUM_C : std_logic_vector(63 downto 0) := conv_std_logic_vector((conv_integer(DELTA_C)*ROUNDS),64); ----------------------------------- -- Signal Declarations ----------------------------------- signal sum_pipe : matrix_32; signal sum_kernel : matrix_32; signal data_pipe : matrix_64; signal data_kernel : matrix_64; signal mode_pipe : std_logic_vector(ROUNDS - 1 downto 0); signal mode_kernel : std_logic_vector(ROUNDS - 1 downto 0); signal start_pipe : std_logic_vector(ROUNDS - 1 downto 0); signal start_kernel : std_logic_vector(ROUNDS - 1 downto 0); signal sum_reg : std_logic_vector (31 downto 0); signal xtea_input : std_logic_vector (63 downto 0); signal xtea_output : std_logic_vector (63 downto 0); signal mode_output : std_logic; begin ----------------------------------- -- Port Mappings ----------------------------------- dec_stages_gen : for i in 0 to ROUNDS generate first_stages : if i = 0 generate dec_stage_i: entity work.pipeline_stage port map( clk => clk, rst => rst, start_i => start_i, start_o => start_pipe(i), sum_i => sum_reg, sum_o => sum_pipe(i), mode_i => mode_i, mode_o => mode_pipe(i), data_i => xtea_input, data_o => data_pipe(i) ); end generate first_stages; last_stages : if i = ROUNDS generate dec_stage_i: entity work.pipeline_stage port map( clk => clk, rst => rst, start_i => start_kernel(i-1), start_o => done_o, sum_i => sum_kernel(i-1), sum_o => open, mode_i => mode_kernel(i-1), mode_o => mode_output, data_i => data_kernel(i-1), data_o => xtea_output ); end generate last_stages; other_stages : if i > 0 and i < ROUNDS generate dec_stage_i: entity work.pipeline_stage port map( clk => clk, rst => rst, start_i => start_kernel(i-1), start_o => start_pipe(i), sum_i => sum_kernel(i-1), sum_o => sum_pipe(i), mode_i => mode_kernel(i-1), mode_o => mode_pipe(i), data_i => data_kernel(i-1), data_o => data_pipe(i) ); end generate other_stages; end generate dec_stages_gen; dec_xtea_gen : for i in 0 to ROUNDS-1 generate dec_kernel_i: entity work.kernel_round port map( clk => clk, rst => rst, delta_i => DELTA_C, key_i => key_i, start_i => start_pipe(i), start_o => start_kernel(i), sum_i => sum_pipe(i), sum_o => sum_kernel(i), mode_i => mode_pipe(i), mode_o => mode_kernel(i), data_i => data_pipe(i), data_o => data_kernel(i) ); end generate dec_xtea_gen; ----------------------------------- -- Asynchronous Assignments ----------------------------------- mode_o <= mode_output; xtea_input <= data_i when mode_i = '0' else data_i(31 downto 0) & data_i(63 downto 32); data_o <= xtea_output when mode_output = '0' else xtea_output(31 downto 0) & xtea_output(63 downto 32); sum_reg <= SUM_C(31 downto 0) when mode_i = '1' else (others=>'0'); ----------------------------------- -- Processes ----------------------------------- end xtea_pipeline;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.token.all; entity tb is end tb; architecture struct of tb is signal rst, clk: std_logic; signal rx_rdy, tx_rdy: std_logic; signal tx_wr, rx_rd: std_logic; signal rx_data, tx_data: character; constant test_1: string := "12^34+2*"; -- => 46 92 constant test_2: string := "12^34^2*+"; -- => 68 80 constant test_3: string := "2^10*5^1-+"; -- => 20 4 24 constant test_4: string := "2^2-"; -- => 0 constant test_5: string := "12^2/"; -- => 6 begin uart: entity work.uart generic map(test_3) port map(tx_wr, tx_data, rx_rd, rx_data, tx_rdy, rx_rdy); calc: entity work.calc generic map(false) port map(clk, rst, tx_wr, tx_data, rx_rd, rx_data, tx_rdy, rx_rdy, open, open); CLOCK: process begin clk<='1'; wait for 5 ns; clk<='0'; wait for 5 ns; end process; RESET: process begin rst <= '0'; wait for 1 ns; rst <= '1'; wait; end process; end architecture;
<filename>hw/perf_counter_v1_00_a/hdl/vhdl/perf_counter.vhd -- Performance Counter for MicroBlaze -- Author: <NAME> <<EMAIL>> -- Copyrights (c) 2010 by Universiteit Leiden library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity perf_counter is generic ( C_NUM_OF_COUNTERS : integer := 4; C_LOG2_NUM_OF_COUNTERS : integer := 2; C_EXT_RESET_HIGH : integer := 1 ); port ( FSL_Clk : in std_logic; FSL_Rst : in std_logic; FSL_S_Clk : out std_logic; FSL_S_Read : out std_logic; FSL_S_Data : in std_logic_vector(0 to 31); FSL_S_Control : in std_logic; FSL_S_Exists : in std_logic; FSL_M_Clk : out std_logic; FSL_M_Write : out std_logic; FSL_M_Data : out std_logic_vector(0 to 31); FSL_M_Control : out std_logic; FSL_M_Full : in std_logic ); end perf_counter; architecture rtl of perf_counter is constant MSB_OP : integer := 31; constant LSB_OP : integer := 29; constant MSB_ID : integer := 28; constant LSB_ID : integer := 28-C_LOG2_NUM_OF_COUNTERS+1; constant RST_ALL : std_logic_vector(0 to 2) := "000"; constant RST_ID : std_logic_vector(0 to 2) := "001"; constant START_ID : std_logic_vector(0 to 2) := "010"; constant STOP_ID : std_logic_vector(0 to 2) := "011"; constant READ_ID : std_logic_vector(0 to 2) := "100"; -- 64-bit counter @ 100MHz = > 5800 years -- This eliminates the need for handling overflows type counter_t is array(1 to C_NUM_OF_COUNTERS) of std_logic_vector(0 to 63); signal counter : counter_t; type op_t is (idle, running, reset, rd); type op_array_t is array(1 to C_NUM_OF_COUNTERS) of op_t; signal op_r : op_array_t; signal op_i : op_array_t; subtype id_int_t is integer range 0 to C_NUM_OF_COUNTERS; signal rd_id_r : id_int_t; signal rd_id_i : id_int_t; signal rst : std_logic; begin rst <= FSL_Rst when (C_EXT_RESET_HIGH = 1) else not FSL_Rst; FSL_M_Control <= '0'; FSL_M_Clk <= FSL_Clk; FSL_S_Clk <= FSL_Clk; registers: process(FSL_Clk) begin if rising_edge(FSL_Clk) then if (rst = '1') then counter <= (others => (others => '0')); op_r <= (others => idle); rd_id_r <= 0; else op_r <= op_i; rd_id_r <= rd_id_i; for i in 1 to C_NUM_OF_COUNTERS loop case (op_i(i)) is when idle => counter(i) <= counter(i); when running => counter(i) <= std_logic_vector(unsigned(counter(i))+1); when reset => counter(i) <= (others => '0'); when rd => counter(i) <= counter(i); when others => null; end case; end loop; end if; end if; end process; fsm: process(FSL_S_Exists, FSL_S_Data, op_r, counter, rd_id_r) variable id : integer; begin -- Default assignments id := 0; op_i <= op_r; FSL_M_Data <= (others => '0'); FSL_M_Write <= '0'; FSL_S_Read <= '0'; rd_id_i <= 0; if (FSL_S_Exists = '1' and rd_id_r = 0) then id := to_integer(unsigned(FSL_S_Data(LSB_ID to MSB_ID))) + 1; case(FSL_S_Data(LSB_OP to MSB_OP)) is when RST_ALL => op_i <= (others => reset); FSL_S_Read <= '1'; when RST_ID => op_i(id) <= reset; FSL_S_Read <= '1'; when STOP_ID => op_i(id) <= idle; FSL_S_Read <= '1'; when START_ID => op_i(id) <= running; FSL_S_Read <= '1'; when READ_ID => op_i(id) <= rd; rd_id_i <= id; FSL_S_Read <= '1'; FSL_M_Data <= counter(id)(32 to 63); FSL_M_Write <= '1'; when others => null; end case; end if; if (rd_id_r /= 0) then op_i(rd_id_r) <= running; FSL_S_Read <= '0'; FSL_M_Data <= counter(rd_id_r)(0 to 31); FSL_M_Write <= '1'; rd_id_i <= 0; end if; end process; end architecture rtl;
<reponame>xivisi/MachineLearning -- (C) 2001-2018 Intel Corporation. All rights reserved. -- This simulation model contains highly confidential and -- proprietary information of Intel and is being provided -- in accordance with and subject to the protections of the -- applicable Intel Program License Subscription Agreement -- which governs its use and disclosure. Your use of Intel -- Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, -- and any output files from any of the foregoing (including device -- programming or simulation files), and any associated -- documentation or information are expressly subject to the -- terms and conditions of the Intel Program License Subscription -- Agreement, Intel FPGA IP License Agreement, or other -- applicable license agreement, including, without limitation, -- that your use is for the sole purpose of simulating designs -- for use exclusively in logic devices manufactured by Intel and sold -- by Intel or its authorized distributors. Please refer to the -- applicable agreement for further details. Intel products and -- services are protected under numerous U.S. and foreign patents, -- maskwork rights, copyrights and other intellectual property laws. -- Intel assumes no responsibility or liability arising out of the -- application or use of this simulation model. -- ACDS 18.1 `protect begin_protected `protect version = 1 `protect encrypt_agent= "Aldec protectip", encrypt_agent_info= "Riviera-PRO 2015.06.92" `protect key_keyowner= "Aldec", key_keyname= "ALDEC15_001", key_method= "rsa" `protect encoding= (enctype="base64", line_length= 76, bytes= 256) `protect key_block <KEY> `protect data_keyowner= "altera", data_keyname= "altera" `protect data_method= "aes128-cbc" `protect encoding= (enctype="base64", line_length= 76, bytes= 44000) `protect data_block gJZpIjIw14EPiRCYf3dNwJv4JlMqdtdIgHPzud6vSYE2vA0PI7UoRb9Prp3MjFFNB9PTCGeXZx2V iIuTODGwBZ4BTYaHJ1LjzneTBPXF7Ps0HPxYNPwkDFq7CbEvJyjZFvFC6qCsqFPRH+i4vyJXV4om ozNJoHHJ+5YmO9mqcMbbas3o9QCUATOqukVSngunUt5lqINpznGfWiYqW2BTZOrQIIvYQMVr/m+B OuwTg5AfxGTbD5gcZonHQUM+UdbCTb1qsowTOzi95JD6VKitPHathxJoKV2L6O+c5PvFjNPNrBgC Ky3OKu06dMhp9lEL1EU/agG8lL6Bx7P+xrNgg9NBQQ08bB35aB+gjAlEp+UZjS6ODJAt+QM+iWBC X7120ws0DGegR4nZ3Tnyq4IoNcWhu2T9NWWjPNfSyIInnBAalt8BJAwL5GKerq+8mhHvPkbFWgyQ 0GThn7E1UdXlu/vnutlvMGm9l39PWabWeci2OOCIAzystRX5PSVUlN9pdkPh8wVbOQLrx2PxZtsn /zQL+YgAX3wccArDZCEoW3cEU8nZjsi53/Fyo0H05BRIIfeMpLGRnstqX3+56KyBHQWqL/39C9Ga Ia539rZbUp8IiJs+ZSIQo7xbEfO+IARh9EUvSQHYL08Blgcj6qJuhA45o+AbVjrr/lQk16TsQk4H MaROUjmuvwexeL2ronfoqjLZ0vU1uZ8GXVYt8icnC56dp7S8Sn+ofhDaU4wvExBkWeNuKM4C8Avz H0GaEjGyBjAsYJs8ClTuYGEF07apM30P5NWW2T6Eza1Z3qjQx8uOOAjSAHrRdirO/5NuufNI7kgO 0jhFk5ARpVrtzFToqd9OPEot1+z6Q7C6LHMolwXPCjGBy7gTRNURmlJutIgyE6KSTzSvF1fX6K9s 0LxvyHfN27qh+D8gzahmgEFfchDMibujqBNKtEPFLINs2ID4L20uBtdMAGUPIuSmWYYWsE2nnOp0 LdndBBCmlp2+z4b4jP1JOBP8zPIJxHM76GXH/fDuZdDrC6ILoQ+/nEV4NbE0LCY+RENW9DG6P4Ep uaNvYZWkRMWniN5UCUWOeJFKuOPvrxst4RRXMc7V2f+Xgm/Hp4TUfrTDyIFQA2itRKeV0383lZuz lhXBFbPGhsEp5/72rfeHSVRAUS68DhsvrKYPet+4w09p1/Og2nwJUq5ZkNLA4OSNze4JsI3VSH+n ccbo/0JQjj5G0t5rKtdFZtGqlunWOSMyEfB/uyIbIGbnsQWcIXyZK+cvY/qk7boaX/InPy44Eh97 8NHIdb72sCcp6kTdZVBmwjMyWDnC0ugNIZkWY9jwMjpwASWT/NApKfnNtdWd69gLqKj/7ZiHDjY3 6+Py002cP362CRWL6XGtunruGVBUYd7mtM3/ub7g9llNyEchlrFB4UOsQzX1WwAj/JdExJ09wnYz XX3fGik2YnHqCGjM6HzevG0CKS2ld1xkewnazYVuh4kormzYsOZLZtchg8k03sQMNH5dfyqOHFfK Aq9wHcsTltkod6vTtXSYuWm6YIASWRunhCrNBYlTtUOm1J0AyDqbfX1B+DICEgtcHGL5RrNHFYHo 021T+vxuu5kBGJZyXEv0uR9px3kw5AcpS4lGxzk9UCZs/q63/M87MNoUv3i0ucuXwBcmKAFAORlr r3AOmfa7TA5WjVQ3Wy/BhZt0Elq5pdlcudnBauQEoFImpcDLUlKEGJHVv/qcQ+L+rwA6ghXXbc++ YRubqN1n430PH24nZYlwNftSPi55tBlDc+U5RTwqueEuwIXSerqsYNK5jPSMmmChfyuInKPbb4HE arlvEDmU8g3B2/8Wt1AgIcFme/nMN64hlmhN0sTWcaBbGslzVbitBNW/m8yc5qXyjiyYyHbKBO0C T05IB+mXysksb+g9XKsgBC67tF1dhKNC7bXyFagvEaUxJ9zjzSlOFoLkmU341DF3PbtoPWbw0voO 0AQsEoAIg52DtW81cvHc+/+1r/7jHYGvUqlMKVk1HaWWNB5VqwXw1MfiTU7EwOdmzppB8lb89c2Y 2OW63t9PhwqJpT0pmSQzWJqeNuRUhBJt4hdCaL3caggc6S5GWwf8cMfUD0eOxuDi3M9F3lhDitrt VCpIfxYZWvrM+tYBFY/qEbRTwj6JcmBpxX0gd1D5aJArMtVmajr1jOZl7Loxt72QnKnZUeb4LE82 kuDJ/tbqNnga6YaJekVl+KmDjcLojipqY/KDJpghYecPJgWv2U47ZyWbKbNOvwGefoO7mPjS5Pkj 4o2KL3cje1Tym5BZjcaJT+jsJtqF8akp5vWL/8ujoC4H2qdeqrLDCvL+K/r3BuMwERuvk0URvD4E IV856y3xXLnXOMEH9m6AcydIoOfyOoUfVEu8AvZ9glg0tL9czC6FBro4fR/xVZYFJiNLibELTpRn LDQ9U6lZphxwB9YUSPkunohKNb/9r2NpRmLcJba8fVBtqrP4KYFjxXPkj5ljBmV4uJ7/G4Qd48x5 IMdgi56Y55XgLMmni1t/H43XSLkVx08lztNWHpnZZOLZadPWuRTLNcwHSX8W8aiK8QFQtvD9OVNy WOriy353QFxK6RZrz5g9pJ5TPPMYxN8yn1KblAIWwsDPK/2xfJ3fM3Y8P+JXkmQ5CP2lOOSIo/GJ CFsafF5t3HDbusQ7Ngw7O3tD9Rh+A/mD1eYjoMYZHAuHKvNPkb+PvQlgSOi8GFZ+XOdDqb2IW/kB UqD5ogRI2vz9ClUzMwk2qRWgSRAMr8hEdDd1/lhsTE6v/Rv9b+yme9cINUlkbhUs/97YKph5ocKl qCFL+OeLxsASklqYV6xs9Q+DUr758xHNHmnjhpNBdi0C4wqcmVIdWgirJL8CAWg9sneCfzXvF1Y4 r/AqFBLWTDFJ+iFSgzhvvnR6YLKSwV3FV5qy+zz6F1a5eoV95ZgZUQp+LUgv9XKp/PM2D+g0Ur+N gAgtVLRvZvRGOUfDk4PPIjO3sRBdkLJB1bc5Ieqqt/TWVDZpFJwt/icA1QjLFhfIAymxt3k8XtvG iR8EO2SWWB8uClWzb7MBgfSeVJlzvMI/zan/kSDMJHQVhinI36DsJZayPG35Fl1WVSt+xRsLF4Kb bmBh00fPHXuTpJA2iue1GbOKNwNTzfnH0M3g1pNr1UO67qFjlYydfL8vF0FxOs8qnjyyNes/tNup MA/BR2y5CM1j2aLBiwYi4Cd3rFABnYXRDlfEMaGSA0cvRq8PltUu0nU39jHIKmRsQvWjVHQhJEXD S0dK6oqHq7KFIurdfUi79he3+UIX7lvSuDqw8fEo7D6fuE+K1RHlxRC+zguczpZI3OqD5KzXq5y/ XYFh8M3/H8GOeRm6ImBYiJfo4rDvo0i+XVpu/UpuCvwTE+FUP2MkKMf3vBCh/b85xgAPWwwIm7ji WmH3pwNaPD5sYkGe9AH/VKdQBMH/IWua+cn5T7pPbCjv3t2HSG1UZJhZnpf46L0Nl0EcipCs1e6X ll0REM8ENpWGcWBLObs7ByHHblCJ5LP0tSMfcwm1wvKLV0SDDrSg9rbGMOMVDfiymSXR1XL8++KE 1cszD8jTcg6Q+EEQ1VqsgscMGONSOOOcC9ySt85iY0gnuWHnBPO2RpGkYpl6wePfq2bnZa2niEKh khlwBuLqQEl1H/vlk1BLbCmUsmbyctzFGJCSIS+a8THjTmXnoCBnaTYDxp5BPNB/z2uDZATHsFxg cjTb7Jzn3quMyrH/RisHe0Ti9khrfeh6sspobvnoPWMr4+OhjWnrIHco2PZUzAWj7O5UwTbl8JQo gEk8egj2RQLwWNU7ErVHfxNnEUbISzz0vxXiHUPiZBZsV4U2bjIKS4Zkv3cviyvC6fTO8YSq8mbe wP98QRdoam6PKLbim5AA21PQIIARHVJlKREhMxfOuFIDDP7Qh7CbbH8m1V1W/58btudvJn7aSani CiB+ruTjYPSHSb8o/SenZSs1bqq9QXKCP2CtrZoIDoBTRyfRbXo08udcYUQAWvF4Le6AO7WT7ZmD T3AMMOXJ7wdDDfPoSgzATFqvok+BOspvadbRijPs3RXHIOybJFuabusC15ijbsCjmpCpdxar8wvc 5yI8xAgGOFf3b5cIk9yFfPtjlIYHcGeqPyWmEhyY2bejg0oVPaduSkPKPNKTZE0SDQiVuBPekcK7 OMFy35/lCtt5u2D7D7XdMSsTCCgWuZPn/N4mCqa3Dspy1NYucLq/BBEBad37PlwGSS6OhIguczeB KWioeLV+eO2zfQog2KaG2j41mYvkwL4LIGbCRhpMD1g4COJOPG8TNKH6V+yrJaU6AfsHvZZ3/tiM YPCp/GDNdx3jatyH42frhWidEp54ePAi27I47OS5CBM0jKcmx8ZYt/hD3N2Dqmyy0nctLgxLyuuR 7QxxQ5d37Q2WeIXAf9134KqOZlqyUhi5RRfuB2h9oxenYcHeMaKtmXdG6ozVK8CKqWPM9o9gm3ur QRee2N6EZlK7PGypg5DviLHkxZZ6Z8VvxAHZVlZPxAKKz/OIpD6fOq3cjnU17DflUVEvzW0obmyM 3evyVphGP8bRzSHPF4cXQ4Vxo6C5ezYlMjfqBFDxNjnyJqX+2whbMlH7/xFDHwCHJHHXlJdfDFsy QRctJWRbeqRV6boPrEltXwkgjYh8f7DiNy1GJMP1clla1JOecDi1+bEROD99mGR2Db2qScpHQ34O MDVfoPX4Tn6hEB3WJ2bu/rD/f4965up1IfWGJAuJ07nmpm0WKq23yY0484y/Q/Xph+ULZ+tQFNZC jVODSkavXRHeKMMpY+Iit+yFpu33WdVicHEn1+qbG2CSzGeDozbN8EzfPWjrZBtetmlQJyMn0A6U Tvy/Dr1T5S3xdo2EIRrCwLEbvMqzuiMamWs0KkqFp6W2kY8p5MudSbirZ2muAs61KkQ5TrewXqVM LA4u21AjbU5/5zd2OZ6ZHyfYmg6o9SB1Zi+y1GathXuBErrHyyrmkAddG0roOS7p85K9mONrBRoj mEH5stlqBUwct7asCKBJkTHEWENKQGryOYSnAIE9RLS/s+BcbGnAITMlnfh2sHMC/zjoVpL6Z7Ge LYLMXYt/KFyviExCcLksQ48MQK+3yD/w3RntLw0f0f7G4ElDsSMWMLGPwElu9+I8+LBhCndr88mf mcC+9G8az1Lgw/XN9c2tBZTnP0ZJ21ORStsOtPvRRlmTzf7f3bVh4VRnvsbZnHpJa6IIcZxLahyt PR/n3h4zzSzAReYeMKtvaHtSV6PbXU48ECsjxJzBP2lE0mo1+UXth+ng/O0iQ4JZbT6GiTU5yWwt bf5PzpxKZVrX8gG1xah3Hooso5tISbDrKBF/u98l9CUaM2weO1c/EGUJqh9l+dFjYxwBr+cO+pY2 CV2+DF4n/3zTKnPwoEBqF9CkYXrkxlOylxjnnGM9Dh+FByU6OX7b7ZwIIl31PHPfd4vGdUQUqYiW YrUmYISMiuedMEY3CK+eTYWKbRr+/gqPrx1jy3B10i4xmhxuGjtzMxw2uO3JgjHqh1+VsrPnLxJf dI1F09ORpYisQrQvAfWl/lFKGIr+rkbVmFwcn+BIB0eHht8wrmvojbip8/SFFKGNEWYeRxqZuZyB hxw1UnOm0R42/0DL/5/SzZL2Tl0UsOWxrg3t/T9M5cEoJQRFsSVa/ls5e6pKVkqr1T6g7lZ76OU9 HsTeFvFrWMqeMbD50us2ZdpIPjKv574/sss2O5H1r7f4s0SpaaL5+vrYNNVKLGYU1QzAQB+TmuP1 FaJPEXf3BUrlqLmnh8oKjNOpQRrDa5k1rCZLNW+gTjOa0CDXFyMk3lY4tRGyRFSrTqzDFDZWbguv FqY/RO5JUMRLQFwa6enwEqMfmNNu4JJ7TJ2en/FEnl5Hj5kEphR5d3TVOJFz++c6Vlq5naDv4qHo 64VCzIpcAkMputrmFOInCwv2zXT3NGWoNyExzj3TPWzx3Kg5wKjSNcv2VFo6OqXiI4xiQQBhU1cT +cUez+gIsJI1zWOcGlsAnnm6iY/mQjq3dA6IUD6XP77CocBfCDOnWoJEI1lJVY+kGhdjvJeXMFQh zBnf7gaZflEeiXQmBnix8+V9bBK33Ooy1i1JSgCx7kPQ0iUZd/JK1khvyjecadNksj7REmSGD7jz 06NW73PmvBcin5Nwfn2jLbFYJuiFlg729jNNaF9VXFxKlOQYDaz1QgZKCwcm0AQ4Kxd2siR2e1T6 TWYYolFjcYF0m8tuuQaa7nJz8R7QzVEqxrE0boMHBhMAJGcgBL3Zl4RsXA20lQUY8d6VM6g0O67B 9eQKK7yrJFMSNBxfLliD8Gz9TwvmCu56cI0iPSjioHd98/IQIb6tZsAMvn3x/IvBEmlk48RK0I0t jnsZ/mRvBltbUcFOKyiYz4lxlDPsBDMoXx8YnYfm864gy6nRmttpE2U8bchhvG8Km35+dKieu5bz G2dgpfqlypTSgAdHTbf9cowihCfMPp2Q+I3ebzdDgSuX0W2swnhfkpqI3+uj2P68k+Ywf5v83TOo 0ByAYbwboO90l9IJDP0FfGF+d3PY72GHhZc9MJOSvfOUgrMpXdsn7QIeCqsfaDznD40Iywm9GSZy VNlHndcAyYtce0M3fMhsJqWfNWNP4Qz6naWKQvtxxu8MhOywAXEM20HXU6VlTdM6wowzRIgLdAmu 6u/lGa+Ubg/SNEGIq/nuvlv/rrSkCy3zv0prDJV9GQPottVBHXIWX9dUfdrwiq1d6M3Rp7q9m/pQ imuVmVlsXYOvyqeJUEKtLdJgYRAgoPOpP0cRj/13/GWikMXMyDoJrBlty6rfAP69bMhcEPqsqtcm O6Q2Y9lZtg6DRGLR+utx0ZdF/5kDWHI52F1Bez+M2zZp68KpE1LyzIy2Gs9ot7B1NYsT7xvOAK7K rFQQSRNQRpbqoOrV9DQd05hVLIAEtlelhGmQ07hnlqMFbdQYZdZ7e5dbR0kVzqYJtF8xrcsa3nXK O5kihCABkMkws56E8A7E52/5F/VB5N+1kznKZRIs4rnkLa3s0g5XJsgASi+4uoJFXJkV9MsvnGN6 5UObl9/mqHd2bakqyW1mNgRyNFGk+q8BNTluMnt7JTMWSmqGyMnSZbYR+0jKNq2Vs4HJ6fCMJfUb c+YRHEcRASohdHMCOH7d6SdeETCAAWW/RCpsy7HgubtpXW9javHlZC0Ne5ZTxdlrjxbsNObKKJOk nMptEArXLMf/wtnzDcWuVuejNyd0rJbmuBz61BsYkr3Zw0Kav9FN8rQk4Fmmm1mEEhqeJwcX+2L9 1xTpgZ2QsJVLunEKPA7vfzj+QaMqp2C+9rl1WOqcDIdyUv4mm2EnqNXqnbStSmgDHeNzC9iiA7vH cxYWE10HnOu7JtLRWwBKK9zQkzWEt+EAtbbgt5R1SMrf0M6Kkj/r6KJuY9p8AbEeXpAJl1mU32BV ERKpfFQAVR1tz9C6Qytmv/hyY4u4crxVWiSRF90zXwjdXE61lE1l0WFlBYFrGfwdk1ZpvSOavRlj f4FerpNvqo8oH8DWCJMvGSx01nTLIQZ8ZaEqz40vAkRT9XZpDwDk1KtKdTQ/b4ct9TiynXlDE7ci riV5MNXiQxs7X2faz/6GHCfBdQvOBWbigPAiOG/MBo5hFkRulPmNNmhvUp942V9aix6u1pXvDxXz /RT329mLAXm6RV4o+9NHRDIbxPgP0gHHrwSkDPkg7RpjwyZUyaT32JRmGmaYiq05/0AHdF9GgKfm NdGQgVT+F1l6ZCG9ImiHeFCQeFaJrQ/4TGL5R4wXk8/j8rySYXpW3CzCPUlU4S+hsXrEkDcOxUPN 48XDYhzUDqR5S8P8F4+n6gGA0N5wUI9p95EHPhwqiQBfsRhp5gZGSrxB0CIvsqzIIzkmnm78GAyg WJ/nDHh9L7MbrzCVTA8lAnyo0pVvLA+6L53WYlaCurv5yLXUCepnpb4jjKUINBnvLo7uJzhH9hq9 UyeuRvmCLYwgFrdbYyVuaQ6iSdjolEcZAy/uSkkZ6ICumk4YgFUy+Ktr1v9rq6q+aD5IyniYf5H3 b8j+EGMZL8m2jCIRkIow+PKYjmK3wzEHwRqOxqh4q+nL5Cc84fffe51cMA/5KFdLqDP6COMjlF6o idK48/bcnjLVGAYpzfd5NADQm0T76/7a27ZtP+sZmY/wCJ7ZoacP34GjMWOnvXJQJchy9gIFj+7j M/zkeo09mFcJIp7tUxo9F3Naz4Oj2WyHX6EDVT/66IjyeV+xgCliNr+bfS6BxT5y09OEzv7nSYNF lOMqG5NuBDzgchn6pPD/OYp9fdtm1yEHAn8E6nyY+i6P6II5JPSuCsUuT+7wHnmmtCxxmOADDGcr s+26fmQDqUm/aoyI6AKQca41jkowyplaE4VbLJdJMq+OWAK9Kk1MkiqGUIWQ8fYUXcRaAJJX/u6R /F9mn6h1aSKFodgQE3qd+a8AwZvETne+Qfc9Pa2+fL8JUeBR9Q1UGmZRPAxJXddNoAjAO0DP+UyT acw4tXykGC9qifOgdnWaU7DLQnRND/XoPCUQhRKA4CVJjUz2XQUnj9UVT4NawMEu+dE8bwPA56XU wmh5OjVyd3X7FgEO88qcIeRem499RJHUJtrAZi4ux3Ynw+W4o0uv7/fw81hRAPBh4Hn9Ju27538F ybTo35TLAU9A5lGzKjJHW+tkannHOPrLv5+X2Ey3mjGTxBSNspUMeh63RRUkLoBnl3SCg7uCVvSk NE5a3tn9PTofhl/2D+qpLStKz3KABRYyWDO+YJVX14Vo1C0K515Vjm80FQ5Zi5Whnp/tvBPdlJ6m o6WesfcilPZYR8Nu+0jyJTFJi+Ca5gSveZdCeRpQZAt2yqhCsv1vGyw2UwzbdO31sfwzyLfuOry/ ZkhFeFsGhncOQInI+kiNsvQKJ8rMD85GA44CPaMEj8tjxY01O8x83/4HPdWubXCN6hsCoqT8kD6R eJZQK+VfRP8wkES1yNmV/N0HBDhVjvZvO7U2VwZTvQFiHdBLAAGIIHD2V7FlBaLtu3K/U2JDnwWt Mumf0nKYfRV2gWPpC0M/Yt8HeA2moZwAPcoyoSz026MM9dRydN7ibtKK9AkTPfJXuN3ZekA8qiy7 Kl11PZ7xj5uCIj1I6hm47ajU93AFqKWssn3Cn79aFkbkuElV7VOORBwVfEDmgl+z8oYBs4IHg4r2 GTdahPWG/e7nI03Y0PzgqnNLBxdrAXos5r7v7YaVVHcsqxUbolvoaH5N2jIqOMZrlaxHWW3L8mlQ /K/8bJ/f50OXWxJUoMukHwtScU0youE0vrJX/gJN0xKYLhsG1WRkVTWHFm8rIn5tjWER2HxNdYTZ 9/Di8LFyKVbEcfommiGuox0JqRnrJ6nBmzsCyGS101BLuoV1w2VbNlEPlx4VbG1B0HqlblHk2f6M VBao4+OhmJorD3hcpwAe4N4VvjbSAyJ76RdlDOwcfY8XpcRXiTKDgbO2JpaPCRzLm8G91XKDhEhK u/H2Cu7vUYoA+LtzF9SjTTYyjlo2juwCfV7ya2+bdmT7RrfysN83G0Dx4hfjlg8Gar/gEqsdlZcu 7bKj5wmQiaQLMHszx+QG6WQlwbaax/dE5WZ/XZLUtmMg5PzGcoywJSyQpvHPDLmzO+fgM638v5Mc tamp10/qn6isZ5TinnxT3pdkAeAxWUSoym7Q/PywaGkenoMWT2svXaCuSs/L4wcODnUCQoHj47UR iGPqTc4GpW8TlqyzMWextIbFIdgPg8Szd+4bDKdAwUvWl44WOxaq4T006V1/0qrC55kkf16qILPs NStqXivUr4ChEtD+dYev2yqBfCMClv0Uq3kMI3xDOdPH4J9NkodsJ3wxa++/PF61B/ikc4dCmoPx RJGRbpX/sgu/4Z/VfRc9KWIo6AOqhzmtgULQJb9CVoXPe0nlmAVqdmIEtdr7Yr5KYLDW/bFjTRgQ ntX0NsgSG/GbnUb6LvhyUVHuzuMCNwj4od6ZBM9WppIIwFRJrSPf19/QjAwowmedFghOQPqxJmU1 eNgaoLazv39+KVtePXX5tWXlmS7VLXcVxTy2iZVZvbzZso9YcmD6Gt5e08T0wjglxJvhhIbr+r48 XXqEmYkY1Ogn8+SMYmqe/l1SyB+uSh77KGApKaOmjeKrklf0sMkmNq/UB/55NuCSWQkf7UxCmmsa P5CveM+vxqb+CqHgAbKHHNKc/XWAXPiflP0nXuQ7BcsUeI3DxjmUkzv6UsA4sHxlbaGt7za+NNxV /AhxM7/sP15O6EXmP1FSQcSsws4xtCIC1o5N7YwqVuISqCMFsW2Iimxivb1YSYBem/ldIqxWTx2C 0EEu1bcGN2h7C6GHHywbfp4FB5PMngPa3rsKG88jW7ARb1F1CG/4ptIqb4uS7VarLr7yvAWBQsfh n4hHClUkT0/apzwBhkTBGYQdIQL+IY1EqI7r999TztFenS3hcHuWh682gr5qOzD2dlUdkCiadgcG oKFoey1mZIkvkRVutgSJEwkazGgw1Wv58yTywFoXNEZ2q3x/+/VNlddcnIU+OayEROiYM0f60+Go UTYp8jLBGGK+6hsPRHZ6mCZQjn8ir/92C8/ALzqCzW2itq88EIQGlXvKnI7XzDPE1gZQUyEbe6uo IIcEgCmH2zkuhYqyCvWf85R4dK4z1VIW1wNWwZ6i5TYZ9wFthwfrzp49cvLLwP7S+uDSk60XjShK iQth/4o6YLmxtRZhjZYcjuy0sxk9yD7ZR2i539E8VbaGkB/nAmBSlAaqe0okomH0E7bCzHtPOFBI tmPomaW0ZCqZmfo9DmM6A27dRUDlW/ng+hEqJMAR5RUbp2yHVH7ibPDVruD0zviMid8dMiX5uPAK a/Az9QPxduFdmcgfkFC7KIvClWZBttZCizMRvxrFfHsQtnLy2VUBTw9UgLclBARx6epxnDDbYV5F 0ttxhRzYtN2t9CSZ+OzkZsYXecUWm9EODy4C6Td05r8dWi/UjAMPubKozH1FZCDn5QVTFmaY/jLI qMWUldcRgqkmxfb/tTLYyl030Az8va0Yf5UU6y4KxjeHw665d8Re4XgD3V1KGeH/s1VWTK30KG35 D4t+pzw+bQu+pXZYol8TLaIVyVQz7lJhybUn6++IfZCfOj0NDEcJHJaziGK8CJX+ePT1O8LbIjz9 xtvvTDFBH6akGvPjtCTskGZyJ4a9IVEUtyg7gCe8Jefa0cKbZ8DkxQQTwhBx7+qhMkiVqK1vJ0nC QPOAxxo9yPDg/mvV0dfFc2HNPkkmQ/pOcnzSytUuf5OfKCpQRZIqjoA+yrxvYepEsU/Zi86tPZPg 1VOAWfVcgabvFLo3BqUp6rZmGVGo6IOB/Q8AF/n1LEKfZ60htyLQw8C03X5SU6Exr6OyLQrfOswn /N9vXucae1ToyGrMbsPdcC4vD7/dMAGuxRD+9Ij6QGlHFYVQxCo8SkfcqL6K/1mQdKLXnAx5qHrF v/MvhTT/LlVTPxnrXxBOCCkXIEShmD6KqUCAFzVLri2hH9LJlWV3rGDm5n/f/WezE6ixQ3F0AD+a 6G0rZe61PpL6J54O6BCA4yI+N+x88QmgkE3iYygog2l80OYAK1QC60OHyRuR9+uhjTqtj1CgfU/e 93t8BhmH6/4tKYssCEGnjZRmHZtQHWHB0OaXi29LCzm1AjR/Wa9h4TZ/h0B1BR8tgdc2px/bXCBW FKPrA9Y9R8SL8oh6ckYTFE1yHH+jsykf6VBPcAYnLTuwSP/ukgoxJUMICBDIVQ6sdH5D4zBONKei sENJds5V4vc2NhOJus6Ag6Eg1t7eNMXZwnZy9xU4e7CrfGTRJFu4QlN5JWZlwKLKuPsySDmerYcs Ka92kA5PQxxvfbLm/XvrO7nbkhgqjZLGNgJ5tILy2YG49s+ihOTal70lwFR3PYBTReSVs9LjshAR OTGWiGY7aGhqsCD3l80EaUwQmbw+YWJ8c12/J7VA2tBsqVa7ZG66y+Hh7RKFxI6LJCkEs0FX6fF0 D79kw2zU3hagsRp17SKNsnJ/zMlNSorL/ixWPLq0eZOeKKksMnsBFhdO+dXUgvw0q/ANEJYJAJMo PpEQnD+ewc/tp0+uNL3qSPdYj/ex5oQsjXk0LBbIqVxfd32VvP1npC8oN5TFqfYTzN/QRsZj2sHK GM9c0p1g0qklEy/IHa45xbhRz8O9ok+yGchWw5De3meUFEUzyfqVdvVM9fzJ6QvkkXNuuoSp1XZK EcuMInw6sfe0t/2fNTHy3PNvGItkOTvimxeidjND2Wtl2BKMfPiNmthSiEpr01cKK+5a+NtfWQzR 0kYXOyC8674zQNwMCfGnjwSXf094+T5ZTRAan++8mDZqJr5nGlWZLSV2blDKozpE8ylA2WACaBy9 9qslA3mlzWbq18yFkoFcQN2sFljA3/9gNtSfySNCCnmW7fsPNMl6NKoJtqLbbjNlvHj82GXFivUB x7G93ZteS4KIfyDcy3DpyyniaU0BWTk+eLOWGSJNnRJICqcvh3yx/3Yf7IeeHWu/5wzEW6hfBXwt DpmDehq0ZTCmlJUFRNEMqc4ywu9BkwJ/eXrMvYc1cPiObLa0OQFDCQd54rqP4yMOD9wDzPsVwl2C 4Lyt3kdCx0mDEjlBR8L14BYTBnbJJfJbJ8NecOwf6hURHgVvHWT+3UO07vwChp4ET5WA+lxzzeNL U9VIxLO0jFGIQjjndPUynvWjAcuQhZlIHZErTdoasBVhHUbiVaSqtBJFNXl1NL3HPvGC5wYdcKCt cgN6ttpytsU4j7Qh/kQcjIdn/bUD250IOjJz7hSQvTGw/7zrFS2PXoYuTJMOKBeBaF63XIkmw5Q+ 7bJ+PrFQqZ5cCGbJ/X9m9crsawCIvc+jxoMCSydnqtjkDYe4ATuqMtThv0LgMk1uXJlkddFMnLJF ovTEhoEx9py4BqgeOCItEqBBkLsoOxRc1N5V69Nl3ayeJ6FrMGU44/Q6zsW5BbZe0exJtAuBGgdh Aly8wEiw72sIl9dODju5qx5OlmaZ7YLZmCwOKEFGdFI6x1xq4zDXuY8TvxnKQ/1+p+8zcrG5lgam WGM7imbsI5dsX8hDdu7ytaE9OCEVjST2r3TNtwndUIeiSWIEQTGdqXw+1GlHdiokNRJjAFwogvMj /cKlfF4NCAEYvjoSe7hl0x1FVH/xURGJkZVoNgz9xI9lSgg3vQqQFkpIAOrwvY/7tqPWVK+wpfp1 YmIBLxWUA2zveg/NTswE/hbmBvePllnS8CdO9eUMcsHXy4DFCd26CFVgdyNPJy8IuEcwMLvGKl9d n5vBG7j98g4ILNGMtnmp2UhJD07z61QBvNpAyZxtWIkMCZuxG00gwgSjv+TCeTYm2Kwn68xXqPL1 RT2Ue/EbnXHeRdbFVUWnsu7BGqOomHAwgK9nvyxK9ziHmyTeOmNStNmjpl7j2AHr9hPmRMc7lXtS 4LXaKCjt00cEVwHHwyc8OuRsB8GOLOzrw/h/KyXHprPuVmAPH4IAvXGSed+y6ixJPRtjpJajh9T1 LOH0kzwWkmQYU+R2RLSuKURmzVAEwAdtGMV54Zss2iYUHsBKgUbtL2ACqk256btmMqv7mSJjSXzN DchIUA4f9tvsrspQ2voDckMjOsgOKXHjtB3Z4hD9+lUNhGV+abc3lC5dBTfcuBmaWK80NPbWBa6t Uyl6DqTuWQKWzOG97alKBOUwGf4e0wroV3edUVlVX7MOBK+oS1fpoOTdcmaDWgQ+9EmstG1UgMA0 hYvVCCWQng2ifjzU5auPZBXVt9NkwzkPdYXOMMvkat2IEW0V9tGOfgDsz1ulMn2mDR5Su1loOxP1 qJsIh89wk0g2/+WYO5aMqRihRhGQS2WDQ3TJ5lTFZ6IyLKD0wW/M+cFgP5U+SwcB0k02wPqamu8D WYNAevYZ4giBoOEt3Jk82yor+sEFiglbCT4uCSudCfkph/w30gze9y/aYUUjgI3GW4DGRvPuVKe4 eFARNCm7bGfjYk/W45rxi1boMi9Q2VoLhiA0/DldmWGaZDoqt0eSCfEB7ELsmVtzUe2Gd4762u1p jRqeR19oJ7uuRzHTAfekZgOITWjngrW8oib94oidjD7WvRRPMcC5KUTOAP6OWK/czB/36saFuRlA 8eTQkqboyOjQa5RUwhTXKrtn+OZNwWS8EkABZ9xQ9SwZCr1FoWVTP1epTpsXK4tDGscn4bvurVWQ zd0s8/QcTTTCQG4LQkaRSXY6nJ1D42Wb8wJQPFvmlYYUuKRuuFeQI2TW6kcUVyqx0CLzVb9FDtan Jb106eqH9/HaEAzavxGQfBaEuxvPkNJn5i9lRZXS/nZmtaw0yKcEBFCkW4jJaWYYRWG2wO4yS+wd TIcdluF+ZcTwJTnybHE1ullkY/ZJf5BeLHTRoeNyf0A5L6PYYCHD/OzPiNVNJ4uLX+JOf0RalSQD 84KfYRm0Px/2YwdFPmj93kXFa0+gNYaOD7JJKwB21L/x8kb2LxkBft/9LZxbJ0ghASkDYeR9nezM D8MIVHmEVJop1/b9zTpTdC1PAJ9PhsEJJsapq77WwmvUGJJWcImVU8fRer9tVQ3qHU0qQDTyJ2jn fDflPkz9dSOVGs37M6N3VZSiPbQQTp5f8I4YnfNSLeDI92ah1iZa/DIjtnD/Qh+G2WW/MWWKbDCN HuYapzoJp+sWqe6qPe+p9AplYf5ClC9NGqLhhywU6TsK6ZAD9jUCqunF4dhQzC9KtmoGG7nXzMA/ 0IW+pmoYpLQ0hU2rTvSytVKwaKdqHauMYIGrHAHtl3R9CyumdJrTflcCIead0B7+eaFR5nWp/WLR NE7JgXgVpnpQ2Xz87jM6YknU9V3ERSs9UoWu5tvpAaaIj0AQS8f/OoOZK0eeaHXTQkN6gwSNnm8U uFJD8OEB+Ss3Us/aArvWVHisVInEznJ0ZLu3AXqeJETfmze7e6gyIon4dRWOfETWUdoV40GJaT3y ZCS3/oSzk0WAJ1xYwTxAhoOmMko0ppKYD6r1LUSA1lkjlHjiXzgs8YWnaHUUHMqLydMrXQ9Ckr9T Q0mcFC6ungToDN3sdOslzMg96YIBCAk/n2sGR7WQaENtcfGfXkaiPF2paw4S3336BsnCUbf6Y1Lu Ri4quMqdynR9q17XWEsJMPRcDbJvStcqkbvUd0INIC03bwGtIJAcx3A6xyiBwzQVaWXuQNJz4b6q JUDJxRqK30rV3XsqRGuA7If597kVRkAMqORGj9z7XK3UEyEQXxHkWJxpamj+3Y3XqB8ebNTs8y5E qBJtc/xd6/01Ax2A/0mWJuxN3KFEMOthYDI+3p+8Eb6VhQoRy1btArse4XwdP0KjjuvoMqXi8dPL oJkDNcuJpxqig8hV+npVGtaeXTUg1yjk497D83gUoz9c4AWq59n3kOViP443tS+k3iUcR1VcZ9T7 ekmOKyA2PxyixajFePyMO8Dt6LQ/ThQRXii8hqXVJUQkr8zSoGpws8T1zo9BdSJiYW986ntDWAzq lz5S+5BfOznY/NaMrmQGEPEDKI7H9+PFovMpcLRWYxqHWROsyRACAmgl5eY3FuAkQ+3auCDxHO8s PFw5IyxUbxmEKguKRahMIRGR8ZGKzqSTf9Msa6a8zlURzPP8fs2REnJZVvXh6tAzkJozMy7Qk51B BkR3Jl40k0zIxx1x3WUwRojeV9x4s96+QExr6Bm/KcJ7wOGpjYwYUgtu2W6dvBLA7tLA8koGrJqX zIeo/qPDC+YYkn321IOB5TeXUMemsdw1x/u+H6cpMpJwoOVWKNj8sk/NgEfUDr22W4F8BvZG+JKf Znz78zDktCs2AtrUtSepyPfc6p+dTz6TIkl9XhKG9Vv71nBS2oQHQWMZg6Hi8+dt/p5qHg6zjvPo v7OPEcQuVPyJ5MB5cayG5cxMwHVU91euNVutRnOWEvhXsXZsw8mpaFdYJkk4oPU839Ph3L3ETJRC lHy+8TNi82d1Vv3HRuw9irdFuuIKWblUDWMlnoN9QHHeY8PGPxNCDyINDIfv0Db2xIgOYtGdNKO4 2qcXTgK7L2X2htpgSBuDoHfnVA+yapH7d/oDoG7fYEBgyJitQ2PnsImpA6MJlIPC2tmNacyU5OsB EkqNAmsfp+xi9f+QGIh7nTKLbPuxkObbpzFpoOjVGeJdlt5JYCICckF7ewNbyKXto0JwzJN+RVIe Zo9JnJzjAo/qVTpPJWKO70qa19dApIMTFtLqKpOqGPeUfb2cluNaDX/dIlnO6OpLCZCarZUpv7Fl +ucC0FopIneyleoumfbYwEujXGJ94xAuN6Jaruid7QEKTmSyrARl/0R6l4SWkrwK4OosIrHstl5Y PrpfmtqZaGUjixPzBMrzBOGquSxgLi738147SwN637qdoOPgJYBm6bHEnokS0dpIP6AdLYQZLi/M jexSxsn18oLjOXC3rtFrDBcTPgCYalJR7HnWmH7Pgldfu/WyXvfqkQeTHgn1z1hzDo432iY4Leq0 OMcnmc3ahQS2EMlTuKHiYyQ41yNnSaij9kU3lIIjBOOxleCm78iPYceZq8aUKDOVwUs2atWkgTy2 Fj7FrqyddLGIxXHImKqF3aibqHey2GWyt43oTEOGCjpv4H3R/bDXIaIvCoYKrGGr9s/Yf3ClaSwB 7WkZ1KT83a4EwCrnipgSFHVbfkunw7AuPrtuN9qKG49Q73h74Lw4sSeQcuSK6+694BjPbjvymDdA wCTRn4EHrHMjCp5i1qSsHkwtf1e05mqEP8p5xIBIVnt/CI70D1IYNTlk6mMaJ4Bn9731Ptu+8BVB qgpEkH/n3MpE1K1jWV2XlNbaYhqcIWpk10zJpE4tCfAAwANxx3wCIXwM89v78C8dWBqNEoebaG7L uLErENao9sCszO30Gd/+AIhd1h47Lk/w73Hcy97xg9JthO6lpYl3jdJ7VgLnl/FvFAT9Y7gaqRu9 RDVNzBv+EJq0O0LsujYfDH/wla+v8phY3Z3pzF8f/3QKw++LTMpUx4bT4CJCj8h1ouAuRkaPydxB CkaKLBMU+plnQFMvoHiClK4CrPDmum/KB89OsjbJSVNLg66YdrnWE05T/0Ji65EdhqqP+/CvJ53I mxy+OllEYUULDQDd9oI7wNNNa0uf1hrT5egmOhsSBLK2aMOkEjJDvFo3NaksApLomdPTjzTu2Jtw YznUYXZkyp/XgAW+5IT94ZSzOvmF2U6p2VEjrIirGaFCcYLl/nuv1lj2kovzVKPjvU3WtUiVEs2t Lvwj110tW/SzLbRgUgefWXtNedHFafY5WqTAgsHKj8TpxqCPqfJDaC6lsA0VLgoJc5mS6hVeE7OO Go9jwwVSbgm+lrCAyPstyctyMiZP30QAr9ZyV6ATQcstbHvyFNgJtxa6WSgZwnGVxBz+DxvnWNMe aiurU9K1NJmcLPSpId/JBnIDCngj4Tacs4tlWqQx6ImVqTb45JhaD+HLMrqkXtZPJ/T5XcCsyD5v g2zACkDuQR6XohAzk5dBp52rhvtZ7sYMK2E6tjIkUetVtV/Sg5KuWJwHbVdeMOQl2rFzaDeHIhM4 x+q45X71EKSH+verKyzudPr+V89WxW54k5HME8iEyaPMCLndc0PyZPUMcim1CYq0sk0fvhC8czA6 pa3w/WuRv1OiitzFXTV7mIASH/9DTPNeFKABZL2VF8nBKKBEXmR1spUSrEtlNWyUQpkcihpoII7d OkejODVNn8uGuu/0FSAQSLKPbBh5qz9FdfFGhaYmiatDC7Wf6zOFtxPPG7PS/+YBbqbNimHI6A5P 3Ne4QVPyVCDO3pdCZWABhmK5tRo9i5Glf/ERp9q7BbheS7OMRcgW5Pa4Ua3yuxtEvMWGaZ8PPO0Q AYRZMzEcTuODYQ0WuXwxx0XkKkP25ZtaHAzcrsASu7HWKB9dOGR9YNTAZWuZzLZBLH8gCJCwGxXP i16qmCmfXX1UF4/iCO/EWoiq1pNUfRZ2cHVPvO6ko5c+DXlBTu13Hd3DiNokgR8iwT+d4qzrCbpd MpO9jNg2dBlndeua6mPdLXtHSh6+ygWZX/tsJe2/EUTQ6pFaoduiXtTTgxCEfaXGYKrzFvSkldne iLYDTOsVmyVM05pgKZrxneuwuyh9Kmlm+3Ut0AxaaWLjONaOLchMSFJcsetHZnmuW7cdvawohW28 wsagUjpJeQAYCTQ9GtuKktTl4taPhUrKZ5jhrquvGrYAFv+rXajAO7+3cN6SQ68cAXWK76JiMFtT bWDG1oURD4TMSIJso9VKIhJi7iGMDAojyFBhOtj38jUf3k1BGvkc2hcfRKmyI8N84D50E3snLByO VP2fjoQ7D4XzfqdyInORfptBqrNB1yAECQ6msQm/MIlxmm2QyK44A/6yst/K4aEq6GlOMuy08Vsu TMveuWpMHR43k4874T4OPZ8NxqBPXFnq2d0O3AopZTv1lQeSkMBlB2xZu2kmcM7nNl85O9eLh/Tk VuFSIYBVpYTj4sAb+FPKUWq4UbNOIiD0RM3QPMOKWTpbygnzQ953+72KdurgaZa1unSeZbHoOlbB KZX6tdjEc2QEjNy6vN/XcR4+P6NjmNXAq10E0jGNg59rccAfnCVLBWUBczR8ZyI0Lngi2Zf29Feo jr/WzATC28AZzoZVl7MnPln08e/0ZAIat7nywErTNqBroFlte5G5r/iZHZTCfzCRv0FqedzXlxDO iA21bY8GKLV8/IeeAI9o1IsYJMU2ihakq1S8e9nAEJRQUS8LJivpDzaHxt3gGAmLjHXG3DKVQeyd Up4pqtWnolzGl4LAb1r99pJyjBONX1suIWSo9uibU06d/n8QrTB7EI00zHRCWOewUDnzyUH/M8zB WaRF+ghf0OaOGxXamli+8eaZ8JKBvNV2/YKKiTN4EEqhM+M1mIboLODmm3EhkRSlCkbQZdgg+x3y 7jzk1xGHhF0PcxI5Dh2LtSIr/O3cUrUgIO1UIoxKhIWBGk5hmZKZgeRt7T4XqZcVO99R7gGFD/Zb 8myVqZGI/F21uZIzVD8hDWt0ACjA9CtB8WckWgoQKDdq6DPLFkfbsKtIB9Y2PdKij7+dKaeEfdrc odcBkc3zO+FKUU0hMvqHT3hXoSVeKMrVU2Gg7zOARgJ8+91IcbCDzXAOQrwk3R20waFvQkkb2uBA 2Z7CaF6n8Hnv24iffey2rMTRyyamzQHlieK1nQd6+KqFV1AwD19RzF7lIHb1UWYYhkhHmd9rS08I IRnktwtoao5j0XE2IYpIGDsccuSEyMsxm7OWbC2QzQqfx2yJW5Kdpox0npB3nh9jHyZHSiDlKyeb 9/+T22pp+/J2SKp8nhFaiGOhGdH0ZGh2GJ0bs6fXAM+X+fRr/+99DkRbr5ixUIG9K6IEkfbmicmc HGrrAWXL8F19sDPsbHxPkdiiHNO6fUwssI2H9U9gCgdABrllDeWJ/9fzvSm6YtQcbuqvMBUl5oKJ q5UHzUJ5xCnMqGOsm/as2xTXxW+tEHpiVvSE3Ht1hved4jTdxLljT65bibl7IE3kM2gEdM5Pl9rd t/6ffVH+QSxs3nNHG2lHXQIQqtMBqWctFWqRnHEwdjRUgYHocpaGWwbgKvV8TvfgwhO1TurFrqe/ jXQIIZIeK+7uMy6/3Wi6QDBW7zdiKt27vTPxaJAHwKxjS6qjuOYSsRRbsMnzkFFeQ29l8zJWs5PG +B0Y0iOOhEwslRWHSOqtMbVXuST+BkqAhQRghWoXb18Vf2CM2ALwVAN0ipswuYo7s0NyKCor0zfU UxnklHzWZzte/5BKltFEeVLJpwHjgWzEfMAfib2KOZ9cGXj8/s2x0+P/DclnMeSDh+Oj9wEn9890 hob/Ba+NI6wf1wm1d6KHWgVm5+CVFHdhGh73P/pKKXyPGPo2ujCTytxDbEeENFh7jLSwR1Pie65l 9Q6IwBcf6jtVCxOLwjYBluEVfsbQMz0B6Dm6w44MdYKEdC5wXR+8UQhpAGvxWxcdAAbqHmKVifZH 5dEXe9xe/E9HejU8h5xV3dz31AIyPwKbaUuA1rHI7sAfRr/vdYSR4vvAADpjEUjIA/OZAvX5tnAP wjcLBPJZi4MVWOR09UTIh/zjFNoESaiRJ8D700/GeW/J7/DSjfTxrh3Ux51A5EvRMGXZ1CUpkbJg 3B+3P6pcbKbHED6jzr8rB5NIDse+igYT+Np6zprrJ/QY0kPrLT9WzWaYSq6ZxtS/CluSgeNZ+S9y WefibzthLL8Hdla4lHYJf1CUeXseRj7Gc2inmSLCaFaM4w2laseqxktG+wCU5qrEGaol6eAk3U+e 6c7aoOKwkLpRuCDgsobRDlE2Avo6rOZdHA1KaRr13C5llb73cTgt/ewUW8cdW8+Rylq2TBTegv6J fdvewgHAb3TH+QQoHyllTS22iF0IYTmV993IyRy/qF+ssvcTbB8jPOh0egSfZkJRfWT2lfYlhrSW JUoLq4a+eQ0XhNJRMrPY3yspg9K+fcXs8/JVfjtGZvRkUYGnXy5FG5NY7ZX/8+KipS5zZ4ba/aVX L+UaYqAkWuk/cy/3it3cpFiFzsQWt/s/CDFKqsbnRPFlT1mT5gSuX+tpVZ04+qzHEpU4rze9Tk9k 0NUGjz6mOWGZsx1cxLnpWe0GClVVDKNUrHVElii6LbAG3IWfVnXrgTOhO8frgNJqqvGvUI9tCE/W Vy9daISDEy5L2XzSJK39ULb/QaovPzLcefJlDyKl7znSoiE5i7W5Ar2dq1vywQku4IX8dkfw7dhZ Ux7XHS+/+lDP/ZjmRpXcYm0ruPz26w6k71iwb7wqqeYVChl2K98aarHSIaYWXn+6q+bgQ5XEeHPl 5dR2P59ZI/9H7K9NXIdUhgGbKKsns3otIYz1Mi17Wes/hnl4vdLBSXbBvPw4nDrKXeGUFR8BXXpL j8QsgtMeaREdIeUzMnhfZS0h9nHaN//yxAvBYyJa9pqRXS6P+lWS1t3YLk1F4/bB7N/79IJhQVGM r5ySGna3Uxk+2GcPqHVMbgfFpm6LoecVocqk8QQ4A9XcuB64oEDa/U0K+C8T6QzXB1BSvzMF79iJ YeYr16byYcKnW4vnvksnfx586yMDkXPpFan/NOFFEgHz74zYafG6yp7Zc+jgvKsCa0XhcHfW4E6l i2uLf1l3ueztgGsmJVFrFsCbAfKxmw0mt8kyrxhVJC4qn+4+/hMJkaaAdgHDQZ5l9h9oUc7BwOl7 Tcuujb3iiwyj4MNoPWrjx/sIFnrNAPgQOrX+/6kpPIISGMIPdLYl0BKPq7wSAsW/ubAlKJ2LsEAO /IOs4/dHAcmNZxbo6BatQ/byaEyBUztcoso9Hv6pMExLPLbkW5xhFNq7j7r6EU9/GpKGsVnn6hpS Y62kN+7Mwz4gOQUYtGEx2y9WdkDMbmgoRPJiF9Gh/MideqRFLmoArTn5tGBa2K0mFzbWMU9SuI1+ Cy69+PRGuCfvq/vFiF50/NlyUVDUyOttKw4XQ7KRaY0lmS5xYYdcQ3CpYYtmpbaJxC4bdGKckzqR 4S+lPXP/lOk1RdaiHluw/Hsa789ZUd6Ro9assVCY9UyqubWDoBI1U91tQWgcDOfkJfeHK3LPgCuH lusmPn1KDRL8L/fxTkC9+CnsQexqnZ1BBe0SNrnB64krRjCAl4QM6P8PM9ZRjHXroT8AF1/ciIy0 wNe/rN5IWH9I1+l1zI8A7gnOlZWI7cPVsTpnFtjeZ8dA8e0gIRH/ULwSytgSCXts9KGbAewhI31n nWw1SIaGD5TfGAQrcePSgL3vvc6kmq+Gi6Vhe1i5T5OW9xPrA0S3GApS+T1hcp8Pchacjd/aJ0gG 4eJ6HnJ0mcGMwC3jShzVAfDW5RSrEmvDksPWrRKnkJh5G3RJQ8XCfmtOXSCF7rjro+FhB1FL7Zb5 5oMx0IZPNUNl7vI0RCENbeNaaHt8BwO42E/KNxE0bkkkP8HJEtrQQndVkbJqvvy0OpkOHbYpJj2x u7ytoNn8z9z6SzkeYbxysBlfX8vl09PaGc3VwiehIRTkpKvJ0sE5kEkGJDVsoaoFxuyQsbvNhcqv atUQ5TB/tuqb8qjP5EXQPSqbSr7HBmZOsrJ35x54pmjRVY0PRF4XTQaYCqUwQWlCDJrJY/SNmCaL mA7A6pad2N1sGJ9zY/aYZKL+43zSY+0mN/XjxeGI3mSp9thrEYo5IM9vdHPur68ldTVGhv1u7kyQ 02aK0y99jdhIX0g/9SCbhzFq7PhaZamq0Pu/jqUUpGO/g3qMIFNLx06bg8i5h8AzdY7I/1IlvorZ N5rmfcY0/Zk0F1hENksMyYiuO/wrelpPlcZztXIm3NvgSMXLo336X/tNLdLLiCIN9xcavrfBaqJs 1gcFurGTCvAY9+iLMjtf0zYlVJu3SsgueWO74DFZbubOwO3I0dzrOi15K3k/dXM/ycBzjYwksirh 4sZjLaJNFaTpZzlV7gX68AKHn4rBFmq7m7mnTCxxDdie8k3DECxcty1rWSfk6hz4ScBbiiVhWcjI Ca6zmlO0jFY5tZSilEBHljMq0i3324sJu5iDy8p1VQ3fEzfO2UCcHGQWUsGK4RzEG/6ahLUlHVZl 2pmsO7Fzb3diys/emsxcq5TEUvjBjNCADjDwD+bMNUvf3Eo0awOheJcRbERA/MsZfz4Gd1cb1pkS iY4QIBV+NcWaIhvmv90dnj0OPoNuv1n3E87V5FjMTerBWiyNPzBg2kiSw1rNpTGUfFNn8Nzp+oaa IgKTwQMqv1XcPk6V/sWI++sVRcRCoRqOQycP9PZtpz7gZmtjE5ymFyqbPkuB6y95Np2+1RYvVKqM vzX5jplCjLPyjU5WQKJPuseQluc7JlOuYLNb7E+pE4o9A6T376U2lXo+1QqVavf7aeDj5xlfFbaV a8bsM3YokewyXVjklLY/UFkc64gTswEG/rtpkq9wyzvynvh+fmwhwoQi9mf2z9kk5J5exS3YGvDG C1dzr2McJuSVhmUhy+qinZAd3MgKuaIGR3L7JKrbRjYLVx9s7hiHTrHp6E136pLUYLaQqlxUCfik +qFWQ3n7dygXcfeM9aQWV16ZoDK/4hHucZPZ9/IVbqdqLGrOg+5O7vm8olvbo3PBHJyDjrgfw3g0 PWxfTWwRSP2pAJRQVVSxVhF9whXgJI784B6KPl58bjkH/0oAP2b/rWTIPAbunyp4Zq/LN78wNbVV aEiVmmWvZP6vQ4g8RjWswHWRrbTJ1zzqdoOvnauD2MHnknoCzH1eM8UiRfHBiTcIzVWtw3QyAtbg rifCMbJA/0+XVBtCLYyw5NQzy0WxGUvTJ4qKB75Y47F9F3Do8zPa+/uJZrkAG4saP6oi87aV3egV boodXUuy/tFwiDLEBFNzzFCrpyXafnsm8wL4p47GcUJWJx+Gc5AflHdVZyQWEq/UF85viD28gQb0 +TSV0Szxb9v6b0hD47TJfpF3mWxfcdyziKIAn5V83uHNMzRz8+mC/zoUe8+/lEaEdBMVXlJysD3b tajHETgMN+Uo4Bs9trI/q7lCJBLq1q+whbxPJ97XjuVSqzuGKWJGaVsntug4ZkqqWuUBSSrZaZXd MIZZQumPCOgfD/SFWx9Ul83/YD9AudDkNBTGMhycACPhMhXUF3z3SML4Q89WQjWctJUtaCzCRNCt Np9nowiqq1uJZTJ5g7mcEZFgsNKFudfpHt75XN6Y8MNJhYXeBu21E2h+u4QHqlrvTjCigpxWCZ+X QrIZOc7vYUQ0AS2cT9618NYZH5SMS+a9/SQD6CeUkHHxVl7fp9gMBdsnjifmLwSZ4ndfsOIDGfYh xhty2SfurxkV1P2SzjPH1uxsqHlIyO5x4gsec3KKxoXx03LqVcfsCv72rkb0EMzcambdTlSzx3s/ LlJQXQJw+F/eO/K32apWuKleM71id/TcavX6xMoTfJ6Kp21yXBfkiJaRI84jV9ZdnUcUIMfWhqJ3 TEvWfE0934b+TsQGZu9zQK8J9GlszQKO6ydYwWs+yX5mo6J7rzYAmtlx7mOph9plincNok522vw8 S84VW8AhExkDC8Eku8ai0kM0r/ucbfEh8W2BEF0qfjqBxCoYvEF7Kis4ODbwzbu6ffpCNrSdQ7E2 zr/ko5kCfbuC7VYovOKR/wKKk60p7g4lyuDTY3zdiI+Uf+SxaokURExKeYoywGOSbYuGuyd7WHC4 x4SNbfe4iKTp0i/MsVx5NstD6OwvH9se9jOEVXcEFPcfX38DgrXtBkLl7d1SVbdn0zAme/7Kstkl 0GemOtj+gXdCk2JzlH7z2Bk6xs8jtIJ6BJNCxmnppfAQlOru94cPC9jw4ZbJNhBF18q6DTBV32JP liahFrt1ihUAPehhb1fQqRUPgLKEkSG/B16QkBpsjMuAnu4gUR3MHMrbg5unVWuel7UahKNTFyep mR6wTRFKKJiYlq9XDGWRhVr0/z523ONpNd/5XSkEmxzaPaAdG3tusEZyX+CcbsdvlFyIESQ2+ul6 ClsvrINDHDPSE008q/T+3+2MLbacUngKRoSn1JiNhdY6AFx0ki/ooq1SmGFmiJ4AhkDaP72TJytu AFEAAoM6yfiqhALM5Z1hxJiAu1mqoTER2cgKafTPgCn1MEvMrN52crxJrLskvgoo5J3nv/8eIy6v aWa6FhniNdq6YDTR4ky939gxkZiuXQpn/xC7x3eY022u1J0ieSPF1ThiOCxRR8tLj9m2uVyVkCB0 mlfrNUQ2kG8Vck0wzlWYhiG3c5WSfFZY5Ir63HqWe63AfM538wLp9K9kARWzblsBuhFBn7HWHnN1 6Bnt4QxjSkfWIlDP+8JLriWOCPIMRtBFAPCgjwWAeNiZglW80+m4AuXDs439CBKqHrVRAf7CssdM 5/ubWd/cp1ybT5yXM76MF/m0G7GWSbTy5t91WgmTFIMwrFd19ufb61WgLLWAFlLX0zd6zyLmjIG5 QcAqQzlezUYVGNktHgRyXpoWjXnzdkm9xiTtReeLjjjqRt/Sm1qcpNI0FKsV7rBH6vGdOd2IraAu hPqqArqD5tpepkSS8WJjR1tAbctwI4dMbmyKZsNyUF9jg5XccAly/se2RK2NhqpexPSx30AW2Bee 34ls2DtO1zQ+t6mynYDOpnN7AeIclx+4PkGKP4mH2X4yrrLIf/tXsSH10f1hiay1rbWCIGDnnWAr raarRInzROx2De3AhhQWuJ2YixcRhUzbfDSGxe0ha+W7TzPv9+EWX1nYkmwusfYrXlLKj4hsDfxQ fvrn/pTuR5uKS6jm0OtHCdpM8I44UKbv3Tz/oh6g2GZWYJJ4MEkockfZVqUKfzpbI2kswbFyptDv hkeQeUNsZrDP6JRwdYCDdMKz6vJVb4RVK1YmpoXCyp5Aix7UW9ZGC9fku+fIv8m/YBZCnOV69fsE b6XymLXP+GWLn2keA0zxkFFHnBaztwMWr6/a62aUzhI0LQiqfNpi2kYTFcLAOet/CJRe/kaoaYmi Mg/VCtpUXLEcLfVXUWDwifCWv3E9yQO3yMtKAOWmXQWsOUXWBdEKpNBFnrh+JeUEXSxhmEoxtfAV 75Qq+i+kbhxtyJ3OOImRrEslm8JahVyEDl1dxpG386ttLDDuQHr5a8bP2UVufabDmd5yRuc1q9lA 27Pf1Zl7Ssh8mSqdMzVnNGLWSyWhps6pDm+xOi2/noL0bDem13yfUjHfy2d7dtcwKhvO+o7SrMDh 2GbQB3+jOsqw5Mrx+g4O6cbNHGpdSXRaRGbpkSQpsx449X6TXR4swlIGFIEpp2bzRQKMgOKIrwM7 S2S2T3vyitI2/WLGWTEqJ3CJEOYfxLyWBqiXUBYAWwd74QUToZMMcRqPVaf8oW3P76JwZqZdG7OB zkWYPPFx8heOQoKasiEk1KAAbZE2WzZBaMS+f97v6ndOanaqfT00q2GMGl1cO7jzFVnpifgqCZQv 8pMq19rccca2DFiSP8mQFqZkweEbB2EZjh6LxZv1aJi2WEcHzXq9PctUxeVpPghb1CcSjBaRL9TT J5A+Jd5ZQ/FrVmzlxLKKtkGbZdVpP+JAp1j6Z+bizamgW6ofSLsHy6xQVuUATY0C1yyx3CZaloV6 cLbJxRC4MZXV6rrxyCx65lY0fz7yIHs/DuAHf93s4wMcmw9TIvCe06oL1QSPqiED7lhbCIb3apUl c9zOZ2lCo5Peo8z8wEvJO4/LRbUz4SY4vZ0MzsiTNJR/T8/jdxRdUahTgPXs90nJhvsUkqPGiamp 9C0T0B79GfBdQHPdlAHhrm9Tn0Hm7K2ob4jdbBwD57Ol29NcvOFKg4PMhiB2TDIgEunGjQcfV9Fg dWSIlvJ8uzCqP9/XDyxksnEdkpWBIC1vaRzWTf9XdNhzxeuO8b7RXK154xBAkmeKaPm0RoI1K/KB 4ySC81DCcWmISD3el7jI1iatDi53Ne4Eh7zd6aKypbCRJRAgyotCvsO9cOJMUNxGPs8PWOy5T6Qj /9R6ckxzz90F+5Jkv5CUjVL4jh1wRwNAGdwdWGNXF43L53VPS+uLwj4R5QlXE9mzssLp+VTkoP/r Q7e3ckQD3xClHjyI9WOjlUo4BAUY1QFywlXY5b2taisNSoBXkRFmm61Y6+mFnHuW8WYJ+5LK2c2B 3iBetpbzdR/clVOs0kH7py5qLLqvmKHMpPQ5ov7/fRyQ0+r0ARAudRo1j69i93tqK/k/IcQP90eD 64dODQ6nGk4kAHm7KAcNSdPOi+wNPelb39frLVJSkOGevLnXN9TebEvrQIFr8mTJyIgiMYPwYlT4 ijVI2wQZjsRGhvLI7TtNkSQEwTrFVKcgxVgmZ2+E/xFe9KyUJnC81LlRvU+ldPSkulF1dcgAWHJc m2SNWws4nx4oxJheL57FDYixlKj1AaEOWcy3ooxjQfn8oEnua1ofOz2/2A42v/IMrXlT9Lu3yTnB MMDyACo51toZ9tE9N/OpMaHE7St0xEs95FLXYkkgVMzmW8fBwwyIuPhQ5zux8eKkG10BCETwSYsM 3+U2Uv+1ZOPc4kSdhloa2p092JGPDVAuIK6d7JrRSg/2x+UHGZHuoq+3gMZh6pc/qW5Bu2HDGVAA cpgt/itP1yQhh7LN2rL2vHVnB2iASN8yFzobYbEIsXZ0fW6AKoreAIHcgHiChGSoYWnfZMy9d01A bM0Ycbj/EV9WQOW+3N68a5rFucb3WbaOv/QruMLTePJ/+1hqHdyTagpUH8oWKQGRPdUkRuUvm/18 EvbVRuHC2Z4cUBE+zj7A+NoINhZpuKcYMd8iOYXXz7MWA/bUfdONQ8WnGWvv31jO50oTRR2nwn1H wmHaK5fwhyqXfMmaSpN+6YQ6Zqy6Je5/g1D9GX3xELp1xle5KCEX1KA9yGjO9zAwlL1zYSNHvSki JlwNtuY08bUOMLKMUqxA/jD1CLEamW4XUfvt6qWWXZhKKtmjoVxHyysxZqbxCb7nPR6Y1GMGxtla Ds6W3Gjkt+oSl33wrygwbcH60GB/peHZdcpu99NisOFAJXhHrBZaygoh+SATOs8BbFOtuheYCusU gwKR5AXfudrRW1xjoIs7u3LIzwDqa8zoolz3rk8rwQlKtypxDe8uXnQ/wujzwPelePbS+RUBzHrt 1Knd0x1kEtHxDuq6Dvp7l8t/HT40B94poLy2u9XI2TvT/VL4x+sD0/jJBUVeEO7aBlnUlJXTVi23 p5J9a0yR1uqEXodCZYqyCl+87k8JwTNo3j4t+Q0zob29ZehU/3iC4P/cVpTSrhezAUuP8AUQO7OC 8xWvZFGvqX59TPE4MQ2vdjgo1UTCEgstVJndizn37pQN9I3ZDP342c1td0puv479+73vVh3cLvpl XgAr4vzPajr1Woxz41mHYSp8V4W5o1Zo9ilAS/dUglrze65OuRyl3qKDUyrEuY6jDq0W0NdBCZj0 gDTYlZjWtNT7gbqTHlLpMTxkgkr3N1IpB9jZdmusn65d+UeVr24BPserUD+R8pcIdA1tzdsnrkM3 kaMWECa0bzyBVCIU5L84gT6+SgGyqU/JCWALDJzTs4xuAXwtnHs5GPPJDDHIq4/RCo4En8/yZY2c palSQW77Pd60VZyvomqgPB5X1QSwin31IM5hI/Z8xYUw78ZjUpJKw51z0vP+WQAumBv5moIf1c2s Yf4x+SyahKnURK5gqQZSiTsyB7vXMM244lnouyp8BFKSeOvWo6oJHkxztSKJtvUU39tkiqPPJpUm wti5Q24XIrv6tHX6grlm8LYEOG5suiOM0xfbDJYeYx4EQn1xUR9AwcM+P7wuS5MpjC7uAjFVsulV HIIO0rz8wINuCCk84th9LsF+32obNCNs+CIY7BhaqSyctEtrJe+g7FUBIbhqLOFA9hEQ7hDcsegC FdBjwXcBbJllaruISiRKiKoKJzUDgL4z+Gvk7fspcARNQpS397AC2adqV9G+UpF+VOxaQSRQ2/35 7OLtM5yMRUCHaWLIYikfpWJtdjCmv9w1ATwCmJcKS0PMBddTMiMvuqxU6o2Jt93iOL5Ov94MHSJU Bs2dT6Ck4nEdZRTpHmEXmW6jt8NvOdPElxMjpRUAZ2mZmDyMNCv2mOvXVaKAGh+kBkHdwVvJwNEY Kcc3NUuoFTxXidkBRn+QnQ2mmNkJJoTmhN+4d0J4YKKZ2zeTVMPAueQ9lenbNWhp5QAc6kKyl0ic RPmzeuMFvs/c3t8j7mTv6gpPE4m+5cv9qS8LHhDd9Yz74yHQ0+jh3u5SWXomHLiFMovrDd+wYpeS EzQ9RDy5vtfpPkEi+XFfyzgJd2qxQc9Iac3zFuhzdsVyNysoKYmGsy+5hFrveTIoRFzEGKNi9Zma J4A4KTLsioosG6Q4S47gBcw7BG6ZXwm1PEy7+J/3zCRna417XKuslUMOL78TKD5rETmplVDhlKJI qMt7Q2vluV3ZCXCmNqM12RyPbOZvZ7xWn/+fB/KGeUDvRQgUhfAH8q3HHa+Wv9Ug1cBbbLuzIi0s mHiBZ+7yEABio9IIQjKPGS7pIMzhWnDNDwbMsDO//LbpNF+iQ9sPjUZdn1n1tcxqjL9Offn59vEy ks+HUJV7qdzZI29jrHLFy3gIuAdslZuyvEzc23Hl6UaJ1f9YeylynNZyxBLkyQHZv25rww21SIgq UwfZrh831rcZLBY94AWE98mueDG6+UBHF7wMMrQDdntj/SsAw3Er7FzYP2AycfngrjINhGoBcCNR OMdmBNRNMrMsA7icgWIVGhX1eRWDTUpxE5CH8AG7eUARDtsCGlBzyt0a4zAUiZHaAbssjMX8lC90 x8XooZxs0v7Tex9ntgaS2DXzwkGIrOkIKTKfnz+8WyQBXe0w/iW91SyIL+rZtmQEQKR5tMS5NpMe a5PlQSAq/oBrryuFEUvdkq1W7tpwKqbHXGQY/Hm/IKE0RaPpM0gS2D+gwoAkILNQcqOppz8MlXwH 0h0UzKWBQ5EyqWprH4kOvLesukCIBloPVQIs8h9bcSiJ8KLtNFtXcy62pv+Bnl5Q4CqbLPFral/K lcFi84sgdUZAcjqwluc0wFAWC2M+eY3abKlQNKUhEQhaZQqfmzEls9eMw2a2DwVhI5+RJM2Cf9lk QTT4+3s8UXyqTKpoZdLWkgeWfOW3AyXc6onCVTtgP0WfWStwloh9aeIQBVdm+mrZu8PnntVNUWyM a3hENq0JfSqcHayxFKYdq47+JWAa+V+7/6TBM5cHNRa56tv5NA/MAHb0n/OHY7uEYYrzbFuWVclo XVf6Ta4SnKVAZzyifRrnQr/OjRHisIJ/xxijzJ5hN02ZytidsIKhU6kiPrnj0HEVzdiGfcRDG86R hHUiUd6NFVgYN7agqegNl9jS4KVBE8MpDEqrROrUmlaGCx7bAVqV75x/84QRBLT2v+QIt176rbzU YN20akCFyGMT5hAGT4ibP7UAAsSOUmy1F9wdt7VhcA9obM9ao0U8pH3zxvKXyyxE6OP/+LI0I6Vs mv16x/zxzt4P9pdKng3boPntiF3h+vk1DXxDYRYHzthnY9Di5NZDrkrdTU/U8Pd6moyquUzItuFS zqOOjdSQFrqxC/e1zFb1zP6rusLX+pUIxLXoxwk2Zzw+k16/SrOVw+KGNwygUjLqDSlOutmy8wXJ n9lHkmDMD/VOpDOA2qFgrZNMJo/qQsRpNHvVjfwBAs3wveECmbwkzA1DP0FY6BvsFLaWT0jDZpXA BZ3afYIZ98//k/ZoS1cnI5Oaed2i+QzJ3QGQRQhU3mOm53JFEhV4G967tD2RNeUyhlULOFVWJ9q/ zGg5JAFuLLRjQ8CtYa8QAwETKQe4mN82KPjFCwbBIhXrygiXi5gJ9DIIK3fLk445RnNs6GWgrs3O qX/FupWgC+2G78v1zrenzHzASb2Ar/jnA8IdexdMmKTo1vUo/646JoXW5I7ZmtqswNsbaaVmL+DH DtOgQCjIPwBTpK9cJn5LEcN4qUeXqcH9ailanByRWjiyC8xbdGKfTnGht95UsH5+LQnhR98LFM+U 1Rjy/DptBoRA3IVUFkwQm3XoR4P0AMSl2+1UYUjts+Fv9xApsgsgrKsP6VsNY4MQorJmTMfLC41K xw8/0y+L2ZgMZOfD/n2mH93wq11UH8HpdXSF751Rl4CFV9IbLe46BvxJY24AIMFHpXUZSHknHKXd D9Rtt7tplyejmRXasNm/uu9KSYAS/P7LFLimxIH5V5YlkfdTcLhbqfV2RGzPaJ6AeuyLczTS7oiX UaJ+ngZ7HC6taZCgOHYbFsVS7TzyAHE2/fUmosJIhKkTUzauAGTySq+qLoZNZ5o22qG/UjeY7sgE oE7icicMYECir7htkL904WhPWeEya/i1CX6rBbcqxxnVKBV26YoX/YP+gJYKSZny6GLJXxz//Kla BQprR3gZI25eBjmcxDxlLVcZOMO+CZRbK69kO7cP2w4Itq/6RjifsYBEzQzhzQ7dNgxQJk0rECXW 00Q25AMblNz8KMQv+Tf4vCEp3jdwCdFEeM6ta6k/jkW3fwEmvqZurYjXIw5d2/KUaUP/4hfB/yQt h4GQO94c+PXD8IY0N4PtGZ6SQ0t8mzVYFBtqGP5FwEtxTOIZBSXDl0JO1eaRRHYO/4uwUSis2Tcs Vo1wQcfF0pxSJ/b+NciD2XNXZsqck3MzbzC7WEBy9UVi46LI7xUSckT8H1yha/zNutHzL/EYuZkq AGOkYvrhYW1MYO5WQONnsMoInivVZvX2B7lPhDr278Pe+Dkak1oEtqsOURik9V6qeQQn/de3UUgX OXe2rwFqy48pLbSckatJAFepl8cR8/iUCXVoKY8jsz0nS3lNSHdCbG8jVGXmVfRJqLu6BbhU382J UHWtymAtjElePVrswvyLiHPSe/DaWka6aAQwNuZdU/YnQ6Yp0B9kVCkIDZFlQYVWRi0KsI+l02Rc J8nBE3Sqq88KK9ET5SRpYT6drwO1fIEUzRl2SqXQOilfTcWeNWm/z3WTeY1DhM0pFPfHMZDhP1Om gigHF4i9w7zS2VmPXGCAzijrEwcqF+2u4GkZFweozXcjcHpV8sY172lyHMvheFHEHl2Xg0BvnNl2 EWF4aY+PkDcyOnJaZL0YUkbRhS5FTpJeA1rEhemKxvVUUc0vlot4orLe7zR9lAGfzTS/1ccRJ2UW 7xsZt99mqVCeEyf9KlOBJDwD9miccq+8KpGzxT4es5RM0nSukakTqiIOubdMf4nTkbRR9/G4UqOQ amndfm1dmzer3TcnP7qwB6JWfO7jKEAx+GRH58UxMZN4Yis8STKLoOCLhHGmUcDe8eeX9qdJg/8u DxKhh5ApmaKVkLMSPd6uy/O+ZWV2CjUabVb8GcGXrpXe+jZh3EoERMbY99guqP7JldSTWh4nFP7/ YFfemXk67UeGkJzeAgYYXNBdjBMhX/x8+SpppUq2cV8/galpss0IukZx+OguXbKwd8KoUuDieir4 lE+JVbEUqj0gHh8PtoG8UZba1EVrsbBJZLL4WAE0rG/7Wk0BJGuK5I/WPOjSEpIekYVH2/ZF7gHY ZXrJQoOw+EKs4IeVcmTb7iIAc4158neG9EV2DwH8jX+d/NXlG0Hket/jPtze7UU3RKWK1SrOuH/p j0Nbo2+CYoHpDyOvQAiMSuw2/paBJtbKWReEYaSVbM6u4J0FXdcK/hVw4JhEmWbr6UWcIrXY3VLc sd5P73Ee7m4CsyxH6VXCTjH60lbXJxQZX89j+QnbXlNgXpcajWkg4fb8Pg9o3ht0q+xIto/jwwDV l+mEcx8TTu5Ts2KqI/dxx0SLB3BzuSbMb4i/rv2IEBP1sfiAqwfRrsxBIMLw2irf7UEKGJoKy8Ms LLektyvSUyEXRSb1dE4RO7XovGHwO9aEAW0JeqOTmvxQxfd8qXQNUCbQeX7cx7bDjX+HL3umPXy8 QO97Wq6K2qUQHX+yWfyfxao4rvamZMEm+11VugutkVFQYIg/srBcsuGqZGT8nwAIITy3MWgTS6PV CthNHmLo1fTBdL8wBqJsSzFJzq1bcWaMapss6BxQjmpeFyRVMVtA7tQixrlrvnoy5WjiWz1pgnw2 ZYlBNVYMFWmC2JUHC+tFNKexm0nOss004hWcQfUgTtCUQye2GYl8f8pKlsEYwrB8kz17TbSIdCR5 K/6WX5NutVJwHVp5YEXjxB85c775wa1ciH+mOCUtNe2AFoPvfMJLhVqKVoD0DLNNS54Bjwlypvp2 0BE+yH3vaLTdcK+5mZB7OsNK7CIitYhnte0yGVLsQrWto20LIHkW0cWDrwHHpNddWFd7f3fHsQh6 1dty1x3Lvm5QBLcbRd1rFnfOM0LJr6I+xU/6AETNJnkJC0Ur3oreA447iLQ/hFZ5pFQt+ROErGk7 yPNxAC364P8ypjoSxRLYmTY0T5Zq7GZkeaNu4H3W6aZsESvppIvdSuH65aRWPzlVxWPjCxHrhAFr mcP6ongX2MlJzfo/W4Su6FOa6a1Z/371HKYDSEzhgNU/NR16Rtpp4Hb5Jew3gJCwjYPS2HKO7D9y NiP4o+Z5QGIRZ9FJIpUz2GviuuQaKds+EgQeF8zl3srLmePBXbPv/VXkJ33moiC3vKFCbTppieWq zCASgF3gT7mKsTA90QEyentf7AT88ZvsjfdA63uARBlYVQ3WxNGZxOkEQbXU25oQM8W4JYfceXMh lLV41Du5Olxd1qwmzrhlHJvHjiniwdThcYODKwwRP5DhB8OwOcNc3bT//v0gIUfDHKZdfq2yVc3q o6z9BSioRAKC0n/mSW7xYzXatMUF/l4QX1mwWLYzRHVbZVRPTdkhVHpS/X4Bbqoex19DXrnxyh4A SMIPbePuZrSCl7cfD4Qa7XNGe14LbDttasI16RyyK3pVpmzmCFCMPX7hGtJnNcl6wEgaYE44p/fY gQufSlwPezYKTEs4Mpcmf/SZnHbKo9jkC8Khxy+9pSGJusQ8gLFo735FV9GYRIMOWdc1NmEhqp8N zBEpJoeCi96NQUQuNubyW/SC1mDL3VE2HJ3yVbYL7+q4MJAk9dQpBz76jsEKuPYuroBgephmStR0 tYj0Oeawez6AZLcijXvOrSuVXx2bRD2tiii6Gw00Gyl5JaXBESNR/8MbtVwSygD10ukIGUfIErFi s5MjGSopNBJ8hOT1+SIIj5YKfsz0U3OJqLJkrWryiYLiTjP8+S2EWkqpnFTZJReWJ32e9cs/XPrY Sj4Ou0OUb65LFjeADPEw2yIw7CQWfjBfMOiZwsbcOGZEwI7GFUbT5/yO98oI/I9vf3lG5WqVHY4g 3rmGFiV40AbOOVSv8V4pKIk+ROBOA4YVZoYI3TlPAmufkUgbxRSdfN1+mD6uInOFon7PtDuaoFxf Moj4Vu6uFHlqZAUTmD9VL0YyaoEIG4EhHM+Gc7erSe007tB66I52B1ggvqffhBYdVAQxUy4W61fT I4gGPFrp8ZxMQQ/184/p4iu9Rc+0Cj6vwXq+L9JSPmt5X5XXUPLTT8KlX3cYbtOvnkmE7tnGkj9s icEnzUmqq8gamGXU7XTEwzIKV/pBzqNe3LS9mKA9TxZtyJDrn1xrhclhizu9SnOcYnGPXi/X+XnP ln93mUARu5E53GAWSxN6/DduGaRwYpxaIe7htFDCrj5IeVfNMWhNHmsDRm+DrG+pPh+PExWmSU3I FnjYwcd4kcBEf7U8wXgsP43QIs7B4Mx/T1PeGxJAXxjmYhb7M7CcuPpPEi/tSrnskrrq6fkU3UU1 iqyCLPVv7GIW2nNhOrLiFTj+WzUGbbBCgH0HLOenZci7NHSMfw4r4ei+ADiJIUIj7P3QSSDPmSbz gcpUgJragnqEAhcvSksRnDueihTMr9sOYpuSdjP1iLcEIhF6HIrKHusITZrziFrRGGFDs2PGd9gh 8et9109/jciiGaJLBLJXi3UC3Wxx9/1A480OjcOdH1GvAGWEnZNVyO1cfQ+mcCE8ZCmacyHCFAkO KiUyyOzvmT9rY05dm98s08xt6qU8gutC8sV3/2l2TFo80YqHNjeO+aKdw9uGNeD+aIVSENitvpt5 pJ00lJBFyWlG5vWAmtsHpBN8QIUkFzIdauc4916W2VWVnJXznRVvLVlbGr3lByfMELDJpoJlnLPJ TdqreveBqvErWrexr3sp+ZcPXxMIpMziN1PxLazuGNqslvTetUh0Nr56egSFFeWpPMtvXQRlVY5J 1UXrP4SBmfq5cDEvSmVRGihDX2DSMeO4t880XanUvDywsSvTBs2704rfNg/WwHWvP5obSoE1+L2c QSTqTiCRvAsIqo4Mz5MfU9n2PRjJrn33Z6KGT68u+WdwxvnMftGNrgEDzbcwjNhfdJ+GUewKTM8b cDE2LjDsXdNop2fqOZGHcf5QLPbCv0vYa//tVxI93gsDa3hocOIWE0DUPQHBM9b2QX9Ue4QlMNNI v5vMAvBGqINo6dD7HowFO2f+ETgz3EklnlTzfUFyz3zh0LlYEAo7jtqE4CZpNNztWatN8d+F3SDm rYQhoXld2PWOm4ftyz1bjI5A+LRajLhPdzsWlhqgK0UQSQvKblqtusvJD076ON16WosPFXPlyevU UVk96rI3SYF/UZxkeZ5+77jRi5oIKTjsY4zb26qSvPnBoQOojemxVfqS9rtcLVrDZCsTw9KGqCfu AehclYdLhGT+934XNYYtmV3gtt6FW3vZjeyhsps5sA0D91lgt5esyBhGcwqYWxdZypPRjYHV/5Ii 49/m3e0svmlcXpfm/s+YMLh/ZayiCSciWF8fy3Mid4jQnhLRPvkYc/ZLx8hWeqTvsiTX0T5b99bY vb5cZ6+9EHgTO3Fn+6L2AK79obQO26S3MJpOHdloMQJW1TlAHjjGmkVRUerQVmAwo7JjAURRSyLl oNp6pzAbsV+0qKvBkVYDO41cykKYatm6tjCZ9FlOYCTvy/i215UW5k8UmMb2q2k8jYuKtLi9Oiw1 sTDRM69DWjbheZ4D38QFAFbp4dPEgVCikAGjmkG8jBPIkG7n4FoAYwt1oU7URr3mFR/siITknYCI 3A3tXz/AX30xnGhmnXWfYROMaCi5nHCmXPD4FOsALyxTuIKL1m0ir3+L76l+VYU2EmDVw4ze15W8 EGwD4Jb0N8ueDerPfoegxFJzMRfR9QFlV01tI2bMKE1Iba0v5FcuhOf3DSZqvEvYxHrSu87svO4H y+scOQwzSGIp3doKmcFib84ft9JhSKHvxhaZfPo6mgIUJWgcW3waiIhMNE6Hsu207SrU4fv2wU9M knOgcRfjUTqkZch33B27JqdHDRKFiK6TKWxXFI+fcuA0JUqhXfVsWz7wwfKNPTHunnF0uOCsrcQM uEjEYw0MECKdjcDxwPxGeb4Gkd39GUcwaP8Xqz+3YbJmUknoSDVJWgCL9T5BNKvgCnTXu2T+jGLa t28Md4FFcWMZO6jcopKW8krU3E5Utg34tiLMTdAi8pZDgkmKlGCkHS3oJL390adGFJXhfmlv2jyI FTbkpskpuuI6c1t78REjYBn5H8y5jLaHlVxmTL9kdt/8WwAvYQQRtxeTUWcv2UpYbrDvZLSU2KGA 5tx0M8aZkCW5C6HZDEuDe/ztnw4u7KFQeCCWGo6GBZU35/e5EArnibLiJa6GoaLIi+FrVXWIfF6N DGeyFshh276lJcaTyxhhKlxBmlz6vBiWfNsbhYhjOmaPMqisrKbNT4Ij97IRpQnWNsOZYymA35jf /rAhaEi6R2ButI32r6UADAK7IIkM73sJ8oTwpbJnzXVzhKsGs3UGdgSiepU0b2r/pMM9MX5kn+IQ MT71JO4nh1LcM5NbM/vhXJApWXSeS3T1uOerXgEyGQUTkqcx88Y+0dy7EMLqEinYCb997o69GE4l /UJp9IGyeoY5JaV4+zKJYoQgATXZ/3Alz3xGpDt90p/Wn7RaHIIknCkdFboqN2CSbF6CcSJqBTNC prXiBYKy80QN7Iyfn4d7Fdv9mEcr73zQXX2jkI5+Xv0PdI9RPFSIqETx/2MqHtcNmBgnytgBrFPE sach8rNCIm1VsdJqP7ACOOO6M+M8aOQkpLM3+gC4UcyYNJmpL1FACzTARScJSZgeOuWeSKS4IGc2 d/r+CerlDLKM/otlu/3EcpmtIUJ2O72+9dY+CrChiOAz5ZD8KbtEfLley5w2ImPZSXktewIDV1i4 Yqn7xPjW7/j4dL0WnRCvSL9X8SzF1yBag0+dqBljR1THqxltjbRJl/x0K4Zixdgx1otx0MU82KoH mfznMqXGdwkWCj/D3Li77sFF4GHpOasXfkvFPXjDYf9rjU0TcGzhZaXWPuyNyNfTVl0DD512m3pL o+UwgQfRDMOLgMxaqW0VJds8shBcTEVhzXE36YkPS6QiYaoTqDD9Jlpyds3UelhsBDotm9WKuzjQ RIkHt2/6qhavCDKRXM8HtMb2Pily7s+hMFgVai/Uc9+bw0JmWyUZQMEQdV0joHkaur+RYncYu60d Xqx0H6wqlCZaYtR20VDzEpFb1h0OSwmLAeitfP5/40GgZ1Q31pbXO5jz4wdRssOnPTRFzxOJTQ4o LdLp1QEawCw4iwL6T/qXXxBF3Lk7awEHrhTtFl7lVg72TQ3ud0MGMp7GjOQ5DCpxRP6b9j8rI4UF DhR7+gIM+rmGQogs77zsvYKnWfpkQXRIZaQhVrEHSCELXDq8jpTo7dAYbOl3UiA7RJrLFwy9aKhA H6H6BlmkI3fzCRkvRpgcY7l+N0BU7KFN6l2VkysD92yLLGk3SSGW9yn56p2vWNdpcy/PJ5biooJZ jbXP+aNkRnjCMoqwZqN6jHksItBIJq1Q3kK2UAv3tc01N5cG63VZWP6wCaKVnVrqtWuhHjnyscru 3tUV64V/RM3lWUQaQ6x+DnVbipgj1kBxETswHFu3R75t8h4pN85g8MmEk/yzt5GVaq7/se4VxtgD amB7A8IARUFsgOSmSPteVowYGfsFXVpJjZg4sZstXdWWGHWQSb9GjHxciJcNTNNrVxtFwqlwI1zF Q3vHI151wm7sq9x0UEKAdAjuBzphgUPRwAkOOotCP6gMt2i9COExTRCjuK/KcKxkpD3Db3LQKYAP jAx7LtjDXaBq4rtp5XOzKB13+OEkCIA8D5P42V2CAT8hfWWQyN4OJqGybHYhPen2MwMaHsiKCl5Z IJktcaNAvBCaaw2Y6J3ZAZ5d/Z4NicbOmnbw1PW61zqkz8M+kJmkGuJWx02aD6U6O8eKQ9zWQUhA yr4oHakoVSi0w+dYEpOTnKLez4ZwEymQwM7kRSYhb9qjg20RgHS/47vTxpMN8HX/UjzSLFo3MJsb 02gnsR7LzVdp3/6Bh4gQkoxWaqmfk0FvkYpvv5QKLGt3oQBJ7sIOWqHZAq9s63LtPHAMkA6vEtgX RUhn7SGnQ7p3ofDbylspOklJ6+kl+LlHxTLI2s1TIXOYwfQ9m1NVWWjKogyXURWiTJ8klMa0MH2v BvOLdJJrwsXINIdZGuV7NZbsRp3YolMVXgMZD5FOEWe7w316yRePDICYiHxR8jFqvaCvlYrDEJ7P JcxHtBWEuNFEWxLVE3sfW2rR18GxwXm2kV3OqeP96mKeYA3xM42xxm+HJzk9pe+zlAeOdkGUrciT kMpzUVu52FnxyTI8ko74uvPn3s0habWm8iyxKWtXFsgCkTxkjalR/+7YAf548Jmmr91hKM+hbmw6 LppCfXBt8+CKiyBqQYjmCafPYpUuS53/Q/9bplZZihcPeA5x5WWPi7uj5Vt9w1lAkYYoDtnogBn2 2olNbh6oZKUZWySS9yQXbpA20CisADTeAn5x8XTus8OHnmbvkn1dDkWiYIJXd46G78urkpZLlYhF xhTO8SYAp1lC9ToTS6tFRwDKP0Oti/kHnHuqZRmPPDcHal0g9tOKaXL8Y16LQhOzOxcKLDLEC5hO J1nQXSyeCFUVZ45ssC2dx4XGTFeclpnSS0Ppjaktdo3Hrg/+Rj+/xfMF3eGVJE3lUckuJ01OGSk0 x32c+u61kuab3KTateR1bzdcuVpo0PfR9LDccLKXQDmyjvXiqKzNpTB/CnaJbfSsVU9bKpIrXEkE LIqgDy00olhtUDHkIBQKZJi8Osio3j7RDaDWvxJ6Q/5LazgoSqxmP5ESDRcyS8xvM/RV1XBlqowM HCKf53etseTeilo4vp0JvIkbT5GqBDt3GNmiJ5667CfCZK1Q9724+vZyLRJiX6Ld99OW42bL9nOQ /68gK7euOn1B0oFPTicTwVwMdvUNDDJW/fgjGq+E2PUG1Akf+yWs1mTrd+3wb994RzjJO1gbHyQK IeDc1kRXOyMrh/+XMvoE1krWupG7DVmOCH2GneKh5cUGSs2gjaOovm09Hm3Xa/5fApCC+7tGPsBw lBtZfgxRRO3NWMzcEqDPtEhWh6tzrKx0bsILFgpitO9pW33qxoeQV7+jK7KB8xfg47Ac14trWyCs 5F2KoUxBNx+tB26xQlFPAWHpkgMGotkzavg7P5wMnZuGSTeBJebT6DAQ9ydS5HXt+ORhPXa2UJzo 6/HG0nSFOoKUdb031ZChcc/s+8RKWTxXSVbRLCR9zqV9x82Y6WO3p0eQ5eIMYGz66WVQCc2by/EP 8Aax9RKRavV3KXdmpnxm2lCzkaxMgvoTq/U8Floc1To9zfqa/x5TVBCLFx9EHslUTAGYW0lMM7ca mh6bgxX7mJEZRTFvuaczUS7Jcs37UCPNtpR7uO4pD2WxLRjS4QZyr2clGo0sarHtbM/OskoVQONN bIJuuVLkfuIv69A9fqxapU9r5rsQNQY+wxj74iWmYV4ZLg/niX78NaVkuJsqOYBFYu3utKUPj9Lx R67hT+rdA1Rhm7NuY7OwioEBNo9f97aV3/ZH88RAK1lRDizbZA2S8EpmpJRfDPjO9S/mXWIfPC0P jtoPAcdCRSnlyNVV4kDQbEIUk1qeGd5J8Oq1+UbK9HU7YLp+T6qTfvssZf8NmdQai3Z+PUn2k4jd iBhwmaugySiM7Xtul6PKtUhN42aa5EJXmQA6i5bugd+2DrjrpVnZJbM2w4YB6oi3H5ZsnczD5Waf W8gflrbZw28e2a0qhwb9RmVVs64b0Q8d4VVP4II/8o+iRzmBqKo+IHHiwQfOv9FO3I028EhbJ9ln KnbPTo1Bx0Hds2opxYTAvNG/oHYAsKToN5olnDNS7ubHNCxZ0Y0Cqb6OseZbioaS4Xy7A0ynRp8/ FG6G0FW/g2wxP8jX2sdKSeB7dGo6n/35XNXM8kg1SUzrfIkwtOI4HU3wo+n/S/bsqg0C7WygE9m5 0x5/OLK5UM5CQanDAVUP0xw0D7fkDqoey9ZfU2fc4gsj96csZgEGzJCKNxLt2UYUmS/5udNjWSuW ES9mSoHRkdxVQmmBMFTozsDY6THJCMdahJtvG6BognQpWG13YwWXaWD9JHswNt7i3JpTfvJ9a4xj ADQ+07uXsuEPPKlfeRIMxxWJsbL6kaLMgVI94xOpzSbzJdfsJ6P0B8+3un0kwb15h1I+r4Gor9lb DT/25jVh+NQGN8XktfmYPJvwO+QM8JYoqROBjycmTcv4hAoXJu4VWMyouYUh4Je8QdyEvuKBIYNV jmOc6rDMV9SLRgteXrsIR+UHacV/NEzIDHnQ4v2ATazsL174W4P/MbW3gty18deRreygb4wNz+ZF X9h42F98a41T5Tslv9q5XUq07CWbWfjPQ35eS+k1bOOCIYd1PrcMk1du969hh7sYvmh66SyaMp/t 9125Km5AvHwImtMdJgBP5ogM3ml6jklQRYZYU6BE30tIqsRAneu5YLW1OglDfiFnE7zAXxuk7kWC jAu3iH8ylVPWfst1tfbjytOFVGSO2rRAZqIGxC8tBp2pmD8rbzQyYWShcKKNkla3/P+FMEZ0FBDj sTTux1mfPxHAcsvn4lNycSoltfuGK/dEp9JbYEBPEtKGPdTVxdddaeEABlkE59eaik10SGW8gr3d xuWIotlpD8wJhLeXc4kXUpNqwM+W9lzK/yiRWiwh/1IFdSJemoDfntTuDFsLwv/PjvAMtM00FYK5 sQcq8afxK2MTuEUq9HZpoZ7D76xTDcDM7uVoXtasgQH7NGnaI4kilUjU1O7jh67JTUvok9lkl+tA wwu8x/cUkjKOHqXoozCDb0iroFyDE3tMKMgki5/JzKd7uM4mVm7cEjbp6fM6TbFZEtTilIlvdRc4 gL1PLHIuo+EI2I7mL1kcKaEFLmTNH1rOH9OyI8/UpCLEfUcB1XQOZHbfO/YcjrsHa/3GAUvGvQjy gJ7WFjhapSddCk3ZQnr8Nl9wdA2dv973zmoBGJdt+pLsK8JxVGwI0+rzxW94+9YMSZE0pEjcmDzY B1addvGoLK9w5MmYFeE9ZskUX57444dwa5k36JcOgOMu4tGaGdaYTL+S/S4ASI5t3qcPCwcPNN3m OOd33XMcpZIYm5SCR7I/mE5klEWwoepqWXUwfAn5Yo+NVvLe9uoMLSQvr1jDxIkldDljpnIiThBL 8nVa1ijxYAA2RZP2zjvEe0ol72kgBCLizaPQ0wnuy1KmX45a+c/n+SmWNISohU4Of3XbGH3k7w6m R0QiszT6fKcjldx46DbLAE8KrQ3sneKvu9MWDM4Xw2O3VlCgcqgk153HpeYguMNQAvXvQX838W8m iS0WVU22lPlYWnSte5BZPxoYT5nzSPBgQ3nYOM+OZKAqNlpLH7EmJbV94hSr3wxMTK4iFa/MkzRx rzmCYNvsUzbAI02XI41N9DLeh3ZN+kem4ZLV/6uLtsCDuo8RJvk/cZ1lvwJCUOmT+NUu7LS01Ofv QNw7cTrgPhfxFLPf5+pVoFP1CYUMlYN7nM0GYaB90f9xOZ5i64aNFnTlySGIneYS8sVJvrvibpc3 ozKenk1ut6BOE2Lxe90A9dLFrISY97wPj+Wc2Ie9QkuNq52trx08Yx/x+CzVDHde9ddQ3i7e1iJA igVGdFx3EzUYQgciofbFksejzP5oXPwScWTP1Z59yy9CBBDGYE56FHCtVmw4Ig2KRt6i/FmQW4el FTTFH5KbkO2M5q/Bw2KkISulOxiPd19Db+f2RknKTaGGpz4xhnNeOjNxcqk5Wxexgmv1dEoXmPl+ 3osfXwPqvhFry/FbtuuNJM/EqVWUWuo7FOtFTIV2MRJOy1w6E6dheHkFa1HWwwgw3BSLv+ePRerW NMRfHHGyPhHuDzZ82acH3WJPUS27wT1BMu85+879dBeMcUxdMaM2Du6kN6TnQtPSH7kSciL/wOdN QBLnuLydBFRMTA+aog6J3gB1aLWcF8m6/kD9p7gcuhqqE0TU9grmqr+g/+iY2P76wS6hgMraU2v/ XHVzMbb1iAUwU8TWt2ARdA4bSChW+WTQQ45vngGr7eZ9mqT4bCjfh6UafWHDM/Y8nM0OGaHMecNh 4YZr0auvb8lJDoopy/sSO210BsuiFTjdfkZVZnnPkqskZFH0kl3fwvhDhxsFq/jUaVc1Ew+26sIQ MfiBKE+pHKU0igajsidTJWk2O64wE56BdvU3dCUe7Hd3Cpaz0mts1OnQILhIr7JaIbQv9yKBglKM Bl12uQubW6WrpI+Xs0aD24iuduBaArpzZzPoURMQVk76pA3ON3IBZ13XEA3OUlCMxLWBl/O+67xS 7a8m2LB4pdJXtHSs6PxDzFwnatQ14719i0dPFRg9p1xVwZS6r7rDxAC9vBXCPkq0y7StnjSMHjoX SgoV///XaE4rjUE7AslWF3Whl4qMbnjxLpdzj9vdGmEkXu86feSQ2uiNSjcrjowqYyYqpf1ucEX5 q6By2iPrnVI05RoC7pdKFlEH17T/q9cbDH1y02ZXg2Qwybo8xgVy1KJCKryZlU20acJZHfeop1iT zranV9p2MpRwZc6JKNIasWYnCEaWMynimTbJnqIMpnOkakoDPOE/5nxaeisyWFwazjdI1ki0L46e rkVsW44ga7nIfNmIJesqZzR77pNYmmpzYlGmGZWOjg++vhDYYjQHF8CexNrR+XJi0GhyQa/s6ZNP c2tIuW6EVF5PeBPle918l/R/6H3K+DvJKUjbpUrSDf3iPNoUgFvQes9OsXLA/ISfyazQI7qQySH/ rJ/53aFKL9ei2p+m3j1Lqtgrj1V97SP+X3KmNQ66d1BdxOFxQg7/kvguyozGrpXoG4E1eTSAe/rS hXrqOClYJfdY/ONuFrc42fw/8pCbpQ3WyYR0qyrSQO5GtsKvTMq06318nyIIsD/QkzOdq9pfR/Hg 30dMSzjY6/VOUPkOhRikCDQK39Oz88KJbcsOpoAoLuSfDWHnkFHwuY4CqfwEga3Du8ihaksB4YQr y/NAtzXLHlQ/klSYKVa00H25k1QHSHsFpenPuBP3Febt7CqBSBz9bJXDWuMbAOOlcQb2byS1dzCu 37HPi3WFQJWbyJd38PB0izVGHvCO5QRyJjJ041g/b3FORkDfLJMUtM0aXhajC59anVw2oliu5P5L BYw9Gd9op5JWhVrV/XWG2SS7bi2/GIuuhW4oGJ9zRf5cplEdMT+wqbaSKvB3SZ6e+bsDUIqyrYNq BwmDfbMFDf+nzPQ/il4iqln+yaveqi136dX+JrFGRR+4D0zhVZoBBAZxv/0Q8FE6D8b/QGpKO+Nq wSg0C2L9QWvxwiCUedEKiOacE9OTfcYyuJkTuGwFSio/Nx7DwfZNVj7kf3afOlE8dZQ93hpX0l8U pc3qA6yzKwzyxrvbUpfE5mJnHQL+0d0iR5D6Zi5QaQ6VQ0C3UK+SS/3apq9cLHtrqEoYXVZFI4e7 Z9UBkyoF43piOOWSGpBmhkif4nAgOGW6t4pror4VpQhQf2NML1IYMXanQtO3jpnizH8D64sZZUDi K6/5vKp4JUkA62orFi/xAFPJJFl6QD3FeUC3i+HL/WrhNjj8v8JyqBIQAVOdiOqZXaNlZ4TZZfGo Odc5XnYz/bEsnV8x03MLAqZioa9Dxx21EwqGGlkf2UE5w5x4YIk1lCJ45doRK07qCMXBzMZz5Ooh 0q+1ZIH0ZDuHvXAPMEaTsV7NZEGsjm3Rh2Fr3dQM4jN9oIS7NbLFwbZllWtltvIz/hrwNA3Mtq1m K0yspkvCCafqBFWIhK+EiG4Fvk8fHdW3Br5CSVv+CPWrds+CUJrJ2IbiUbgKEqzO3E87xHVpnDZ3 0stSbqGicBDjavdRNdsBaREXQv4SRsWoX4TY1gntfl6hFbpU+2jYBtSapAo+4XxK8kiEe2HU3nK9 hYyQXwk8EteYowDmOQCXzHvNtG6E8guvemljMwb5IxuWixsGoEk6xr7eOxF8ERfqo0vmo/LslIZy t94mRWNstzlwTquzC9y+0/UI0gRvs1+FhBUEG0ghCayww7KVP60t+nRdZoIBnHpuouhWUYAJryre Vg5uphqHcxG0VUqJO0U5rfFPC+az9T2VweukTeYtgzkZNEkHWispYzztfseoAu8rPXCZuu8nLw7Q lj5HjM0UVvQnkE4H3sYfn0paXRGhWSqHahptTO9K6erNZGVtKgXxetdPXDUZRGJBJnqnbOshT4T/ Q7i/9jz5LPpyWEVeZGlti25eYEwp2BvbqXN6qcLX8wzWB4xqKSIO1uR6G4EcjN7FVlANEHZp9Khx R5mM89bY2QQDEbivEqIKscuk27ybAPiTxIdREKxMpjWTsC9DyUag9b4xr6GuC22tVi45E2xD1qIp kfYfk+9x8nA/EykSat0WQJLLStXXuSCPcUx0ShD71SBwiMd0mL/noihggFjgYOMpc7GwHvYoVS8K w3cVn5dpb5pAl9brw92LlC4o8OqSo/Fhjv465UIGv8a8aXwCWqAZGylnOTuIvdOAgtelJgiteOON jyo7PsHmPboWB4rqJSSYOasJh76APhaktCGw2u29/u/u4AzDuLH8FE5z0iGYllBlOLqdGPJJxVrv aInxoX/82eFLi1BqDihJR0pHs5HDgOeFnvkufdAaVnCV8+Mf+0V24RZeeNnyan3AnBq2kUUpjIPr SQfR6QxKT1rSVW9Swo4sgunQE43F20BakoVDzN62jjKh/S6YVentFmsXPQYnwjreC4qCwscl0Jp9 5GgxOqmy5aoA9UeZ63xfVy+CrjtYvfkCq/BaL7R2JH03Uy8w2/ifIc9tdZouIA1sP/vALixj2OHL D/iSdSyfloE3pzMSOf68zXzays1g90Te26oHQXuuQQCMJKJLxLM1xEXLCuqeGklFIv5oo+igEWMr 1lu3dbiqlyFMVBwo5X2zENewinF6raRtUwvKJY4wCfTJcs40PXwuiogHdkZDgGuIcz/8JoOkzkmW 3gpQRpc7bXhxb6yjiK5BZulgAZEFfSa1gG9QdZNDHdTUC7IBoi1WXy99GvsNtURIhCg8Q60N8REh tMsxnyQIyJwH7DS//l/vRlm8NnQjq+JiOCHfQO+mDly0kOC2mjT3oELl71Ev65osNtNqTVvlfv82 lN2St+YfJ6Rbw4X5BNXO83F1YscsLK71STyIDfqz9uXAdJPx/nu6o2BoRFCsWhmup4WogsmwlEXk Yes/Wwmmxw5Tqha9aFWzsDDeTF+6djVE44WNlGX60AorPcvIzhH6Pj/KfswP1FOWlb3o9/J71rPb JqQHJfaxNcEGgI+LJUBJc0/XQlRyG8rwBNwVK6pMqZrl/P/jSfr2PTds/JC0QR2JP3+Itxic+X6j p2+VvBkM+Odfep0ZbqmfytaZQ0FU9KoL+dvv403v6+q+NNbiwrFyC9HjbzpWoU3brbU10TM8VFrp nhUwZYdPxlUEiyO1OQVY4GP/hWO7tbaUy1ec0YGwM4vnNjReUmeUsMRbHxUPPUqYAS5h+GiyD/MI 3aGl1VNLcudJb+nC2Ae/vvlQGlxSakj1uiy1JFp/UKo0ju8E4sInxUj7RawGBBSZBUJoVvAYK14O JhrcLlkEkxpHYTL/LBKmI3FtinTju+nF/tMV4GkyZPrmZVHQlitXmlvFqVcoh4JFOXRrHa8SGc8s WiAOBbvF+BVPi2/o4D8dS7NZQ+1Y6gFphujesgXBVczBxFCrSpZj7nqwO/qDV5qErhPaDSoc4khM isv91eJ2ycqkIqa5CQg+T1FPNA1t1vKirgrZficIfHxoYJRFmFuIjj2UL0XlVoc9afYFW4bMyhyK Ok352QdEUKupXTzmBjAwMeK0P69RW3fvqOi3LOY7rdhI2DX4d770u+AVJ8Q7HFsSz4L6zD5QSY1z IjQ7BfIh3ChmQ+6jrZ7T2QLwzWy70fr7Hn2Elca4bm+zIZPnfM6Pgqqdva97vmdm+11UQc50v4dw 3MIwRNQKZhgUxHoex7Z4U9AFWdqNZIYvbL75qxITPusuWu9us6LxUB4Q3HSCdYOXTM4n16M/4jKU JB6v5hxLtldNiSe4XyG7CQWQ2At4voZ+/Vd1Pqqhn/ZCz47Ue7Xw29hC75BqvzwaPNB/6V2BMKtH h6N/OI+myi9NHpAR2Wnd8mcnQMGoTeqQMm6LiHTtqg7gx/qO1SCR4RQzMQtZueOmlGX26o54bh3t X66FHCh4nhTod2YV+glm+0rox6tFq1xK5Q2d5gr222aaH5lEi5YM+araTMdjPTANMZRpQ6j1SPSH 9D0d4A17gbEIyi6qo5M7j7w1Y8WMzPnjX99+3NSsiAFgqMir6QnXLD6//sFFjj44NlAbGwFPrRE9 SaYaOzcFcWwwXujzXRhAQNU19CAVpNLcoklbFgVlPnqk+WWeyeQHhio9ksjM8W1IbJhC5yM3a94Y F/n7nQIM06dDeEiqjq7pysuLGPmskSBRq2uUDAPeN7Ivi+hfnwq8C8BYUQAexHdLZx+uZMvWuT+r MEEWZs+RY9/FI+Zf1t3qziW9Coc/aH8qTOoFGUFJKiNhd3fOoIgrf3rEetF2lnNUbscrW4mzoSvm 1jHJ3epRuWeiEME5u58eKaFOoKvWNK8rvPXnsHouYwRY8qRO+yDSao1gFQIHuRc3cl1wUG5evGUM ivyMpvmOaYR3AIbAN6rC7mB2MaGiBTPmMA9ViOmcKCnsqnKNJCOKX68R8IwxUb0Jkvw6ii9Jhhy5 OEVH/az9EzYyXsmAgOa9wPpDIGa5DxAWPQvSmYZXVSDcE4d8RJhuJsmGlI0kAp4lSofIkzWxqfzq 5LBtz+ovi/sv+QgVI23hxIL9/jNSHNB9r/tjponYjxp6Z+UOcDDJnRTEmSAsl8JLlJ3BdZl+NZF4 k1iKMJdgcNnL70zOik/zA41XVeVgZv7ClcCgEZBOoVHQYi92StMeRuHGLLmj4WanQhAL2uxzp/aZ D1HKsbgbpIysJZPWAp7B7BUggDVQamG5RqDtFqYXKWcJYusQicRWlaQDpePCz4cRGLJ74K0hoy4M wOc4XqirGwLvTMz5jcC90aRlbY96ZdZMl7r5vRdNs/75yFnyOShfXewoAVkA6G8dzunMhehqSVFQ Eto6HjQxJgAQhjp3qy9OWtkzcmrRojfY1l7QYhWGeZTGA3fX4ZGOMGgQOke3sQgGSvtFEBl7tVbS MjJDZjd6vZGo+rTp1vIUCSIofDkKX07Ers6SKNg4s8+EYeJGXCe8hQ311epoUmyAgZo5bB32n2fu iuW9Pt/6ykMxdayZOqfNZjOcULSIfyyY5+s6TWaUaYMNU1AKzwt4d/4BkCYMpdTCtAHLY+yyoyTH RVyqt/fUXj3offfTy6hlzn3Hl6x3U09dhs5nZULqthAUB/WrJviLDAp9WN70m68cUTT2B6O2gnfV pdh6Z1DVcWJ3soqfMMAklR914Ux8DRMK6wrWy5tHqkpamMfhA+3ubNJLza1lDCzbuI92f1HnIRyY jdc+lwFUOZPNomPsqdmBqA+MeaVGLCTm1SyFA6NpQF7QLdgHwPdvSPWe6ZrYUD2XFvChWzaoqp3h XaMifPhjdhTKnYG5pm+RiW68VkEDJb3ca82O4GytxAGHE0cPGLd6RSBT2wn5vz1+zwYpzp0ac/Vi mjYApWCN50u2jNlpvulZIRUCr0RG4d6Wm2LExKmoY1zr5sdnjcADrQoyNay1ks9LgY04oxT5XnX1 xXqYegruY+vamxpuajQB/ZUfHKTn+F08nHsnWu9QXDkWPe8Qq6xRZ1Hzoxd/Ge0NeV5zD5sMjRPE 7FowPjgxbi9bGqb6hBKZyta7eu+h0eA/EcrDHJk7lMS7Gv1ECnZcRfkQGZUTLH50J4w6kTjesaNC JSUYPEjYUJMZPa+l0OhWqHoKGVpcpn763mzcJqVtgs2bcgY3+k7tSKmUBYLNXRlrexl9bw+SADyk qxaav7XgU4+Arsvs+VaRKovmFf06Cobr/Fd4NZ5F/5YPh3XmLooaZKTmkd0o49hZKMPbQlTdkwcW fY2luUrHR2ionBaabh4talsHhc5s2Trfz6q89BRGIi7PYW8N1BwYCWqrdHf6qO7+arC30vRqCJ8u iJgK3l5l3DJ2ZRWORkKGqi1m6hVbDM0avpVd7SFRNT3xO306QWWuJLcFxW32UL8qmtJQepZ5Oh3Z tYZ9dglZzVusyAvY3zwWYdTOEk024cIM/jz5sAF334SAJuYHtlwAs/nj1seKV22Im2v67T07LISA mANU6446N3JFaZNVLqMTmA1zaMfNv1yDzVp+rJyQ14d+JHgBEOVJOGZkp/mQdgjY0P0m29Jqx5Z2 Q+VamtiYuz7Z7ywggQC6LtUAfhLqhTOpeeHHKT49LyL3H0gVSqsUnERXk6tvaPVP2OIzuOe6fj2s hDT+vpQB4FS8jc1p923uXMnlW6NY0KNQLy/e123xNqDe9bObkMiDbK4OobEGn73PNaFYZ1Pef9PQ jVsa+X/+NBtGoni1FKlziAFVDDWnG6lV5HraDwWK/9ttTE6YUolzErrCmfSc+/7aS3bNijj8ltdU 2COObOKPmepB3/dWlD3bb06yyhSFHvSdtw/sDY0vwrcZmVPMkRdJ0tg5txr1Gl1ZIFqy6DXNxgFZ GOaCuQXGqpU38LvthQPAUQJmlBRkQA9ek6X60XPfWEjU7FfWfNKeUpsAnlbVIBDFu90H4zb9pBF4 4IXUemEE/2mYD242sTWcWpTIEHtK5zgIjlGhsCGH4K+oKg1unRZh59/yqfCNrwepfPmIEH9Bt26W ijRKt6NFc8+a4NifmNg+RZ/12JoUAp7uNg3Gv6anUd4+c6rOelSVzsLH8WEo/53Qw6LEow5aphLX Mm9IC5nob7eWVEbeTHcENklT3Td65xc1XGRgb2HuGV7PKaBkFFhV6ey2vLeteTRbAaFSaX+y5ntX eXD7aS0/qw7dGuiXvlNJNyBSICjDOwRznw/JR26y+TKNKuF0Icd5rPKRaPsph1zC1J3g0wnemNA/ gPgpU3+fIFtti6Yib1uzaKclMJLGD82PdmIPTO5KEGllm+towyiSAhQIWXtl4nM9PUbJwBxifrSS JZ3ZL5qbTovEw2St45PDQNV19b3nBbJ/q3xeGbagT0hLwriwPUXJaRIfaHpxwHIKqyusnn6IhFmr CbLp1T7+z5RP2ayXXZ/1JXuJkg0jb67hcuGdeIbQLJTM2ag/5kSY/4EsbPsxaDsP2hqW9QdMi2F9 e9QwE1UjxBNjEM2Holz6x/E2BVBE1Y/Kqd0dSwtYbGa0dWEJaL2/7/jR2R6ttmzZFAC370mGxJH3 eB5exRU3vJOv6iuImYxmg3IRr6JRRRCqz9vUZH+4EPEqRGqpNQLGfAJu/7v2GF8eRXanrl07Z/cp cd/p9jZneBH2qKPky3wvxHieDuSE1KPYGG+3pQoHskO79+eQNRbWWkvEThfjf0Vko7TdhWq+JtNo eY5ybfWIqBfKd6Oo7VOQGeyzwx1QUmNXdf9wAAzztIqNI6nG64Fp46TwLI+3ZZamsj1bvwJCTYs3 z+TY0QaD4r6TEVr25ZQLuGjXUbIJmBjNwKVkwHLbD6FwOPXH2mCTRRAopI/Uen6u1KQkAEY6CdrM TqDycr5Rg1y5VpI62JgvQ5F1FIUsEjzOfdk3jWXzShYzDkn8OthY060gkL1ZqYFt0XKSVr6hDEdZ kzDpIIA8jgmUOh5Eum2B1ueXXrJ10j+xiBarMKYiGXMc9+oaD01yio65q489iHkXOVgTQ2ZKieME BcvMHfOS2wvXCkAkkTngmIXD+A17IERmWfFsDDxyn/U/fJdAZYOf5iUaZG6HSYufyXwN6tcVbBuG gx3n9fthjCIVpm+IMT/CSNgD/U+rYDcwrBLDENBZHLfeP8ntLOgYtIRm5IFzXKScojDLF/r0sLx7 6bMT0tsiufM1mOrjf88lueuNOj4fsmbCKkV+kp60ubHPioIBBIbMda8EVfFFg7qf8gNsOReYUqp1 hKoH2ucRS6GxGgKTDSXyELHsVk+83hvrVkE72c7qLQ/NencLBAqJr9grl/Y//fdJc0HVPArv7QrQ gagUNfX2O8S6fiWPvSgIFXsYMur7Y6sMk0OMAJRNZMUjxA1pbPBhxRrBftyQJ3OaGBhkbfDRZoFp N6KOhEpm4s0HIEPYYBMPKbVawkKi795Wc8ERCVDz20/wdvJI2HyvjDwb/PBLPQxPtWfYsIBoCO9o CTuupGUsEPt4QZbAW37BadDUIBTlSAyl5gqkuB5mr9m/RxSLPyahUz51Oy60c3eI92gM3pF1cOn8 kPX/985HAlQkoN07igZ35EZY8jilgkQaR4A60Jc+XWtPajZXyVdIKrmLghmDMM1CMyF/rG5TJgnx lsJ/sgEhBFhb05Amh7TRkfgf6saayGty5C/Wf5Im4hHUDIvEzQU3DstmGy5d8N+dV4FiHkj3OYkt FYLGRmzEWiZsN45r2oPK/CtrCw/T+t57pemAs3grYuFvp1PTOT0reyjTsQ7K55ZLP8ZOkNjmCkgD 6ojb/7h4TrKfLFBnGtgjw4Xbmh873j+1VjOZ5TKgIA9B2qtkeIMOM7ikucXhkNbWs0rjR+AiPfty 68NzVfJfw664maeYBzZBoyy3UdcqhYtywmvU4W5YeL8s6sev0OLyfO6zIWtBRUwQWks5Aux6yfTg j3ZyAhrJ9hsunz9uYjwG6Kpby9V/R0ZQAOA0h2Q0sn+bjaPlNWeSM3VLCjClN8bKD4AzBHuTKTce Ns690KEfUB2ZFXcH+v3gFhxN3ixPlKwzKUG76nRu4MdGb7vm1ou86T4sKuKExgnRDOUrrDlgVvxp 51KejStO0KrKjZvPDH+yhRLiG8QSjDpSMkmcEVaDaTHAzMveLtFvtHuqEVneGzRiZylZ2BOb0W4V dtml4cCdQVPwQpB+l5N/cVp2MPMTN78/BKgA4pWOKCDTYLPTAlwdL2ruLMukgMZNIDlcAwle0XXc 2wzxk/ED9u/yOby4KgioQrsfYnf4BauIKuVQQ6q5SsNZFSRi77mWH5PxmyVjmcj4QYOYd5FUWJ6O xesAE05fcmHJIUzadLrMxXHWdabKKNuT7v0R6xbXKU20m3DgDXOCgLtFbJsv7kTkUoG13WzQLef4 juL+xpKAd+rI9AdCHq2kPz6F4gidysvcS5sujk37uHPG9ClffH5wVshCHtwiDzm8XrcbUSplVgbT m3KhwWWhkmykiXXeUnzVNg9xoWldtQrEQZ+vIHI5Z255Afm9zAbKCMpbbxR2Pb3Yqo4nMHel9qkS oXzTX5IjcBJlgPHVGsn4sty+J48PLefxnLyhZU1uNozVE/jsm4BRyEjOpTCZ1ePH63rpCn0ZNvET 3jPkMPxJ+XWsVlgTJjdwyx8D/bnEf+spc9sCjVCP49bQwjRTb69yDlmm2eEeuJhG1Gwy1I4TXRxu aYk/Hf2dOs3Lx26D5Qa/7afX/l2TM6SJiIhdZw8QAVYlpeN65VreqxTRfXmj62hhidvJeSeElYtJ N1mvdFyhYfk/WRV007TVbEh005KNFzMP1ybn602wx9gQKQnmOvx6yAT0EIYhMYHOMnaORiPeYtbo 8C5NJ7lvWCf7DWTnSsTHGLUd77UcgPLl9wVgYobQitS4kPEpsI96pu0L3QsGk5LxjjC2EHvXTQHT 7SZKONhvqZaqJCuP9MV1IwUn+fpHOXPKFOipgwHEkKX9fgWWxykK6tC3J4LOVirNy9Ad3o/RV6NU zsmPn213aAO9CI+lC6TV+45Uzp3VC7tj08ApqRipeIR1oH2lb6HpTcZS2waUfHn0RmtoavfeYyL8 nv7r7/h8LMLyAWR9rSs1X1SLrWe3MBRmkXcdwXFU9HIvxjxAOlTa0nPeJAuDuL+y7yykWU1wOxM6 Ju1F+6kgFuG7g9poIa/t9nvmL6b0x7w0QTZbc6xRAs8iVPj6z50ADV/Cq4OtpeUXJud2kSqmbthk a48yO3X7hCOLZVN60uJ4VCSe9tDkouKtJ7/QDw5XvI+Ne/he2TllGNWVoJC3IiEsWeRW/w8v/40+ BxxU/HYUm4gWGX/Yhc0y6vPa6eIKzyaDhcKsnIYCC0iZxNsvyewbRSnWQdEmdGT5fbtISwpDCm5r UMLXq6Igp7EzBnqb2YDYmcXCWveTU/NpNh6ItvoZUC19sf+wOup53OuxxWtOkJsqCzvP9T3KoGm2 8a/UH94RN43xKqLMM3dDYCP4YUlCBlSHMWfulBdoT4o8Rd293RBiITwDlyJ56VAU7e4CoBhJlqv5 VnvZv3TqwiSstxB+PENa56iOMR7vAMBk5pCdIbiMq5acEX9iUAWs3hR91uyporanHnb3RQ0N0TtH AQsyLSRW6vGDurWSvfNyW8nwf1114yzwqDSkKsr4CVYskSgD6UjCgnjwFZiThQR/m3PdKMl3d26Q KB/h42LcPRSlcEbQVohLdEaf7NvSC6gMKEjjZbC1lT13CHcAZsy0yiCjovWrIvZjDZ3Pe+uyNV+j dt2l2T6wp4hFTnKKsqoqLMjRVp9LSy//utKqLiRBljQR0YqmuhA9y3DMF0bzaJPiayxE4UBbemqC gQNingou1WUO7cI4rVDaYJSsIAXoyHQ2ZzHbHeM/cz7qUtTxmeADTSv+Pr6bIWleHPNriKNBcXlP w4zlZA0f4etofSulmDN5LMT2CoSB17j6v3YadSv7MBFQMi4fLxsDrSGsiVqdBinBvAks6KjubyMy Z+FxcHq9Y7kNMics0ITKA+PNjcM/hOoTvwO70hhndcA7AIEf1VXMOnE3fwqapcdBJHRdm+dUOsDf n8ucLAe+XENwJPTRTavfTliPRNKuf5Swc84FG4awtS+VX3mEzDy1WqZdJ7GLzGItVQOSZPZi6dJl 2zqk2UYD5GgEgW7VmMgYikFw1d4oPEdFrjogHKH9MUrgQlL2YseTe/6VXWG6tqvFuiUunXkQk/Yh kbeb/8DPJbi2mngUFLFhExWrt9bff+zfCmZ6h0Jwr4nabyLAocZoyWBF0tIgcngoxxdkXIzDv1mb /XTuvttNQ3pItGNbFiUjmhwpZGZ9XIxbGOjGk0CA2TjAXjd9rDHpcV1T5RDwyxa37SXmMACH9b4m WuvPgv9aLJJYpP0zrQJrz7TWKXrKNE1BFtbgEbPCoPEXD8MtkreMAj7/WkRw5tx+imojwNp1ymPs QICFfXvn4zTvGtsKdzbktLvG5/LIwMMdT/AZtZHnWkaK8ks49r+LJ8skHHyX6zZDcTHZZHTXTN5N R0iVhqLMZpr7sWjWeISqxRP/YQ/0rPqODSYo0oiu/Ie64VbtN1jUJaQOrgZ03JqlcpCy/ov9vB7d JXMKcD/SA/N4Mb0n1yM314kw1fjQL23B0A8Kth9DfCZJtY5cAmE/qS7+yQoR/17mgMgAAOxTb67+ FquUj6iLPOMlcvVc+nmBQFsoCYxAb6CJUMOKSW8+3NbU1HKnOZjO4T42BLWK6b1htR7Z40r6sXgv sHYwe6Hvy7LfIf2xsJ+lLJ+XHDaDP/qqfgVZOK78NqPjO4r3Gza/2vpzynAZ2PAaxTKxt5CmiBtI 3gM77qAOx2AonNwZKN47a6wh3lX3Xtvk/pr/MKddhsBpLQ52gASgCdudAZAPgIFgW3kLChFAgKKm auqoiewA2uZtDNgj8D3NY6y94subSdO+in834aBmKTBig/nBg/WHX+XfNHEKZsQ0e1BWqxNO5Xgu snSg8/7n5La5jPpy88PqR7MTx0829HtV3YvsChWd+BWYT52D7+GnQARwNufdIMstma/M+scQxTCt 16m4Otju05q9RdDypKDjKQMeMQhm99fs55oHrDARcSwhl1HL2+KFzAaVM7PKmhX9DlrhkZ3ywvfF 9QUi73L3tYVAGIsjNzB18uOCoog66JwS5nYjrcADXBveKPVTyzyNd7GkOYxoa/Z6aggVZ2IxTXHg cmMvYTHzA6wT5aGCPEJbG5MyrINKkLx60gUgwPpE4+PI8fzjX3B550kKeegX/hKZt3h1/jLFlDp0 PZdNf9YkLbokNyMIbU5YihyYY4x2pBnpLl+LlrylCRErcGYVF8hcNSt5G/5VRoszK/E40L51awvX cPts0mu6H1fEz2BvwIwY4gsxe0+uYFzRziWT11bgxP9VE+ATrFGc6IVODHonYGbW1r3fbWh7Aoo5 PPfyReLIaap5SCg2P3J3phkouWbaejzBXHGUcqc6omBLgeSiCtCghXDzGbJJR0hFONkcSI3IM7JZ nw2UfYOypKk+s+Y/Oc2jkwt+JXl6zlaje2DcxTPN+jPKvijL6xkyhGNpGeO5Fk5CJwEPkgjxqzZ5 LV7yREjQ/40PKVdtKkZThqxwj51SYW//xM9sSUrZxjEW0ZRRMrHJ6fQcv9trTaISSS3ChqhBkfUZ I8fzVW9rlmeKUsyt04e9GHJSfUjeHRV8KHOtRB/U3jI6KAhZq2pdzqRcU3i2cAKx0naNmnoCUAwq af9Hl3s4NPmxUCh8E6xshO2kQ8pFJTZI2+wIJx37891/n5ja5vzpSYeNtKPo3U6bPMdT1wa6bgVG KCWJWYbVStHeNXjmoljCF54BvWrhvslZ7G/pHZboTN7ME/0YRyy9W3gup5RtT9PvlIb9ToIarexd A+L+CwNzzRkbXtK6MLmfsc//g0eLMifgDgwh2rO9G6PeBq+lEuaamZu24FuHZmtIHDtdnbzD7k4b qgWignN4lYgm8LM87GxrfYcJ2ujr5Fq2OTpxTYT5vRX9gaaLY9KoFDUpUuPihUrFFdR6+eg+rEb4 wHT4H9KSVH3Q7dNTp6l6aq8IFHIo7afys6b2VafhSeG64GpOnQD0sp6WPS/PirxQbMdPj//EFNdm j5MUxsPonMU360p6n3+e+Nij0oSWhhJhqM0NP/Vnk3ZtznmSfV3mdwoW3/c4mA098881LnGOyau3 YdXxIpBt6ErcRc7j2XVyw3j4aCWMW7CgCPTXBOrcSsxRSQHTAl+7aAmYrYULQ4aSfSntp21gWinN 40zqMgAAGTOEc25P4+w8qzVCVKdvGxdolaj3YS9Nm7d8wb09EuWIZwzp47L4tIizfcCY/nkKsN55 GPr8FxNdKIU39Hlq+LTMGS9DD1/KGF4YphswhkHtp7RfcJgVvKZKztqOdQsDExy+NjXymftqbXF7 Qm/xhzFcC4dPN1F2CLkagrKCNsRvdnZxlcjpMyA23h9wzDoZ/RATSv9hj3rrgY/i4GjdmBOE8Co9 kgT/m9nZc/KD38j6kVaGnCdGZbFP1bBorZIaAJXvt5YIKiHbPUdHm8CtbK568vK/q+nM7dCkCIke GIXd4+7bzPgVk0tiKj7IJak2knXV+/0gygherA/RRjOoV1HisW9jzTGh7bzzR5YPNjwAQ4BFYpVP gmLHY34hy5idPctBNiuDIneruETcXJUL9GS+OOSRVu+Aad/Dk5A2Dtx4IyqH1OUUkDnn32Ap+pkJ nkFC8hwfD5DKtfD53s27AZHsiDCbKC4s7W1lGK/nORAKgxTOOj9XYQYjosShSpAoFh5l6rt7G4yF Cq54sJiI7PvSN7i9gjrmB+4Udpoua0fGIjfjHZmgCJDpz497fNyO+ZuTpTRG4+BBT3N/Kc0Mgpk6 TBtAFaAkWyOB+6uoXKwtykBspsyhJhletk2Uuz2vYGnskziX5NJLDnLLT2BK61WNOswdfQngIV9a tLufmKEzETD7jnUItQfZhBAIPZuE2cOlSbgS9WGvSAQdtM6nkWVQ+pK2ULb4ZrnsIIedzd2CPrzI qLPyT9jXCGx2JWrvUGlvezwHML1F9oq2sRHnbghfnnTVUUVYdd9y6wTncCL2JgrQnEA8/zHyuXqe QmHJVcx2dfcnGBuYdVRZL1a8UsBFJwrVxIFjG9oTJL9Q7cSzq4ahSWwoNz8mAPQ0sZudzaZWAa9F C4z0YgA0tIEIxC9l8LvqAz+0EaZDXkmFNoAvKiV195RSkHieYjmvLT6Qb3P1Gzrn8g6VAr65gPZp nkk+Kl6e5n6X4IAsW13iMpeEg+7vL3g6RfkvUuqT1TPpdSaFAUlKXoQhsTZjn4ZUwMARIYfsJAFp T5RMGreXQ438VAvenKle4jfQvByD54b0+Z04Vqvmkb1yzC11LL6zpUdXPzvkXYMvFUYpOhBHMU3S mVuEZnfXwfJBUXimQV4hzS+LB963oPBk0DvqNmVPvRqz9RFFiK8oiosQvjWAOuA0DpdJ/KnwM31o olDaaOhcDfKgfh3Azel493CZE/dVNzrGeVCvyLdkYrNaQtx1DY9t7v1kASseP5iQ/CzS5AswqyJV UC6V8HOkk9ponUBQypSVeTeGQiQYGVaUnx1BJxF7rS3wqI7CmnZSSKd0PMM0bm/YwELFyUQLCRLc dZspvrf9jdzawVK6pBL8OvXrBd8nhPMJdQO2jMcjw2/5ZqFBiwRa9cY2Al1EQHBIDORG+lOHevdm W6p5V2f4S47SWpfrjXnzln1WANxvl4k1c2IZHwgCmg2hjo7E6s3kSr8u4wj2ibRnR1fJPK9t32sl oyYWak7Qrg8rz0rYP9lKJYEKdBK+4clAW277z7ulGjbiObXxcuJqnhCRnZkAIfZ1YX/ZibiCy/3/ pVXF7HBzV7BYbtbYTiWBDqkQaqSCF+9Vn0aebzfEBSreAyDL7lVO0JdRgjcsvvdQ7HRsL9d5Cnzy veS8guJr7jcNGqufkxzVB0+IDPj30VDld1Sn8OA7eT6UPRpV+wFXFrvh6t8SYrsuNToh7TP+lHlN gG4WmYMUY9AY2xRN/u5Z+cGFrVKjV9BS57eipZ9fwfYxzsz/jB7efTPJzEqY8SdBMfMYEuStqCpG uy92nnOxmQ/Q9a168F4vAOYRVoh9+34AahI3T1qZx/p5XwJU/ml044k6JDyWf4qjZzXl9VTAuV6D agpBWVpSdYO9Jyx+5lLunMQYTHGMXWfJrBXhnGMkneAX5DFfba0lrpsqXugOY0FTbLGpUHliwtgA 0lMNebeRjzMn4yaKvngnZdYDmndUQsc0aMNPgWP8vmPzmT/m4+BMXCeB8oFItY+jqxtnjdHAUADV t77h4zxmFEjas+BGn3VE03BGB8kI7bk16zn2pE5WKrYtNqnYnsbvSbRgG2s9sVUrrlyLKtgJDoFH PHS/VKgEm5+r8EBM4hAGomywHkMxIaCsEfPhL9d40oibduMNC2wSCeDruma2pC/HZOJSRjznULjf IZimwF/k0WBbIN6VeKzuTEKtSX4oeF8tHJWdv3K5fjAshwDmDu4M7gs0PIFmgcdt+hl2gClpjJgA 7IFQ211153q7T700vG7DQ5pE57VTWJY4MVNjNDisEvh5f6ETd0qjXR142OUcdTB2NIfqD7maoZVE 8AAiD3bYHZ1m+xMPHSDcgSVcpIBjWfzyaoSyg++vSz7d834D9+AvRiqtMPoyaw9jA7FlV/fTwNI1 tAgnq2kpGshDYpmPEaYdK9w6ekVNP63ZoeezouyHz8dnYh5y7xswJONRKot4SulKGtdCngbvmK8K KhtUtgB1lN38qozP9K3VGw8QqB6+iH93lzsugEh26LVldQWNo4G8sbl3/YE19Bc7rWoABBRk0a32 KKZcD9+X1vXHL/sAK8ZJimIW6mAvgO+jBgF6SD/rjYP/RIzUC0TcdmD0fPEyOwhfBKhn3r/hhlY6 9mvLANgjubOffFudr3xGf7c/hlsYGsoMGId5ZVM7udm0Hv7h6GtPw0uqWEbHpX43+3jZk4eCFMDe EAf9nGzzkEYMpeSQ6V7Rd4O0BvVu2pVkFmBKAO/I0QiWfNgXGHJhnxL2K/B9WVcMmOy+mYx2NTKJ kE2E7d2LH26S4PbmXnwSHWL8Vt9ut8LBMGSzqWN/W29c5roFv2k4FYJulGNsgWAatJKiIbtySibA bx+zTQesl3QpzkpuBrTw39MhKVegWQPt8NY2xn7hA13bjH5gne45uHjovmv5SgDAOXADs8BqSS5N owHq6N/1ocUyzo75KYYSDGRpImGEFMMmGgzGvIxvR6fOLFcnnSVpjGOpgOKSE++pPoCfJeOI4dIj z4PsoPzTdknsjURC7aJPCehq6eoow31tMFvdm/CIZ5kjd5Icq91itx5g3+OARJb2yKi7bYWc/pr+ wydcI4DiMTdzRXdpd3+bXjXnHwGWrPtwhDWFvdRbFQgjqpzTYTtEZ/zgIIBgg0/znqXCc+XgJvBQ gafkwhMNlcHnfi8ZW5+GR2x8dtf4PPQD/Ed/gzeLjgUqCbV+IZ+DJvRZ46Y8Dm+pTS9TdtFh+jMk 7Azz2AbTXbopaHXzJuWy4Bz9g4f1qaXo+esbbJX5JwxrPpTwatlVzOBRn6IWf/foeec3Wucmt+6D J0qm0/BHq6AMdoeuwGLL8zl6qRDVeIuko3cKwqWinMbvTkLCYzxbHacfv5LrxjmGsRu3Q+Nmiykz xv/6dZkHkDZGHz+1gkHu5vFjDMb6ujAA+pcGMtSGf6BovlGgvsde1GUabbRxnQ+C7P6ndQgkfoqc LopLpuBNsWQCiPuyy1qf/86jzPYty+Z5W7JSgZb+W86BLFrsMA66lc3jzN5OXotyPMrVCGF7w5N0 sbntkRDzRqKXscL/x0npoSih88IijR0H2GmTdHMom/b7kPIoKi2yGoZraVaa9wJoMXp7pyIQazV0 u12Ph8JAUASbz8JRfmi8j9HpjpsT3zSfOQQVr9kzaBx0VLjAn7vzwVmqM5WOxfU/odqF8h63FM/S Bq+lTXYyq8Nch9JxNLPqhSybNc0InlrRnxxDvF3Wr7ItOiQxBQ3gltrnxnqnzAmce2usZ+MhzkcA y7LzMjZ62SSziff7Mgh/XXktLejsH684C+leCFya63c0OWPnnKgltw89k1bGD/yWmmEt6io= `protect end_protected
<reponame>shufps/troika_ice40 component pow_pll1 is port(outglobal_o: out std_logic; latch_i: in std_logic; outcore_o: out std_logic; ref_clk_i: in std_logic; rst_n_i: in std_logic); end component; __: pow_pll1 port map(outglobal_o=> , latch_i=> , outcore_o=> , ref_clk_i=> , rst_n_i=> );
<filename>hw_des/des/src/Key_Generator/REG56.vhd ------------------------------------------------------------------------------- -- Title : REG56 -- Design : des -- Author : KDG -- Company : AUTS - NTU KhPI -- File : REG56.vhd -- Generated : Wed Dec 15 17:54:37 2021 ------------------------------------------------------------------------------- -- Description : 56-bit register for cipher key schedule ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; entity REG56 is port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; WE : in STD_LOGIC; DI : in STD_LOGIC_VECTOR(55 downto 0); DO : out STD_LOGIC_VECTOR(55 downto 0) ); end entity; architecture REG56_arch of REG56 is signal reg_state : STD_LOGIC_VECTOR(55 downto 0) := (others => '0'); begin p1: process(CLK, RST) begin if RST = '1' then reg_state <= (others => '0'); elsif CLK'event and CLK = '1' then if WE = '1' then reg_state <= DI; end if; end if; end process; DO <= reg_state; end architecture;
<gh_stars>0 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PruebaGenericDecoder is generic(word_bits:integer:=5); -- Port ( ); end PruebaGenericDecoder; architecture Test of PruebaGenericDecoder is signal sa: std_logic_vector(3-1 downto 0):= (others => '0'); signal soutput: std_logic_vector(2**3-1 downto 0); begin decoder: entity work.GenericDecoder(Base) generic map(word_bits => 3) port map( a => sa, output => soutput ); test: process begin sa <= "000"; wait for 20 ns; sa <= "001"; wait for 20 ns; sa <= "100"; wait for 20 ns; sa <= "011"; wait for 20 ns; sa <= "101"; wait for 20 ns; sa <= "111"; wait for 20 ns; end process; end Test;
<reponame>stark-dev/dlx-processor<filename>vhd/a.b.c.b-shifter.vhd<gh_stars>0 library ieee; use ieee.std_logic_1164.all; ENTITY Shifter IS generic(N : integer := 32); port( R : IN std_logic_vector(N-1 downto 0); arith_logicaln : IN std_logic; right_leftn : IN std_logic; count : IN std_logic_vector(4 downto 0); R_OUT : OUT std_logic_vector(N-1 downto 0)); END ENTITY; ARCHITECTURE Structural OF Shifter IS type mask_array is array(3 downto 0) of std_logic_vector(N-1+7 downto 0); signal mask : mask_array; signal shifted_data : std_logic_vector(N-1+7 downto 0); signal count_sel : std_logic_vector(2 downto 0); signal right_leftn_ext : std_logic_vector(2 downto 0); component Mux2to1 generic (N:integer := 16); Port ( A: In std_logic_vector (N-1 downto 0); B: In std_logic_vector (N-1 downto 0); S: In std_logic; Y: Out std_logic_vector (N-1 downto 0)); end component; component Mux8to1 generic (N:integer := 16); Port ( In1: In std_logic_vector (N-1 downto 0); IN2: In std_logic_vector (N-1 downto 0); IN3: In std_logic_vector (N-1 downto 0); IN4: In std_logic_vector (N-1 downto 0); In5: In std_logic_vector (N-1 downto 0); IN6: In std_logic_vector (N-1 downto 0); IN7: In std_logic_vector (N-1 downto 0); IN8: In std_logic_vector (N-1 downto 0); S: In std_logic_vector(2 downto 0); Y: Out std_logic_vector (N-1 downto 0)); end component; component Mux4to1 generic (N:integer := 16); Port ( In1: In std_logic_vector (N-1 downto 0); IN2: In std_logic_vector (N-1 downto 0); IN3: In std_logic_vector (N-1 downto 0); IN4: In std_logic_vector (N-1 downto 0); S: In std_logic_vector(1 downto 0); Y: Out std_logic_vector (N-1 downto 0)); end component; BEGIN level1 : for i in 0 to 3 generate signal sh_left, sh_right : std_logic_vector(N-1+7 downto 0); begin sh_left(8*(i+1)-2 downto 0)<= (others => '0'); sh_left(N-1+7 downto 8*(i+1)-1) <= R(N-1-(8*i) downto 0); sh_right(N-1+7 downto N-(8*i)) <= (others => arith_logicaln and R(N-1)); sh_right(N-1-(8*i) downto 0) <= R(N-1 downto 8*i); mux : mux2to1 generic map(N+7) port map(sh_left, sh_right, right_leftn, mask(i)); end generate; level2 : mux4to1 generic map(N+7) port map(mask(0), mask(1), mask(2), mask(3), count(4 downto 3), shifted_data); right_leftn_ext <= (others => right_leftn); count_sel <= count(2 downto 0) xnor right_leftn_ext; level3 : mux8to1 generic map(N) port map(shifted_data(N-1 downto 0), shifted_data(N downto 1), shifted_data(N+1 downto 2), shifted_data(N+2 downto 3), shifted_data(N+3 downto 4), shifted_data(N+4 downto 5), shifted_data(N+5 downto 6), shifted_data(N+6 downto 7), count_sel, R_OUT); END ARCHITECTURE;
library IEEE; use IEEE.STD_LOGIC_1164.all; entity shift_8 is port ( inp : in std_logic_vector(31 downto 0); shift_type : in std_logic_vector(1 downto 0); c_out : out std_logic; slct : in std_logic; oup : out std_logic_vector(31 downto 0)); end entity shift_8; architecture arch_1 of shift_8 is signal t_i : std_logic_vector(7 downto 0); begin with slct select oup <= t_i & inp(31 downto 8) when '1', inp(31 downto 0) when others; with shift_type select t_i <= "00000000" when "00", "00000000" when "01", inp(31)&inp(31)&inp(31)&inp(31)&inp(31)&inp(31)&inp(31)&inp(31) when "10", inp (7)&inp(6)&inp (5)&inp(4)&inp (3)&inp(2)&inp (1)&inp(0) when others; --c_out<=inp(7); with slct select c_out <= inp(7) when '1', -- c_in when others; '0' when others; end architecture arch_1;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; -- multiply.vhd -- Contains multiplication look up tables that are used in mixColumns -- Tables take in one byte at a time, and produce one byte at the output -- Tables include multiply by 2, 3, 9, B, D, and E -- Look up tables can be found at https://en.wikipedia.org/wiki/Rijndael_MixColumns entity multiply_2 is port (i : in BYTE; o : out BYTE ); end multiply_2; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; entity multiply_3 is port (i : in BYTE; o : out BYTE ); end multiply_3; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; entity multiply_9 is port (i : in BYTE; o : out BYTE ); end multiply_9; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; entity multiply_b is port (i : in BYTE; o : out BYTE ); end multiply_b; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; entity multiply_d is port (i : in BYTE; o : out BYTE ); end multiply_d; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.aes_package.BYTE; entity multiply_e is port (i : in std_logic_vector (7 downto 0); --BYTE o : out std_logic_vector (7 downto 0) -- BYTE ); end multiply_e; architecture Behavioral of multiply_2 is begin with i select o <= x"00" when x"00", x"02" when x"01", x"04" when x"02", x"06" when x"03", x"08" when x"04", x"0A" when x"05", x"0C" when x"06", x"0E" when x"07", x"10" when x"08", x"12" when x"09", x"14" when x"0A", x"16" when x"0B", x"18" when x"0C", x"1A" when x"0D", x"1C" when x"0E", x"1E" when x"0F", x"20" when x"10", x"22" when x"11", x"24" when x"12", x"26" when x"13", x"28" when x"14", x"2A" when x"15", x"2C" when x"16", x"2E" when x"17", x"30" when x"18", x"32" when x"19", x"34" when x"1A", x"36" when x"1B", x"38" when x"1C", x"3A" when x"1D", x"3C" when x"1E", x"3E" when x"1F", x"40" when x"20", x"42" when x"21", x"44" when x"22", x"46" when x"23", x"48" when x"24", x"4A" when x"25", x"4C" when x"26", x"4E" when x"27", x"50" when x"28", x"52" when x"29", x"54" when x"2A", x"56" when x"2B", x"58" when x"2C", x"5A" when x"2D", x"5C" when x"2E", x"5E" when x"2F", x"60" when x"30", x"62" when x"31", x"64" when x"32", x"66" when x"33", x"68" when x"34", x"6A" when x"35", x"6C" when x"36", x"6E" when x"37", x"70" when x"38", x"72" when x"39", x"74" when x"3A", x"76" when x"3B", x"78" when x"3C", x"7A" when x"3D", x"7C" when x"3E", x"7E" when x"3F", x"80" when x"40", x"82" when x"41", x"84" when x"42", x"86" when x"43", x"88" when x"44", x"8A" when x"45", x"8C" when x"46", x"8E" when x"47", x"90" when x"48", x"92" when x"49", x"94" when x"4A", x"96" when x"4B", x"98" when x"4C", x"9A" when x"4D", x"9C" when x"4E", x"9E" when x"4F", x"A0" when x"50", x"A2" when x"51", x"A4" when x"52", x"A6" when x"53", x"A8" when x"54", x"AA" when x"55", x"AC" when x"56", x"AE" when x"57", x"B0" when x"58", x"B2" when x"59", x"B4" when x"5A", x"B6" when x"5B", x"B8" when x"5C", x"BA" when x"5D", x"BC" when x"5E", x"BE" when x"5F", x"C0" when x"60", x"C2" when x"61", x"C4" when x"62", x"C6" when x"63", x"C8" when x"64", x"CA" when x"65", x"CC" when x"66", x"CE" when x"67", x"D0" when x"68", x"D2" when x"69", x"D4" when x"6A", x"D6" when x"6B", x"D8" when x"6C", x"DA" when x"6D", x"DC" when x"6E", x"DE" when x"6F", x"E0" when x"70", x"E2" when x"71", x"E4" when x"72", x"E6" when x"73", x"E8" when x"74", x"EA" when x"75", x"EC" when x"76", x"EE" when x"77", x"F0" when x"78", x"F2" when x"79", x"F4" when x"7A", x"F6" when x"7B", x"F8" when x"7C", x"FA" when x"7D", x"FC" when x"7E", x"FE" when x"7F", x"1B" when x"80", x"19" when x"81", x"1F" when x"82", x"1D" when x"83", x"13" when x"84", x"11" when x"85", x"17" when x"86", x"15" when x"87", x"0B" when x"88", x"09" when x"89", x"0F" when x"8A", x"0D" when x"8B", x"03" when x"8C", x"01" when x"8D", x"07" when x"8E", x"05" when x"8F", x"3B" when x"90", x"39" when x"91", x"3F" when x"92", x"3D" when x"93", x"33" when x"94", x"31" when x"95", x"37" when x"96", x"35" when x"97", x"2B" when x"98", x"29" when x"99", x"2F" when x"9A", x"2D" when x"9B", x"23" when x"9C", x"21" when x"9D", x"27" when x"9E", x"25" when x"9F", x"5B" when x"A0", x"59" when x"A1", x"5F" when x"A2", x"5D" when x"A3", x"53" when x"A4", x"51" when x"A5", x"57" when x"A6", x"55" when x"A7", x"4B" when x"A8", x"49" when x"A9", x"4F" when x"AA", x"4D" when x"AB", x"43" when x"AC", x"41" when x"AD", x"47" when x"AE", x"45" when x"AF", x"7B" when x"B0", x"79" when x"B1", x"7F" when x"B2", x"7D" when x"B3", x"73" when x"B4", x"71" when x"B5", x"77" when x"B6", x"75" when x"B7", x"6B" when x"B8", x"69" when x"B9", x"6F" when x"BA", x"6D" when x"BB", x"63" when x"BC", x"61" when x"BD", x"67" when x"BE", x"65" when x"BF", x"9B" when x"C0", x"99" when x"C1", x"9F" when x"C2", x"9D" when x"C3", x"93" when x"C4", x"91" when x"C5", x"97" when x"C6", x"95" when x"C7", x"8B" when x"C8", x"89" when x"C9", x"8F" when x"CA", x"8D" when x"CB", x"83" when x"CC", x"81" when x"CD", x"87" when x"CE", x"85" when x"CF", x"BB" when x"D0", x"B9" when x"D1", x"BF" when x"D2", x"BD" when x"D3", x"B3" when x"D4", x"B1" when x"D5", x"B7" when x"D6", x"B5" when x"D7", x"AB" when x"D8", x"A9" when x"D9", x"AF" when x"DA", x"AD" when x"DB", x"A3" when x"DC", x"A1" when x"DD", x"A7" when x"DE", x"A5" when x"DF", x"DB" when x"E0", x"D9" when x"E1", x"DF" when x"E2", x"DD" when x"E3", x"D3" when x"E4", x"D1" when x"E5", x"D7" when x"E6", x"D5" when x"E7", x"CB" when x"E8", x"C9" when x"E9", x"CF" when x"EA", x"CD" when x"EB", x"C3" when x"EC", x"C1" when x"ED", x"C7" when x"EE", x"C5" when x"EF", x"FB" when x"F0", x"F9" when x"F1", x"FF" when x"F2", x"FD" when x"F3", x"F3" when x"F4", x"F1" when x"F5", x"F7" when x"F6", x"F5" when x"F7", x"EB" when x"F8", x"E9" when x"F9", x"EF" when x"FA", x"ED" when x"FB", x"E3" when x"FC", x"E1" when x"FD", x"E7" when x"FE", x"E5" when x"FF", x"00" when others; end Behavioral; architecture Behavioral of multiply_3 is begin with i select o <= x"00" when x"00", x"03" when x"01", x"06" when x"02", x"05" when x"03", x"0C" when x"04", x"0F" when x"05", x"0A" when x"06", x"09" when x"07", x"18" when x"08", x"1B" when x"09", x"1E" when x"0A", x"1D" when x"0B", x"14" when x"0C", x"17" when x"0D", x"12" when x"0E", x"11" when x"0F", x"30" when x"10", x"33" when x"11", x"36" when x"12", x"35" when x"13", x"3C" when x"14", x"3F" when x"15", x"3A" when x"16", x"39" when x"17", x"28" when x"18", x"2B" when x"19", x"2E" when x"1A", x"2D" when x"1B", x"24" when x"1C", x"27" when x"1D", x"22" when x"1E", x"21" when x"1F", x"60" when x"20", x"63" when x"21", x"66" when x"22", x"65" when x"23", x"6C" when x"24", x"6F" when x"25", x"6A" when x"26", x"69" when x"27", x"78" when x"28", x"7B" when x"29", x"7E" when x"2A", x"7D" when x"2B", x"74" when x"2C", x"77" when x"2D", x"72" when x"2E", x"71" when x"2F", x"50" when x"30", x"53" when x"31", x"56" when x"32", x"55" when x"33", x"5C" when x"34", x"5F" when x"35", x"5A" when x"36", x"59" when x"37", x"48" when x"38", x"4B" when x"39", x"4E" when x"3A", x"4D" when x"3B", x"44" when x"3C", x"47" when x"3D", x"42" when x"3E", x"41" when x"3F", x"C0" when x"40", x"C3" when x"41", x"C6" when x"42", x"C5" when x"43", x"CC" when x"44", x"CF" when x"45", x"CA" when x"46", x"C9" when x"47", x"D8" when x"48", x"DB" when x"49", x"DE" when x"4A", x"DD" when x"4B", x"D4" when x"4C", x"D7" when x"4D", x"D2" when x"4E", x"D1" when x"4F", x"F0" when x"50", x"F3" when x"51", x"F6" when x"52", x"F5" when x"53", x"FC" when x"54", x"FF" when x"55", x"FA" when x"56", x"F9" when x"57", x"E8" when x"58", x"EB" when x"59", x"EE" when x"5A", x"ED" when x"5B", x"E4" when x"5C", x"E7" when x"5D", x"E2" when x"5E", x"E1" when x"5F", x"A0" when x"60", x"A3" when x"61", x"A6" when x"62", x"A5" when x"63", x"AC" when x"64", x"AF" when x"65", x"AA" when x"66", x"A9" when x"67", x"B8" when x"68", x"BB" when x"69", x"BE" when x"6A", x"BD" when x"6B", x"B4" when x"6C", x"B7" when x"6D", x"B2" when x"6E", x"B1" when x"6F", x"90" when x"70", x"93" when x"71", x"96" when x"72", x"95" when x"73", x"9C" when x"74", x"9F" when x"75", x"9A" when x"76", x"99" when x"77", x"88" when x"78", x"8B" when x"79", x"8E" when x"7A", x"8D" when x"7B", x"84" when x"7C", x"87" when x"7D", x"82" when x"7E", x"81" when x"7F", x"9B" when x"80", x"98" when x"81", x"9D" when x"82", x"9E" when x"83", x"97" when x"84", x"94" when x"85", x"91" when x"86", x"92" when x"87", x"83" when x"88", x"80" when x"89", x"85" when x"8A", x"86" when x"8B", x"8F" when x"8C", x"8C" when x"8D", x"89" when x"8E", x"8A" when x"8F", x"AB" when x"90", x"A8" when x"91", x"AD" when x"92", x"AE" when x"93", x"A7" when x"94", x"A4" when x"95", x"A1" when x"96", x"A2" when x"97", x"B3" when x"98", x"B0" when x"99", x"B5" when x"9A", x"B6" when x"9B", x"BF" when x"9C", x"BC" when x"9D", x"B9" when x"9E", x"BA" when x"9F", x"FB" when x"A0", x"F8" when x"A1", x"FD" when x"A2", x"FE" when x"A3", x"F7" when x"A4", x"F4" when x"A5", x"F1" when x"A6", x"F2" when x"A7", x"E3" when x"A8", x"E0" when x"A9", x"E5" when x"AA", x"E6" when x"AB", x"EF" when x"AC", x"EC" when x"AD", x"E9" when x"AE", x"EA" when x"AF", x"CB" when x"B0", x"C8" when x"B1", x"CD" when x"B2", x"CE" when x"B3", x"C7" when x"B4", x"C4" when x"B5", x"C1" when x"B6", x"C2" when x"B7", x"D3" when x"B8", x"D0" when x"B9", x"D5" when x"BA", x"D6" when x"BB", x"DF" when x"BC", x"DC" when x"BD", x"D9" when x"BE", x"DA" when x"BF", x"5B" when x"C0", x"58" when x"C1", x"5D" when x"C2", x"5E" when x"C3", x"57" when x"C4", x"54" when x"C5", x"51" when x"C6", x"52" when x"C7", x"43" when x"C8", x"40" when x"C9", x"45" when x"CA", x"46" when x"CB", x"4F" when x"CC", x"4C" when x"CD", x"49" when x"CE", x"4A" when x"CF", x"6B" when x"D0", x"68" when x"D1", x"6D" when x"D2", x"6E" when x"D3", x"67" when x"D4", x"64" when x"D5", x"61" when x"D6", x"62" when x"D7", x"73" when x"D8", x"70" when x"D9", x"75" when x"DA", x"76" when x"DB", x"7F" when x"DC", x"7C" when x"DD", x"79" when x"DE", x"7A" when x"DF", x"3B" when x"E0", x"38" when x"E1", x"3D" when x"E2", x"3E" when x"E3", x"37" when x"E4", x"34" when x"E5", x"31" when x"E6", x"32" when x"E7", x"23" when x"E8", x"20" when x"E9", x"25" when x"EA", x"26" when x"EB", x"2F" when x"EC", x"2C" when x"ED", x"29" when x"EE", x"2A" when x"EF", x"0B" when x"F0", x"08" when x"F1", x"0D" when x"F2", x"0E" when x"F3", x"07" when x"F4", x"04" when x"F5", x"01" when x"F6", x"02" when x"F7", x"13" when x"F8", x"10" when x"F9", x"15" when x"FA", x"16" when x"FB", x"1F" when x"FC", x"1C" when x"FD", x"19" when x"FE", x"1A" when x"FF", x"00" when others; end Behavioral; architecture Behavioral of multiply_9 is begin with i select o <= x"00" when x"00", x"09" when x"01", x"12" when x"02", x"1B" when x"03", x"24" when x"04", x"2D" when x"05", x"36" when x"06", x"3F" when x"07", x"48" when x"08", x"41" when x"09", x"5A" when x"0A", x"53" when x"0B", x"6C" when x"0C", x"65" when x"0D", x"7E" when x"0E", x"77" when x"0F", x"90" when x"10", x"99" when x"11", x"82" when x"12", x"8B" when x"13", x"B4" when x"14", x"BD" when x"15", x"A6" when x"16", x"AF" when x"17", x"D8" when x"18", x"D1" when x"19", x"CA" when x"1A", x"C3" when x"1B", x"FC" when x"1C", x"F5" when x"1D", x"EE" when x"1E", x"E7" when x"1F", x"3B" when x"20", x"32" when x"21", x"29" when x"22", x"20" when x"23", x"1F" when x"24", x"16" when x"25", x"0D" when x"26", x"04" when x"27", x"73" when x"28", x"7A" when x"29", x"61" when x"2A", x"68" when x"2B", x"57" when x"2C", x"5E" when x"2D", x"45" when x"2E", x"4C" when x"2F", x"AB" when x"30", x"A2" when x"31", x"B9" when x"32", x"B0" when x"33", x"8F" when x"34", x"86" when x"35", x"9D" when x"36", x"94" when x"37", x"E3" when x"38", x"EA" when x"39", x"F1" when x"3A", x"F8" when x"3B", x"C7" when x"3C", x"CE" when x"3D", x"D5" when x"3E", x"DC" when x"3F", x"76" when x"40", x"7F" when x"41", x"64" when x"42", x"6D" when x"43", x"52" when x"44", x"5B" when x"45", x"40" when x"46", x"49" when x"47", x"3E" when x"48", x"37" when x"49", x"2C" when x"4A", x"25" when x"4B", x"1A" when x"4C", x"13" when x"4D", x"08" when x"4E", x"01" when x"4F", x"E6" when x"50", x"EF" when x"51", x"F4" when x"52", x"FD" when x"53", x"C2" when x"54", x"CB" when x"55", x"D0" when x"56", x"D9" when x"57", x"AE" when x"58", x"A7" when x"59", x"BC" when x"5A", x"B5" when x"5B", x"8A" when x"5C", x"83" when x"5D", x"98" when x"5E", x"91" when x"5F", x"4D" when x"60", x"44" when x"61", x"5F" when x"62", x"56" when x"63", x"69" when x"64", x"60" when x"65", x"7B" when x"66", x"72" when x"67", x"05" when x"68", x"0C" when x"69", x"17" when x"6A", x"1E" when x"6B", x"21" when x"6C", x"28" when x"6D", x"33" when x"6E", x"3A" when x"6F", x"DD" when x"70", x"D4" when x"71", x"CF" when x"72", x"C6" when x"73", x"F9" when x"74", x"F0" when x"75", x"EB" when x"76", x"E2" when x"77", x"95" when x"78", x"9C" when x"79", x"87" when x"7A", x"8E" when x"7B", x"B1" when x"7C", x"B8" when x"7D", x"A3" when x"7E", x"AA" when x"7F", x"EC" when x"80", x"E5" when x"81", x"FE" when x"82", x"F7" when x"83", x"C8" when x"84", x"C1" when x"85", x"DA" when x"86", x"D3" when x"87", x"A4" when x"88", x"AD" when x"89", x"B6" when x"8A", x"BF" when x"8B", x"80" when x"8C", x"89" when x"8D", x"92" when x"8E", x"9B" when x"8F", x"7C" when x"90", x"75" when x"91", x"6E" when x"92", x"67" when x"93", x"58" when x"94", x"51" when x"95", x"4A" when x"96", x"43" when x"97", x"34" when x"98", x"3D" when x"99", x"26" when x"9A", x"2F" when x"9B", x"10" when x"9C", x"19" when x"9D", x"02" when x"9E", x"0B" when x"9F", x"D7" when x"A0", x"DE" when x"A1", x"C5" when x"A2", x"CC" when x"A3", x"F3" when x"A4", x"FA" when x"A5", x"E1" when x"A6", x"E8" when x"A7", x"9F" when x"A8", x"96" when x"A9", x"8D" when x"AA", x"84" when x"AB", x"BB" when x"AC", x"B2" when x"AD", x"A9" when x"AE", x"A0" when x"AF", x"47" when x"B0", x"4E" when x"B1", x"55" when x"B2", x"5C" when x"B3", x"63" when x"B4", x"6A" when x"B5", x"71" when x"B6", x"78" when x"B7", x"0F" when x"B8", x"06" when x"B9", x"1D" when x"BA", x"14" when x"BB", x"2B" when x"BC", x"22" when x"BD", x"39" when x"BE", x"30" when x"BF", x"9A" when x"C0", x"93" when x"C1", x"88" when x"C2", x"81" when x"C3", x"BE" when x"C4", x"B7" when x"C5", x"AC" when x"C6", x"A5" when x"C7", x"D2" when x"C8", x"DB" when x"C9", x"C0" when x"CA", x"C9" when x"CB", x"F6" when x"CC", x"FF" when x"CD", x"E4" when x"CE", x"ED" when x"CF", x"0A" when x"D0", x"03" when x"D1", x"18" when x"D2", x"11" when x"D3", x"2E" when x"D4", x"27" when x"D5", x"3C" when x"D6", x"35" when x"D7", x"42" when x"D8", x"4B" when x"D9", x"50" when x"DA", x"59" when x"DB", x"66" when x"DC", x"6F" when x"DD", x"74" when x"DE", x"7D" when x"DF", x"A1" when x"E0", x"A8" when x"E1", x"B3" when x"E2", x"BA" when x"E3", x"85" when x"E4", x"8C" when x"E5", x"97" when x"E6", x"9E" when x"E7", x"E9" when x"E8", x"E0" when x"E9", x"FB" when x"EA", x"F2" when x"EB", x"CD" when x"EC", x"C4" when x"ED", x"DF" when x"EE", x"D6" when x"EF", x"31" when x"F0", x"38" when x"F1", x"23" when x"F2", x"2A" when x"F3", x"15" when x"F4", x"1C" when x"F5", x"07" when x"F6", x"0E" when x"F7", x"79" when x"F8", x"70" when x"F9", x"6B" when x"FA", x"62" when x"FB", x"5D" when x"FC", x"54" when x"FD", x"4F" when x"FE", x"46" when x"FF", x"00" when others; end Behavioral; architecture Behavioral of multiply_b is begin with i select o <= x"00" when x"00", x"0B" when x"01", x"16" when x"02", x"1D" when x"03", x"2C" when x"04", x"27" when x"05", x"3A" when x"06", x"31" when x"07", x"58" when x"08", x"53" when x"09", x"4E" when x"0A", x"45" when x"0B", x"74" when x"0C", x"7F" when x"0D", x"62" when x"0E", x"69" when x"0F", x"B0" when x"10", x"BB" when x"11", x"A6" when x"12", x"AD" when x"13", x"9C" when x"14", x"97" when x"15", x"8A" when x"16", x"81" when x"17", x"E8" when x"18", x"E3" when x"19", x"FE" when x"1A", x"F5" when x"1B", x"C4" when x"1C", x"CF" when x"1D", x"D2" when x"1E", x"D9" when x"1F", x"7B" when x"20", x"70" when x"21", x"6D" when x"22", x"66" when x"23", x"57" when x"24", x"5C" when x"25", x"41" when x"26", x"4A" when x"27", x"23" when x"28", x"28" when x"29", x"35" when x"2A", x"3E" when x"2B", x"0F" when x"2C", x"04" when x"2D", x"19" when x"2E", x"12" when x"2F", x"CB" when x"30", x"C0" when x"31", x"DD" when x"32", x"D6" when x"33", x"E7" when x"34", x"EC" when x"35", x"F1" when x"36", x"FA" when x"37", x"93" when x"38", x"98" when x"39", x"85" when x"3A", x"8E" when x"3B", x"BF" when x"3C", x"B4" when x"3D", x"A9" when x"3E", x"A2" when x"3F", x"F6" when x"40", x"FD" when x"41", x"E0" when x"42", x"EB" when x"43", x"DA" when x"44", x"D1" when x"45", x"CC" when x"46", x"C7" when x"47", x"AE" when x"48", x"A5" when x"49", x"B8" when x"4A", x"B3" when x"4B", x"82" when x"4C", x"89" when x"4D", x"94" when x"4E", x"9F" when x"4F", x"46" when x"50", x"4D" when x"51", x"50" when x"52", x"5B" when x"53", x"6A" when x"54", x"61" when x"55", x"7C" when x"56", x"77" when x"57", x"1E" when x"58", x"15" when x"59", x"08" when x"5A", x"03" when x"5B", x"32" when x"5C", x"39" when x"5D", x"24" when x"5E", x"2F" when x"5F", x"8D" when x"60", x"86" when x"61", x"9B" when x"62", x"90" when x"63", x"A1" when x"64", x"AA" when x"65", x"B7" when x"66", x"BC" when x"67", x"D5" when x"68", x"DE" when x"69", x"C3" when x"6A", x"C8" when x"6B", x"F9" when x"6C", x"F2" when x"6D", x"EF" when x"6E", x"E4" when x"6F", x"3D" when x"70", x"36" when x"71", x"2B" when x"72", x"20" when x"73", x"11" when x"74", x"1A" when x"75", x"07" when x"76", x"0C" when x"77", x"65" when x"78", x"6E" when x"79", x"73" when x"7A", x"78" when x"7B", x"49" when x"7C", x"42" when x"7D", x"5F" when x"7E", x"54" when x"7F", x"F7" when x"80", x"FC" when x"81", x"E1" when x"82", x"EA" when x"83", x"DB" when x"84", x"D0" when x"85", x"CD" when x"86", x"C6" when x"87", x"AF" when x"88", x"A4" when x"89", x"B9" when x"8A", x"B2" when x"8B", x"83" when x"8C", x"88" when x"8D", x"95" when x"8E", x"9E" when x"8F", x"47" when x"90", x"4C" when x"91", x"51" when x"92", x"5A" when x"93", x"6B" when x"94", x"60" when x"95", x"7D" when x"96", x"76" when x"97", x"1F" when x"98", x"14" when x"99", x"09" when x"9A", x"02" when x"9B", x"33" when x"9C", x"38" when x"9D", x"25" when x"9E", x"2E" when x"9F", x"8C" when x"A0", x"87" when x"A1", x"9A" when x"A2", x"91" when x"A3", x"A0" when x"A4", x"AB" when x"A5", x"B6" when x"A6", x"BD" when x"A7", x"D4" when x"A8", x"DF" when x"A9", x"C2" when x"AA", x"C9" when x"AB", x"F8" when x"AC", x"F3" when x"AD", x"EE" when x"AE", x"E5" when x"AF", x"3C" when x"B0", x"37" when x"B1", x"2A" when x"B2", x"21" when x"B3", x"10" when x"B4", x"1B" when x"B5", x"06" when x"B6", x"0D" when x"B7", x"64" when x"B8", x"6F" when x"B9", x"72" when x"BA", x"79" when x"BB", x"48" when x"BC", x"43" when x"BD", x"5E" when x"BE", x"55" when x"BF", x"01" when x"C0", x"0A" when x"C1", x"17" when x"C2", x"1C" when x"C3", x"2D" when x"C4", x"26" when x"C5", x"3B" when x"C6", x"30" when x"C7", x"59" when x"C8", x"52" when x"C9", x"4F" when x"CA", x"44" when x"CB", x"75" when x"CC", x"7E" when x"CD", x"63" when x"CE", x"68" when x"CF", x"B1" when x"D0", x"BA" when x"D1", x"A7" when x"D2", x"AC" when x"D3", x"9D" when x"D4", x"96" when x"D5", x"8B" when x"D6", x"80" when x"D7", x"E9" when x"D8", x"E2" when x"D9", x"FF" when x"DA", x"F4" when x"DB", x"C5" when x"DC", x"CE" when x"DD", x"D3" when x"DE", x"D8" when x"DF", x"7A" when x"E0", x"71" when x"E1", x"6C" when x"E2", x"67" when x"E3", x"56" when x"E4", x"5D" when x"E5", x"40" when x"E6", x"4B" when x"E7", x"22" when x"E8", x"29" when x"E9", x"34" when x"EA", x"3F" when x"EB", x"0E" when x"EC", x"05" when x"ED", x"18" when x"EE", x"13" when x"EF", x"CA" when x"F0", x"C1" when x"F1", x"DC" when x"F2", x"D7" when x"F3", x"E6" when x"F4", x"ED" when x"F5", x"F0" when x"F6", x"FB" when x"F7", x"92" when x"F8", x"99" when x"F9", x"84" when x"FA", x"8F" when x"FB", x"BE" when x"FC", x"B5" when x"FD", x"A8" when x"FE", x"A3" when x"FF", x"00" when others; end Behavioral; architecture Behavioral of multiply_d is begin with i select o <= x"00" when x"00", x"0D" when x"01", x"1A" when x"02", x"17" when x"03", x"34" when x"04", x"39" when x"05", x"2E" when x"06", x"23" when x"07", x"68" when x"08", x"65" when x"09", x"72" when x"0A", x"7F" when x"0B", x"5C" when x"0C", x"51" when x"0D", x"46" when x"0E", x"4B" when x"0F", x"D0" when x"10", x"DD" when x"11", x"CA" when x"12", x"C7" when x"13", x"E4" when x"14", x"E9" when x"15", x"FE" when x"16", x"F3" when x"17", x"B8" when x"18", x"B5" when x"19", x"A2" when x"1A", x"AF" when x"1B", x"8C" when x"1C", x"81" when x"1D", x"96" when x"1E", x"9B" when x"1F", x"BB" when x"20", x"B6" when x"21", x"A1" when x"22", x"AC" when x"23", x"8F" when x"24", x"82" when x"25", x"95" when x"26", x"98" when x"27", x"D3" when x"28", x"DE" when x"29", x"C9" when x"2A", x"C4" when x"2B", x"E7" when x"2C", x"EA" when x"2D", x"FD" when x"2E", x"F0" when x"2F", x"6B" when x"30", x"66" when x"31", x"71" when x"32", x"7C" when x"33", x"5F" when x"34", x"52" when x"35", x"45" when x"36", x"48" when x"37", x"03" when x"38", x"0E" when x"39", x"19" when x"3A", x"14" when x"3B", x"37" when x"3C", x"3A" when x"3D", x"2D" when x"3E", x"20" when x"3F", x"6D" when x"40", x"60" when x"41", x"77" when x"42", x"7A" when x"43", x"59" when x"44", x"54" when x"45", x"43" when x"46", x"4E" when x"47", x"05" when x"48", x"08" when x"49", x"1F" when x"4A", x"12" when x"4B", x"31" when x"4C", x"3C" when x"4D", x"2B" when x"4E", x"26" when x"4F", x"BD" when x"50", x"B0" when x"51", x"A7" when x"52", x"AA" when x"53", x"89" when x"54", x"84" when x"55", x"93" when x"56", x"9E" when x"57", x"D5" when x"58", x"D8" when x"59", x"CF" when x"5A", x"C2" when x"5B", x"E1" when x"5C", x"EC" when x"5D", x"FB" when x"5E", x"F6" when x"5F", x"D6" when x"60", x"DB" when x"61", x"CC" when x"62", x"C1" when x"63", x"E2" when x"64", x"EF" when x"65", x"F8" when x"66", x"F5" when x"67", x"BE" when x"68", x"B3" when x"69", x"A4" when x"6A", x"A9" when x"6B", x"8A" when x"6C", x"87" when x"6D", x"90" when x"6E", x"9D" when x"6F", x"06" when x"70", x"0B" when x"71", x"1C" when x"72", x"11" when x"73", x"32" when x"74", x"3F" when x"75", x"28" when x"76", x"25" when x"77", x"6E" when x"78", x"63" when x"79", x"74" when x"7A", x"79" when x"7B", x"5A" when x"7C", x"57" when x"7D", x"40" when x"7E", x"4D" when x"7F", x"DA" when x"80", x"D7" when x"81", x"C0" when x"82", x"CD" when x"83", x"EE" when x"84", x"E3" when x"85", x"F4" when x"86", x"F9" when x"87", x"B2" when x"88", x"BF" when x"89", x"A8" when x"8A", x"A5" when x"8B", x"86" when x"8C", x"8B" when x"8D", x"9C" when x"8E", x"91" when x"8F", x"0A" when x"90", x"07" when x"91", x"10" when x"92", x"1D" when x"93", x"3E" when x"94", x"33" when x"95", x"24" when x"96", x"29" when x"97", x"62" when x"98", x"6F" when x"99", x"78" when x"9A", x"75" when x"9B", x"56" when x"9C", x"5B" when x"9D", x"4C" when x"9E", x"41" when x"9F", x"61" when x"A0", x"6C" when x"A1", x"7B" when x"A2", x"76" when x"A3", x"55" when x"A4", x"58" when x"A5", x"4F" when x"A6", x"42" when x"A7", x"09" when x"A8", x"04" when x"A9", x"13" when x"AA", x"1E" when x"AB", x"3D" when x"AC", x"30" when x"AD", x"27" when x"AE", x"2A" when x"AF", x"B1" when x"B0", x"BC" when x"B1", x"AB" when x"B2", x"A6" when x"B3", x"85" when x"B4", x"88" when x"B5", x"9F" when x"B6", x"92" when x"B7", x"D9" when x"B8", x"D4" when x"B9", x"C3" when x"BA", x"CE" when x"BB", x"ED" when x"BC", x"E0" when x"BD", x"F7" when x"BE", x"FA" when x"BF", x"B7" when x"C0", x"BA" when x"C1", x"AD" when x"C2", x"A0" when x"C3", x"83" when x"C4", x"8E" when x"C5", x"99" when x"C6", x"94" when x"C7", x"DF" when x"C8", x"D2" when x"C9", x"C5" when x"CA", x"C8" when x"CB", x"EB" when x"CC", x"E6" when x"CD", x"F1" when x"CE", x"FC" when x"CF", x"67" when x"D0", x"6A" when x"D1", x"7D" when x"D2", x"70" when x"D3", x"53" when x"D4", x"5E" when x"D5", x"49" when x"D6", x"44" when x"D7", x"0F" when x"D8", x"02" when x"D9", x"15" when x"DA", x"18" when x"DB", x"3B" when x"DC", x"36" when x"DD", x"21" when x"DE", x"2C" when x"DF", x"0C" when x"E0", x"01" when x"E1", x"16" when x"E2", x"1B" when x"E3", x"38" when x"E4", x"35" when x"E5", x"22" when x"E6", x"2F" when x"E7", x"64" when x"E8", x"69" when x"E9", x"7E" when x"EA", x"73" when x"EB", x"50" when x"EC", x"5D" when x"ED", x"4A" when x"EE", x"47" when x"EF", x"DC" when x"F0", x"D1" when x"F1", x"C6" when x"F2", x"CB" when x"F3", x"E8" when x"F4", x"E5" when x"F5", x"F2" when x"F6", x"FF" when x"F7", x"B4" when x"F8", x"B9" when x"F9", x"AE" when x"FA", x"A3" when x"FB", x"80" when x"FC", x"8D" when x"FD", x"9A" when x"FE", x"97" when x"FF", x"00" when others; end Behavioral; architecture Behavioral of multiply_e is begin with i select o <= x"00" when x"00", x"0E" when x"01", x"1C" when x"02", x"12" when x"03", x"38" when x"04", x"36" when x"05", x"24" when x"06", x"2A" when x"07", x"70" when x"08", x"7E" when x"09", x"6C" when x"0A", x"62" when x"0B", x"48" when x"0C", x"46" when x"0D", x"54" when x"0E", x"5A" when x"0F", x"E0" when x"10", x"EE" when x"11", x"FC" when x"12", x"F2" when x"13", x"D8" when x"14", x"D6" when x"15", x"C4" when x"16", x"CA" when x"17", x"90" when x"18", x"9E" when x"19", x"8C" when x"1A", x"82" when x"1B", x"A8" when x"1C", x"A6" when x"1D", x"B4" when x"1E", x"BA" when x"1F", x"DB" when x"20", x"D5" when x"21", x"C7" when x"22", x"C9" when x"23", x"E3" when x"24", x"ED" when x"25", x"FF" when x"26", x"F1" when x"27", x"AB" when x"28", x"A5" when x"29", x"B7" when x"2A", x"B9" when x"2B", x"93" when x"2C", x"9D" when x"2D", x"8F" when x"2E", x"81" when x"2F", x"3B" when x"30", x"35" when x"31", x"27" when x"32", x"29" when x"33", x"03" when x"34", x"0D" when x"35", x"1F" when x"36", x"11" when x"37", x"4B" when x"38", x"45" when x"39", x"57" when x"3A", x"59" when x"3B", x"73" when x"3C", x"7D" when x"3D", x"6F" when x"3E", x"61" when x"3F", x"AD" when x"40", x"A3" when x"41", x"B1" when x"42", x"BF" when x"43", x"95" when x"44", x"9B" when x"45", x"89" when x"46", x"87" when x"47", x"DD" when x"48", x"D3" when x"49", x"C1" when x"4A", x"CF" when x"4B", x"E5" when x"4C", x"EB" when x"4D", x"F9" when x"4E", x"F7" when x"4F", x"4D" when x"50", x"43" when x"51", x"51" when x"52", x"5F" when x"53", x"75" when x"54", x"7B" when x"55", x"69" when x"56", x"67" when x"57", x"3D" when x"58", x"33" when x"59", x"21" when x"5A", x"2F" when x"5B", x"05" when x"5C", x"0B" when x"5D", x"19" when x"5E", x"17" when x"5F", x"76" when x"60", x"78" when x"61", x"6A" when x"62", x"64" when x"63", x"4E" when x"64", x"40" when x"65", x"52" when x"66", x"5C" when x"67", x"06" when x"68", x"08" when x"69", x"1A" when x"6A", x"14" when x"6B", x"3E" when x"6C", x"30" when x"6D", x"22" when x"6E", x"2C" when x"6F", x"96" when x"70", x"98" when x"71", x"8A" when x"72", x"84" when x"73", x"AE" when x"74", x"A0" when x"75", x"B2" when x"76", x"BC" when x"77", x"E6" when x"78", x"E8" when x"79", x"FA" when x"7A", x"F4" when x"7B", x"DE" when x"7C", x"D0" when x"7D", x"C2" when x"7E", x"CC" when x"7F", x"41" when x"80", x"4F" when x"81", x"5D" when x"82", x"53" when x"83", x"79" when x"84", x"77" when x"85", x"65" when x"86", x"6B" when x"87", x"31" when x"88", x"3F" when x"89", x"2D" when x"8A", x"23" when x"8B", x"09" when x"8C", x"07" when x"8D", x"15" when x"8E", x"1B" when x"8F", x"A1" when x"90", x"AF" when x"91", x"BD" when x"92", x"B3" when x"93", x"99" when x"94", x"97" when x"95", x"85" when x"96", x"8B" when x"97", x"D1" when x"98", x"DF" when x"99", x"CD" when x"9A", x"C3" when x"9B", x"E9" when x"9C", x"E7" when x"9D", x"F5" when x"9E", x"FB" when x"9F", x"9A" when x"A0", x"94" when x"A1", x"86" when x"A2", x"88" when x"A3", x"A2" when x"A4", x"AC" when x"A5", x"BE" when x"A6", x"B0" when x"A7", x"EA" when x"A8", x"E4" when x"A9", x"F6" when x"AA", x"F8" when x"AB", x"D2" when x"AC", x"DC" when x"AD", x"CE" when x"AE", x"C0" when x"AF", x"7A" when x"B0", x"74" when x"B1", x"66" when x"B2", x"68" when x"B3", x"42" when x"B4", x"4C" when x"B5", x"5E" when x"B6", x"50" when x"B7", x"0A" when x"B8", x"04" when x"B9", x"16" when x"BA", x"18" when x"BB", x"32" when x"BC", x"3C" when x"BD", x"2E" when x"BE", x"20" when x"BF", x"EC" when x"C0", x"E2" when x"C1", x"F0" when x"C2", x"FE" when x"C3", x"D4" when x"C4", x"DA" when x"C5", x"C8" when x"C6", x"C6" when x"C7", x"9C" when x"C8", x"92" when x"C9", x"80" when x"CA", x"8E" when x"CB", x"A4" when x"CC", x"AA" when x"CD", x"B8" when x"CE", x"B6" when x"CF", x"0C" when x"D0", x"02" when x"D1", x"10" when x"D2", x"1E" when x"D3", x"34" when x"D4", x"3A" when x"D5", x"28" when x"D6", x"26" when x"D7", x"7C" when x"D8", x"72" when x"D9", x"60" when x"DA", x"6E" when x"DB", x"44" when x"DC", x"4A" when x"DD", x"58" when x"DE", x"56" when x"DF", x"37" when x"E0", x"39" when x"E1", x"2B" when x"E2", x"25" when x"E3", x"0F" when x"E4", x"01" when x"E5", x"13" when x"E6", x"1D" when x"E7", x"47" when x"E8", x"49" when x"E9", x"5B" when x"EA", x"55" when x"EB", x"7F" when x"EC", x"71" when x"ED", x"63" when x"EE", x"6D" when x"EF", x"D7" when x"F0", x"D9" when x"F1", x"CB" when x"F2", x"C5" when x"F3", x"EF" when x"F4", x"E1" when x"F5", x"F3" when x"F6", x"FD" when x"F7", x"A7" when x"F8", x"A9" when x"F9", x"BB" when x"FA", x"B5" when x"FB", x"9F" when x"FC", x"91" when x"FD", x"83" when x"FE", x"8D" when x"FF", x"00" when others; end Behavioral;
<reponame>nallen01/phd-thesis-benchmarks library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.config.all; use work.lib.all; -- Entity entity TimeIndependentPotassiumCurrent is generic( g_k1_max : signed(31 downto 0) := CREATE_FP(0.0075) ); port ( clk : in std_logic; -- Declare Inputs time_in : in signed(31 downto 0); v_in : in signed(31 downto 0); ko_in : in signed(31 downto 0); ki_in : in signed(31 downto 0); r_in : in signed(31 downto 0); t_in : in signed(31 downto 0); f_in : in signed(31 downto 0); k1_infinity_private_in : in signed(31 downto 0); -- Declare Outputs i_k1_out : out signed(31 downto 0); e_k1_out : out signed(31 downto 0); time_private_out : out signed(31 downto 0); v_private_out : out signed(31 downto 0) ); end; -- Architecture architecture behavior of TimeIndependentPotassiumCurrent is -- Declare Outputs signal i_k1 : signed(31 downto 0) := CREATE_FP(0.0); signal e_k1 : signed(31 downto 0) := CREATE_FP(0.0); signal time_private : signed(31 downto 0) := CREATE_FP(0.0); signal v_private : signed(31 downto 0) := CREATE_FP(0.0); -- Declare Internal Variables signal g_k1 : signed(31 downto 0) := CREATE_FP(0.0); signal k1_infinity : signed(31 downto 0) := CREATE_FP(0.0); begin process(clk) -- Outputs variable i_k1_update : signed(31 downto 0) := CREATE_FP(0.0); variable e_k1_update : signed(31 downto 0) := CREATE_FP(0.0); variable time_private_update : signed(31 downto 0) := CREATE_FP(0.0); variable v_private_update : signed(31 downto 0) := CREATE_FP(0.0); -- Internal Variables variable g_k1_update : signed(31 downto 0) := CREATE_FP(0.0); variable k1_infinity_update : signed(31 downto 0) := CREATE_FP(0.0); begin if clk'event and clk = '1' then -- Perform Update Operations g_k1_update := FP_MULT(g_k1_max, FP_SQRT((FP_DIV(ko_in, CREATE_FP(5.4))))); e_k1_update := FP_MULT(FP_MULT((FP_DIV((FP_MULT(r_in, t_in)), f_in)), FP_LOG((FP_DIV(ko_in, ki_in)))), CREATE_FP(0.9999999999999998)); i_k1_update := FP_MULT(FP_MULT(g_k1, k1_infinity), (v_in - e_k1)); time_private_update := time_in; v_private_update := v_in; k1_infinity_update := k1_infinity_private_in; -- Map Outputs i_k1_out <= i_k1_update; i_k1 <= i_k1_update; e_k1_out <= e_k1_update; e_k1 <= e_k1_update; time_private_out <= time_private_update; time_private <= time_private_update; v_private_out <= v_private_update; v_private <= v_private_update; -- Map Internal Variables g_k1 <= g_k1_update; k1_infinity <= k1_infinity_update; end if; end process; end architecture;
<reponame>trintinwibul/simulink_models -- ------------------------------------------------------------- -- -- File Name: C:\Users\conno\Documents\NIH-GitHub\simulink_models\models\fft_filters\hdlsrc\fft_filters\fft_filters_DualRateDualPortRAM_generic.vhd -- -- Generated by MATLAB 9.9 and HDL Coder 3.17 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: fft_filters_DualRateDualPortRAM_generic -- Source Path: fft_filters/dataplane/FFT_Analysis_Synthesis_Left/Analysis/FFT Frame Buffering/DualRateDualPortRAM_generic -- Hierarchy Level: 4 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY fft_filters_DualRateDualPortRAM_generic IS GENERIC( AddrWidth : integer := 1; DataWidth : integer := 1 ); PORT( clk : IN std_logic; enb_1_16_0 : IN std_logic; enb_1_2048_0 : IN std_logic; din_A : IN std_logic_vector(DataWidth - 1 DOWNTO 0); -- generic width addr_A : IN std_logic_vector(AddrWidth - 1 DOWNTO 0); -- generic width we_A : IN std_logic; -- ufix1 din_B : IN std_logic_vector(DataWidth - 1 DOWNTO 0); -- generic width addr_B : IN std_logic_vector(AddrWidth - 1 DOWNTO 0); -- generic width we_B : IN std_logic; -- ufix1 doutA : OUT std_logic_vector(DataWidth - 1 DOWNTO 0); -- generic width doutB : OUT std_logic_vector(DataWidth - 1 DOWNTO 0) -- generic width ); END fft_filters_DualRateDualPortRAM_generic; ARCHITECTURE rtl OF fft_filters_DualRateDualPortRAM_generic IS -- Local Type Definitions TYPE ram_type IS ARRAY (2**AddrWidth - 1 DOWNTO 0) of std_logic_vector(DataWidth - 1 DOWNTO 0); -- Signals SHARED VARIABLE ram : ram_type := (OTHERS => (OTHERS => '0')); SIGNAL dout_a : std_logic_vector(DataWidth - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL dout_b : std_logic_vector(DataWidth - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL addr_A_unsigned : unsigned(AddrWidth - 1 DOWNTO 0); -- generic width SIGNAL addr_B_unsigned : unsigned(AddrWidth - 1 DOWNTO 0); -- generic width BEGIN addr_A_unsigned <= unsigned(addr_A); addr_B_unsigned <= unsigned(addr_B); DualRateDualPortRAM_generic_processA: PROCESS (clk) BEGIN IF rising_edge(clk) THEN IF enb_1_2048_0 = '1' THEN IF we_A = '1' THEN ram(to_integer(addr_A_unsigned)) := din_A; dout_a <= din_A; ELSE dout_a <= ram(to_integer(addr_A_unsigned)); END IF; END IF; END IF; END PROCESS DualRateDualPortRAM_generic_processA; DualRateDualPortRAM_generic_processB: PROCESS (clk) BEGIN IF rising_edge(clk) THEN IF enb_1_16_0 = '1' THEN IF we_B = '1' THEN ram(to_integer(addr_B_unsigned)) := din_B; dout_b <= din_B; ELSE dout_b <= ram(to_integer(addr_B_unsigned)); END IF; END IF; END IF; END PROCESS DualRateDualPortRAM_generic_processB; doutA <= dout_a; doutB <= dout_b; END rtl;
<reponame>zerodois-bcc/SEL0632-2019 ---------------------------- --<NAME> --10724239 -- --Exercício 4.9.9 ---------------------------- library ieee; use ieee.std_logic_1164.all; ENTITY deslocador IS PORT (V : IN BIT_VECTOR (3 DOWNTO 0); d0, d1 : IN BIT; S : OUT BIT_VECTOR (3 DOWNTO 0)); END ENTITY deslocador; ARCHITECTURE ifelse1 OF deslocador IS abc: PROCESS (V, d0, d1) BEGIN IF d0 & d1 = "00" THEN S <= V(3) & V(2) & V(1) & V(0) ELSIF d0 & d1 = "01" THEN S <= V(2) & V(1) & V(0) & V(3) ELSIF d0 & d1 = "10" THEN S <= V(1) & V(0) & V(3) & V(2) ELSE S <= V(0) & V(3) & V(2) & V(1); END IF; END PROCESS abc; END ifelse1; ARCHITECTURE casewhen1 OF deslocador IS SIGNAL cat : std_logic_vector (1 DOWNTO 0); abc: PROCESS (V, d0, d1) BEGIN cat <= d0 & d1; CASE cat IS WHEN "00" => S <= V(3) & V(2) & V(1) & V(0); WHEN "01" => S <= V(2) & V(1) & V(0) & V(3); WHEN "10" => S <= V(1) & V(0) & V(3) & V(2); WHEN OTHERS => S <= V(0) & V(3) & V(2) & V(1); END CASE; END PROCESS abc; END casewhen1; ARCHITECTURE ifelse2 OF deslocador IS abc: PROCESS (V, d0, d1) BEGIN IF d0 = '0' THEN IF d1 = '0' THEN S <= V(3) & V(2) & V(1) & V(0); ELSE S <= V(2) & V(1) & V(0) & V(3); ELSIF d1 = '0' THEN S <= V(1) & V(0) & V(3) & V(2); ELSE S <= V(0) & V(3) & V(2) & V(1); END IF; END PROCESS abc; END ifelse2; ARCHITECTURE casewhen2 OF deslocador IS abc: PROCESS (V, d0, d1) BEGIN CASE d0 IS WHEN '0' => CASE d1 IS WHEN '0' => S <= V(3) & V(2) & V(1) & V(0); WHEN OTHERS => S <= V(2) & V(1) & V(0) & V(3); END CASE; WHEN OTHERS => CASE d1 IS WHEN '0' => S <= V(1) & V(0) & V(3) & V(2); WHEN OTHERS => S <= V(0) & V(3) & V(2) & V(1); END CASE; END CASE; END PROCESS abc; END casewhen2;
library ieee_proposed; use ieee_proposed.electrical_systems.all; entity control_system is port ( quantity feedback, target : in voltage; quantity output : out voltage ); end entity control_system; ---------------------------------------------------------------- architecture simple_feedback of control_system is constant gain : real := 2.0; begin output == gain * ( target - feedback ); end architecture simple_feedback;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity Control_Path is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; play : in STD_LOGIC; uart_data : in STD_LOGIC_VECTOR(7 downto 0); rx_done_tick : in STD_LOGIC; clr : out STD_LOGIC; inc : out STD_LOGIC; timer_done : in STD_LOGIC; ram_data : in STD_LOGIC_VECTOR(7 downto 0); timer_on : out STD_LOGIC; wr : out STD_LOGIC; mute : out STD_LOGIC); end Control_Path; architecture Behavioral of Control_Path is type state is (Initial, PlayState, MuteState, CheckForMuteAndPlay, WriteToRAM); signal state_reg, state_nxt : state; begin process (rst, clk) begin if (rst = '1') then state_reg <= Initial; elsif (rising_edge(clk)) then state_reg <= state_nxt; end if; end process; process (state_reg, play, uart_data, rx_done_tick, timer_done, ram_data) begin state_nxt <= state_reg; wr <= '0'; mute <= '0'; timer_on <= '0'; clr <= '0'; inc <= '0'; case state_reg is when Initial => clr <= '1'; mute <= '1'; if (rx_done_tick = '1' and (uart_data = "01100001" or uart_data = "01110011" or uart_data = "01100100" or -- a s d uart_data = "01100110" or uart_data = "01100111" or uart_data = "01101000" -- f g h or uart_data = "01101010" or uart_data = "01110001" or uart_data = "01110111" -- j q w or uart_data = "01100101" or uart_data = "01110010" or uart_data = "01110100" -- e r t or uart_data = "01111001" or uart_data = "01110101" or uart_data = "00001101")) then -- y u CR state_nxt <= WriteToRAM; else state_nxt <= Initial; end if; when WriteToRAM => wr <= '1'; state_nxt <= CheckForMuteAndPlay; when CheckForMuteAndPlay => if (play = '1') then clr <= '1'; state_nxt <= PlayState; elsif (uart_data = "00010000") then state_nxt <= MuteState; elsif (rx_done_tick = '1' and (uart_data = "01100001" or uart_data = "01110011" or uart_data = "01100100" -- a s d or uart_data = "01100110" or uart_data = "01100111" or uart_data = "01101000" -- f g h or uart_data = "01101010" or uart_data = "01110001" or uart_data = "01110111" -- j q w or uart_data = "01100101" or uart_data = "01110010" or uart_data = "01110100" -- e r t or uart_data = "01111001" or uart_data = "01110101")) then -- y u inc <= '1'; state_nxt <= WriteToRAM; else state_nxt <= CheckForMuteAndPlay; end if; when MuteState => mute <= '1'; if (rx_done_tick = '1' and (uart_data = "01100001" or uart_data = "01110011" or uart_data = "01100100" -- a s d or uart_data = "01100110" or uart_data = "01100111" or uart_data = "01101000" -- f g h or uart_data = "01101010" or uart_data = "01110001" or uart_data = "01110111" -- j q w or uart_data = "01100101" or uart_data = "01110010" or uart_data = "01110100" -- e r t or uart_data = "01111001" or uart_data = "01110101")) then -- y u inc <= '1'; state_nxt <= WriteToRAM; else state_nxt <= MuteState; end if; when PlayState => timer_on <= '1'; if (rx_done_tick = '1' and (uart_data = "01100001" or uart_data = "01110011" or uart_data = "01100100" -- a s d or uart_data = "01100110" or uart_data = "01100111" or uart_data = "01101000" -- f g h or uart_data = "01101010" or uart_data = "01110001" or uart_data = "01110111" -- j q w or uart_data = "01100101" or uart_data = "01110010" or uart_data = "01110100" -- e r t or uart_data = "01111001" or uart_data = "01110101")) then -- y u inc <= '1'; state_nxt <= WriteToRAM; elsif (play = '0') then state_nxt <= CheckForMuteAndPlay; elsif (not (ram_data = "01100001" or ram_data = "01110011" or ram_data = "01100100" -- a s d or ram_data = "01100110" or ram_data = "01100111" or ram_data = "01101000" -- f g h or ram_data = "01101010" or ram_data = "01110001" or ram_data = "01110111" -- j q w or ram_data = "01100101" or ram_data = "01110010" or ram_data = "01110100" -- e r t or ram_data = "01111001" or ram_data = "01110101")) then -- y u clr <= '1'; state_nxt <= CheckForMuteAndPlay; elsif (timer_done = '1') then inc <= '1'; state_nxt <= PlayState; else state_nxt <= PlayState; end if; end case; end process; end Behavioral;
-- ------------------------------------------------------------- -- -- File Name: /home/cb54103/Documents/fpga-open-speech-tools/simulink_models/models/Dynamic_Compression_Model/hdlsrc/sm_DynamicCompression/sm_DynamicCompression_Addr_Splitter.vhd -- -- Generated by MATLAB 9.7 and HDL Coder 3.15 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: sm_DynamicCompression_Addr_Splitter -- Source Path: sm_DynamicCompression/dataplane/Avalon Data Processing/Left Channel Processing/recalculate/Nchan_FbankAGC_AID/Addr_Splitter -- Hierarchy Level: 5 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY sm_DynamicCompression_Addr_Splitter IS PORT( Register_Addr : IN std_logic_vector(31 DOWNTO 0); -- sfix32_En28 Addr : OUT std_logic_vector(8 DOWNTO 0); -- ufix9 Sel : OUT std_logic_vector(2 DOWNTO 0) -- ufix3 ); END sm_DynamicCompression_Addr_Splitter; ARCHITECTURE rtl OF sm_DynamicCompression_Addr_Splitter IS -- Signals SIGNAL Register_Addr_signed : signed(31 DOWNTO 0); -- sfix32_En28 SIGNAL Data_Type_Conversion_out1 : unsigned(11 DOWNTO 0); -- ufix12 SIGNAL Bit_Slice_out1 : unsigned(8 DOWNTO 0); -- ufix9 SIGNAL Constant1_out1 : std_logic; SIGNAL Constant_out1 : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL top3Bits : unsigned(2 DOWNTO 0); -- ufix3 SIGNAL Switch_out1 : unsigned(2 DOWNTO 0); -- ufix3 BEGIN -- Figure out where 7+ comes from -- -- Addr line contains the local address bits: 0-2^(3+M_bits) -1 -- -- Select Line is 000 to 100, if 101 or greater, don't write to any of these blocks Register_Addr_signed <= signed(Register_Addr); Data_Type_Conversion_out1 <= unsigned(resize(Register_Addr_signed(31 DOWNTO 28), 12)); -- Bit_Slice_out1 <= Data_Type_Conversion_out1(8 DOWNTO 0); Addr <= std_logic_vector(Bit_Slice_out1); Constant1_out1 <= '0'; Constant_out1 <= to_unsigned(16#5#, 3); -- top3Bits <= Data_Type_Conversion_out1(11 DOWNTO 9); Switch_out1 <= Constant_out1 WHEN Constant1_out1 = '0' ELSE top3Bits; Sel <= std_logic_vector(Switch_out1); END rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mJ3T3BCX7LAlyU2xrNoEKcRoY7ak4QBfWXGdu3UBnlGC9p1qwsu20B4atcMgf5zdkPaDt9Cc9avt <KEY> `protect key_keyowner = "Synopsys", key_keyname= "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5584) `protect data_block jSbBoRMbIqNgXmkx6/PODOleKU/lz0WL80FM7PonR8lFIooEUnC59FvtIrBIH0ywnrXkYnZwqfQ4 <KEY> UxdSbw+g8Rg0CDUneov1fH13gmQMhT<KEY> `protect end_protected
<gh_stars>0 -- ------------------------------------------------------------------------- -- High Level Design Compiler for Intel(R) FPGAs Version 18.1 (Release Build #625) -- -- Legal Notice: Copyright 2018 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly -- subject to the terms and conditions of the Intel FPGA Software License -- Agreement, Intel MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for -- the sole purpose of programming logic devices manufactured by Intel -- and sold by Intel or its authorized distributors. Please refer to the -- applicable agreement for further details. -- --------------------------------------------------------------------------- -- VHDL created from i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176 -- VHDL created on Sat Mar 12 13:30:05 2022 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY altera_lnsim; USE altera_lnsim.altera_lnsim_components.altera_syncram; LIBRARY lpm; USE lpm.lpm_components.all; entity i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176 is port ( in_c2_eni5_0 : in std_logic_vector(0 downto 0); -- ufix1 in_c2_eni5_1 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_2 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_3 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_4 : in std_logic_vector(31 downto 0); -- ufix32 in_c2_eni5_5 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_6 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_7 : in std_logic_vector(31 downto 0); -- float32_m23 in_c2_eni5_8 : in std_logic_vector(31 downto 0); -- float32_m23 in_i_valid : in std_logic_vector(0 downto 0); -- ufix1 out_c2_exi3_0 : out std_logic_vector(0 downto 0); -- ufix1 out_c2_exi3_1 : out std_logic_vector(31 downto 0); -- float32_m23 out_c2_exi3_2 : out std_logic_vector(31 downto 0); -- float32_m23 out_c2_exi3_3 : out std_logic_vector(31 downto 0); -- float32_m23 out_o_valid : out std_logic_vector(0 downto 0); -- ufix1 clock : in std_logic; resetn : in std_logic ); end i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176; architecture normal of i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176 is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 113007"; component floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_addBlockA0Z3d06o00rf00d06of5q0u is port ( in_0 : in std_logic_vector(31 downto 0); -- Floating Point in_1 : in std_logic_vector(31 downto 0); -- Floating Point out_primWireOut : out std_logic_vector(31 downto 0); -- Floating Point clock : in std_logic; resetn : in std_logic ); end component; component floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_multBlocA0Zp06o303d06o00rf01pzc is port ( in_0 : in std_logic_vector(31 downto 0); -- Floating Point in_1 : in std_logic_vector(31 downto 0); -- Floating Point out_primWireOut : out std_logic_vector(31 downto 0); -- Floating Point clock : in std_logic; resetn : in std_logic ); end component; signal GND_q : STD_LOGIC_VECTOR (0 downto 0); signal VCC_q : STD_LOGIC_VECTOR (0 downto 0); signal i_add24_1_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal i_add24_2_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal i_add24_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal i_mul23_1_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal i_mul23_2_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal i_mul23_conv2d1x1_out_primWireOut : STD_LOGIC_VECTOR (31 downto 0); signal redist0_i_mul23_conv2d1x1_out_primWireOut_1_q : STD_LOGIC_VECTOR (31 downto 0); signal redist3_i_add24_conv2d1x1_out_primWireOut_1_q : STD_LOGIC_VECTOR (31 downto 0); signal redist5_i_add24_1_conv2d1x1_out_primWireOut_1_q : STD_LOGIC_VECTOR (31 downto 0); signal redist8_sync_in_aunroll_x_in_i_valid_52_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_inputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_outputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_reset0 : std_logic; signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ia : STD_LOGIC_VECTOR (31 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_aa : STD_LOGIC_VECTOR (4 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ab : STD_LOGIC_VECTOR (4 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_iq : STD_LOGIC_VECTOR (31 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_q : STD_LOGIC_VECTOR (31 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_q : STD_LOGIC_VECTOR (4 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i : UNSIGNED (4 downto 0); attribute preserve : boolean; attribute preserve of redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i : signal is true; signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq : std_logic; attribute preserve of redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq : signal is true; signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr_q : STD_LOGIC_VECTOR (4 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_last_q : STD_LOGIC_VECTOR (5 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_b : STD_LOGIC_VECTOR (5 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_notEnable_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_nor_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q : STD_LOGIC_VECTOR (0 downto 0); attribute dont_merge : boolean; attribute dont_merge of redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q : signal is true; signal redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_enaAnd_q : STD_LOGIC_VECTOR (0 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_inputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_outputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_reset0 : std_logic; signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ia : STD_LOGIC_VECTOR (31 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_aa : STD_LOGIC_VECTOR (3 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ab : STD_LOGIC_VECTOR (3 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_iq : STD_LOGIC_VECTOR (31 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_q : STD_LOGIC_VECTOR (31 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_q : STD_LOGIC_VECTOR (3 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i : UNSIGNED (3 downto 0); attribute preserve of redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i : signal is true; signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq : std_logic; attribute preserve of redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq : signal is true; signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr_q : STD_LOGIC_VECTOR (3 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_last_q : STD_LOGIC_VECTOR (4 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_b : STD_LOGIC_VECTOR (4 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_q : STD_LOGIC_VECTOR (0 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg_q : STD_LOGIC_VECTOR (0 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_notEnable_q : STD_LOGIC_VECTOR (0 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_nor_q : STD_LOGIC_VECTOR (0 downto 0); signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q : STD_LOGIC_VECTOR (0 downto 0); attribute dont_merge of redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q : signal is true; signal redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_enaAnd_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_inputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_outputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_reset0 : std_logic; signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ia : STD_LOGIC_VECTOR (31 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_aa : STD_LOGIC_VECTOR (4 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ab : STD_LOGIC_VECTOR (4 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_iq : STD_LOGIC_VECTOR (31 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_q : STD_LOGIC_VECTOR (31 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_q : STD_LOGIC_VECTOR (4 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i : UNSIGNED (4 downto 0); attribute preserve of redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i : signal is true; signal redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq : std_logic; attribute preserve of redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq : signal is true; signal redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr_q : STD_LOGIC_VECTOR (4 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_last_q : STD_LOGIC_VECTOR (5 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_b : STD_LOGIC_VECTOR (5 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_notEnable_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_nor_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q : STD_LOGIC_VECTOR (0 downto 0); attribute dont_merge of redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q : signal is true; signal redist4_i_add24_conv2d1x1_out_primWireOut_30_enaAnd_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_inputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_outputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_reset0 : std_logic; signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ia : STD_LOGIC_VECTOR (31 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_aa : STD_LOGIC_VECTOR (3 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ab : STD_LOGIC_VECTOR (3 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_iq : STD_LOGIC_VECTOR (31 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_q : STD_LOGIC_VECTOR (31 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_q : STD_LOGIC_VECTOR (3 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i : UNSIGNED (3 downto 0); attribute preserve of redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i : signal is true; signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq : std_logic; attribute preserve of redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq : signal is true; signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr_q : STD_LOGIC_VECTOR (3 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_last_q : STD_LOGIC_VECTOR (4 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_b : STD_LOGIC_VECTOR (4 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_notEnable_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_nor_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q : STD_LOGIC_VECTOR (0 downto 0); attribute dont_merge of redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q : signal is true; signal redist6_i_add24_1_conv2d1x1_out_primWireOut_15_enaAnd_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_outputreg_q : STD_LOGIC_VECTOR (31 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_reset0 : std_logic; signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ia : STD_LOGIC_VECTOR (31 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_aa : STD_LOGIC_VECTOR (2 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ab : STD_LOGIC_VECTOR (2 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_iq : STD_LOGIC_VECTOR (31 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_q : STD_LOGIC_VECTOR (31 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_q : STD_LOGIC_VECTOR (2 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i : UNSIGNED (2 downto 0); attribute preserve of redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i : signal is true; signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq : std_logic; attribute preserve of redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq : signal is true; signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr_q : STD_LOGIC_VECTOR (2 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_last_q : STD_LOGIC_VECTOR (3 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_b : STD_LOGIC_VECTOR (3 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_notEnable_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_nor_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q : STD_LOGIC_VECTOR (0 downto 0); attribute dont_merge of redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q : signal is true; signal redist7_sync_in_aunroll_x_in_c2_eni5_6_8_enaAnd_q : STD_LOGIC_VECTOR (0 downto 0); begin -- VCC(CONSTANT,1) VCC_q <= "1"; -- redist8_sync_in_aunroll_x_in_i_valid_52(DELAY,19) redist8_sync_in_aunroll_x_in_i_valid_52 : dspba_delay GENERIC MAP ( width => 1, depth => 52, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => in_i_valid, xout => redist8_sync_in_aunroll_x_in_i_valid_52_q, clk => clock, aclr => resetn ); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_notEnable(LOGICAL,28) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_notEnable_q <= STD_LOGIC_VECTOR(not (VCC_q)); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_nor(LOGICAL,29) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_nor_q <= not (redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_notEnable_q or redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_last(CONSTANT,25) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_last_q <= "011010"; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp(LOGICAL,26) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_b <= STD_LOGIC_VECTOR("0" & redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_q); redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_q <= "1" WHEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_last_q = redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_b ELSE "0"; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg(REG,27) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg_q <= STD_LOGIC_VECTOR(redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmp_q); END IF; END PROCESS; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena(REG,30) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_nor_q = "1") THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q <= STD_LOGIC_VECTOR(redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_cmpReg_q); END IF; END IF; END PROCESS; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_enaAnd(LOGICAL,31) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_enaAnd_q <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_sticky_ena_q and VCC_q; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt(COUNTER,23) -- low=0, high=27, step=1, init=0 redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i <= TO_UNSIGNED(0, 5); redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq <= '0'; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i = TO_UNSIGNED(26, 5)) THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq <= '1'; ELSE redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq <= '0'; END IF; IF (redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_eq = '1') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i + 5; ELSE redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i + 1; END IF; END IF; END PROCESS; redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_q <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(RESIZE(redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_i, 5))); -- i_mul23_2_conv2d1x1(BLACKBOX,9)@141 -- out out_primWireOut@148 thei_mul23_2_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_multBlocA0Zp06o303d06o00rf01pzc PORT MAP ( in_0 => in_c2_eni5_8, in_1 => in_c2_eni5_3, out_primWireOut => i_mul23_2_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_inputreg(DELAY,20) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_inputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => i_mul23_2_conv2d1x1_out_primWireOut, xout => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_inputreg_q, clk => clock, aclr => resetn ); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr(REG,24) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr_q <= "11011"; ELSIF (clock'EVENT AND clock = '1') THEN redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr_q <= STD_LOGIC_VECTOR(redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_q); END IF; END PROCESS; -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem(DUALMEM,22) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ia <= STD_LOGIC_VECTOR(redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_inputreg_q); redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_aa <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_wraddr_q; redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ab <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_rdcnt_q; redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_reset0 <= not (resetn); redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_dmem : altera_syncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 5, numwords_a => 28, width_b => 32, widthad_b => 5, numwords_b => 28, lpm_type => "altera_syncram", width_byteena_a => 1, address_reg_b => "CLOCK0", indata_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "TRUE", intended_device_family => "Cyclone V" ) PORT MAP ( clocken1 => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_enaAnd_q(0), clocken0 => VCC_q(0), clock0 => clock, aclr1 => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_reset0, clock1 => clock, address_a => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_aa, data_a => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ia, wren_a => VCC_q(0), address_b => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_ab, q_b => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_iq ); redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_q <= redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_iq(31 downto 0); -- redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_outputreg(DELAY,21) redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_outputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_mem_q, xout => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_outputreg_q, clk => clock, aclr => resetn ); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_notEnable(LOGICAL,40) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_notEnable_q <= STD_LOGIC_VECTOR(not (VCC_q)); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_nor(LOGICAL,41) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_nor_q <= not (redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_notEnable_q or redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_last(CONSTANT,37) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_last_q <= "01011"; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp(LOGICAL,38) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_b <= STD_LOGIC_VECTOR("0" & redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_q); redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_q <= "1" WHEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_last_q = redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_b ELSE "0"; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg(REG,39) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg_q <= STD_LOGIC_VECTOR(redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmp_q); END IF; END PROCESS; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena(REG,42) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_nor_q = "1") THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q <= STD_LOGIC_VECTOR(redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_cmpReg_q); END IF; END IF; END PROCESS; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_enaAnd(LOGICAL,43) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_enaAnd_q <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_sticky_ena_q and VCC_q; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt(COUNTER,35) -- low=0, high=12, step=1, init=0 redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i <= TO_UNSIGNED(0, 4); redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq <= '0'; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i = TO_UNSIGNED(11, 4)) THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq <= '1'; ELSE redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq <= '0'; END IF; IF (redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_eq = '1') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i + 4; ELSE redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i + 1; END IF; END IF; END PROCESS; redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_q <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(RESIZE(redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_i, 4))); -- i_mul23_1_conv2d1x1(BLACKBOX,8)@141 -- out out_primWireOut@148 thei_mul23_1_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_multBlocA0Zp06o303d06o00rf01pzc PORT MAP ( in_0 => in_c2_eni5_7, in_1 => in_c2_eni5_2, out_primWireOut => i_mul23_1_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_inputreg(DELAY,32) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_inputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => i_mul23_1_conv2d1x1_out_primWireOut, xout => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_inputreg_q, clk => clock, aclr => resetn ); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr(REG,36) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr_q <= "1100"; ELSIF (clock'EVENT AND clock = '1') THEN redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr_q <= STD_LOGIC_VECTOR(redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_q); END IF; END PROCESS; -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem(DUALMEM,34) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ia <= STD_LOGIC_VECTOR(redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_inputreg_q); redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_aa <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_wraddr_q; redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ab <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_rdcnt_q; redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_reset0 <= not (resetn); redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_dmem : altera_syncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 4, numwords_a => 13, width_b => 32, widthad_b => 4, numwords_b => 13, lpm_type => "altera_syncram", width_byteena_a => 1, address_reg_b => "CLOCK0", indata_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "TRUE", intended_device_family => "Cyclone V" ) PORT MAP ( clocken1 => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_enaAnd_q(0), clocken0 => VCC_q(0), clock0 => clock, aclr1 => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_reset0, clock1 => clock, address_a => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_aa, data_a => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ia, wren_a => VCC_q(0), address_b => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_ab, q_b => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_iq ); redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_q <= redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_iq(31 downto 0); -- redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_outputreg(DELAY,33) redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_outputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_mem_q, xout => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_outputreg_q, clk => clock, aclr => resetn ); -- i_mul23_conv2d1x1(BLACKBOX,10)@141 -- out out_primWireOut@148 thei_mul23_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_multBlocA0Zp06o303d06o00rf01pzc PORT MAP ( in_0 => in_c2_eni5_5, in_1 => in_c2_eni5_1, out_primWireOut => i_mul23_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist0_i_mul23_conv2d1x1_out_primWireOut_1(DELAY,11) redist0_i_mul23_conv2d1x1_out_primWireOut_1 : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => i_mul23_conv2d1x1_out_primWireOut, xout => redist0_i_mul23_conv2d1x1_out_primWireOut_1_q, clk => clock, aclr => resetn ); -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_notEnable(LOGICAL,75) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_notEnable_q <= STD_LOGIC_VECTOR(not (VCC_q)); -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_nor(LOGICAL,76) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_nor_q <= not (redist7_sync_in_aunroll_x_in_c2_eni5_6_8_notEnable_q or redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q); -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_last(CONSTANT,72) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_last_q <= "0100"; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp(LOGICAL,73) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_b <= STD_LOGIC_VECTOR("0" & redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_q); redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_q <= "1" WHEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_last_q = redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_b ELSE "0"; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg(REG,74) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg_q <= STD_LOGIC_VECTOR(redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmp_q); END IF; END PROCESS; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena(REG,77) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist7_sync_in_aunroll_x_in_c2_eni5_6_8_nor_q = "1") THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q <= STD_LOGIC_VECTOR(redist7_sync_in_aunroll_x_in_c2_eni5_6_8_cmpReg_q); END IF; END IF; END PROCESS; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_enaAnd(LOGICAL,78) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_enaAnd_q <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_sticky_ena_q and VCC_q; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt(COUNTER,70) -- low=0, high=5, step=1, init=0 redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i <= TO_UNSIGNED(0, 3); redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq <= '0'; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i = TO_UNSIGNED(4, 3)) THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq <= '1'; ELSE redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq <= '0'; END IF; IF (redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_eq = '1') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i + 3; ELSE redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i + 1; END IF; END IF; END PROCESS; redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_q <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(RESIZE(redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_i, 3))); -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr(REG,71) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr_q <= "101"; ELSIF (clock'EVENT AND clock = '1') THEN redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr_q <= STD_LOGIC_VECTOR(redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_q); END IF; END PROCESS; -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem(DUALMEM,69) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ia <= STD_LOGIC_VECTOR(in_c2_eni5_6); redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_aa <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_wraddr_q; redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ab <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_rdcnt_q; redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_reset0 <= not (resetn); redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_dmem : altera_syncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 3, numwords_a => 6, width_b => 32, widthad_b => 3, numwords_b => 6, lpm_type => "altera_syncram", width_byteena_a => 1, address_reg_b => "CLOCK0", indata_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "TRUE", intended_device_family => "Cyclone V" ) PORT MAP ( clocken1 => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_enaAnd_q(0), clocken0 => VCC_q(0), clock0 => clock, aclr1 => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_reset0, clock1 => clock, address_a => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_aa, data_a => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ia, wren_a => VCC_q(0), address_b => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_ab, q_b => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_iq ); redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_q <= redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_iq(31 downto 0); -- redist7_sync_in_aunroll_x_in_c2_eni5_6_8_outputreg(DELAY,68) redist7_sync_in_aunroll_x_in_c2_eni5_6_8_outputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_mem_q, xout => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_outputreg_q, clk => clock, aclr => resetn ); -- i_add24_conv2d1x1(BLACKBOX,7)@149 -- out out_primWireOut@163 thei_add24_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_addBlockA0Z3d06o00rf00d06of5q0u PORT MAP ( in_0 => redist7_sync_in_aunroll_x_in_c2_eni5_6_8_outputreg_q, in_1 => redist0_i_mul23_conv2d1x1_out_primWireOut_1_q, out_primWireOut => i_add24_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist3_i_add24_conv2d1x1_out_primWireOut_1(DELAY,14) redist3_i_add24_conv2d1x1_out_primWireOut_1 : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => i_add24_conv2d1x1_out_primWireOut, xout => redist3_i_add24_conv2d1x1_out_primWireOut_1_q, clk => clock, aclr => resetn ); -- i_add24_1_conv2d1x1(BLACKBOX,5)@164 -- out out_primWireOut@178 thei_add24_1_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_addBlockA0Z3d06o00rf00d06of5q0u PORT MAP ( in_0 => redist3_i_add24_conv2d1x1_out_primWireOut_1_q, in_1 => redist2_i_mul23_1_conv2d1x1_out_primWireOut_16_outputreg_q, out_primWireOut => i_add24_1_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist5_i_add24_1_conv2d1x1_out_primWireOut_1(DELAY,16) redist5_i_add24_1_conv2d1x1_out_primWireOut_1 : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => i_add24_1_conv2d1x1_out_primWireOut, xout => redist5_i_add24_1_conv2d1x1_out_primWireOut_1_q, clk => clock, aclr => resetn ); -- i_add24_2_conv2d1x1(BLACKBOX,6)@179 -- out out_primWireOut@193 thei_add24_2_conv2d1x1 : floatComponent_i_sfc_logic_c2_for_body14_conv2d1x1_c2_enter_conv2d1x176_addBlockA0Z3d06o00rf00d06of5q0u PORT MAP ( in_0 => redist5_i_add24_1_conv2d1x1_out_primWireOut_1_q, in_1 => redist1_i_mul23_2_conv2d1x1_out_primWireOut_31_outputreg_q, out_primWireOut => i_add24_2_conv2d1x1_out_primWireOut, clock => clock, resetn => resetn ); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_notEnable(LOGICAL,64) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_notEnable_q <= STD_LOGIC_VECTOR(not (VCC_q)); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_nor(LOGICAL,65) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_nor_q <= not (redist6_i_add24_1_conv2d1x1_out_primWireOut_15_notEnable_q or redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_last(CONSTANT,61) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_last_q <= "01001"; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp(LOGICAL,62) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_b <= STD_LOGIC_VECTOR("0" & redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_q); redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_q <= "1" WHEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_last_q = redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_b ELSE "0"; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg(REG,63) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg_q <= STD_LOGIC_VECTOR(redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmp_q); END IF; END PROCESS; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena(REG,66) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist6_i_add24_1_conv2d1x1_out_primWireOut_15_nor_q = "1") THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q <= STD_LOGIC_VECTOR(redist6_i_add24_1_conv2d1x1_out_primWireOut_15_cmpReg_q); END IF; END IF; END PROCESS; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_enaAnd(LOGICAL,67) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_enaAnd_q <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_sticky_ena_q and VCC_q; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt(COUNTER,59) -- low=0, high=10, step=1, init=0 redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i <= TO_UNSIGNED(0, 4); redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq <= '0'; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i = TO_UNSIGNED(9, 4)) THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq <= '1'; ELSE redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq <= '0'; END IF; IF (redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_eq = '1') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i + 6; ELSE redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i + 1; END IF; END IF; END PROCESS; redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_q <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(RESIZE(redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_i, 4))); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_inputreg(DELAY,56) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_inputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist5_i_add24_1_conv2d1x1_out_primWireOut_1_q, xout => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_inputreg_q, clk => clock, aclr => resetn ); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr(REG,60) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr_q <= "1010"; ELSIF (clock'EVENT AND clock = '1') THEN redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr_q <= STD_LOGIC_VECTOR(redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_q); END IF; END PROCESS; -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem(DUALMEM,58) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ia <= STD_LOGIC_VECTOR(redist6_i_add24_1_conv2d1x1_out_primWireOut_15_inputreg_q); redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_aa <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_wraddr_q; redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ab <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_rdcnt_q; redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_reset0 <= not (resetn); redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_dmem : altera_syncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 4, numwords_a => 11, width_b => 32, widthad_b => 4, numwords_b => 11, lpm_type => "altera_syncram", width_byteena_a => 1, address_reg_b => "CLOCK0", indata_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "TRUE", intended_device_family => "Cyclone V" ) PORT MAP ( clocken1 => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_enaAnd_q(0), clocken0 => VCC_q(0), clock0 => clock, aclr1 => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_reset0, clock1 => clock, address_a => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_aa, data_a => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ia, wren_a => VCC_q(0), address_b => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_ab, q_b => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_iq ); redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_q <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_iq(31 downto 0); -- redist6_i_add24_1_conv2d1x1_out_primWireOut_15_outputreg(DELAY,57) redist6_i_add24_1_conv2d1x1_out_primWireOut_15_outputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_mem_q, xout => redist6_i_add24_1_conv2d1x1_out_primWireOut_15_outputreg_q, clk => clock, aclr => resetn ); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_notEnable(LOGICAL,52) redist4_i_add24_conv2d1x1_out_primWireOut_30_notEnable_q <= STD_LOGIC_VECTOR(not (VCC_q)); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_nor(LOGICAL,53) redist4_i_add24_conv2d1x1_out_primWireOut_30_nor_q <= not (redist4_i_add24_conv2d1x1_out_primWireOut_30_notEnable_q or redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_last(CONSTANT,49) redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_last_q <= "011000"; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp(LOGICAL,50) redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_b <= STD_LOGIC_VECTOR("0" & redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_q); redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_q <= "1" WHEN redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_last_q = redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_b ELSE "0"; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg(REG,51) redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg_q <= STD_LOGIC_VECTOR(redist4_i_add24_conv2d1x1_out_primWireOut_30_cmp_q); END IF; END PROCESS; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena(REG,54) redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q <= "0"; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist4_i_add24_conv2d1x1_out_primWireOut_30_nor_q = "1") THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q <= STD_LOGIC_VECTOR(redist4_i_add24_conv2d1x1_out_primWireOut_30_cmpReg_q); END IF; END IF; END PROCESS; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_enaAnd(LOGICAL,55) redist4_i_add24_conv2d1x1_out_primWireOut_30_enaAnd_q <= redist4_i_add24_conv2d1x1_out_primWireOut_30_sticky_ena_q and VCC_q; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt(COUNTER,47) -- low=0, high=25, step=1, init=0 redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i <= TO_UNSIGNED(0, 5); redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq <= '0'; ELSIF (clock'EVENT AND clock = '1') THEN IF (redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i = TO_UNSIGNED(24, 5)) THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq <= '1'; ELSE redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq <= '0'; END IF; IF (redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_eq = '1') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i <= redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i + 7; ELSE redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i <= redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i + 1; END IF; END IF; END PROCESS; redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_q <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR(RESIZE(redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_i, 5))); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_inputreg(DELAY,44) redist4_i_add24_conv2d1x1_out_primWireOut_30_inputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist3_i_add24_conv2d1x1_out_primWireOut_1_q, xout => redist4_i_add24_conv2d1x1_out_primWireOut_30_inputreg_q, clk => clock, aclr => resetn ); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr(REG,48) redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr_clkproc: PROCESS (clock, resetn) BEGIN IF (resetn = '0') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr_q <= "11001"; ELSIF (clock'EVENT AND clock = '1') THEN redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr_q <= STD_LOGIC_VECTOR(redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_q); END IF; END PROCESS; -- redist4_i_add24_conv2d1x1_out_primWireOut_30_mem(DUALMEM,46) redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ia <= STD_LOGIC_VECTOR(redist4_i_add24_conv2d1x1_out_primWireOut_30_inputreg_q); redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_aa <= redist4_i_add24_conv2d1x1_out_primWireOut_30_wraddr_q; redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ab <= redist4_i_add24_conv2d1x1_out_primWireOut_30_rdcnt_q; redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_reset0 <= not (resetn); redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_dmem : altera_syncram GENERIC MAP ( ram_block_type => "MLAB", operation_mode => "DUAL_PORT", width_a => 32, widthad_a => 5, numwords_a => 26, width_b => 32, widthad_b => 5, numwords_b => 26, lpm_type => "altera_syncram", width_byteena_a => 1, address_reg_b => "CLOCK0", indata_reg_b => "CLOCK0", rdcontrol_reg_b => "CLOCK0", byteena_reg_b => "CLOCK0", outdata_reg_b => "CLOCK1", outdata_aclr_b => "CLEAR1", clock_enable_input_a => "NORMAL", clock_enable_input_b => "NORMAL", clock_enable_output_b => "NORMAL", read_during_write_mode_mixed_ports => "DONT_CARE", power_up_uninitialized => "TRUE", intended_device_family => "Cyclone V" ) PORT MAP ( clocken1 => redist4_i_add24_conv2d1x1_out_primWireOut_30_enaAnd_q(0), clocken0 => VCC_q(0), clock0 => clock, aclr1 => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_reset0, clock1 => clock, address_a => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_aa, data_a => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ia, wren_a => VCC_q(0), address_b => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_ab, q_b => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_iq ); redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_q <= redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_iq(31 downto 0); -- redist4_i_add24_conv2d1x1_out_primWireOut_30_outputreg(DELAY,45) redist4_i_add24_conv2d1x1_out_primWireOut_30_outputreg : dspba_delay GENERIC MAP ( width => 32, depth => 1, reset_kind => "ASYNC", reset_high => '0' ) PORT MAP ( xin => redist4_i_add24_conv2d1x1_out_primWireOut_30_mem_q, xout => redist4_i_add24_conv2d1x1_out_primWireOut_30_outputreg_q, clk => clock, aclr => resetn ); -- GND(CONSTANT,0) GND_q <= "0"; -- sync_out_aunroll_x(GPOUT,3)@193 out_c2_exi3_0 <= GND_q; out_c2_exi3_1 <= redist4_i_add24_conv2d1x1_out_primWireOut_30_outputreg_q; out_c2_exi3_2 <= redist6_i_add24_1_conv2d1x1_out_primWireOut_15_outputreg_q; out_c2_exi3_3 <= i_add24_2_conv2d1x1_out_primWireOut; out_o_valid <= redist8_sync_in_aunroll_x_in_i_valid_52_q; END normal;
library verilog; use verilog.vl_types.all; entity Datapath_vlg_sample_tst is port( A_SEL : in vl_logic_vector(1 downto 0); B_SEL : in vl_logic_vector(1 downto 0); Cin : in vl_logic; CLK : in vl_logic; D_SEL : in vl_logic_vector(1 downto 0); DATA_MEM_OUT : in vl_logic_vector(15 downto 0); DATA_MEM_SEL : in vl_logic; INS_TYPE_MUX_SEL: in vl_logic; INST_MEM_OUT : in vl_logic_vector(15 downto 0); LDA : in vl_logic; LDQ : in vl_logic; MULT_EN : in vl_logic; MULT_SEL : in vl_logic; OAP : in vl_logic_vector(2 downto 0); PC_EN : in vl_logic; PC_MUX_SEL : in vl_logic_vector(1 downto 0); PLUS1_SEL : in vl_logic; RF_EN : in vl_logic; RST : in vl_logic; SL : in vl_logic; SR : in vl_logic; SR_SEL : in vl_logic; UL_SEL : in vl_logic; WB_SEL : in vl_logic; sampler_tx : out vl_logic ); end Datapath_vlg_sample_tst;
-- args: --std=08 --ieee=synopsys library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use work.configure.all; use work.wire.all; entity uart is generic( clks_per_bit : integer := clks_per_bit ); port( reset : in std_logic; clock : in std_logic; uart_valid : in std_logic; uart_ready : out std_logic; uart_instr : in std_logic; uart_addr : in std_logic_vector(63 downto 0); uart_wdata : in std_logic_vector(63 downto 0); uart_wstrb : in std_logic_vector(7 downto 0); uart_rdata : out std_logic_vector(63 downto 0); uart_rx : in std_logic; uart_tx : out std_logic ); end uart; architecture behavior of uart is type register_tx_type is record state_tx : unsigned(3 downto 0); data_tx : std_logic_vector(9 downto 0); counter_tx : unsigned(31 downto 0); ready_tx : std_logic; end record; type register_rx_type is record state_re : std_logic; state_rx : unsigned(3 downto 0); data_re : std_logic_vector(7 downto 0); data_rx : std_logic_vector(8 downto 0); counter_rx : unsigned(31 downto 0); ready_re : std_logic; ready_rx : std_logic; end record; constant init_register_tx : register_tx_type := ( state_tx => (others => '0'), data_tx => (others => '1'), counter_tx => (others => '0'), ready_tx => '0' ); constant init_register_rx : register_rx_type := ( state_re => '0', state_rx => (others => '0'), data_re => (others => '0'), data_rx => (others => '1'), counter_rx => (others => '0'), ready_re => '0', ready_rx => '0' ); signal r_tx,rin_tx : register_tx_type := init_register_tx; signal r_rx,rin_rx : register_rx_type := init_register_rx; begin process(r_tx,uart_valid,uart_instr,uart_addr,uart_wdata,uart_wstrb) variable v : register_tx_type; begin v := r_tx; v.counter_tx := v.counter_tx + 1; v.ready_tx := '0'; if (uart_valid = '1' and or_reduce(uart_wstrb) = '1' and r_tx.state_tx = x"0") then v.data_tx := "1" & uart_wdata(7 downto 0) & "0"; v.state_tx := x"1"; end if; case r_tx.state_tx is when x"0" => v.counter_tx := (others => '0'); when x"A" => if (r_tx.counter_tx > clks_per_bit) then v.state_tx := (others => '0'); v.counter_tx := (others => '0'); v.ready_tx := '1'; end if; when others => if (r_tx.counter_tx > clks_per_bit) then v.data_tx := "1" & v.data_tx(9 downto 1); v.state_tx := v.state_tx + 1; v.counter_tx := (others => '0'); end if; end case; rin_tx <= v; uart_tx <= r_tx.data_tx(0); end process; process(r_rx,uart_valid,uart_instr,uart_addr,uart_wdata,uart_wstrb,uart_rx) variable v : register_rx_type; begin v := r_rx; v.counter_rx := v.counter_rx + 1; v.ready_re := '0'; v.ready_rx := '0'; if (uart_valid = '1' and or_reduce(uart_wstrb) = '0' and r_rx.state_rx = x"0") then v.state_re := '1'; end if; case r_rx.state_rx is when x"0" => if (uart_rx = '0') then v.state_rx := x"1"; end if; v.counter_rx := (others => '0'); when x"9" => if (r_rx.counter_rx > clks_per_bit) then v.state_rx := (others => '0'); v.counter_rx := (others => '0'); v.ready_rx := '1'; end if; when others => if (r_rx.counter_rx > clks_per_bit) then v.data_rx := uart_rx & v.data_rx(8 downto 1); v.state_rx := v.state_rx + 1; v.counter_rx := (others => '0'); end if; end case; if (r_rx.state_re = '1' and r_rx.ready_rx = '1') then v.state_re := '0'; v.ready_re := '1'; v.data_re := r_rx.data_rx(7 downto 0); end if; rin_rx <= v; end process; uart_rdata <= x"00000000000000" & r_rx.data_re; uart_ready <= r_tx.ready_tx or r_rx.ready_re; process(clock) begin if (rising_edge(clock)) then if (reset = '0') then r_tx <= init_register_tx; r_rx <= init_register_rx; else r_tx <= rin_tx; r_rx <= rin_rx; end if; end if; end process; end architecture;
ARCHITECTURE studentVersion OF lowpass IS BEGIN lowpassOut <= (others => '0'); END ARCHITECTURE studentVersion;
-- megafunction wizard: %ALTFP_MULT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: ALTFP_MULT -- ============================================================ -- File Name: kn_kalman_mult.vhd -- Megafunction Name(s): -- ALTFP_MULT -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 11.1 Build 259 01/25/2012 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2011 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. --altfp_mult CBX_AUTO_BLACKBOX="ALL" DEDICATED_MULTIPLIER_CIRCUITRY="YES" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Cyclone II" EXCEPTION_HANDLING="NO" PIPELINE=11 REDUCED_FUNCTIONALITY="NO" ROUNDING="TO_NEAREST" WIDTH_EXP=8 WIDTH_MAN=23 clock dataa datab result --VERSION_BEGIN 11.1SP2 cbx_alt_ded_mult_y 2012:01:25:21:13:53:SJ cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_altera_mult_add 2012:01:25:21:13:53:SJ cbx_altfp_mult 2012:01:25:21:13:53:SJ cbx_altmult_add 2012:01:25:21:13:53:SJ cbx_cycloneii 2012:01:25:21:13:53:SJ cbx_lpm_add_sub 2012:01:25:21:13:53:SJ cbx_lpm_compare 2012:01:25:21:13:53:SJ cbx_lpm_mult 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ cbx_padd 2012:01:25:21:13:53:SJ cbx_parallel_add 2012:01:25:21:13:53:SJ cbx_stratix 2012:01:25:21:13:53:SJ cbx_stratixii 2012:01:25:21:13:53:SJ cbx_util_mgl 2012:01:25:21:13:53:SJ VERSION_END LIBRARY lpm; USE lpm.all; --synthesis_resources = lpm_add_sub 4 lpm_mult 1 reg 293 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY kn_kalman_mult_altfp_mult_oon IS PORT ( clock : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END kn_kalman_mult_altfp_mult_oon; ARCHITECTURE RTL OF kn_kalman_mult_altfp_mult_oon IS SIGNAL dataa_exp_all_one_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_dataa_exp_all_one_ff_p1_w_lg_q296w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_dataa_exp_all_one_ff_p1_w_lg_q291w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL dataa_exp_not_zero_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL dataa_man_not_zero_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_dataa_man_not_zero_ff_p1_w_lg_w_lg_q290w295w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_dataa_man_not_zero_ff_p1_w_lg_q290w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL dataa_man_not_zero_ff_p2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL datab_exp_all_one_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_datab_exp_all_one_ff_p1_w_lg_q294w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_datab_exp_all_one_ff_p1_w_lg_q289w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL datab_exp_not_zero_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL datab_man_not_zero_ff_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_datab_man_not_zero_ff_p1_w_lg_w_lg_q288w293w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_datab_man_not_zero_ff_p1_w_lg_q288w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL datab_man_not_zero_ff_p2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL delay_exp2_bias : STD_LOGIC_VECTOR(9 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL delay_exp3_bias : STD_LOGIC_VECTOR(9 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL delay_exp_bias : STD_LOGIC_VECTOR(9 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL delay_man_product_msb : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL delay_man_product_msb2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_delay_man_product_msb2_w_lg_q393w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_man_product_msb2_w_lg_q395w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL delay_man_product_msb_p0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL delay_man_product_msb_p1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL delay_round : STD_LOGIC_VECTOR(23 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL wire_delay_round_w485w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range480w481w482w483w484w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range470w471w472w473w474w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_lg_w_q_range480w481w482w483w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_lg_w_q_range470w471w472w473w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_q_range480w481w482w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_lg_w_q_range470w471w472w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_q_range480w481w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w_q_range470w471w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w475w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w_lg_w475w476w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_delay_round_w_q_range480w : STD_LOGIC_VECTOR (21 DOWNTO 0); SIGNAL wire_delay_round_w_q_range470w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL exp_add_p1 : STD_LOGIC_VECTOR(8 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL wire_exp_add_p1_w_q_range63w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adj_p1 : STD_LOGIC_VECTOR(9 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_adj_p2 : STD_LOGIC_VECTOR(9 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL wire_exp_adj_p2_w_lg_w_lg_w_q_range459w460w461w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_lg_w_q_range459w460w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_lg_w_q_range432w457w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range410w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range413w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range416w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range419w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range422w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range425w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range459w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range428w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range431w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_exp_adj_p2_w_q_range432w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL exp_bias_p1 : STD_LOGIC_VECTOR(8 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_bias_p2 : STD_LOGIC_VECTOR(8 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_bias_p3 : STD_LOGIC_VECTOR(8 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_result_ff : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL input_is_infinity_dffe_0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_dffe_1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_dffe_2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_dffe_3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_ff1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_ff2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_ff3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_ff4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinity_ff5 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_input_is_infinity_ff5_w_lg_q467w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_input_is_infinity_ff5_w_lg_q469w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL input_is_nan_dffe_0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe_1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe_2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe_3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_ff1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_ff2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_ff3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_ff4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_ff5 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_input_is_nan_ff5_w_lg_q479w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL input_not_zero_dffe_0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_dffe_1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_dffe_2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_dffe_3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_ff1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_ff2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_ff3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_ff4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_not_zero_ff5 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_input_not_zero_ff5_w_lg_q466w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL lsb_dffe : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_result_ff : STD_LOGIC_VECTOR(22 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_round_carry : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_round_carry_p0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_round_p : STD_LOGIC_VECTOR(23 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_round_p0 : STD_LOGIC_VECTOR(23 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_round_p1 : STD_LOGIC_VECTOR(23 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_round_p2 : STD_LOGIC_VECTOR(24 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL wire_man_round_p2_w_lg_w_q_range404w405w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_round_p2_w_lg_w_q_range401w402w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_round_p2_w_lg_w_q_range391w403w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_round_p2_w_lg_w_lg_w_q_range404w405w406w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_round_p2_w_q_range404w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_round_p2_w_q_range401w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_round_p2_w_q_range391w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL round_dffe : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff0 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff5 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff6 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff7 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff8 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff9 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_node_ff10 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_dffe : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_gnd : STD_LOGIC; SIGNAL wire_exp_add_adder_dataa : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_exp_add_adder_datab : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_exp_add_adder_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_exp_adj_adder_datab : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL wire_exp_adj_adder_result : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL wire_exp_bias_subtr_dataa : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL wire_exp_bias_subtr_datab : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL wire_exp_bias_subtr_result : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL wire_man_round_adder_dataa : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_round_adder_datab : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_round_adder_result : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_product2_mult_w_lg_w_result_range302w303w : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_product2_mult_w_lg_w_result_range299w300w : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_product2_mult_w_lg_w_result_range298w373w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_lg_w_result_range298w301w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_dataa : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_product2_mult_datab : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_man_product2_mult_result : STD_LOGIC_VECTOR (47 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range335w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range338w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range341w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range344w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range347w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range350w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range353w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range356w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range359w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range362w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range308w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range365w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range368w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range371w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range311w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range314w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range302w : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range299w : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range298w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range317w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range320w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range323w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range326w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range329w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_product2_mult_w_result_range332w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_inf_num464w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range81w88w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range91w98w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range101w108w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range111w118w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range121w128w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range131w138w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range141w148w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range84w90w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range94w100w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range104w110w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range114w120w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range124w130w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range134w140w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range144w150w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range408w412w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range411w415w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range414w418w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range417w421w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range420w424w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range423w427w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_all_one_range426w430w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_exp_is_inf468w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_exp_is_zero458w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range454w456w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_inf_num464w465w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_is_inf462w463w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_exp_is_inf462w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range211w213w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range221w223w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range227w229w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range233w235w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range239w241w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range245w247w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range251w253w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range257w259w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range263w265w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range157w159w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range269w271w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range275w277w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range281w283w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range81w83w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range91w93w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range101w103w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range111w113w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range121w123w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range131w133w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range163w165w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range141w143w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range169w171w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range175w177w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range181w183w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range187w189w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range193w195w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range199w201w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range205w207w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range214w216w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range224w226w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range230w232w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range236w238w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range242w244w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range248w250w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range254w256w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range260w262w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range266w268w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range160w162w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range272w274w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range278w280w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range284w286w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range84w86w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range94w96w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range104w106w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range114w116w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range124w126w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range134w136w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range166w168w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range144w146w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range172w174w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range178w180w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range184w186w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range190w192w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range196w198w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range202w204w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range208w210w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range438w441w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range440w443w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range442w445w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range444w447w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range446w449w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range448w451w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range450w453w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_result_exp_not_zero_range452w455w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range306w310w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range336w340w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range339w343w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range342w346w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range345w349w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range348w352w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range351w355w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range354w358w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range357w361w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range360w364w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range363w367w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range309w313w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range366w370w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range369w374w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range312w316w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range315w319w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range318w322w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range321w325w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range324w328w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range327w331w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range330w334w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_sticky_bit_range333w337w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL aclr : STD_LOGIC; SIGNAL bias : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL clk_en : STD_LOGIC; SIGNAL dataa_exp_all_one : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL dataa_exp_not_zero : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL dataa_man_not_zero : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL datab_exp_all_one : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL datab_exp_not_zero : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL datab_man_not_zero : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL exp_is_inf : STD_LOGIC; SIGNAL exp_is_zero : STD_LOGIC; SIGNAL expmod : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL inf_num : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL lsb_bit : STD_LOGIC; SIGNAL man_shift_full : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL result_exp_all_one : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL result_exp_not_zero : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL round_bit : STD_LOGIC; SIGNAL round_carry : STD_LOGIC; SIGNAL sticky_bit : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_dataa_range211w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range221w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range227w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range233w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range239w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range245w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range251w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range257w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range263w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range157w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range269w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range275w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range281w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range81w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range91w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range101w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range111w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range121w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range131w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range163w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range141w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range169w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range175w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range181w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range187w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range193w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range199w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range205w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range77w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range87w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range97w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range107w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range117w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range127w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_all_one_range137w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range72w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range82w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range92w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range102w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range112w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range122w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_exp_not_zero_range132w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range152w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range218w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range222w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range228w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range234w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range240w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range246w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range252w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range258w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range264w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range158w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range270w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range276w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range164w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range170w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range176w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range182w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range188w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range194w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range200w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_man_not_zero_range206w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range214w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range224w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range230w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range236w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range242w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range248w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range254w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range260w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range266w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range160w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range272w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range278w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range284w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range84w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range94w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range104w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range114w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range124w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range134w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range166w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range144w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range172w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range178w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range184w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range190w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range196w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range202w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range208w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range79w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range89w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range99w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range109w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range119w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range129w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_all_one_range139w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range75w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range85w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range95w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range105w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range115w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range125w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_exp_not_zero_range135w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range155w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range220w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range225w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range231w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range237w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range243w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range249w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range255w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range261w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range267w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range161w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range273w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range279w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range167w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range173w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range179w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range185w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range191w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range197w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range203w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_man_not_zero_range209w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_shift_full_range379w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range408w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range411w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range414w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range417w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range420w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range423w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_all_one_range426w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range438w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range440w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range442w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range444w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range446w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range448w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range450w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range452w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_result_exp_not_zero_range454w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range306w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range336w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range339w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range342w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range345w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range348w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range351w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range354w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range357w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range360w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range363w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range309w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range366w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range369w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range312w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range315w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range318w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range321w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range324w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range327w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range330w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_sticky_bit_range333w : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT lpm_add_sub GENERIC ( LPM_DIRECTION : STRING := "DEFAULT"; LPM_PIPELINE : NATURAL := 0; LPM_REPRESENTATION : STRING := "SIGNED"; LPM_WIDTH : NATURAL; lpm_hint : STRING := "UNUSED"; lpm_type : STRING := "lpm_add_sub" ); PORT ( aclr : IN STD_LOGIC := '0'; add_sub : IN STD_LOGIC := '1'; cin : IN STD_LOGIC := 'Z'; clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; cout : OUT STD_LOGIC; dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); overflow : OUT STD_LOGIC; result : OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT lpm_mult GENERIC ( LPM_PIPELINE : NATURAL := 0; LPM_REPRESENTATION : STRING := "UNSIGNED"; LPM_WIDTHA : NATURAL; LPM_WIDTHB : NATURAL; LPM_WIDTHP : NATURAL; LPM_WIDTHS : NATURAL := 1; lpm_hint : STRING := "UNUSED"; lpm_type : STRING := "lpm_mult" ); PORT ( aclr : IN STD_LOGIC := '0'; clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; dataa : IN STD_LOGIC_VECTOR(LPM_WIDTHA-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR(LPM_WIDTHB-1 DOWNTO 0); result : OUT STD_LOGIC_VECTOR(LPM_WIDTHP-1 DOWNTO 0); sum : IN STD_LOGIC_VECTOR(LPM_WIDTHS-1 DOWNTO 0) := (OTHERS => '0') ); END COMPONENT; BEGIN wire_gnd <= '0'; loop0 : FOR i IN 0 TO 7 GENERATE wire_w_lg_inf_num464w(i) <= inf_num(i) AND wire_w_lg_w_lg_exp_is_inf462w463w(0); END GENERATE loop0; wire_w_lg_w_dataa_range81w88w(0) <= wire_w_dataa_range81w(0) AND wire_w_dataa_exp_all_one_range77w(0); wire_w_lg_w_dataa_range91w98w(0) <= wire_w_dataa_range91w(0) AND wire_w_dataa_exp_all_one_range87w(0); wire_w_lg_w_dataa_range101w108w(0) <= wire_w_dataa_range101w(0) AND wire_w_dataa_exp_all_one_range97w(0); wire_w_lg_w_dataa_range111w118w(0) <= wire_w_dataa_range111w(0) AND wire_w_dataa_exp_all_one_range107w(0); wire_w_lg_w_dataa_range121w128w(0) <= wire_w_dataa_range121w(0) AND wire_w_dataa_exp_all_one_range117w(0); wire_w_lg_w_dataa_range131w138w(0) <= wire_w_dataa_range131w(0) AND wire_w_dataa_exp_all_one_range127w(0); wire_w_lg_w_dataa_range141w148w(0) <= wire_w_dataa_range141w(0) AND wire_w_dataa_exp_all_one_range137w(0); wire_w_lg_w_datab_range84w90w(0) <= wire_w_datab_range84w(0) AND wire_w_datab_exp_all_one_range79w(0); wire_w_lg_w_datab_range94w100w(0) <= wire_w_datab_range94w(0) AND wire_w_datab_exp_all_one_range89w(0); wire_w_lg_w_datab_range104w110w(0) <= wire_w_datab_range104w(0) AND wire_w_datab_exp_all_one_range99w(0); wire_w_lg_w_datab_range114w120w(0) <= wire_w_datab_range114w(0) AND wire_w_datab_exp_all_one_range109w(0); wire_w_lg_w_datab_range124w130w(0) <= wire_w_datab_range124w(0) AND wire_w_datab_exp_all_one_range119w(0); wire_w_lg_w_datab_range134w140w(0) <= wire_w_datab_range134w(0) AND wire_w_datab_exp_all_one_range129w(0); wire_w_lg_w_datab_range144w150w(0) <= wire_w_datab_range144w(0) AND wire_w_datab_exp_all_one_range139w(0); wire_w_lg_w_result_exp_all_one_range408w412w(0) <= wire_w_result_exp_all_one_range408w(0) AND wire_exp_adj_p2_w_q_range410w(0); wire_w_lg_w_result_exp_all_one_range411w415w(0) <= wire_w_result_exp_all_one_range411w(0) AND wire_exp_adj_p2_w_q_range413w(0); wire_w_lg_w_result_exp_all_one_range414w418w(0) <= wire_w_result_exp_all_one_range414w(0) AND wire_exp_adj_p2_w_q_range416w(0); wire_w_lg_w_result_exp_all_one_range417w421w(0) <= wire_w_result_exp_all_one_range417w(0) AND wire_exp_adj_p2_w_q_range419w(0); wire_w_lg_w_result_exp_all_one_range420w424w(0) <= wire_w_result_exp_all_one_range420w(0) AND wire_exp_adj_p2_w_q_range422w(0); wire_w_lg_w_result_exp_all_one_range423w427w(0) <= wire_w_result_exp_all_one_range423w(0) AND wire_exp_adj_p2_w_q_range425w(0); wire_w_lg_w_result_exp_all_one_range426w430w(0) <= wire_w_result_exp_all_one_range426w(0) AND wire_exp_adj_p2_w_q_range428w(0); wire_w_lg_exp_is_inf468w(0) <= NOT exp_is_inf; wire_w_lg_exp_is_zero458w(0) <= NOT exp_is_zero; wire_w_lg_w_result_exp_not_zero_range454w456w(0) <= NOT wire_w_result_exp_not_zero_range454w(0); loop1 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_inf_num464w465w(i) <= wire_w_lg_inf_num464w(i) OR wire_exp_adj_p2_w_lg_w_lg_w_q_range459w460w461w(i); END GENERATE loop1; wire_w_lg_w_lg_exp_is_inf462w463w(0) <= wire_w_lg_exp_is_inf462w(0) OR input_is_nan_ff5; wire_w_lg_exp_is_inf462w(0) <= exp_is_inf OR input_is_infinity_ff5; wire_w_lg_w_dataa_range211w213w(0) <= wire_w_dataa_range211w(0) OR wire_w_dataa_man_not_zero_range206w(0); wire_w_lg_w_dataa_range221w223w(0) <= wire_w_dataa_range221w(0) OR wire_w_dataa_man_not_zero_range218w(0); wire_w_lg_w_dataa_range227w229w(0) <= wire_w_dataa_range227w(0) OR wire_w_dataa_man_not_zero_range222w(0); wire_w_lg_w_dataa_range233w235w(0) <= wire_w_dataa_range233w(0) OR wire_w_dataa_man_not_zero_range228w(0); wire_w_lg_w_dataa_range239w241w(0) <= wire_w_dataa_range239w(0) OR wire_w_dataa_man_not_zero_range234w(0); wire_w_lg_w_dataa_range245w247w(0) <= wire_w_dataa_range245w(0) OR wire_w_dataa_man_not_zero_range240w(0); wire_w_lg_w_dataa_range251w253w(0) <= wire_w_dataa_range251w(0) OR wire_w_dataa_man_not_zero_range246w(0); wire_w_lg_w_dataa_range257w259w(0) <= wire_w_dataa_range257w(0) OR wire_w_dataa_man_not_zero_range252w(0); wire_w_lg_w_dataa_range263w265w(0) <= wire_w_dataa_range263w(0) OR wire_w_dataa_man_not_zero_range258w(0); wire_w_lg_w_dataa_range157w159w(0) <= wire_w_dataa_range157w(0) OR wire_w_dataa_man_not_zero_range152w(0); wire_w_lg_w_dataa_range269w271w(0) <= wire_w_dataa_range269w(0) OR wire_w_dataa_man_not_zero_range264w(0); wire_w_lg_w_dataa_range275w277w(0) <= wire_w_dataa_range275w(0) OR wire_w_dataa_man_not_zero_range270w(0); wire_w_lg_w_dataa_range281w283w(0) <= wire_w_dataa_range281w(0) OR wire_w_dataa_man_not_zero_range276w(0); wire_w_lg_w_dataa_range81w83w(0) <= wire_w_dataa_range81w(0) OR wire_w_dataa_exp_not_zero_range72w(0); wire_w_lg_w_dataa_range91w93w(0) <= wire_w_dataa_range91w(0) OR wire_w_dataa_exp_not_zero_range82w(0); wire_w_lg_w_dataa_range101w103w(0) <= wire_w_dataa_range101w(0) OR wire_w_dataa_exp_not_zero_range92w(0); wire_w_lg_w_dataa_range111w113w(0) <= wire_w_dataa_range111w(0) OR wire_w_dataa_exp_not_zero_range102w(0); wire_w_lg_w_dataa_range121w123w(0) <= wire_w_dataa_range121w(0) OR wire_w_dataa_exp_not_zero_range112w(0); wire_w_lg_w_dataa_range131w133w(0) <= wire_w_dataa_range131w(0) OR wire_w_dataa_exp_not_zero_range122w(0); wire_w_lg_w_dataa_range163w165w(0) <= wire_w_dataa_range163w(0) OR wire_w_dataa_man_not_zero_range158w(0); wire_w_lg_w_dataa_range141w143w(0) <= wire_w_dataa_range141w(0) OR wire_w_dataa_exp_not_zero_range132w(0); wire_w_lg_w_dataa_range169w171w(0) <= wire_w_dataa_range169w(0) OR wire_w_dataa_man_not_zero_range164w(0); wire_w_lg_w_dataa_range175w177w(0) <= wire_w_dataa_range175w(0) OR wire_w_dataa_man_not_zero_range170w(0); wire_w_lg_w_dataa_range181w183w(0) <= wire_w_dataa_range181w(0) OR wire_w_dataa_man_not_zero_range176w(0); wire_w_lg_w_dataa_range187w189w(0) <= wire_w_dataa_range187w(0) OR wire_w_dataa_man_not_zero_range182w(0); wire_w_lg_w_dataa_range193w195w(0) <= wire_w_dataa_range193w(0) OR wire_w_dataa_man_not_zero_range188w(0); wire_w_lg_w_dataa_range199w201w(0) <= wire_w_dataa_range199w(0) OR wire_w_dataa_man_not_zero_range194w(0); wire_w_lg_w_dataa_range205w207w(0) <= wire_w_dataa_range205w(0) OR wire_w_dataa_man_not_zero_range200w(0); wire_w_lg_w_datab_range214w216w(0) <= wire_w_datab_range214w(0) OR wire_w_datab_man_not_zero_range209w(0); wire_w_lg_w_datab_range224w226w(0) <= wire_w_datab_range224w(0) OR wire_w_datab_man_not_zero_range220w(0); wire_w_lg_w_datab_range230w232w(0) <= wire_w_datab_range230w(0) OR wire_w_datab_man_not_zero_range225w(0); wire_w_lg_w_datab_range236w238w(0) <= wire_w_datab_range236w(0) OR wire_w_datab_man_not_zero_range231w(0); wire_w_lg_w_datab_range242w244w(0) <= wire_w_datab_range242w(0) OR wire_w_datab_man_not_zero_range237w(0); wire_w_lg_w_datab_range248w250w(0) <= wire_w_datab_range248w(0) OR wire_w_datab_man_not_zero_range243w(0); wire_w_lg_w_datab_range254w256w(0) <= wire_w_datab_range254w(0) OR wire_w_datab_man_not_zero_range249w(0); wire_w_lg_w_datab_range260w262w(0) <= wire_w_datab_range260w(0) OR wire_w_datab_man_not_zero_range255w(0); wire_w_lg_w_datab_range266w268w(0) <= wire_w_datab_range266w(0) OR wire_w_datab_man_not_zero_range261w(0); wire_w_lg_w_datab_range160w162w(0) <= wire_w_datab_range160w(0) OR wire_w_datab_man_not_zero_range155w(0); wire_w_lg_w_datab_range272w274w(0) <= wire_w_datab_range272w(0) OR wire_w_datab_man_not_zero_range267w(0); wire_w_lg_w_datab_range278w280w(0) <= wire_w_datab_range278w(0) OR wire_w_datab_man_not_zero_range273w(0); wire_w_lg_w_datab_range284w286w(0) <= wire_w_datab_range284w(0) OR wire_w_datab_man_not_zero_range279w(0); wire_w_lg_w_datab_range84w86w(0) <= wire_w_datab_range84w(0) OR wire_w_datab_exp_not_zero_range75w(0); wire_w_lg_w_datab_range94w96w(0) <= wire_w_datab_range94w(0) OR wire_w_datab_exp_not_zero_range85w(0); wire_w_lg_w_datab_range104w106w(0) <= wire_w_datab_range104w(0) OR wire_w_datab_exp_not_zero_range95w(0); wire_w_lg_w_datab_range114w116w(0) <= wire_w_datab_range114w(0) OR wire_w_datab_exp_not_zero_range105w(0); wire_w_lg_w_datab_range124w126w(0) <= wire_w_datab_range124w(0) OR wire_w_datab_exp_not_zero_range115w(0); wire_w_lg_w_datab_range134w136w(0) <= wire_w_datab_range134w(0) OR wire_w_datab_exp_not_zero_range125w(0); wire_w_lg_w_datab_range166w168w(0) <= wire_w_datab_range166w(0) OR wire_w_datab_man_not_zero_range161w(0); wire_w_lg_w_datab_range144w146w(0) <= wire_w_datab_range144w(0) OR wire_w_datab_exp_not_zero_range135w(0); wire_w_lg_w_datab_range172w174w(0) <= wire_w_datab_range172w(0) OR wire_w_datab_man_not_zero_range167w(0); wire_w_lg_w_datab_range178w180w(0) <= wire_w_datab_range178w(0) OR wire_w_datab_man_not_zero_range173w(0); wire_w_lg_w_datab_range184w186w(0) <= wire_w_datab_range184w(0) OR wire_w_datab_man_not_zero_range179w(0); wire_w_lg_w_datab_range190w192w(0) <= wire_w_datab_range190w(0) OR wire_w_datab_man_not_zero_range185w(0); wire_w_lg_w_datab_range196w198w(0) <= wire_w_datab_range196w(0) OR wire_w_datab_man_not_zero_range191w(0); wire_w_lg_w_datab_range202w204w(0) <= wire_w_datab_range202w(0) OR wire_w_datab_man_not_zero_range197w(0); wire_w_lg_w_datab_range208w210w(0) <= wire_w_datab_range208w(0) OR wire_w_datab_man_not_zero_range203w(0); wire_w_lg_w_result_exp_not_zero_range438w441w(0) <= wire_w_result_exp_not_zero_range438w(0) OR wire_exp_adj_p2_w_q_range410w(0); wire_w_lg_w_result_exp_not_zero_range440w443w(0) <= wire_w_result_exp_not_zero_range440w(0) OR wire_exp_adj_p2_w_q_range413w(0); wire_w_lg_w_result_exp_not_zero_range442w445w(0) <= wire_w_result_exp_not_zero_range442w(0) OR wire_exp_adj_p2_w_q_range416w(0); wire_w_lg_w_result_exp_not_zero_range444w447w(0) <= wire_w_result_exp_not_zero_range444w(0) OR wire_exp_adj_p2_w_q_range419w(0); wire_w_lg_w_result_exp_not_zero_range446w449w(0) <= wire_w_result_exp_not_zero_range446w(0) OR wire_exp_adj_p2_w_q_range422w(0); wire_w_lg_w_result_exp_not_zero_range448w451w(0) <= wire_w_result_exp_not_zero_range448w(0) OR wire_exp_adj_p2_w_q_range425w(0); wire_w_lg_w_result_exp_not_zero_range450w453w(0) <= wire_w_result_exp_not_zero_range450w(0) OR wire_exp_adj_p2_w_q_range428w(0); wire_w_lg_w_result_exp_not_zero_range452w455w(0) <= wire_w_result_exp_not_zero_range452w(0) OR wire_exp_adj_p2_w_q_range431w(0); wire_w_lg_w_sticky_bit_range306w310w(0) <= wire_w_sticky_bit_range306w(0) OR wire_man_product2_mult_w_result_range308w(0); wire_w_lg_w_sticky_bit_range336w340w(0) <= wire_w_sticky_bit_range336w(0) OR wire_man_product2_mult_w_result_range338w(0); wire_w_lg_w_sticky_bit_range339w343w(0) <= wire_w_sticky_bit_range339w(0) OR wire_man_product2_mult_w_result_range341w(0); wire_w_lg_w_sticky_bit_range342w346w(0) <= wire_w_sticky_bit_range342w(0) OR wire_man_product2_mult_w_result_range344w(0); wire_w_lg_w_sticky_bit_range345w349w(0) <= wire_w_sticky_bit_range345w(0) OR wire_man_product2_mult_w_result_range347w(0); wire_w_lg_w_sticky_bit_range348w352w(0) <= wire_w_sticky_bit_range348w(0) OR wire_man_product2_mult_w_result_range350w(0); wire_w_lg_w_sticky_bit_range351w355w(0) <= wire_w_sticky_bit_range351w(0) OR wire_man_product2_mult_w_result_range353w(0); wire_w_lg_w_sticky_bit_range354w358w(0) <= wire_w_sticky_bit_range354w(0) OR wire_man_product2_mult_w_result_range356w(0); wire_w_lg_w_sticky_bit_range357w361w(0) <= wire_w_sticky_bit_range357w(0) OR wire_man_product2_mult_w_result_range359w(0); wire_w_lg_w_sticky_bit_range360w364w(0) <= wire_w_sticky_bit_range360w(0) OR wire_man_product2_mult_w_result_range362w(0); wire_w_lg_w_sticky_bit_range363w367w(0) <= wire_w_sticky_bit_range363w(0) OR wire_man_product2_mult_w_result_range365w(0); wire_w_lg_w_sticky_bit_range309w313w(0) <= wire_w_sticky_bit_range309w(0) OR wire_man_product2_mult_w_result_range311w(0); wire_w_lg_w_sticky_bit_range366w370w(0) <= wire_w_sticky_bit_range366w(0) OR wire_man_product2_mult_w_result_range368w(0); wire_w_lg_w_sticky_bit_range369w374w(0) <= wire_w_sticky_bit_range369w(0) OR wire_man_product2_mult_w_lg_w_result_range298w373w(0); wire_w_lg_w_sticky_bit_range312w316w(0) <= wire_w_sticky_bit_range312w(0) OR wire_man_product2_mult_w_result_range314w(0); wire_w_lg_w_sticky_bit_range315w319w(0) <= wire_w_sticky_bit_range315w(0) OR wire_man_product2_mult_w_result_range317w(0); wire_w_lg_w_sticky_bit_range318w322w(0) <= wire_w_sticky_bit_range318w(0) OR wire_man_product2_mult_w_result_range320w(0); wire_w_lg_w_sticky_bit_range321w325w(0) <= wire_w_sticky_bit_range321w(0) OR wire_man_product2_mult_w_result_range323w(0); wire_w_lg_w_sticky_bit_range324w328w(0) <= wire_w_sticky_bit_range324w(0) OR wire_man_product2_mult_w_result_range326w(0); wire_w_lg_w_sticky_bit_range327w331w(0) <= wire_w_sticky_bit_range327w(0) OR wire_man_product2_mult_w_result_range329w(0); wire_w_lg_w_sticky_bit_range330w334w(0) <= wire_w_sticky_bit_range330w(0) OR wire_man_product2_mult_w_result_range332w(0); wire_w_lg_w_sticky_bit_range333w337w(0) <= wire_w_sticky_bit_range333w(0) OR wire_man_product2_mult_w_result_range335w(0); aclr <= '0'; bias <= ( "0" & "0" & "0" & "1" & "1" & "1" & "1" & "1" & "1" & "1"); clk_en <= '1'; dataa_exp_all_one <= ( wire_w_lg_w_dataa_range141w148w & wire_w_lg_w_dataa_range131w138w & wire_w_lg_w_dataa_range121w128w & wire_w_lg_w_dataa_range111w118w & wire_w_lg_w_dataa_range101w108w & wire_w_lg_w_dataa_range91w98w & wire_w_lg_w_dataa_range81w88w & dataa(23)); dataa_exp_not_zero <= ( wire_w_lg_w_dataa_range141w143w & wire_w_lg_w_dataa_range131w133w & wire_w_lg_w_dataa_range121w123w & wire_w_lg_w_dataa_range111w113w & wire_w_lg_w_dataa_range101w103w & wire_w_lg_w_dataa_range91w93w & wire_w_lg_w_dataa_range81w83w & dataa(23)); dataa_man_not_zero <= ( wire_w_lg_w_dataa_range281w283w & wire_w_lg_w_dataa_range275w277w & wire_w_lg_w_dataa_range269w271w & wire_w_lg_w_dataa_range263w265w & wire_w_lg_w_dataa_range257w259w & wire_w_lg_w_dataa_range251w253w & wire_w_lg_w_dataa_range245w247w & wire_w_lg_w_dataa_range239w241w & wire_w_lg_w_dataa_range233w235w & wire_w_lg_w_dataa_range227w229w & wire_w_lg_w_dataa_range221w223w & dataa(11) & wire_w_lg_w_dataa_range211w213w & wire_w_lg_w_dataa_range205w207w & wire_w_lg_w_dataa_range199w201w & wire_w_lg_w_dataa_range193w195w & wire_w_lg_w_dataa_range187w189w & wire_w_lg_w_dataa_range181w183w & wire_w_lg_w_dataa_range175w177w & wire_w_lg_w_dataa_range169w171w & wire_w_lg_w_dataa_range163w165w & wire_w_lg_w_dataa_range157w159w & dataa(0)); datab_exp_all_one <= ( wire_w_lg_w_datab_range144w150w & wire_w_lg_w_datab_range134w140w & wire_w_lg_w_datab_range124w130w & wire_w_lg_w_datab_range114w120w & wire_w_lg_w_datab_range104w110w & wire_w_lg_w_datab_range94w100w & wire_w_lg_w_datab_range84w90w & datab(23)); datab_exp_not_zero <= ( wire_w_lg_w_datab_range144w146w & wire_w_lg_w_datab_range134w136w & wire_w_lg_w_datab_range124w126w & wire_w_lg_w_datab_range114w116w & wire_w_lg_w_datab_range104w106w & wire_w_lg_w_datab_range94w96w & wire_w_lg_w_datab_range84w86w & datab(23)); datab_man_not_zero <= ( wire_w_lg_w_datab_range284w286w & wire_w_lg_w_datab_range278w280w & wire_w_lg_w_datab_range272w274w & wire_w_lg_w_datab_range266w268w & wire_w_lg_w_datab_range260w262w & wire_w_lg_w_datab_range254w256w & wire_w_lg_w_datab_range248w250w & wire_w_lg_w_datab_range242w244w & wire_w_lg_w_datab_range236w238w & wire_w_lg_w_datab_range230w232w & wire_w_lg_w_datab_range224w226w & datab(11) & wire_w_lg_w_datab_range214w216w & wire_w_lg_w_datab_range208w210w & wire_w_lg_w_datab_range202w204w & wire_w_lg_w_datab_range196w198w & wire_w_lg_w_datab_range190w192w & wire_w_lg_w_datab_range184w186w & wire_w_lg_w_datab_range178w180w & wire_w_lg_w_datab_range172w174w & wire_w_lg_w_datab_range166w168w & wire_w_lg_w_datab_range160w162w & datab(0)); exp_is_inf <= (((NOT exp_adj_p2(9)) AND exp_adj_p2(8)) OR ((NOT exp_adj_p2(8)) AND result_exp_all_one(7))); exp_is_zero <= wire_exp_adj_p2_w_lg_w_q_range432w457w(0); expmod <= ( "00000000" & wire_delay_man_product_msb2_w_lg_q393w & wire_delay_man_product_msb2_w_lg_q395w); inf_num <= ( "1" & "1" & "1" & "1" & "1" & "1" & "1" & "1"); lsb_bit <= man_shift_full(1); man_shift_full <= (wire_man_product2_mult_w_lg_w_result_range302w303w OR wire_man_product2_mult_w_lg_w_result_range299w300w); result <= ( sign_node_ff10 & exp_result_ff(7 DOWNTO 0) & man_result_ff(22 DOWNTO 0)); result_exp_all_one <= ( wire_w_lg_w_result_exp_all_one_range426w430w & wire_w_lg_w_result_exp_all_one_range423w427w & wire_w_lg_w_result_exp_all_one_range420w424w & wire_w_lg_w_result_exp_all_one_range417w421w & wire_w_lg_w_result_exp_all_one_range414w418w & wire_w_lg_w_result_exp_all_one_range411w415w & wire_w_lg_w_result_exp_all_one_range408w412w & exp_adj_p2(0)); result_exp_not_zero <= ( wire_w_lg_w_result_exp_not_zero_range452w455w & wire_w_lg_w_result_exp_not_zero_range450w453w & wire_w_lg_w_result_exp_not_zero_range448w451w & wire_w_lg_w_result_exp_not_zero_range446w449w & wire_w_lg_w_result_exp_not_zero_range444w447w & wire_w_lg_w_result_exp_not_zero_range442w445w & wire_w_lg_w_result_exp_not_zero_range440w443w & wire_w_lg_w_result_exp_not_zero_range438w441w & exp_adj_p2(0)); round_bit <= man_shift_full(0); round_carry <= (round_dffe AND (lsb_dffe OR sticky_dffe)); sticky_bit <= ( wire_w_lg_w_sticky_bit_range369w374w & wire_w_lg_w_sticky_bit_range366w370w & wire_w_lg_w_sticky_bit_range363w367w & wire_w_lg_w_sticky_bit_range360w364w & wire_w_lg_w_sticky_bit_range357w361w & wire_w_lg_w_sticky_bit_range354w358w & wire_w_lg_w_sticky_bit_range351w355w & wire_w_lg_w_sticky_bit_range348w352w & wire_w_lg_w_sticky_bit_range345w349w & wire_w_lg_w_sticky_bit_range342w346w & wire_w_lg_w_sticky_bit_range339w343w & wire_w_lg_w_sticky_bit_range336w340w & wire_w_lg_w_sticky_bit_range333w337w & wire_w_lg_w_sticky_bit_range330w334w & wire_w_lg_w_sticky_bit_range327w331w & wire_w_lg_w_sticky_bit_range324w328w & wire_w_lg_w_sticky_bit_range321w325w & wire_w_lg_w_sticky_bit_range318w322w & wire_w_lg_w_sticky_bit_range315w319w & wire_w_lg_w_sticky_bit_range312w316w & wire_w_lg_w_sticky_bit_range309w313w & wire_w_lg_w_sticky_bit_range306w310w & wire_man_product2_mult_result(0)); wire_w_dataa_range211w(0) <= dataa(10); wire_w_dataa_range221w(0) <= dataa(12); wire_w_dataa_range227w(0) <= dataa(13); wire_w_dataa_range233w(0) <= dataa(14); wire_w_dataa_range239w(0) <= dataa(15); wire_w_dataa_range245w(0) <= dataa(16); wire_w_dataa_range251w(0) <= dataa(17); wire_w_dataa_range257w(0) <= dataa(18); wire_w_dataa_range263w(0) <= dataa(19); wire_w_dataa_range157w(0) <= dataa(1); wire_w_dataa_range269w(0) <= dataa(20); wire_w_dataa_range275w(0) <= dataa(21); wire_w_dataa_range281w(0) <= dataa(22); wire_w_dataa_range81w(0) <= dataa(24); wire_w_dataa_range91w(0) <= dataa(25); wire_w_dataa_range101w(0) <= dataa(26); wire_w_dataa_range111w(0) <= dataa(27); wire_w_dataa_range121w(0) <= dataa(28); wire_w_dataa_range131w(0) <= dataa(29); wire_w_dataa_range163w(0) <= dataa(2); wire_w_dataa_range141w(0) <= dataa(30); wire_w_dataa_range169w(0) <= dataa(3); wire_w_dataa_range175w(0) <= dataa(4); wire_w_dataa_range181w(0) <= dataa(5); wire_w_dataa_range187w(0) <= dataa(6); wire_w_dataa_range193w(0) <= dataa(7); wire_w_dataa_range199w(0) <= dataa(8); wire_w_dataa_range205w(0) <= dataa(9); wire_w_dataa_exp_all_one_range77w(0) <= dataa_exp_all_one(0); wire_w_dataa_exp_all_one_range87w(0) <= dataa_exp_all_one(1); wire_w_dataa_exp_all_one_range97w(0) <= dataa_exp_all_one(2); wire_w_dataa_exp_all_one_range107w(0) <= dataa_exp_all_one(3); wire_w_dataa_exp_all_one_range117w(0) <= dataa_exp_all_one(4); wire_w_dataa_exp_all_one_range127w(0) <= dataa_exp_all_one(5); wire_w_dataa_exp_all_one_range137w(0) <= dataa_exp_all_one(6); wire_w_dataa_exp_not_zero_range72w(0) <= dataa_exp_not_zero(0); wire_w_dataa_exp_not_zero_range82w(0) <= dataa_exp_not_zero(1); wire_w_dataa_exp_not_zero_range92w(0) <= dataa_exp_not_zero(2); wire_w_dataa_exp_not_zero_range102w(0) <= dataa_exp_not_zero(3); wire_w_dataa_exp_not_zero_range112w(0) <= dataa_exp_not_zero(4); wire_w_dataa_exp_not_zero_range122w(0) <= dataa_exp_not_zero(5); wire_w_dataa_exp_not_zero_range132w(0) <= dataa_exp_not_zero(6); wire_w_dataa_man_not_zero_range152w(0) <= dataa_man_not_zero(0); wire_w_dataa_man_not_zero_range218w(0) <= dataa_man_not_zero(11); wire_w_dataa_man_not_zero_range222w(0) <= dataa_man_not_zero(12); wire_w_dataa_man_not_zero_range228w(0) <= dataa_man_not_zero(13); wire_w_dataa_man_not_zero_range234w(0) <= dataa_man_not_zero(14); wire_w_dataa_man_not_zero_range240w(0) <= dataa_man_not_zero(15); wire_w_dataa_man_not_zero_range246w(0) <= dataa_man_not_zero(16); wire_w_dataa_man_not_zero_range252w(0) <= dataa_man_not_zero(17); wire_w_dataa_man_not_zero_range258w(0) <= dataa_man_not_zero(18); wire_w_dataa_man_not_zero_range264w(0) <= dataa_man_not_zero(19); wire_w_dataa_man_not_zero_range158w(0) <= dataa_man_not_zero(1); wire_w_dataa_man_not_zero_range270w(0) <= dataa_man_not_zero(20); wire_w_dataa_man_not_zero_range276w(0) <= dataa_man_not_zero(21); wire_w_dataa_man_not_zero_range164w(0) <= dataa_man_not_zero(2); wire_w_dataa_man_not_zero_range170w(0) <= dataa_man_not_zero(3); wire_w_dataa_man_not_zero_range176w(0) <= dataa_man_not_zero(4); wire_w_dataa_man_not_zero_range182w(0) <= dataa_man_not_zero(5); wire_w_dataa_man_not_zero_range188w(0) <= dataa_man_not_zero(6); wire_w_dataa_man_not_zero_range194w(0) <= dataa_man_not_zero(7); wire_w_dataa_man_not_zero_range200w(0) <= dataa_man_not_zero(8); wire_w_dataa_man_not_zero_range206w(0) <= dataa_man_not_zero(9); wire_w_datab_range214w(0) <= datab(10); wire_w_datab_range224w(0) <= datab(12); wire_w_datab_range230w(0) <= datab(13); wire_w_datab_range236w(0) <= datab(14); wire_w_datab_range242w(0) <= datab(15); wire_w_datab_range248w(0) <= datab(16); wire_w_datab_range254w(0) <= datab(17); wire_w_datab_range260w(0) <= datab(18); wire_w_datab_range266w(0) <= datab(19); wire_w_datab_range160w(0) <= datab(1); wire_w_datab_range272w(0) <= datab(20); wire_w_datab_range278w(0) <= datab(21); wire_w_datab_range284w(0) <= datab(22); wire_w_datab_range84w(0) <= datab(24); wire_w_datab_range94w(0) <= datab(25); wire_w_datab_range104w(0) <= datab(26); wire_w_datab_range114w(0) <= datab(27); wire_w_datab_range124w(0) <= datab(28); wire_w_datab_range134w(0) <= datab(29); wire_w_datab_range166w(0) <= datab(2); wire_w_datab_range144w(0) <= datab(30); wire_w_datab_range172w(0) <= datab(3); wire_w_datab_range178w(0) <= datab(4); wire_w_datab_range184w(0) <= datab(5); wire_w_datab_range190w(0) <= datab(6); wire_w_datab_range196w(0) <= datab(7); wire_w_datab_range202w(0) <= datab(8); wire_w_datab_range208w(0) <= datab(9); wire_w_datab_exp_all_one_range79w(0) <= datab_exp_all_one(0); wire_w_datab_exp_all_one_range89w(0) <= datab_exp_all_one(1); wire_w_datab_exp_all_one_range99w(0) <= datab_exp_all_one(2); wire_w_datab_exp_all_one_range109w(0) <= datab_exp_all_one(3); wire_w_datab_exp_all_one_range119w(0) <= datab_exp_all_one(4); wire_w_datab_exp_all_one_range129w(0) <= datab_exp_all_one(5); wire_w_datab_exp_all_one_range139w(0) <= datab_exp_all_one(6); wire_w_datab_exp_not_zero_range75w(0) <= datab_exp_not_zero(0); wire_w_datab_exp_not_zero_range85w(0) <= datab_exp_not_zero(1); wire_w_datab_exp_not_zero_range95w(0) <= datab_exp_not_zero(2); wire_w_datab_exp_not_zero_range105w(0) <= datab_exp_not_zero(3); wire_w_datab_exp_not_zero_range115w(0) <= datab_exp_not_zero(4); wire_w_datab_exp_not_zero_range125w(0) <= datab_exp_not_zero(5); wire_w_datab_exp_not_zero_range135w(0) <= datab_exp_not_zero(6); wire_w_datab_man_not_zero_range155w(0) <= datab_man_not_zero(0); wire_w_datab_man_not_zero_range220w(0) <= datab_man_not_zero(11); wire_w_datab_man_not_zero_range225w(0) <= datab_man_not_zero(12); wire_w_datab_man_not_zero_range231w(0) <= datab_man_not_zero(13); wire_w_datab_man_not_zero_range237w(0) <= datab_man_not_zero(14); wire_w_datab_man_not_zero_range243w(0) <= datab_man_not_zero(15); wire_w_datab_man_not_zero_range249w(0) <= datab_man_not_zero(16); wire_w_datab_man_not_zero_range255w(0) <= datab_man_not_zero(17); wire_w_datab_man_not_zero_range261w(0) <= datab_man_not_zero(18); wire_w_datab_man_not_zero_range267w(0) <= datab_man_not_zero(19); wire_w_datab_man_not_zero_range161w(0) <= datab_man_not_zero(1); wire_w_datab_man_not_zero_range273w(0) <= datab_man_not_zero(20); wire_w_datab_man_not_zero_range279w(0) <= datab_man_not_zero(21); wire_w_datab_man_not_zero_range167w(0) <= datab_man_not_zero(2); wire_w_datab_man_not_zero_range173w(0) <= datab_man_not_zero(3); wire_w_datab_man_not_zero_range179w(0) <= datab_man_not_zero(4); wire_w_datab_man_not_zero_range185w(0) <= datab_man_not_zero(5); wire_w_datab_man_not_zero_range191w(0) <= datab_man_not_zero(6); wire_w_datab_man_not_zero_range197w(0) <= datab_man_not_zero(7); wire_w_datab_man_not_zero_range203w(0) <= datab_man_not_zero(8); wire_w_datab_man_not_zero_range209w(0) <= datab_man_not_zero(9); wire_w_man_shift_full_range379w <= man_shift_full(24 DOWNTO 1); wire_w_result_exp_all_one_range408w(0) <= result_exp_all_one(0); wire_w_result_exp_all_one_range411w(0) <= result_exp_all_one(1); wire_w_result_exp_all_one_range414w(0) <= result_exp_all_one(2); wire_w_result_exp_all_one_range417w(0) <= result_exp_all_one(3); wire_w_result_exp_all_one_range420w(0) <= result_exp_all_one(4); wire_w_result_exp_all_one_range423w(0) <= result_exp_all_one(5); wire_w_result_exp_all_one_range426w(0) <= result_exp_all_one(6); wire_w_result_exp_not_zero_range438w(0) <= result_exp_not_zero(0); wire_w_result_exp_not_zero_range440w(0) <= result_exp_not_zero(1); wire_w_result_exp_not_zero_range442w(0) <= result_exp_not_zero(2); wire_w_result_exp_not_zero_range444w(0) <= result_exp_not_zero(3); wire_w_result_exp_not_zero_range446w(0) <= result_exp_not_zero(4); wire_w_result_exp_not_zero_range448w(0) <= result_exp_not_zero(5); wire_w_result_exp_not_zero_range450w(0) <= result_exp_not_zero(6); wire_w_result_exp_not_zero_range452w(0) <= result_exp_not_zero(7); wire_w_result_exp_not_zero_range454w(0) <= result_exp_not_zero(8); wire_w_sticky_bit_range306w(0) <= sticky_bit(0); wire_w_sticky_bit_range336w(0) <= sticky_bit(10); wire_w_sticky_bit_range339w(0) <= sticky_bit(11); wire_w_sticky_bit_range342w(0) <= sticky_bit(12); wire_w_sticky_bit_range345w(0) <= sticky_bit(13); wire_w_sticky_bit_range348w(0) <= sticky_bit(14); wire_w_sticky_bit_range351w(0) <= sticky_bit(15); wire_w_sticky_bit_range354w(0) <= sticky_bit(16); wire_w_sticky_bit_range357w(0) <= sticky_bit(17); wire_w_sticky_bit_range360w(0) <= sticky_bit(18); wire_w_sticky_bit_range363w(0) <= sticky_bit(19); wire_w_sticky_bit_range309w(0) <= sticky_bit(1); wire_w_sticky_bit_range366w(0) <= sticky_bit(20); wire_w_sticky_bit_range369w(0) <= sticky_bit(21); wire_w_sticky_bit_range312w(0) <= sticky_bit(2); wire_w_sticky_bit_range315w(0) <= sticky_bit(3); wire_w_sticky_bit_range318w(0) <= sticky_bit(4); wire_w_sticky_bit_range321w(0) <= sticky_bit(5); wire_w_sticky_bit_range324w(0) <= sticky_bit(6); wire_w_sticky_bit_range327w(0) <= sticky_bit(7); wire_w_sticky_bit_range330w(0) <= sticky_bit(8); wire_w_sticky_bit_range333w(0) <= sticky_bit(9); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_exp_all_one_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_exp_all_one_ff_p1 <= dataa_exp_all_one(7); END IF; END IF; END PROCESS; wire_dataa_exp_all_one_ff_p1_w_lg_q296w(0) <= dataa_exp_all_one_ff_p1 AND wire_dataa_man_not_zero_ff_p1_w_lg_w_lg_q290w295w(0); wire_dataa_exp_all_one_ff_p1_w_lg_q291w(0) <= dataa_exp_all_one_ff_p1 AND wire_dataa_man_not_zero_ff_p1_w_lg_q290w(0); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_exp_not_zero_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_exp_not_zero_ff_p1 <= dataa_exp_not_zero(7); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_man_not_zero_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_man_not_zero_ff_p1 <= dataa_man_not_zero(10); END IF; END IF; END PROCESS; wire_dataa_man_not_zero_ff_p1_w_lg_w_lg_q290w295w(0) <= NOT wire_dataa_man_not_zero_ff_p1_w_lg_q290w(0); wire_dataa_man_not_zero_ff_p1_w_lg_q290w(0) <= dataa_man_not_zero_ff_p1 OR dataa_man_not_zero_ff_p2; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_man_not_zero_ff_p2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_man_not_zero_ff_p2 <= dataa_man_not_zero(22); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_exp_all_one_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_exp_all_one_ff_p1 <= datab_exp_all_one(7); END IF; END IF; END PROCESS; wire_datab_exp_all_one_ff_p1_w_lg_q294w(0) <= datab_exp_all_one_ff_p1 AND wire_datab_man_not_zero_ff_p1_w_lg_w_lg_q288w293w(0); wire_datab_exp_all_one_ff_p1_w_lg_q289w(0) <= datab_exp_all_one_ff_p1 AND wire_datab_man_not_zero_ff_p1_w_lg_q288w(0); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_exp_not_zero_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_exp_not_zero_ff_p1 <= datab_exp_not_zero(7); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_man_not_zero_ff_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_man_not_zero_ff_p1 <= datab_man_not_zero(10); END IF; END IF; END PROCESS; wire_datab_man_not_zero_ff_p1_w_lg_w_lg_q288w293w(0) <= NOT wire_datab_man_not_zero_ff_p1_w_lg_q288w(0); wire_datab_man_not_zero_ff_p1_w_lg_q288w(0) <= datab_man_not_zero_ff_p1 OR datab_man_not_zero_ff_p2; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_man_not_zero_ff_p2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_man_not_zero_ff_p2 <= datab_man_not_zero(22); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_exp2_bias <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_exp2_bias <= delay_exp_bias; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_exp3_bias <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_exp3_bias <= delay_exp2_bias; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_exp_bias <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_exp_bias <= wire_exp_bias_subtr_result; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_man_product_msb <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_man_product_msb <= delay_man_product_msb_p1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_man_product_msb2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_man_product_msb2 <= delay_man_product_msb; END IF; END IF; END PROCESS; wire_delay_man_product_msb2_w_lg_q393w(0) <= delay_man_product_msb2 AND wire_man_round_p2_w_q_range391w(0); wire_delay_man_product_msb2_w_lg_q395w(0) <= delay_man_product_msb2 XOR wire_man_round_p2_w_q_range391w(0); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_man_product_msb_p0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_man_product_msb_p0 <= wire_man_product2_mult_w_result_range298w(0); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_man_product_msb_p1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_man_product_msb_p1 <= delay_man_product_msb_p0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN delay_round <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN delay_round <= wire_man_round_p2_w_lg_w_lg_w_q_range404w405w406w; END IF; END IF; END PROCESS; loop2 : FOR i IN 0 TO 21 GENERATE wire_delay_round_w485w(i) <= wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range480w481w482w483w484w(i) AND wire_input_is_nan_ff5_w_lg_q479w(0); END GENERATE loop2; loop3 : FOR i IN 0 TO 21 GENERATE wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range480w481w482w483w484w(i) <= wire_delay_round_w_lg_w_lg_w_lg_w_q_range480w481w482w483w(i) AND wire_w_lg_exp_is_zero458w(0); END GENERATE loop3; wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range470w471w472w473w474w(0) <= wire_delay_round_w_lg_w_lg_w_lg_w_q_range470w471w472w473w(0) AND wire_w_lg_exp_is_zero458w(0); loop4 : FOR i IN 0 TO 21 GENERATE wire_delay_round_w_lg_w_lg_w_lg_w_q_range480w481w482w483w(i) <= wire_delay_round_w_lg_w_lg_w_q_range480w481w482w(i) AND wire_w_lg_exp_is_inf468w(0); END GENERATE loop4; wire_delay_round_w_lg_w_lg_w_lg_w_q_range470w471w472w473w(0) <= wire_delay_round_w_lg_w_lg_w_q_range470w471w472w(0) AND wire_w_lg_exp_is_inf468w(0); loop5 : FOR i IN 0 TO 21 GENERATE wire_delay_round_w_lg_w_lg_w_q_range480w481w482w(i) <= wire_delay_round_w_lg_w_q_range480w481w(i) AND wire_input_is_infinity_ff5_w_lg_q469w(0); END GENERATE loop5; wire_delay_round_w_lg_w_lg_w_q_range470w471w472w(0) <= wire_delay_round_w_lg_w_q_range470w471w(0) AND wire_input_is_infinity_ff5_w_lg_q469w(0); loop6 : FOR i IN 0 TO 21 GENERATE wire_delay_round_w_lg_w_q_range480w481w(i) <= wire_delay_round_w_q_range480w(i) AND input_not_zero_ff5; END GENERATE loop6; wire_delay_round_w_lg_w_q_range470w471w(0) <= wire_delay_round_w_q_range470w(0) AND input_not_zero_ff5; wire_delay_round_w475w(0) <= wire_delay_round_w_lg_w_lg_w_lg_w_lg_w_q_range470w471w472w473w474w(0) OR wire_input_is_infinity_ff5_w_lg_q467w(0); wire_delay_round_w_lg_w475w476w(0) <= wire_delay_round_w475w(0) OR input_is_nan_ff5; wire_delay_round_w_q_range480w <= delay_round(21 DOWNTO 0); wire_delay_round_w_q_range470w(0) <= delay_round(22); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_add_p1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_add_p1 <= wire_exp_add_adder_result; END IF; END IF; END PROCESS; wire_exp_add_p1_w_q_range63w <= exp_add_p1(8 DOWNTO 0); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_adj_p1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_adj_p1 <= delay_exp3_bias; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_adj_p2 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_adj_p2 <= wire_exp_adj_adder_result; END IF; END IF; END PROCESS; loop7 : FOR i IN 0 TO 7 GENERATE wire_exp_adj_p2_w_lg_w_lg_w_q_range459w460w461w(i) <= wire_exp_adj_p2_w_lg_w_q_range459w460w(i) AND input_not_zero_ff5; END GENERATE loop7; loop8 : FOR i IN 0 TO 7 GENERATE wire_exp_adj_p2_w_lg_w_q_range459w460w(i) <= wire_exp_adj_p2_w_q_range459w(i) AND wire_w_lg_exp_is_zero458w(0); END GENERATE loop8; wire_exp_adj_p2_w_lg_w_q_range432w457w(0) <= wire_exp_adj_p2_w_q_range432w(0) OR wire_w_lg_w_result_exp_not_zero_range454w456w(0); wire_exp_adj_p2_w_q_range410w(0) <= exp_adj_p2(1); wire_exp_adj_p2_w_q_range413w(0) <= exp_adj_p2(2); wire_exp_adj_p2_w_q_range416w(0) <= exp_adj_p2(3); wire_exp_adj_p2_w_q_range419w(0) <= exp_adj_p2(4); wire_exp_adj_p2_w_q_range422w(0) <= exp_adj_p2(5); wire_exp_adj_p2_w_q_range425w(0) <= exp_adj_p2(6); wire_exp_adj_p2_w_q_range459w <= exp_adj_p2(7 DOWNTO 0); wire_exp_adj_p2_w_q_range428w(0) <= exp_adj_p2(7); wire_exp_adj_p2_w_q_range431w(0) <= exp_adj_p2(8); wire_exp_adj_p2_w_q_range432w(0) <= exp_adj_p2(9); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_bias_p1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_bias_p1 <= wire_exp_add_p1_w_q_range63w; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_bias_p2 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_bias_p2 <= exp_bias_p1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_bias_p3 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_bias_p3 <= exp_bias_p2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_result_ff <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_result_ff <= wire_w_lg_w_lg_inf_num464w465w; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_dffe_0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_dffe_0 <= (wire_dataa_exp_all_one_ff_p1_w_lg_q296w(0) OR wire_datab_exp_all_one_ff_p1_w_lg_q294w(0)); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_dffe_1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_dffe_1 <= input_is_infinity_dffe_0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_dffe_2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_dffe_2 <= input_is_infinity_dffe_1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_dffe_3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_dffe_3 <= input_is_infinity_dffe_2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_ff1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_ff1 <= input_is_infinity_dffe_3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_ff2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_ff2 <= input_is_infinity_ff1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_ff3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_ff3 <= input_is_infinity_ff2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_ff4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_ff4 <= input_is_infinity_ff3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinity_ff5 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinity_ff5 <= input_is_infinity_ff4; END IF; END IF; END PROCESS; wire_input_is_infinity_ff5_w_lg_q467w(0) <= input_is_infinity_ff5 AND wire_input_not_zero_ff5_w_lg_q466w(0); wire_input_is_infinity_ff5_w_lg_q469w(0) <= NOT input_is_infinity_ff5; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe_0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe_0 <= (wire_dataa_exp_all_one_ff_p1_w_lg_q291w(0) OR wire_datab_exp_all_one_ff_p1_w_lg_q289w(0)); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe_1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe_1 <= input_is_nan_dffe_0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe_2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe_2 <= input_is_nan_dffe_1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe_3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe_3 <= input_is_nan_dffe_2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_ff1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_ff1 <= input_is_nan_dffe_3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_ff2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_ff2 <= input_is_nan_ff1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_ff3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_ff3 <= input_is_nan_ff2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_ff4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_ff4 <= input_is_nan_ff3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_ff5 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_ff5 <= input_is_nan_ff4; END IF; END IF; END PROCESS; wire_input_is_nan_ff5_w_lg_q479w(0) <= NOT input_is_nan_ff5; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_dffe_0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_dffe_0 <= (dataa_exp_not_zero_ff_p1 AND datab_exp_not_zero_ff_p1); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_dffe_1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_dffe_1 <= input_not_zero_dffe_0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_dffe_2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_dffe_2 <= input_not_zero_dffe_1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_dffe_3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_dffe_3 <= input_not_zero_dffe_2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_ff1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_ff1 <= input_not_zero_dffe_3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_ff2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_ff2 <= input_not_zero_ff1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_ff3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_ff3 <= input_not_zero_ff2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_ff4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_ff4 <= input_not_zero_ff3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_not_zero_ff5 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_not_zero_ff5 <= input_not_zero_ff4; END IF; END IF; END PROCESS; wire_input_not_zero_ff5_w_lg_q466w(0) <= NOT input_not_zero_ff5; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN lsb_dffe <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN lsb_dffe <= lsb_bit; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_result_ff <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_result_ff <= ( wire_delay_round_w_lg_w475w476w & wire_delay_round_w485w); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_carry <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_carry <= man_round_carry_p0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_carry_p0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_carry_p0 <= round_carry; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_p <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_p <= wire_w_man_shift_full_range379w; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_p0 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_p0 <= man_round_p; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_p1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_p1 <= man_round_p0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_round_p2 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_round_p2 <= wire_man_round_adder_result; END IF; END IF; END PROCESS; loop9 : FOR i IN 0 TO 23 GENERATE wire_man_round_p2_w_lg_w_q_range404w405w(i) <= wire_man_round_p2_w_q_range404w(i) AND wire_man_round_p2_w_lg_w_q_range391w403w(0); END GENERATE loop9; loop10 : FOR i IN 0 TO 23 GENERATE wire_man_round_p2_w_lg_w_q_range401w402w(i) <= wire_man_round_p2_w_q_range401w(i) AND wire_man_round_p2_w_q_range391w(0); END GENERATE loop10; wire_man_round_p2_w_lg_w_q_range391w403w(0) <= NOT wire_man_round_p2_w_q_range391w(0); loop11 : FOR i IN 0 TO 23 GENERATE wire_man_round_p2_w_lg_w_lg_w_q_range404w405w406w(i) <= wire_man_round_p2_w_lg_w_q_range404w405w(i) OR wire_man_round_p2_w_lg_w_q_range401w402w(i); END GENERATE loop11; wire_man_round_p2_w_q_range404w <= man_round_p2(23 DOWNTO 0); wire_man_round_p2_w_q_range401w <= man_round_p2(24 DOWNTO 1); wire_man_round_p2_w_q_range391w(0) <= man_round_p2(24); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN round_dffe <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN round_dffe <= round_bit; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff0 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff0 <= (dataa(31) XOR datab(31)); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff1 <= sign_node_ff0; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff2 <= sign_node_ff1; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff3 <= sign_node_ff2; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff4 <= sign_node_ff3; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff5 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff5 <= sign_node_ff4; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff6 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff6 <= sign_node_ff5; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff7 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff7 <= sign_node_ff6; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff8 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff8 <= sign_node_ff7; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff9 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff9 <= sign_node_ff8; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_node_ff10 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_node_ff10 <= sign_node_ff9; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_dffe <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_dffe <= sticky_bit(22); END IF; END IF; END PROCESS; wire_exp_add_adder_dataa <= ( "0" & dataa(30 DOWNTO 23)); wire_exp_add_adder_datab <= ( "0" & datab(30 DOWNTO 23)); exp_add_adder : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_WIDTH => 9 ) PORT MAP ( aclr => aclr, cin => wire_gnd, clken => clk_en, clock => clock, dataa => wire_exp_add_adder_dataa, datab => wire_exp_add_adder_datab, result => wire_exp_add_adder_result ); wire_exp_adj_adder_datab <= ( expmod(9 DOWNTO 0)); exp_adj_adder : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 0, LPM_WIDTH => 10 ) PORT MAP ( cin => wire_gnd, dataa => exp_adj_p1, datab => wire_exp_adj_adder_datab, result => wire_exp_adj_adder_result ); wire_exp_bias_subtr_dataa <= ( "0" & exp_bias_p3); wire_exp_bias_subtr_datab <= ( bias(9 DOWNTO 0)); exp_bias_subtr : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "SUB", LPM_PIPELINE => 0, LPM_REPRESENTATION => "UNSIGNED", LPM_WIDTH => 10 ) PORT MAP ( dataa => wire_exp_bias_subtr_dataa, datab => wire_exp_bias_subtr_datab, result => wire_exp_bias_subtr_result ); wire_man_round_adder_dataa <= ( "0" & man_round_p1); wire_man_round_adder_datab <= ( "000000000000000000000000" & man_round_carry); man_round_adder : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 0, LPM_WIDTH => 25 ) PORT MAP ( dataa => wire_man_round_adder_dataa, datab => wire_man_round_adder_datab, result => wire_man_round_adder_result ); loop12 : FOR i IN 0 TO 24 GENERATE wire_man_product2_mult_w_lg_w_result_range302w303w(i) <= wire_man_product2_mult_w_result_range302w(i) AND wire_man_product2_mult_w_lg_w_result_range298w301w(0); END GENERATE loop12; loop13 : FOR i IN 0 TO 24 GENERATE wire_man_product2_mult_w_lg_w_result_range299w300w(i) <= wire_man_product2_mult_w_result_range299w(i) AND wire_man_product2_mult_w_result_range298w(0); END GENERATE loop13; wire_man_product2_mult_w_lg_w_result_range298w373w(0) <= wire_man_product2_mult_w_result_range298w(0) AND wire_man_product2_mult_w_result_range371w(0); wire_man_product2_mult_w_lg_w_result_range298w301w(0) <= NOT wire_man_product2_mult_w_result_range298w(0); wire_man_product2_mult_dataa <= ( "1" & dataa(22 DOWNTO 0)); wire_man_product2_mult_datab <= ( "1" & datab(22 DOWNTO 0)); wire_man_product2_mult_w_result_range335w(0) <= wire_man_product2_mult_result(10); wire_man_product2_mult_w_result_range338w(0) <= wire_man_product2_mult_result(11); wire_man_product2_mult_w_result_range341w(0) <= wire_man_product2_mult_result(12); wire_man_product2_mult_w_result_range344w(0) <= wire_man_product2_mult_result(13); wire_man_product2_mult_w_result_range347w(0) <= wire_man_product2_mult_result(14); wire_man_product2_mult_w_result_range350w(0) <= wire_man_product2_mult_result(15); wire_man_product2_mult_w_result_range353w(0) <= wire_man_product2_mult_result(16); wire_man_product2_mult_w_result_range356w(0) <= wire_man_product2_mult_result(17); wire_man_product2_mult_w_result_range359w(0) <= wire_man_product2_mult_result(18); wire_man_product2_mult_w_result_range362w(0) <= wire_man_product2_mult_result(19); wire_man_product2_mult_w_result_range308w(0) <= wire_man_product2_mult_result(1); wire_man_product2_mult_w_result_range365w(0) <= wire_man_product2_mult_result(20); wire_man_product2_mult_w_result_range368w(0) <= wire_man_product2_mult_result(21); wire_man_product2_mult_w_result_range371w(0) <= wire_man_product2_mult_result(22); wire_man_product2_mult_w_result_range311w(0) <= wire_man_product2_mult_result(2); wire_man_product2_mult_w_result_range314w(0) <= wire_man_product2_mult_result(3); wire_man_product2_mult_w_result_range302w <= wire_man_product2_mult_result(46 DOWNTO 22); wire_man_product2_mult_w_result_range299w <= wire_man_product2_mult_result(47 DOWNTO 23); wire_man_product2_mult_w_result_range298w(0) <= wire_man_product2_mult_result(47); wire_man_product2_mult_w_result_range317w(0) <= wire_man_product2_mult_result(4); wire_man_product2_mult_w_result_range320w(0) <= wire_man_product2_mult_result(5); wire_man_product2_mult_w_result_range323w(0) <= wire_man_product2_mult_result(6); wire_man_product2_mult_w_result_range326w(0) <= wire_man_product2_mult_result(7); wire_man_product2_mult_w_result_range329w(0) <= wire_man_product2_mult_result(8); wire_man_product2_mult_w_result_range332w(0) <= wire_man_product2_mult_result(9); man_product2_mult : lpm_mult GENERIC MAP ( LPM_PIPELINE => 5, LPM_REPRESENTATION => "UNSIGNED", LPM_WIDTHA => 24, LPM_WIDTHB => 24, LPM_WIDTHP => 48, LPM_WIDTHS => 1, lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES" ) PORT MAP ( aclr => aclr, clken => clk_en, clock => clock, dataa => wire_man_product2_mult_dataa, datab => wire_man_product2_mult_datab, result => wire_man_product2_mult_result ); END RTL; --kn_kalman_mult_altfp_mult_oon --VALID FILE LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY kn_kalman_mult IS PORT ( clock : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END kn_kalman_mult; ARCHITECTURE RTL OF kn_kalman_mult IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); COMPONENT kn_kalman_mult_altfp_mult_oon PORT ( clock : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; BEGIN result <= sub_wire0(31 DOWNTO 0); kn_kalman_mult_altfp_mult_oon_component : kn_kalman_mult_altfp_mult_oon PORT MAP ( clock => clock, dataa => dataa, datab => datab, result => sub_wire0 ); END RTL; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: PRIVATE: FPM_FORMAT STRING "Single" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: DEDICATED_MULTIPLIER_CIRCUITRY STRING "YES" -- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO" -- Retrieval info: CONSTANT: EXCEPTION_HANDLING STRING "NO" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "UNUSED" -- Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altfp_mult" -- Retrieval info: CONSTANT: PIPELINE NUMERIC "11" -- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO" -- Retrieval info: CONSTANT: ROUNDING STRING "TO_NEAREST" -- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" -- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 -- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" -- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 -- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]" -- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult.vhd TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult.qip TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult.bsf TRUE TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult_inst.vhd TRUE TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult.inc FALSE TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_mult.cmp TRUE TRUE -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX NUMERIC "1" -- Retrieval info: LIB_FILE: lpm
<reponame>edoomm/arquitectura ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 04/21/2021 02:17:01 PM -- Design Name: -- Module Name: TB_ARCH_REGISTROS - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY STD; USE STD.TEXTIO.ALL; USE ieee.std_logic_TEXTIO.ALL; --PERMITE USAR STD_LOGIC USE ieee.std_logic_1164.ALL; USE ieee.std_logic_UNSIGNED.ALL; USE ieee.std_logic_ARITH.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TB_ARCH_REGISTROS IS END TB_ARCH_REGISTROS; architecture behavior of TB_ARCH_REGISTROS is -- Component Declaration for the Unit Under Test (UUT) COMPONENT fileReg PORT( writeReg : in STD_LOGIC_VECTOR (3 downto 0); writeData : in STD_LOGIC_VECTOR (15 downto 0); readReg1 : in STD_LOGIC_VECTOR (3 downto 0); readReg2 : in STD_LOGIC_VECTOR (3 downto 0); shamt : in STD_LOGIC_VECTOR (3 downto 0); clk, clr, wr, she, dir : in STD_LOGIC; readData1 : out STD_LOGIC_VECTOR (15 downto 0); readData2 : out STD_LOGIC_VECTOR (15 downto 0) ); END COMPONENT; -- Inputs signal writeReg : std_logic_vector(3 downto 0) := (others => '0'); signal writeData : std_logic_vector(15 downto 0) := (others => '0'); signal readReg1 : std_logic_vector(3 downto 0) := (others => '0'); signal readReg2 : std_logic_vector(3 downto 0) := (others => '0'); signal shamt : std_logic_vector(3 downto 0) := (others => '0'); signal clk : std_logic := '0'; signal clr : std_logic := '0'; signal wr : std_logic := '0'; signal she : std_logic := '0'; signal dir : std_logic := '0'; -- Outputs signal readData1 : STD_LOGIC_VECTOR (15 downto 0); signal readData2 : STD_LOGIC_VECTOR (15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; begin -- Instantiate the Unit Under Test (UUT) uut: fileReg PORT MAP ( writeReg => writeReg, writeData => writeData, readReg1 => readReg1, readReg2 => readReg2, shamt => shamt, clk => clk, clr => clr, wr => wr, she => she, dir => dir, readData1 => readData1, readData2 => readData2 ); -- Clock process definitions CLK_process :process begin clk <= '0'; wait for CLK_period/2; clk <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process file ARCH_RES : TEXT; variable LINEA_RES : line; VARIABLE VAR_readData1 : STD_LOGIC_VECTOR (15 downto 0); VARIABLE VAR_readData2 : STD_LOGIC_VECTOR (15 downto 0); file ARCH_VEC : TEXT; variable LINEA_VEC : line; VARIABLE VAR_writeReg : std_logic_vector(3 downto 0); VARIABLE VAR_writeData : std_logic_vector(15 downto 0); VARIABLE VAR_readReg1 : std_logic_vector(3 downto 0); VARIABLE VAR_readReg2 : std_logic_vector(3 downto 0); VARIABLE VAR_shamt : std_logic_vector(3 downto 0); VARIABLE VAR_clr : std_logic; VARIABLE VAR_wr : std_logic; VARIABLE VAR_she : std_logic; VARIABLE VAR_dir : std_logic; VARIABLE CADENA : STRING(1 TO 4); -- !!!AC�? CHANCE SE TIENE QUE CAMBIAR A (1 TO 5) PARA PODER ESCRIBIR "SHAMT" begin file_open(ARCH_VEC, "D:\Documents\PracticasArqui\arquitectura\P5\Archivo\VECTORES.TXT", READ_MODE); -- !!!AC�? IGUAL PIENSO QUE SE LE DEBE CAMBIAR POR LA RUTA DE NUESTRAS COMPUTADORAS DONDE TENEMOS ESOS ARCHIVOS DE TEXTO file_open(ARCH_RES, "D:\Documents\PracticasArqui\arquitectura\P5\Archivo\RESULTADO.TXT", WRITE_MODE); -- !!!AC�? IGUAL PIENSO QUE SE LE DEBE CAMBIAR POR LA RUTA DE NUESTRAS COMPUTADORAS DONDE TENEMOS ESOS ARCHIVOS DE TEXTO CADENA := "RR1 "; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA "RR1" CADENA := " RR2"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " RR2" CADENA := " SHA"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " SHAM" CADENA := " WRG"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " WREG" CADENA := " WD"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " WD" CADENA := " WR"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " WR" CADENA := " SHE"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " SHE" CADENA := " DIR"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " DIR" CADENA := " RD1"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " RD1" CADENA := " RD2"; write(LINEA_RES, CADENA, right, CADENA'LENGTH+1); --ESCRIBE LA CADENA " RD2" writeline(ARCH_RES,LINEA_RES);-- escribe la linea en el archivo WAIT FOR 100 NS; FOR I IN 0 TO 11 LOOP readline(ARCH_VEC,LINEA_VEC); -- lee una linea completa -- Hread es pa leer hexadecimales Hread(LINEA_VEC, VAR_readReg1); readReg1 <= VAR_readReg1; Hread(LINEA_VEC, VAR_readReg2); readReg2 <= VAR_readReg2; Hread(LINEA_VEC, VAR_shamt); shamt <= VAR_shamt; Hread(LINEA_VEC, VAR_writeReg); writeReg <= VAR_writeReg; Hread(LINEA_VEC, VAR_writeData); writeData <= VAR_writeData; read(LINEA_VEC, VAR_wr); wr <= VAR_wr; read(LINEA_VEC, VAR_she); she <= VAR_she; read(LINEA_VEC, VAR_dir); dir <= VAR_dir; read(LINEA_VEC, VAR_clr); -- !!! En el archivo VECTORES.txt la última columna debe ser 'clr' clr <= VAR_clr; WAIT UNTIL RISING_EDGE(CLK); --ESPERO AL FLANCO DE SUBIDA VAR_readData1 := readData1; VAR_readData2 := readData2; Hwrite(LINEA_RES, VAR_readReg1, right, 5); --ESCRIBE EL CAMPO RR1 Hwrite(LINEA_RES, VAR_readReg2, right, 5); --ESCRIBE EL CAMPO RR2 Hwrite(LINEA_RES, VAR_shamt, right, 5); --ESCRIBE EL CAMPO SHAM Hwrite(LINEA_RES, VAR_writeReg, right, 5); --ESCRIBE EL CAMPO WREG Hwrite(LINEA_RES, VAR_writeData, right, 5); --ESCRIBE EL CAMPO WD write(LINEA_RES, VAR_wr, right, 5); --ESCRIBE EL CAMPO WR write(LINEA_RES, VAR_she, right, 5); --ESCRIBE EL CAMPO SHE write(LINEA_RES, VAR_dir, right, 5); --ESCRIBE EL CAMPO DIR Hwrite(LINEA_RES, VAR_readData1, right, 5); --ESCRIBE EL CAMPO RD1 Hwrite(LINEA_RES, VAR_readData2, right, 5); --ESCRIBE EL CAMPO RD2 writeline(ARCH_RES,LINEA_RES);-- escribe la linea en el archivo end loop; file_close(ARCH_VEC); -- cierra el archivo file_close(ARCH_RES); -- cierra el archivo wait; end process; end;
<reponame>artic92/digital_systems -------------------------------------------------------------------------------- -- Company: -- Engineer: <NAME> -- -- Create Date: 18:20:44 11/06/2015 -- Design Name: -- Module Name: tb_flip_flop_d_behavioral.vhd -- Project Name: latch_flip_flop_d -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: flip_flop_d_behavioral -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY tb_flip_flop_d_behavioral IS END tb_flip_flop_d_behavioral; ARCHITECTURE behavior OF tb_flip_flop_d_behavioral IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT flip_flop_d_behavioral PORT( data_in : IN std_logic; reset_n : IN std_logic; clock : IN std_logic; data_out : OUT std_logic ); END COMPONENT; --Inputs signal data_in : std_logic := '0'; signal reset_n : std_logic := '0'; signal clock : std_logic := '0'; --Outputs signal data_out : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: flip_flop_d_behavioral PORT MAP ( data_in => data_in, reset_n => reset_n, clock => clock, data_out => data_out ); -- Clock process definitions clk_process :process begin clock <= '0'; wait for clk_period/2; clock <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 50 ns. wait for 50 ns; -- insert stimulus here -- Output 0 data_in <= '1'; reset_n <= '0'; wait for 20 ns; -- Output 1 reset_n <= '1'; wait for 20 ns; -- Output 0 data_in <= '0'; wait for 20 ns; wait; end process; END;
<filename>bitvis_vip_axilite/tb/maintenance_tb/axilite_vvc_simple_tb.vhd --================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_axilite; context bitvis_vip_axilite.vvc_context; --hdlunit:tb -- Test case entity entity axilite_vvc_simple_tb is generic ( GC_TESTCASE : string := "UVVM" ); end entity; -- Test case architecture architecture func of axilite_vvc_simple_tb is constant C_CLK_PERIOD : time := 10 ns; constant C_ADDR_WIDTH_1 : natural := 32; constant C_DATA_WIDTH_1 : natural := 32; constant C_ADDR_WIDTH_2 : natural := 32; constant C_DATA_WIDTH_2 : natural := 64; signal clk : std_logic := '0'; signal areset : std_logic := '0'; signal clock_ena : boolean := false; -- signals -- The axilite interface is gathered in one record, so procedures that use the -- axilite interface have less arguments signal axilite_if_1 : t_axilite_if( write_address_channel( awaddr( C_ADDR_WIDTH_1 -1 downto 0)), write_data_channel( wdata( C_DATA_WIDTH_1 -1 downto 0), wstrb(( C_DATA_WIDTH_1/8) -1 downto 0)), read_address_channel( araddr( C_ADDR_WIDTH_1 -1 downto 0)), read_data_channel( rdata( C_DATA_WIDTH_1 -1 downto 0))); signal axilite_if_2 : t_axilite_if( write_address_channel( awaddr( C_ADDR_WIDTH_2 -1 downto 0)), write_data_channel( wdata( C_DATA_WIDTH_2 -1 downto 0), wstrb(( C_DATA_WIDTH_2/8) -1 downto 0)), read_address_channel( araddr( C_ADDR_WIDTH_2 -1 downto 0)), read_data_channel( rdata( C_DATA_WIDTH_2 -1 downto 0))); signal read_data_interface_1 : std_logic_vector(C_DATA_WIDTH_1-1 downto 0); signal read_data_interface_2 : std_logic_vector(C_DATA_WIDTH_2-1 downto 0); begin ----------------------------- -- Instantiate Testharness ----------------------------- i_axilite_test_harness : entity bitvis_vip_axilite.test_harness(struct_vvc) generic map( C_DATA_WIDTH_1 => C_DATA_WIDTH_1, C_ADDR_WIDTH_1 => C_ADDR_WIDTH_1, C_DATA_WIDTH_2 => C_DATA_WIDTH_2, C_ADDR_WIDTH_2 => C_ADDR_WIDTH_2 ) port map( clk => clk, areset => areset, axilite_if_1 => axilite_if_1, axilite_if_2 => axilite_if_2 ); i_ti_uvvm_engine : entity uvvm_vvc_framework.ti_uvvm_engine; -- Set up clock generator p_clock: clock_generator(clk, clock_ena, C_CLK_PERIOD, "Axilite CLK"); ------------------------------------------------ -- PROCESS: p_main ------------------------------------------------ p_main: process constant C_SCOPE : string := C_TB_SCOPE_DEFAULT; variable v_irq_mask : std_logic_vector(7 downto 0); variable v_irq_mask_inv : std_logic_vector(7 downto 0); variable i : integer; variable v_timestamp : time; variable v_measured_time : time; variable v_cmd_idx : natural; variable v_is_ok : boolean; variable v_data : work.vvc_cmd_pkg.t_vvc_result; begin -- To avoid that log files from different test cases (run in separate -- simulations) overwrite each other. set_log_file_name(GC_TESTCASE & "_Log.txt"); set_alert_file_name(GC_TESTCASE & "_Alert.txt"); await_uvvm_initialization(VOID); -- Print the configuration to the log report_global_ctrl(VOID); report_msg_id_panel(VOID); disable_log_msg(ALL_MESSAGES); enable_log_msg(ID_LOG_HDR); enable_log_msg(ID_SEQUENCER); disable_log_msg(AXILITE_VVCT,1, ALL_MESSAGES); disable_log_msg(AXILITE_VVCT,2, ALL_MESSAGES); enable_log_msg(AXILITE_VVCT, 1, ID_BFM); enable_log_msg(AXILITE_VVCT, 2, ID_BFM); enable_log_msg(AXILITE_VVCT, 1, ID_IMMEDIATE_CMD); enable_log_msg(AXILITE_VVCT, 2, ID_IMMEDIATE_CMD); shared_axilite_vvc_config(1).bfm_config.clock_period := C_CLK_PERIOD; shared_axilite_vvc_config(2).bfm_config.clock_period := C_CLK_PERIOD; log(ID_LOG_HDR, "Start Simulation of AXI-Lite", C_SCOPE); ------------------------------------------------------------ clock_ena <= true; -- the axilite_reset routine assumes the clock is running gen_pulse(areset, 10*C_CLK_PERIOD, "Pulsing reset for 10 clock periods"); log("Do some axilite writes", C_SCOPE); -- write some data; the current axislave isn't very implemented - doesn't -- have FIFO, and just has one RW slave register at addr_valueess 0x6000 -- Write to VVC 1 axilite_write(AXILITE_VVCT,1, x"0000", x"5555", "Test of axilite write"); axilite_write(AXILITE_VVCT,1, x"1000", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,1, x"2000", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,1, x"3000", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,1, x"6000", x"54321","Write"); -- rw reg await_completion(AXILITE_VVCT, 1, 1000 ns); -- Read from VVC 1 axilite_read(AXILITE_VVCT, 1, x"3000", ""); -- just do a read axilite_read(AXILITE_VVCT, 1, x"6000", ""); -- do another read - should see this data -- verify read data on interface 1 v_cmd_idx := get_last_received_cmd_idx(AXILITE_VVCT, 1); await_completion(AXILITE_VVCT, 1, 1 us, "waiting for axilite_read() to finish"); fetch_result(AXILITE_VVCT, 1, v_cmd_idx, v_data, v_is_ok, "Fetching read-result."); check_value(v_is_ok, ERROR, "Readback OK via fetch_result()"); check_value(v_data(C_DATA_WIDTH_1-1 downto 0), x"54321", error, "verifying read data on interface 1."); -- Write to VVC 2 axilite_write(AXILITE_VVCT,2, x"0000", x"5555", to_string("Test of axilite write")); axilite_write(AXILITE_VVCT,2, x"0010", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,2, x"0020", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,2, x"0030", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,2, x"0040", x"54321","Write"); -- op3 axilite_write(AXILITE_VVCT,2, x"0060", x"f00b0","Write"); -- rw reg await_completion(AXILITE_VVCT, 2, 1000 ns); -- Read from VVC 2 axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- just do a read axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- do another read axilite_read(AXILITE_VVCT, 2, x"0060", ""); -- do another read - should see this data -- verify read data on interface 2 v_cmd_idx := get_last_received_cmd_idx(AXILITE_VVCT, 2); await_completion(AXILITE_VVCT, 2, 1 us, "waiting for axilite_read() to finish"); fetch_result(AXILITE_VVCT, 2, v_cmd_idx, v_data, v_is_ok, "Fetching read-result."); check_value(v_is_ok, ERROR, "Readback OK via fetch_result()"); check_value(v_data(C_DATA_WIDTH_2-1 downto 0), x"f00b0", error, "verifying read data on interface 2."); -- check that is was correctly written on VVC 1 axilite_check(AXILITE_VVCT,1, x"0006000", x"54321","Check"); axilite_write(AXILITE_VVCT,1, x"0006000", x"abba1972","Write"); await_completion(AXILITE_VVCT, 1, 1000 ns); axilite_check(AXILITE_VVCT,1, x"0006000", x"abba1972","Check"); -- check that is was correctly written on VVC 2 axilite_check(AXILITE_VVCT,2, x"0000", x"5555","Check"); axilite_check(AXILITE_VVCT,2, x"0010", x"befbeef","Check"); axilite_check(AXILITE_VVCT,2, x"0020", x"efbeef","Check"); axilite_check(AXILITE_VVCT,2, x"0030", x"beef","Check"); axilite_check(AXILITE_VVCT,2, x"0040", x"54321","Check"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_write(AXILITE_VVCT,2, x"0000040", x"abba1972","Write"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_check(AXILITE_VVCT,2, x"0000040", x"abba1972","Check"); -- Await completion on both VVCs await_completion(AXILITE_VVCT, 1, 1000 ns); await_completion(AXILITE_VVCT, 2, 1000 ns); log(ID_LOG_HDR, "Test scoreboard", C_SCOPE); -- Write to VVC 1 axilite_write(AXILITE_VVCT,1, x"0000", x"5555", "Test of axilite write"); axilite_write(AXILITE_VVCT,1, x"1000", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,1, x"2000", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,1, x"3000", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,1, x"6000", x"54321","Write"); -- rw reg AXILITE_VVC_SB.add_expected(1, pad_axilite_sb(x"54321")); await_completion(AXILITE_VVCT, 1, 1000 ns); -- Read from VVC 1 axilite_read(AXILITE_VVCT, 1, x"3000", ""); -- just do a read axilite_read(AXILITE_VVCT, 1, x"6000", TO_SB, "Read data and send to SB"); -- do another read - should see this data await_completion(AXILITE_VVCT, 1, 1000 ns); -- Write to VVC 2 axilite_write(AXILITE_VVCT,2, x"0000", x"5555", to_string("Test of axilite write")); axilite_write(AXILITE_VVCT,2, x"0010", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,2, x"0020", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,2, x"0030", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,2, x"0040", x"54321","Write"); -- op3 axilite_write(AXILITE_VVCT,2, x"0060", x"f00b0","Write"); -- rw reg AXILITE_VVC_SB.add_expected(2, pad_axilite_sb(x"f00b0")); -- Read from VVC 2 axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- just do a read axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- do another read axilite_read(AXILITE_VVCT, 2, x"0060", TO_SB, "Read data and send to SB"); -- do another read - should see this data await_completion(AXILITE_VVCT, 2, 1000 ns); AXILITE_VVC_SB.report_counters(ALL_INSTANCES); log(ID_LOG_HDR, "Test of timeout of check", C_SCOPE); -- verify that a warning arises if the data is not what is expected increment_expected_alerts(WARNING, 1); axilite_check(AXILITE_VVCT,1, x"0006000", x"00000000", "Write", WARNING); await_completion(AXILITE_VVCT, 1, 1000 ns); -- verify that a warning arises if the data is not what is expected increment_expected_alerts(WARNING, 1); axilite_check(AXILITE_VVCT,2, x"0000040", x"00000000", "Check", WARNING); await_completion(AXILITE_VVCT, 2, 1000 ns); log(ID_LOG_HDR, "Test with byte enable", C_SCOPE); axilite_write(AXILITE_VVCT,1, x"0006000", x"0","Clearing register"); axilite_write(AXILITE_VVCT,1, x"0006000", x"dada1960", std_logic_vector'("0011"), "Write to only byte 0 and 1"); await_completion(AXILITE_VVCT, 1, 1000 ns); axilite_check(AXILITE_VVCT,1, x"0006000", x"00001960","Checking that only byte 0 and 1 were set"); axilite_write(AXILITE_VVCT,1, x"0006000", x"0","Clearing register"); axilite_write(AXILITE_VVCT,1, x"0006000", x"dada1960", std_logic_vector'("1100"), "Write to only byte 2 and 3"); await_completion(AXILITE_VVCT, 1, 1000 ns); axilite_check(AXILITE_VVCT,1, x"0006000", x"dada0000","Checking that only byte 2 and 3 were set"); axilite_write(AXILITE_VVCT,2, x"0000040", x"0","Clearing register"); axilite_write(AXILITE_VVCT,2, x"0000040", x"abba1972", std_logic_vector'("00000011"), "Write to only byte 0 and 1"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_check(AXILITE_VVCT,2, x"0000040", x"00001972","Checking that only byte 0 and 1 were set"); axilite_write(AXILITE_VVCT,2, x"0000040", x"0","Clearing register"); axilite_write(AXILITE_VVCT,2, x"0000040", x"abba1972", std_logic_vector'("00001100"), "Write to only byte 2 and 3"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_check(AXILITE_VVCT,2, x"0000040", x"abba0000","Checking that only byte 2 and 3 were set"); -- Await completion on both VVCs await_completion(AXILITE_VVCT, 1, 1000 ns); await_completion(AXILITE_VVCT, 2, 1000 ns); log(ID_LOG_HDR, "Testing inter-bfm delay", C_SCOPE); log("\rChecking TIME_START2START", C_SCOPE); wait for C_CLK_PERIOD * 51; wait until rising_edge(clk); shared_axilite_vvc_config(1).inter_bfm_delay.delay_type := TIME_START2START; shared_axilite_vvc_config(1).inter_bfm_delay.delay_in_time := C_CLK_PERIOD * 50; axilite_write(AXILITE_VVCT,1, x"0000", x"1111", "First inter-bfm delay axilite write"); await_completion(AXILITE_VVCT, 1, (56 * C_CLK_PERIOD)); v_timestamp := now; axilite_write(AXILITE_VVCT,1, x"0000", x"a1a1", "Second inter-bfm delay axilite write"); await_completion(AXILITE_VVCT, 1, (56 * C_CLK_PERIOD)); check_value(now - v_timestamp, C_CLK_PERIOD*50, ERROR, "Checking that inter-bfm delay was upheld"); log("\rChecking that insert_delay does not affect inter-BFM delay", C_SCOPE); wait for C_CLK_PERIOD * 51; wait until rising_edge(clk); axilite_write(AXILITE_VVCT,1, x"0000", x"ffff", "Third inter-bfm delay axilite write"); await_completion(AXILITE_VVCT, 1, (56 * C_CLK_PERIOD)); v_timestamp := now; insert_delay(AXILITE_VVCT,1, C_CLK_PERIOD); insert_delay(AXILITE_VVCT,1, C_CLK_PERIOD); insert_delay(AXILITE_VVCT,1, C_CLK_PERIOD); insert_delay(AXILITE_VVCT,1, C_CLK_PERIOD); axilite_write(AXILITE_VVCT,1, x"0000", x"abcd", "Fourth inter-bfm delay axilite write"); await_completion(AXILITE_VVCT, 1, (56 * C_CLK_PERIOD)); check_value(now - v_timestamp, C_CLK_PERIOD*54, ERROR, "Checking that inter-bfm delay was upheld"); log("\rChecking TIME_START2START and provoking inter-bfm delay violation", C_SCOPE); wait for C_CLK_PERIOD * 10; shared_axilite_vvc_config(1).inter_bfm_delay.inter_bfm_delay_violation_severity := TB_WARNING; shared_axilite_vvc_config(1).inter_bfm_delay.delay_type := TIME_START2START; shared_axilite_vvc_config(1).inter_bfm_delay.delay_in_time := C_CLK_PERIOD; axilite_write(AXILITE_VVCT,1, x"0000", x"0001", "First inter-bfm delay axilite write"); axilite_write(AXILITE_VVCT,1, x"0000", x"1000", "Second inter-bfm delay axilite write"); await_completion(AXILITE_VVCT, 1, 111 * C_CLK_PERIOD); log("Setting delay back to initial value", C_SCOPE); shared_axilite_vvc_config(1).inter_bfm_delay.delay_type := NO_DELAY; shared_axilite_vvc_config(1).inter_bfm_delay.delay_in_time := 0 ns; shared_axilite_vvc_config(1).bfm_config.bfm_sync := SYNC_WITH_SETUP_AND_HOLD; shared_axilite_vvc_config(2).bfm_config.bfm_sync := SYNC_WITH_SETUP_AND_HOLD; shared_axilite_vvc_config(1).bfm_config.setup_time := 2 ns; shared_axilite_vvc_config(2).bfm_config.setup_time := 2 ns; shared_axilite_vvc_config(1).bfm_config.hold_time := 3 ns; shared_axilite_vvc_config(2).bfm_config.hold_time := 3 ns; log(ID_LOG_HDR, "Simulation of AXI-Lite with bfm_sync = SYNC_WITH_SETUP_AND_HOLD, setup_time = 2 ns and hold_time = 3 ns;", C_SCOPE); ------------------------------------------------------------ -- Write to VVC 1 axilite_write(AXILITE_VVCT,1, x"0000", x"5555", "Test of axilite write"); axilite_write(AXILITE_VVCT,1, x"1000", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,1, x"2000", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,1, x"3000", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,1, x"6000", x"54321","Write"); -- rw reg await_completion(AXILITE_VVCT, 1, 1000 ns); -- Read from VVC 1 axilite_read(AXILITE_VVCT, 1, x"3000", ""); -- just do a read axilite_read(AXILITE_VVCT, 1, x"6000", ""); -- do another read - should see this data -- verify read data on interface 1 v_cmd_idx := get_last_received_cmd_idx(AXILITE_VVCT, 1); await_completion(AXILITE_VVCT, 1, 1 us, "waiting for axilite_read() to finish"); fetch_result(AXILITE_VVCT, 1, v_cmd_idx, v_data, v_is_ok, "Fetching read-result."); check_value(v_is_ok, ERROR, "Readback OK via fetch_result()"); check_value(v_data(C_DATA_WIDTH_1-1 downto 0), x"54321", error, "verifying read data on interface 1."); -- Write to VVC 2 axilite_write(AXILITE_VVCT,2, x"0000", x"5555", to_string("Test of axilite write")); axilite_write(AXILITE_VVCT,2, x"0010", x"befbeef","Write"); -- op0 axilite_write(AXILITE_VVCT,2, x"0020", x"efbeef","Write"); -- op1 axilite_write(AXILITE_VVCT,2, x"0030", x"beef","Write"); -- op2 axilite_write(AXILITE_VVCT,2, x"0040", x"54321","Write"); -- op3 axilite_write(AXILITE_VVCT,2, x"0060", x"f00b0","Write"); -- rw reg await_completion(AXILITE_VVCT, 2, 1000 ns); -- Read from VVC 2 axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- just do a read axilite_read(AXILITE_VVCT, 2, x"0040", ""); -- do another read axilite_read(AXILITE_VVCT, 2, x"0060", ""); -- do another read - should see this data -- verify read data on interface 2 v_cmd_idx := get_last_received_cmd_idx(AXILITE_VVCT, 2); await_completion(AXILITE_VVCT, 2, 1 us, "waiting for axilite_read() to finish"); fetch_result(AXILITE_VVCT, 2, v_cmd_idx, v_data, v_is_ok, "Fetching read-result."); check_value(v_is_ok, ERROR, "Readback OK via fetch_result()"); check_value(v_data(C_DATA_WIDTH_2-1 downto 0), x"f00b0", error, "verifying read data on interface 2."); -- check that is was correctly written on VVC 1 axilite_check(AXILITE_VVCT,1, x"0006000", x"54321","Check"); axilite_write(AXILITE_VVCT,1, x"0006000", x"abba1972","Write"); await_completion(AXILITE_VVCT, 1, 1000 ns); axilite_check(AXILITE_VVCT,1, x"0006000", x"abba1972","Check"); -- check that is was correctly written on VVC 2 axilite_check(AXILITE_VVCT,2, x"0000", x"5555","Check"); axilite_check(AXILITE_VVCT,2, x"0010", x"befbeef","Check"); axilite_check(AXILITE_VVCT,2, x"0020", x"efbeef","Check"); axilite_check(AXILITE_VVCT,2, x"0030", x"beef","Check"); axilite_check(AXILITE_VVCT,2, x"0040", x"54321","Check"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_write(AXILITE_VVCT,2, x"0000040", x"abba1972","Write"); await_completion(AXILITE_VVCT, 2, 1000 ns); axilite_check(AXILITE_VVCT,2, x"0000040", x"abba1972","Check"); -- Await completion on both VVCs await_completion(AXILITE_VVCT, 1, 1000 ns); await_completion(AXILITE_VVCT, 2, 1000 ns); log(ID_LOG_HDR, "Test of timeout of check", C_SCOPE); -- verify that a warning arises if the data is not what is expected increment_expected_alerts(WARNING, 1); axilite_check(AXILITE_VVCT,1, x"0006000", x"00000000", "Write", WARNING); await_completion(AXILITE_VVCT, 1, 1000 ns); -- verify that a warning arises if the data is not what is expected increment_expected_alerts(WARNING, 1); axilite_check(AXILITE_VVCT,2, x"0000040", x"00000000", "Check", WARNING); await_completion(AXILITE_VVCT, 2, 1000 ns); -------------------------------------------------------------------------------------------------------------------- -- Testing to force single pending transactions -------------------------------------------------------------------------------------------------------------------- log(ID_LOG_HDR, "Testing to force single pending transactions"); -- First we measure the time it takes to perform a read and write simultaneously v_timestamp := now; axilite_write(AXILITE_VVCT, 2, x"0000", x"5555", "Test of axilite write"); axilite_read(AXILITE_VVCT, 2, x"0040", "Test of axilite read"); await_completion(AXILITE_VVCT, 2, 100 us, "Waiting for commands to finish"); v_measured_time := now - v_timestamp; -- Then, we turn on the force_single_penging_transaction setting, and see that it takes about twice as long shared_axilite_vvc_config(2).force_single_pending_transaction := true; v_timestamp := now; axilite_write(AXILITE_VVCT, 2, x"0000", x"5555", "Test of axilite write"); axilite_read(AXILITE_VVCT, 2, x"0040", "Test of axilite read"); await_completion(AXILITE_VVCT, 2, 100 us, "Waiting for commands to finish"); -- Checking that it takes twice as long (+- 20 %) check_value_in_range(now - v_timestamp, v_measured_time*1.8, v_measured_time*2.2, ERROR, "Checking that it takes longer time to force a single pending transaction"); ----------------------------------------------------------------------------- -- Ending the simulation ----------------------------------------------------------------------------- wait for 100 ns; -- to allow some time for completion report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail) log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE); -- Finish the simulation std.env.stop; wait; -- to stop completely end process p_main; end func;
<reponame>HaraldBlab/vhdl-projects<filename>ring_of_fire/src/reset.vhd library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity reset is port ( clk : in std_logic; rst_n : in std_logic; -- Pullup rst : out std_logic ); end reset; architecture rtl of reset is signal sreg : std_logic_vector(3 downto 0); begin SREG_PROC : process(clk) begin if rising_edge(clk) then sreg <= sreg(sreg'high - 1 downto 0) & rst_n; end if; end process; RESET_PROC : process(sreg) constant all_ones : std_logic_vector(sreg'range) := (others => '1'); begin if sreg = all_ones then rst <= '0'; else rst <= '1'; end if; end process; end architecture;
<reponame>ShruKin/Computer-Organization-and-Architecture-Software-Lab<gh_stars>0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY encoder42_df IS PORT ( Y3, Y2, Y1, Y0 : IN BIT; A1, A0 : OUT BIT ); END encoder42_df; ARCHITECTURE dataflow OF encoder42_df IS BEGIN A1 <= Y3 OR Y2; A0 <= Y1 OR Y3; END dataflow;
-- Code by www.jk-quantized.com -- Redistribution and use of this code in source and binary forms -- must retain the above attribution notice and this condition. library ieee; use ieee.std_logic_1164.all; entity mux2to1 is port ( d1, d0 : in std_logic; s : in std_logic; q : out std_logic ); end entity; architecture ac of mux2to1 is begin q <= ( s and d1 ) or ( ( not s ) and d0 ); end architecture; --
library ieee; use ieee.std_logic_1164.all; library proclib; use proclib.types.all; entity mux is port (SEL: in std_logic; BYTEIN_0, BYTEIN_1: in ByteT; BYTEOUT: out ByteT); end entity; architecture rtl of mux is begin BYTEOUT <= BYTEIN_1 when SEL = '1' else BYTEIN_0; end architecture;
<reponame>pfasante/high-speed_bcrypt ------------------------------------------------------------------------------- -- Title : password generation Topmodule -- Project : bcrypt bruteforce -- ---------------------------------------------------------------------------- -- File : pwd_gen.vhd -- Author : <NAME> <<EMAIL>> -- Company : Ruhr-University Bochum -- Created : 2014-04-09 -- Last update: 2014-04-19 -- Platform : Xilinx Toolchain -- Standard : VHDL'93/02/08 -- ---------------------------------------------------------------------------- -- Description: -- generates passwords for bcrypt cores -- ---------------------------------------------------------------------------- -- Copyright (c) 2011-2014 Ruhr-University Bochum -- ---------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-04-09 1.0 fwi Created -- 2014-04-19 1.01 fwi Changed to BRAM-password storage ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.pkg_bcrypt.all; use work.rzi_helper.all; entity pwd_gen is generic ( INIT : std_logic_vector (PWD_LENGTH*getBitSize(CHARSET_LEN+1)-1 downto 0) := const_slv(0,PWD_LENGTH*getBitSize(CHARSET_LEN+1)); LENGTH : integer := 1 ); port ( clk : in std_logic; rst : in std_logic; continue: in std_logic; -- generate next pwd done : out std_logic; -- indicates, that new pwd was generated weA : out std_logic; addrA : out std_logic_vector ( 4 downto 0); dinA : out std_logic_vector (31 downto 0); weB : out std_logic; addrB : out std_logic_vector ( 4 downto 0); dinB : out std_logic_vector (31 downto 0) ); end pwd_gen; architecture Behavioral of pwd_gen is -- --------------------------------------------------------------------- -- -- Types -- --------------------------------------------------------------------- -- type states_t is ( RESET, --DELAY_A, COUNTER_UPDATE, DELAY, LOAD_SHIFTREG, WRITE_TO_BRAM, IDLE ); type pwd_cnt_ary_t is array (integer range <>) of std_logic_vector(getBitSize(CHARSET_LEN+1)-1 downto 0); -- --------------------------------------------------------------------- -- -- Signals -- --------------------------------------------------------------------- -- -- FSM signal current_state: states_t; signal next_state : states_t; -- Signals for generating password byte counter states signal pwd_cnt_ceA : std_logic_vector(PWD_LENGTH-1 downto 0); signal pwd_cnt_srA : std_logic_vector(PWD_LENGTH-1 downto 0); signal pwd_cnt_srA_d: std_logic_vector(PWD_LENGTH-1 downto 0); signal pwd_cnt_srA_d_rst: std_logic; signal pwd_cnt_doutA: pwd_cnt_ary_t(PWD_LENGTH downto 0); signal pwd_cnt_ceB : std_logic_vector(PWD_LENGTH-1 downto 0); signal pwd_cnt_doutB: pwd_cnt_ary_t(PWD_LENGTH downto 0); signal snd_iter_ce : std_logic; signal snd_iter_sr : std_logic; signal second_iteration : std_logic; signal overflow : std_logic_vector(PWD_LENGTH-1 downto 0); signal prev_overflow: std_logic_vector(PWD_LENGTH-1 downto 0); signal is_actual_ce : std_logic; signal is_actual_sr : std_logic; signal is_actual_dout : std_logic_vector(PWD_LENGTH-1 downto 0); signal actual_len_cntA_sr : std_logic; signal actual_len_cntA_ce : std_logic; signal actual_len_cntA_dout : std_logic_vector(PWD_BITLEN-1 downto 0); signal actual_len_cntB_ce : std_logic; signal actual_len_cntB_dout : std_logic_vector(PWD_BITLEN-1 downto 0); -- Signals for generate password from counter state and output signal int2asc_dinA : std_logic_vector(CHARSET_BIT-1 downto 0); signal int2asc_doutA: std_logic_vector(7 downto 0); signal int2asc_dinB : std_logic_vector(CHARSET_BIT-1 downto 0); signal int2asc_doutB: std_logic_vector(7 downto 0); signal mux_cntA_ce : std_logic; signal mux_cntA_sr : std_logic; signal mux_cntA_dout : std_logic_vector(PWD_BITLEN-1 downto 0); signal mux_cntB_ce : std_logic; signal mux_cntB_sr : std_logic; signal mux_cntB_dout : std_logic_vector(PWD_BITLEN-1 downto 0); signal pwd_reg_ceA : std_logic; signal pwd_reg_srA : std_logic; signal pwd_reg_doutA: std_logic_vector(31 downto 0); signal pwd_reg_ceB : std_logic; signal pwd_reg_srB : std_logic; signal pwd_reg_doutB: std_logic_vector(31 downto 0); -- Counter for State-Machine Transitions signal updated_cnt_ce : std_logic; signal updated_cnt_sr : std_logic; signal updated_cnt_dout : std_logic_vector(PWD_BITLEN-1 downto 0); signal loaded_bytes_cnt_ce : std_logic; signal loaded_bytes_cnt_sr : std_logic; signal loaded_bytes_cnt_dout: std_logic_vector(1 downto 0); signal written_words_cnt_ce : std_logic; signal written_words_cnt_sr : std_logic; signal written_words_cnt_dout : std_logic_vector(4 downto 0); begin -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Logic for updating counter -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Instantiation counter for password-bytes -- --------------------------------------------------------------------- -- pwd_cnter : for i in 0 to PWD_LENGTH-1 generate pwd_byte_cntA : entity work.nBitCounter generic map ( BIT_WIDTH => getBitSize(CHARSET_LEN+1) ) port map ( clk => clk, ce => pwd_cnt_ceA(i), sr => pwd_cnt_srA(i), srinit => INIT(getBitSize(CHARSET_LEN+1)*(i+1)-1 downto getBitSize(CHARSET_LEN+1)*i), count_up => '1', dout => pwd_cnt_doutA(i+1) ); pwd_byte_cntB : entity work.nBitReg generic map ( BIT_WIDTH => getBitSize(CHARSET_LEN+1) ) port map ( clk => clk, ce => pwd_cnt_ceB(i), sr => '0', srinit => const_slv(0,getBitSize(CHARSET_LEN+1)), din => pwd_cnt_doutA(i+1), dout => pwd_cnt_doutB(i+1) ); overflow(i) <= '1' when pwd_cnt_doutA(i+1) = const_slv(CHARSET_LEN, getBitSize(CHARSET_LEN+1)) -- std_logic_vector( -- to_unsigned(CHARSET_LEN-1,CHARSET_BIT)) else '0'; end generate pwd_cnter; pwd_byte_rst_delay : entity work.nBitReg generic map ( BIT_WIDTH => PWD_LENGTH ) port map ( clk => clk, ce => '1', sr => pwd_cnt_srA_d_rst, srinit => const_slv(0, PWD_LENGTH), din => pwd_cnt_srA, dout => pwd_cnt_srA_d ); pwd_cnt_doutA(0)<= (others => '0'); pwd_cnt_doutB(0)<= (others => '0'); -- prev_overflow <= overflow(PWD_LENGTH-2 downto 0) & '1'; process(clk) begin if rising_edge(clk) then if rst = '1' then prev_overflow(PWD_LENGTH-1 downto 1) <= (others => '0'); else prev_overflow(PWD_LENGTH-1 downto 1) <= overflow(PWD_LENGTH-2 downto 0); end if; end if; end process; prev_overflow(0) <= is_actual_dout(0); snd_iter : entity work.dff generic map ( ASYNC => false ) port map ( clk => clk, ce => snd_iter_ce, sr => snd_iter_sr, srinit => '0', D => '1', Q => second_iteration ); -- --------------------------------------------------------------------- -- -- Instantiation is_actual shift register -- marks every active counter -- delayed register is used for snd count up -- and pwd_B load -- --------------------------------------------------------------------- -- is_actual_shiftreg : entity work.nxmBitShiftReg generic map ( N => PWD_LENGTH, M => 1 ) port map ( clk => clk, ce => is_actual_ce, sr => is_actual_sr, srinit => const_slv(1, PWD_LENGTH), opmode => "11", -- [Rot?, Left?] -- [rotate,left] din => "0", dout => open, dout_f => is_actual_dout ); -- --------------------------------------------------------------------- -- -- Instantiation counts the actual password length -- --------------------------------------------------------------------- -- actual_len_cntA : entity work.nBitCounter generic map ( BIT_WIDTH => PWD_BITLEN) port map ( clk => clk, ce => actual_len_cntA_ce, sr => actual_len_cntA_sr, srinit => const_slv(LENGTH,PWD_BITLEN), count_up => '1', dout => actual_len_cntA_dout ); actual_len_cntB : entity work.nBitReg generic map ( BIT_WIDTH => PWD_BITLEN) port map ( clk => clk, ce => actual_len_cntB_ce, sr => '0', srinit => const_slv(0,PWD_BITLEN), din => actual_len_cntA_dout, dout => actual_len_cntB_dout ); -- --------------------------------------------------------------------- -- -- Instantiation counts updated counter -- e.g. from 0 to PWD_LENGTH-1 -- --------------------------------------------------------------------- -- updated_cnt : entity work.nBitCounter generic map ( BIT_WIDTH => PWD_BITLEN) port map ( clk => clk, sr => updated_cnt_sr, ce => updated_cnt_ce, srinit => const_slv(0, PWD_BITLEN), count_up => '1', dout => updated_cnt_dout ); -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Logic for mapping password counter to ascii values -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Instantiation counter for the active pwd byte counter -- (used to mux the password counter) -- counts from highest active pwd-byte-counter down to 0 -- --------------------------------------------------------------------- -- mux_cntA : entity work.nBitCounter generic map ( BIT_WIDTH => PWD_BITLEN) port map ( clk => clk, ce => mux_cntA_ce, sr => mux_cntA_sr, srinit => actual_len_cntA_dout, count_up => '0', dout => mux_cntA_dout ); mux_cntB : entity work.nBitCounter generic map ( BIT_WIDTH => PWD_BITLEN) port map ( clk => clk, ce => mux_cntB_ce, sr => mux_cntB_sr, srinit => actual_len_cntB_dout, count_up => '0', dout => mux_cntB_dout ); -- --------------------------------------------------------------------- -- -- Instantiation int2asc mapper -- --------------------------------------------------------------------- -- int2asc_mapA : entity work.int2asc port map ( din => int2asc_dinA, dout => int2asc_doutA ); int2asc_mapB : entity work.int2asc port map ( din => int2asc_dinB, dout => int2asc_doutB ); int2asc_dinA <= pwd_cnt_doutA(to_integer(unsigned(mux_cntA_dout)))(CHARSET_BIT-1 downto 0); int2asc_dinB <= pwd_cnt_doutB(to_integer(unsigned(mux_cntB_dout)))(CHARSET_BIT-1 downto 0); -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Logic for writing password -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- Instantiation password shiftregs -- holds one of the 18 password-words -- --------------------------------------------------------------------- -- pwd_regA : entity work.nxmBitShiftReg generic map ( N => 4, M => 8 ) port map ( clk => clk, ce => pwd_reg_ceA, sr => pwd_reg_srA, srinit => const_slv(0, 32), opmode => "01", -- [Rot?, Left?] -- [shift,right] din => int2asc_doutA, dout => open, dout_f => pwd_reg_doutA ); pwd_regB : entity work.nxmBitShiftReg generic map ( N => 4, M => 8 ) port map ( clk => clk, ce => pwd_reg_ceB, sr => pwd_reg_srB, srinit => const_slv(0, 32), opmode => "01", -- [Rot?, Left?] -- [shift,right] din => int2asc_doutB, dout => open, dout_f => pwd_reg_doutB ); -- --------------------------------------------------------------------- -- -- Instantiation counts loaded bytes for 32bit shiftreg -- e.g. from 0 to 3 -- --------------------------------------------------------------------- -- loaded_bytes_cnt : entity work.nBitCounter generic map ( BIT_WIDTH => 2) port map ( clk => clk, sr => loaded_bytes_cnt_sr, ce => loaded_bytes_cnt_ce, srinit => const_slv(0, 2), count_up => '1', dout => loaded_bytes_cnt_dout ); -- --------------------------------------------------------------------- -- -- Instantiation counts written words to BRAM -- e.g. from 0 to 17 -- --------------------------------------------------------------------- -- written_words_cnt : entity work.nBitCounter generic map ( BIT_WIDTH => 5) port map ( clk => clk, sr => written_words_cnt_sr, ce => written_words_cnt_ce, srinit => const_slv(0, 5), count_up => '1', dout => written_words_cnt_dout ); addrA <= written_words_cnt_dout; addrB <= written_words_cnt_dout; dinA <= pwd_reg_doutA; dinB <= pwd_reg_doutB; -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- FSM -- --------------------------------------------------------------------- -- -- --------------------------------------------------------------------- -- -- FSM: state change fsm_state : process(clk, rst) begin if rising_edge(clk) then if rst = '1' then current_state <= RESET; else current_state <= next_state; end if; -- rst end if; -- clk end process fsm_state; -- FSM: control logic fsm_ctrl : process ( current_state, continue, rst, second_iteration, overflow, is_actual_dout, prev_overflow, updated_cnt_dout, actual_len_cntA_dout, mux_cntA_dout, mux_cntB_dout, loaded_bytes_cnt_dout, written_words_cnt_dout, pwd_cnt_srA_d) begin -- default values -- counter and control signals pwd_cnt_ceA <= (others => '0'); pwd_cnt_srA <= (others => '0'); pwd_cnt_ceB <= (others => '0'); pwd_cnt_srA_d_rst <= '1'; snd_iter_ce <= '0'; snd_iter_sr <= '0'; is_actual_ce <= '0'; is_actual_sr <= '0'; -- load password control signals mux_cntA_ce <= '1'; mux_cntA_sr <= '1'; mux_cntB_ce <= '1'; mux_cntB_sr <= '1'; actual_len_cntA_ce <= '0'; actual_len_cntA_sr <= '0'; actual_len_cntB_ce <= '0'; updated_cnt_ce <= '1'; updated_cnt_sr <= '1'; loaded_bytes_cnt_ce <= '1'; loaded_bytes_cnt_sr <= '1'; pwd_reg_ceA <= '0'; pwd_reg_srA <= '0'; pwd_reg_ceB <= '0'; pwd_reg_srB <= '0'; -- write password control signals written_words_cnt_ce <= '0'; written_words_cnt_sr <= '0'; weA <= '0'; weB <= '0'; done <= '0'; next_state <= current_state; -- FSM states case current_state is -- startup when RESET => is_actual_sr <= '1'; pwd_cnt_srA <= (others => '1'); pwd_cnt_ceB <= (others => '1'); snd_iter_sr <= '1'; --implicitly reset mux counter actual_len_cntA_sr <= '1'; actual_len_cntB_ce <= '1'; pwd_reg_srA <= '1'; pwd_reg_srB <= '1'; written_words_cnt_sr <= '1'; -- TODO: check whats better: DELAY state or IF? -- next_state <= DELAY_A; -- when DELAY_A => if rst = '0' then next_state <= COUNTER_UPDATE; end if; when COUNTER_UPDATE => is_actual_ce <= '1'; pwd_cnt_srA_d_rst <= '0'; pwd_cnt_ceA <= prev_overflow or pwd_cnt_srA_d; pwd_cnt_srA <= overflow; updated_cnt_sr <= '0'; -- if hightest active counter overflowed, -- count up password length if overflow(to_integer(unsigned(actual_len_cntA_dout))-1) = '1' then actual_len_cntA_ce <= '1'; end if; if updated_cnt_dout = std_logic_vector(to_unsigned(PWD_LENGTH-1,PWD_BITLEN)) then if second_iteration = '1' then next_state <= DELAY; else pwd_cnt_ceB <= (others => '1'); is_actual_sr <= '1'; updated_cnt_sr <= '1'; actual_len_cntB_ce <= '1'; snd_iter_ce <= '1'; end if; end if; when DELAY => -- we have to delay the mux counter reset, -- because the password length could have increased -- implicitly reset password muxer -- mux_cntA_sr <= '1'; -- mux_cntB_sr <= '1'; next_state <= LOAD_SHIFTREG; when LOAD_SHIFTREG => -- mux next byte counter if mux_cntA_dout = const_slv(0,PWD_BITLEN) then mux_cntA_sr <= '1'; else mux_cntA_sr <= '0'; end if; -- mux next byte counter if mux_cntB_dout = const_slv(0,PWD_BITLEN) then mux_cntB_sr <= '1'; else mux_cntB_sr <= '0'; end if; -- count up number of loaded bytes loaded_bytes_cnt_sr <= '0'; -- load byte to shiftregs pwd_reg_ceA <= '1'; pwd_reg_ceB <= '1'; if loaded_bytes_cnt_dout = "11" then next_state <= WRITE_TO_BRAM; end if; when WRITE_TO_BRAM => mux_cntA_sr <= '0'; mux_cntA_ce <= '0'; mux_cntB_sr <= '0'; mux_cntB_ce <= '0'; weA <= '1'; weB <= '1'; written_words_cnt_ce <= '1'; if written_words_cnt_dout = "10001" then next_state <= IDLE; else next_state <= LOAD_SHIFTREG; end if; when IDLE => done <= '1'; snd_iter_sr <= '1'; is_actual_sr <= '1'; actual_len_cntB_ce <= '1'; pwd_reg_srA <= '1'; pwd_reg_ceB <= '1'; written_words_cnt_sr <= '1'; if continue = '1' then next_state <= COUNTER_UPDATE; end if; end case; -- state end process fsm_ctrl; end Behavioral;
---------------------------------------------------------------------------------- -- -- -- Create Date: 4/10/2018 -- -- -- Module Name: sbox -- -- -- Designer Name: <NAME> -- -- -- -- -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; -- to unsigned and to integer library work; use work.constants.all; entity shiftrows is port(state_in : in STATE; state_out : out STATE ); end entity shiftrows; architecture shiftrows_logic of shiftrows is begin -- first column state_out(0) <= state_in(0); state_out(1) <= state_in(5); state_out(2) <= state_in(10); state_out(3) <= state_in(15); -- second column state_out(4) <= state_in(4); state_out(5) <= state_in(9); state_out(6) <= state_in(14); state_out(7) <= state_in(3); -- third column state_out(8) <= state_in(8); state_out(9) <= state_in(13); state_out(10) <= state_in(2); state_out(11) <= state_in(7); -- fourth column state_out(12) <= state_in(12); state_out(13) <= state_in(1); state_out(14) <= state_in(6); state_out(15) <= state_in(11); end architecture shiftrows_logic;
<reponame>SeaRise/scutie2015-digimon library ieee; use ieee.std_logic_1164.ALL; entity second is port(Clk : in std_logic; q : out std_logic); end second; architecture behav of second is begin process(Clk) variable time:integer range 0 to 50000000; begin if rising_edge(Clk) then time:=time+1; if time<=25000000 then q<='1'; else if time<50000000 then q<='0'; else time:=0; end if; end if; end if; end process; END behav;
<reponame>LukJA/ModularBlocks /* maths_signed .vhd */ /* signed maths functions */ /* designed for modular general use under the K1 standard */ /* (c) <NAME> 2017 */ /* All software is written under the MIT license and available on Github */ /* this package contains signed maths of all types */ -------------------------------- /* signed add */ library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; use work.global.all; entity M_signed_add is generic ( word_width : integer := 16 ); port( Q1 : in vector_t(word_width-1 downto 0) := (others=>'0'); Q2 : in vector_t(word_width-1 downto 0) := (others=>'0'); OV : out bit_t := '0'; output: out vector_t(word_width-1 downto 0) := (others=>'0') ); end M_signed_add; architecture logical of M_signed_add is -- create locale signals with suffix '_s' for signed with one xtrea bit signal Q1_s : SIGNED(word_width downto 0); signal Q2_s : SIGNED(word_width downto 0); signal output_s : SIGNED(word_width downto 0); signal temp : std_logic_vector(2 downto 0); begin -- convert type and perform a sign-extension Q1_s <= resize(signed(Q1), Q1_s'length); Q2_s <= resize(signed(Q2), Q2_s'length); -- addition of two 1 larger bit values output_s <= Q1_s + Q2_s; -- resize to require size and type conversion output <= std_logic_vector(resize(output_s, output'length)); -- concat the three relevant sign-bits from a,b and sum to one vector temp <= Q1_s(Q1_s'high) & Q2_s(Q2_s'high) & output_s(output_s'high); process(all) begin case temp is when "001" => OV <= '1'; when "110" => OV <= '1'; when others => OV <= '0'; end case; end process; end logical; -------------------------------- /* signed sub */ library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; use work.global.all; entity M_signed_sub is generic ( word_width : integer := 16 ); port( Q1 : in vector_t(word_width-1 downto 0) := (others=>'0'); Q2 : in vector_t(word_width-1 downto 0) := (others=>'0'); OV : out bit_t := '0'; output: out vector_t(word_width-1 downto 0) := (others=>'0') ); end M_signed_sub; architecture logical of M_signed_sub is -- create locale signals with suffix '_s' for signed with one xtrea bit signal Q1_s : SIGNED(word_width downto 0); signal Q2_s : SIGNED(word_width downto 0); signal output_s : SIGNED(word_width downto 0); signal temp : std_logic_vector(2 downto 0); begin -- convert type and perform a sign-extension Q1_s <= resize(signed(Q1), Q1_s'length); Q2_s <= resize(signed(Q2), Q2_s'length); -- addition of two 1 larger bit values output_s <= Q1_s - Q2_s; -- resize to require size and type conversion output <= std_logic_vector(resize(output_s, output'length)); -- concat the three relevant sign-bits from a,b and sum to one vector temp <= Q1_s(Q1_s'high) & Q2_s(Q2_s'high) & output_s(output_s'high); process(all) begin case temp is when "001" => OV <= '1'; when "110" => OV <= '1'; when others => OV <= '0'; end case; end process; end logical; -------------------------------- /* signed multi */ library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; use work.global.all; entity M_signed_multi is generic ( word_width : integer := 16 ); port( Q1 : in vector_t(word_width-1 downto 0) := (others=>'0'); Q2 : in vector_t(word_width-1 downto 0) := (others=>'0'); output: out vector_t(2*(word_width)-1 downto 0) := (others=>'0') ); end M_signed_multi; architecture logical of M_signed_multi is signal temp : vector_t(word_width downto 0); begin temp <= std_logic_vector(unsigned(Q1) * unsigned(Q2)); output <= temp; end logical;
<reponame>corywalker/vhdl_fft<gh_stars>1-10 -------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:26:21 10/22/2014 -- Design Name: -- Module Name: C:/Users/John/Code/vhdl_fft/ft_controller_tb.vhd -- Project Name: fft -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ft_controller -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY ft_controller_tb IS END ft_controller_tb; ARCHITECTURE behavior OF ft_controller_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ft_controller generic ( SIZE : positive; SIZELOG : positive; DELAY : positive; INT_EXT_SEL: std_logic; SPI_2X_CLK_DIV: positive; DA_RESET_DELAY: positive ); PORT( CLK1 : IN std_logic; rst : IN std_logic; adc_miso : IN std_logic; start_i : IN std_logic; busy_o : OUT std_logic; sck_i : IN std_logic ); END COMPONENT; --Inputs signal CLK1 : std_logic := '0'; signal rst : std_logic := '0'; signal start : std_logic := '0'; signal busy : std_logic; signal sck : std_logic := '0'; -- Clock period definitions constant CLK1_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ft_controller generic map ( SIZE => 512, SIZELOG => 9, DELAY => 300, INT_EXT_SEL => '0', SPI_2X_CLK_DIV => 2, DA_RESET_DELAY => 200 ) PORT MAP ( CLK1 => CLK1, rst => rst, start_i => start, busy_o => busy, sck_i => sck, adc_miso => '0' ); -- Clock process definitions CLK1_process :process begin CLK1 <= '0'; wait for CLK1_period/2; CLK1 <= '1'; wait for CLK1_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; loop start <= '1'; wait for 30 ns; start <= '0'; wait for CLK1_period*10; wait until busy = '0'; wait for 1us; for I in 0 to 512*16 loop sck <= '1'; wait for 30 ns; sck <= '0'; wait for 30 ns; end loop; wait for 10us; end loop; wait; end process; END;
<filename>vhdl/check/test/tb_check_next.vhd -- This test suite verifies the check_next checker. -- -- This Source Code Form is subject to the terms of the Mozilla Public -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- -- Copyright (c) 2014, <NAME> <EMAIL> library ieee; use ieee.std_logic_1164.all; library vunit_lib; use vunit_lib.run_types_pkg.all; use vunit_lib.run_base_pkg.all; use vunit_lib.run_pkg.all; use vunit_lib.log_types_pkg.all; use vunit_lib.check_types_pkg.all; use vunit_lib.check_special_types_pkg.all; use vunit_lib.check_pkg.all; use work.test_support.all; use work.test_count.all; entity tb_check_next is generic ( runner_cfg : runner_cfg_t := runner_cfg_default); end entity tb_check_next; architecture test_fixture of tb_check_next is signal clk : std_logic := '0'; signal one : std_logic := '1'; signal zero : std_logic := '0'; signal check_next_in_1, check_next_in_2, check_next_in_3, check_next_in_4, check_next_in_5 : std_logic_vector(1 to 3) := "001"; alias check_next_start_event_1 : std_logic is check_next_in_1(1); alias check_next_expr_1 : std_logic is check_next_in_1(2); alias check_next_en_1 : std_logic is check_next_in_1(3); alias check_next_start_event_2 : std_logic is check_next_in_2(1); alias check_next_expr_2 : std_logic is check_next_in_2(2); alias check_next_en_2 : std_logic is check_next_in_2(3); alias check_next_start_event_3 : std_logic is check_next_in_3(1); alias check_next_expr_3 : std_logic is check_next_in_3(2); alias check_next_en_3 : std_logic is check_next_in_3(3); alias check_next_start_event_4 : std_logic is check_next_in_4(1); alias check_next_expr_4 : std_logic is check_next_in_4(2); alias check_next_en_4 : std_logic is check_next_in_4(3); alias check_next_start_event_5 : std_logic is check_next_in_5(1); alias check_next_expr_5 : std_logic is check_next_in_5(2); alias check_next_en_5 : std_logic is check_next_in_5(3); shared variable check_next_checker2, check_next_checker3, check_next_checker4, check_next_checker5 : checker_t; begin clock: process is begin while runner.phase < test_runner_exit loop clk <= '1', '0' after 5 ns; wait for 10 ns; end loop; wait; end process clock; check_next_1 : check_next(clk, check_next_en_1, check_next_start_event_1, check_next_expr_1, num_cks => 4); check_next_2 : check_next(check_next_checker2, clk, check_next_en_2, check_next_start_event_2, check_next_expr_2, active_clock_edge => falling_edge, num_cks => 4); check_next_3 : check_next(check_next_checker3, clk, check_next_en_3, check_next_start_event_3, check_next_expr_3, num_cks => 4); check_next_4 : check_next(check_next_checker4, clk, check_next_en_4, check_next_start_event_4, check_next_expr_4, num_cks => 4, allow_overlapping => false); check_next_5 : check_next(check_next_checker5, clk, check_next_en_5, check_next_start_event_5, check_next_expr_5, num_cks => 4, allow_missing_start => false); check_next_runner : process variable pass : boolean; variable stat : checker_stat_t; procedure test_concurrent_check ( signal clk : in std_logic; signal check_input : out std_logic_vector; variable checker : inout checker_t; constant level : in log_level_t := error; constant active_rising_clock_edge : in boolean := true) is begin if running_test_case = "Test should pass when expr is true num cks enabled cycles after start event" then get_checker_stat(checker, stat); apply_sequence("001;101;001;001;000;001;011;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_passed_checks(checker, stat, 1); elsif running_test_case = "Test should fail when expr is false num cks enabled cycles after start event" then apply_sequence("001;111;011;011;010;011;001;011;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_log_call(inc_count, expected_level => level); elsif running_test_case = "Test should handle a mix of passing and failing overlapping checks when allowed" then get_checker_stat(checker, stat); apply_sequence("001;101;001;101;101;000;011;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_passed_checks(checker, stat, 1); verify_failed_checks(checker, stat, 0); apply_sequence("001;011;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_passed_checks(checker, stat, 2); verify_failed_checks(checker, stat, 0); apply_sequence("001;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_log_call(inc_count, expected_level => level); elsif running_test_case = "Test should pass a true expr without start event if missing start is allowed" then get_checker_stat(checker, stat); apply_sequence("001;001;001;011;001", clk, check_input, active_rising_clock_edge); wait for 1 ns; verify_passed_checks(checker, stat, 1); verify_failed_checks(checker, stat, 0); end if; end procedure test_concurrent_check; begin custom_checker_init_from_scratch(check_next_checker3, default_level => info); test_runner_setup(runner, runner_cfg); while test_suite loop if run("Test should pass when expr is true num cks enabled cycles after start event") or run("Test should fail when expr is false num cks enabled cycles after start event") or run("Test should handle a mix of passing and failing overlapping checks when allowed") or run("Test should pass a true expr without start event if missing start is allowed") then test_concurrent_check(clk, check_next_in_1, default_checker); test_concurrent_check(clk, check_next_in_2, check_next_checker2, error, false); test_concurrent_check(clk, check_next_in_3, check_next_checker3, level => info); elsif run("Test should fail when an overlapping check is initiated when not allowed") then get_checker_stat(check_next_checker4, stat); apply_sequence("001;101;001;101;001;011;001;011;001", clk, check_next_in_4); wait for 1 ns; verify_passed_checks(check_next_checker4, stat, 2); verify_log_call(inc_count, "Overlapping not allowed."); get_checker_stat(check_next_checker4, stat); apply_sequence("001;101;001;001;001;111;001;001;001;011;001", clk, check_next_in_4); wait for 1 ns; verify_passed_checks(check_next_checker4, stat, 2); verify_failed_checks(check_next_checker4, stat, 0); elsif run("Test should fail a true expr without start event if missing start is not allowed") then get_checker_stat(check_next_checker5, stat); apply_sequence("001;001;001;011;001", clk, check_next_in_5); wait for 1 ns; verify_passed_checks(check_next_checker5, stat, 0); verify_log_call(inc_count, "Missing start event for true expression."); elsif run("Test should handle meta values") then get_checker_stat(check_next_checker5, stat); apply_sequence("00H;10H;00H;00H;00L;00H;01H;00H;00H", clk, check_next_in_5); wait for 1 ns; verify_passed_checks(check_next_checker5, stat, 1); verify_failed_checks(check_next_checker5, stat, 0); get_checker_stat(check_next_checker5, stat); apply_sequence("0LH;1LH;0LH;0LH;0LL;0LH;0HH;0LH;0LH", clk, check_next_in_5); wait for 1 ns; verify_passed_checks(check_next_checker5, stat, 1); verify_failed_checks(check_next_checker5, stat, 0); get_checker_stat(check_next_checker5, stat); apply_sequence("LLH;HLH;LLH;LLH;LLL;LLH;LHH;LLH;LLH", clk, check_next_in_5); wait for 1 ns; verify_passed_checks(check_next_checker5, stat, 1); verify_failed_checks(check_next_checker5, stat, 0); get_checker_stat(check_next_checker5, stat); apply_sequence("XLH;HLH;LLH;LLH;LXX;LLH;LHH;LLH;LLH", clk, check_next_in_5); wait for 1 ns; verify_passed_checks(check_next_checker5, stat, 1); verify_failed_checks(check_next_checker5, stat, 1); end if; end loop; get_and_print_test_result(stat); test_runner_cleanup(runner, stat); wait; end process; test_runner_watchdog(runner, 2 us); end test_fixture; -- vunit_pragma run_all_in_same_sim
<gh_stars>0 -- Copyright (c) 2018 <NAME> <<EMAIL>> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. library work; use work.cpu8080_types.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------ -- Register File -- -- 0: b 1:c -- 2: d 3:e -- 4: h 5:l -- 6: m 7:a -- 8: w 9:z -- 10: act 11:tmp -- 12: sph 13:spl => stack pointer -- 14: pch 15:pcl => program counter ------------------------------------------------------------ entity cpu8080_regfile is port (clk_i : in std_logic; reset_i : in std_logic; cmd_i : in regfile_cmd_t; sel_a_i : in reg_t; sel_b_i : in reg_t; sel_rp_i : in reg_pair_t; data_i : in unsigned(7 downto 0); reg_a_o : out unsigned(7 downto 0); reg_b_o : out unsigned(7 downto 0); reg_pc_o : out unsigned(15 downto 0); reg_rp_o : out unsigned(15 downto 0) ); end cpu8080_regfile; architecture rtl of cpu8080_regfile is constant REGI_B : integer := to_integer(REG_B); constant REGI_C : integer := to_integer(REG_C); constant REGI_D : integer := to_integer(REG_D); constant REGI_E : integer := to_integer(REG_E); constant REGI_H : integer := to_integer(REG_H); constant REGI_L : integer := to_integer(REG_L); constant REGI_A : integer := to_integer(REG_A); constant REGI_W : integer := to_integer(REG_W); constant REGI_Z : integer := to_integer(REG_Z); constant REGI_SPH : integer := to_integer(REG_SPH); constant REGI_SPL : integer := to_integer(REG_SPL); constant REGI_PCH : integer := to_integer(REG_PCH); constant REGI_PCL : integer := to_integer(REG_PCL); constant nr_regs : integer := 16; type regfile_t is array(0 to nr_regs-1) of unsigned(7 downto 0); signal regfile : regfile_t; -- debug signals signal regb_s : unsigned(7 downto 0); signal regc_s : unsigned(7 downto 0); signal regd_s : unsigned(7 downto 0); signal rege_s : unsigned(7 downto 0); signal regh_s : unsigned(7 downto 0); signal regl_s : unsigned(7 downto 0); signal rega_s : unsigned(7 downto 0); signal regsph_s : unsigned(7 downto 0); signal regspl_s : unsigned(7 downto 0); signal regpch_s : unsigned(7 downto 0); signal regpcl_s : unsigned(7 downto 0); begin control: process(clk_i,reset_i) variable cmd_reg_a : integer range 0 to nr_regs-1; variable cmd_reg_b : integer range 0 to nr_regs-1; variable inc_val : unsigned(15 downto 0); variable inc_b : unsigned(15 downto 0); begin if reset_i = '1' then regfile <= (others=> (others=>'0')); elsif clk_i'event and clk_i = '1' then cmd_reg_a := to_integer(cmd_i.reg_a); cmd_reg_b := to_integer(cmd_i.reg_b); -- write if cmd_i.wr = '1' then regfile(cmd_reg_a) <= data_i; -- mov elsif cmd_i.mov = '1' then regfile(cmd_reg_a) <= regfile(cmd_reg_b); -- XCHG elsif cmd_i.xchg = '1' then regfile(REGI_D) <= regfile(REGI_H); regfile(REGI_E) <= regfile(REGI_L); regfile(REGI_H) <= regfile(REGI_D); regfile(REGI_L) <= regfile(REGI_E); end if; -- inc/dec if cmd_i.decrp = '1' then inc_b := x"ffff"; else inc_b := x"0001"; end if; if cmd_i.incpc = '1' then inc_val := ((regfile(REGI_PCH) & regfile(REGI_PCL)) + inc_b); regfile(REGI_PCH) <= inc_val(15 downto 8); regfile(REGI_PCL) <= inc_val(7 downto 0); elsif cmd_i.incrp = '1' or cmd_i.decrp = '1' then inc_val := ((regfile(cmd_reg_a) & regfile(cmd_reg_b)) + inc_b); regfile(cmd_reg_a) <= inc_val(15 downto 8); regfile(cmd_reg_b) <= inc_val(7 downto 0); end if; end if; end process; -- portA, portB output reg_a_o <= regfile(to_integer(sel_a_i)); reg_b_o <= regfile(to_integer(sel_b_i)); -- PC output reg_pc_o <= (regfile(REGI_PCH) & regfile(REGI_PCL)); -- PC,SP,BC,DE,HL output with sel_rp_i select reg_rp_o <= (regfile(REGI_PCH) & regfile(REGI_PCL)) when REG_PC, (regfile(REGI_SPH) & regfile(REGI_SPL)) when REG_SP, (regfile(REGI_B) & regfile(REGI_C)) when REG_BC, (regfile(REGI_D) & regfile(REGI_E)) when REG_DE, (regfile(REGI_H) & regfile(REGI_L)) when REG_HL, (regfile(REGI_W) & regfile(REGI_Z)) when REG_WZ, (regfile(REGI_H) & regfile(REGI_L)) when others; -- debug signals regb_s <= regfile(REGI_B); regc_s <= regfile(REGI_C); regd_s <= regfile(REGI_D); rege_s <= regfile(REGI_E); regh_s <= regfile(REGI_H); regl_s <= regfile(REGI_L); rega_s <= regfile(REGI_A); regsph_s <= regfile(REGI_SPH); regspl_s <= regfile(REGI_SPL); regpch_s <= regfile(REGI_PCH); regpcl_s <= regfile(REGI_PCL); end rtl;
<filename>VHDL/Filter_Bank/reconstruction.vhd -------------------------------------------------------------------------------------------------- -- Signal Reconstruction -------------------------------------------------------------------------------------------------- -- <NAME> - <EMAIL> -------------------------------------------------------------------------------------------------- -- PACKAGE -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.dsp_pkg.all; package reconstruction_pkg is --FIR filter component declaration component reconstruction is generic( low_pass : coefficient_array; high_pass : coefficient_array); port( clk_low : in std_logic; clk_high : in std_logic; rst : in std_logic; x_low : in sig; x_high : in sig; y : out sig); end component; end package; -------------------------------------------------------------------------------------------------- -- ENTITY -------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.dsp_pkg.all; use work.interpolator_pkg.all; entity reconstruction is generic( low_pass : coefficient_array; high_pass : coefficient_array); port( clk_low : in std_logic; clk_high : in std_logic; rst : in std_logic; x_low : in sig; x_high : in sig; y : out sig); end reconstruction; -------------------------------------------------------------------------------------------------- -- ARCHITECTURE -------------------------------------------------------------------------------------------------- architecture behave of reconstruction is signal y_low : sig := (others => '0'); signal y_high : sig := (others => '0'); begin --Decimate the signal using a low pass filter low_filter_bank : interpolator generic map(h => low_pass) port map( clk_high => clk_high, clk_low => clk_low, rst => rst, sig_low => x_low, sig_high => y_low); --Decimate the signal using a high pass filter high_filter_bank : interpolator generic map(h => high_pass) port map( clk_high => clk_high, clk_low => clk_low, rst => rst, sig_low => x_high, sig_high => y_high); --Sum the 2 banks together update_sum : process(clk_high) begin if(rising_edge(clk_high)) then if(rst = '1') then y <= (others => '0'); else y <= signed(y_low) + signed(y_high); end if; end if; end process; end behave;
<reponame>najibarbaoui/academic_project3 -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: analog.com:user:axi_i2s_adi:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_axi_i2s_adi_0 IS PORT ( DATA_CLK_I : IN STD_LOGIC; BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0); DMA_REQ_TX_ACLK : IN STD_LOGIC; DMA_REQ_TX_RSTN : IN STD_LOGIC; DMA_REQ_TX_DAVALID : IN STD_LOGIC; DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_TX_DAREADY : OUT STD_LOGIC; DMA_REQ_TX_DRVALID : OUT STD_LOGIC; DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_TX_DRLAST : OUT STD_LOGIC; DMA_REQ_TX_DRREADY : IN STD_LOGIC; DMA_REQ_RX_ACLK : IN STD_LOGIC; DMA_REQ_RX_RSTN : IN STD_LOGIC; DMA_REQ_RX_DAVALID : IN STD_LOGIC; DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_RX_DAREADY : OUT STD_LOGIC; DMA_REQ_RX_DRVALID : OUT STD_LOGIC; DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_RX_DRLAST : OUT STD_LOGIC; DMA_REQ_RX_DRREADY : IN STD_LOGIC; S_AXI_ACLK : IN STD_LOGIC; S_AXI_ARESETN : IN STD_LOGIC; S_AXI_AWADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_WVALID : IN STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; S_AXI_ARADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RVALID : OUT STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC ); END system_axi_i2s_adi_0; ARCHITECTURE system_axi_i2s_adi_0_arch OF system_axi_i2s_adi_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_i2s_adi_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_i2s_adi IS GENERIC ( C_SLOT_WIDTH : INTEGER; C_LRCLK_POL : INTEGER; C_BCLK_POL : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_MIN_SIZE : STD_LOGIC_VECTOR; C_FAMILY : STRING; C_DMA_TYPE : INTEGER; C_NUM_CH : INTEGER; C_HAS_TX : INTEGER; C_HAS_RX : INTEGER ); PORT ( DATA_CLK_I : IN STD_LOGIC; BCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); LRCLK_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); SDATA_O : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); SDATA_I : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXIS_ACLK : IN STD_LOGIC; S_AXIS_ARESETN : IN STD_LOGIC; S_AXIS_TREADY : OUT STD_LOGIC; S_AXIS_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXIS_TLAST : IN STD_LOGIC; S_AXIS_TVALID : IN STD_LOGIC; M_AXIS_ACLK : IN STD_LOGIC; M_AXIS_TREADY : IN STD_LOGIC; M_AXIS_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); M_AXIS_TLAST : OUT STD_LOGIC; M_AXIS_TVALID : OUT STD_LOGIC; M_AXIS_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); DMA_REQ_TX_ACLK : IN STD_LOGIC; DMA_REQ_TX_RSTN : IN STD_LOGIC; DMA_REQ_TX_DAVALID : IN STD_LOGIC; DMA_REQ_TX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_TX_DAREADY : OUT STD_LOGIC; DMA_REQ_TX_DRVALID : OUT STD_LOGIC; DMA_REQ_TX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_TX_DRLAST : OUT STD_LOGIC; DMA_REQ_TX_DRREADY : IN STD_LOGIC; DMA_REQ_RX_ACLK : IN STD_LOGIC; DMA_REQ_RX_RSTN : IN STD_LOGIC; DMA_REQ_RX_DAVALID : IN STD_LOGIC; DMA_REQ_RX_DATYPE : IN STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_RX_DAREADY : OUT STD_LOGIC; DMA_REQ_RX_DRVALID : OUT STD_LOGIC; DMA_REQ_RX_DRTYPE : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); DMA_REQ_RX_DRLAST : OUT STD_LOGIC; DMA_REQ_RX_DRREADY : IN STD_LOGIC; S_AXI_ACLK : IN STD_LOGIC; S_AXI_ARESETN : IN STD_LOGIC; S_AXI_AWADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_WVALID : IN STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; S_AXI_ARADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RVALID : OUT STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC ); END COMPONENT axi_i2s_adi; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_axi_i2s_adi_0_arch: ARCHITECTURE IS "axi_i2s_adi,Vivado 2015.2.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_axi_i2s_adi_0_arch : ARCHITECTURE IS "system_axi_i2s_adi_0,axi_i2s_adi,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_REQ_TX_ACLK CLK, xilinx.com:signal:clock:1.0 DMA_REQ_TX_DMA_ACK_TX_signal_clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_REQ_TX_DMA_ACK_TX_signal_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_TX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_TX TUSER"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_TX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_TX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_TX TUSER"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_TX TLAST"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_TX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_TX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 DMA_REQ_RX_ACLK CLK, xilinx.com:signal:clock:1.0 DMA_REQ_RX_DMA_ACK_RX_signal_clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_RSTN: SIGNAL IS "xilinx.com:signal:reset:1.0 DMA_REQ_RX_DMA_ACK_RX_signal_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_RX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DATYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_RX TUSER"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DAREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_ACK_RX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_RX TVALID"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRTYPE: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_RX TUSER"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_RX TLAST"; ATTRIBUTE X_INTERFACE_INFO OF DMA_REQ_RX_DRREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 DMA_REQ_RX TREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ACLK: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARESETN: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF S_AXI_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; BEGIN U0 : axi_i2s_adi GENERIC MAP ( C_SLOT_WIDTH => 24, C_LRCLK_POL => 0, C_BCLK_POL => 0, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 16, C_S_AXI_MIN_SIZE => X"000001FF", C_FAMILY => "zynq", C_DMA_TYPE => 1, C_NUM_CH => 1, C_HAS_TX => 1, C_HAS_RX => 1 ) PORT MAP ( DATA_CLK_I => DATA_CLK_I, BCLK_O => BCLK_O, LRCLK_O => LRCLK_O, SDATA_O => SDATA_O, SDATA_I => SDATA_I, S_AXIS_ACLK => '0', S_AXIS_ARESETN => '0', S_AXIS_TDATA => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), S_AXIS_TLAST => '0', S_AXIS_TVALID => '0', M_AXIS_ACLK => '0', M_AXIS_TREADY => '0', DMA_REQ_TX_ACLK => DMA_REQ_TX_ACLK, DMA_REQ_TX_RSTN => DMA_REQ_TX_RSTN, DMA_REQ_TX_DAVALID => DMA_REQ_TX_DAVALID, DMA_REQ_TX_DATYPE => DMA_REQ_TX_DATYPE, DMA_REQ_TX_DAREADY => DMA_REQ_TX_DAREADY, DMA_REQ_TX_DRVALID => DMA_REQ_TX_DRVALID, DMA_REQ_TX_DRTYPE => DMA_REQ_TX_DRTYPE, DMA_REQ_TX_DRLAST => DMA_REQ_TX_DRLAST, DMA_REQ_TX_DRREADY => DMA_REQ_TX_DRREADY, DMA_REQ_RX_ACLK => DMA_REQ_RX_ACLK, DMA_REQ_RX_RSTN => DMA_REQ_RX_RSTN, DMA_REQ_RX_DAVALID => DMA_REQ_RX_DAVALID, DMA_REQ_RX_DATYPE => DMA_REQ_RX_DATYPE, DMA_REQ_RX_DAREADY => DMA_REQ_RX_DAREADY, DMA_REQ_RX_DRVALID => DMA_REQ_RX_DRVALID, DMA_REQ_RX_DRTYPE => DMA_REQ_RX_DRTYPE, DMA_REQ_RX_DRLAST => DMA_REQ_RX_DRLAST, DMA_REQ_RX_DRREADY => DMA_REQ_RX_DRREADY, S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY ); END system_axi_i2s_adi_0_arch;
<gh_stars>10-100 ------------------------------------------------------------------------------ -- -- SHA-256 Systolic Hashing Engine -- little_sigma_0.vhd -- -- This file contains a clock-less combinational logic implementation of the -- small sigma_0^{256}(x) function defined in FIPS 180-2 section 4.1.2 as: -- sigma_0^{256}(x) = ROTR^7(x) xor ROTR^18(x) xor SHR^3(x) -- -- Copyright 2011 <NAME>. All rights reserved. -- ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library work; use work.shatypes.all; entity little_sigma_0 is port ( x : in word; q : out word ); end little_sigma_0; architecture rtl of little_sigma_0 is begin q <= (x( 6 downto 0) & x(31 downto 7)) xor (x(17 downto 0) & x(31 downto 18)) xor ("000" & x(31 downto 3)); end rtl;
<filename>VHDL/src/CheckPattern/divider.vhd<gh_stars>0 --Engineer : <NAME> --Date : 11/22/2017 --Name of file : divider.vhd --Description : divides two intervals to give the ratio result -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity divider is generic ( R_int : positive; -- resolution of interval R_ctr : positive; -- resolution divider counter N_dec : positive -- number of fixed point decimal places ); port ( -- inputs -- clk, reset : in std_logic; start : in std_logic; numerator : in unsigned(R_int-1 downto 0); denominator : in unsigned(R_int-1 downto 0); -- outputs -- done : out std_logic; result : out unsigned(R_int-1 downto 0) ); end divider; architecture divider_arch of divider is -- constant definitions -- signal definitions signal N : unsigned(R_int+N_dec-1 downto 0); --adjusted numerator signal D : unsigned(R_int-1 downto 0); -- adjusted denominator signal done_buf, prev_done_buf : std_logic; -- debug signals -- signal counter : unsigned(R_ctr-1 downto 0); -- signal quotient : unsigned(R_int+N_dec-1 downto 0); -- signal remainder : unsigned(R_int-1 downto 0); begin process ( clk, reset, start ) variable idx : unsigned(R_ctr-1 downto 0); variable Q : unsigned(R_int+N_dec-1 downto 0); -- quotient variable R : unsigned(R_int-1 downto 0); -- remainder begin if ( reset='1' or start='1' ) then done_buf <= '0'; idx := to_unsigned(R_int+N_dec-1,R_ctr); Q := (others=>'0'); R := (others=>'0'); result <= (others=>'0'); elsif ( rising_edge(clk) ) then -- output to debug signals -- -- counter <= idx; -- quotient <= Q; -- remainder <= R; N <= numerator & to_unsigned(0,N_dec); D <= denominator; prev_done_buf <= done_buf; if ( D>0 ) then if (idx > 0) then R := R(R_int-2 downto 0) & N(to_integer(idx)); if ( R >= D ) then R := R - D; Q(to_integer(idx)) := '1'; end if; -- decrement idx and check if done idx := idx - 1; if( not(idx>0) ) then done_buf <= '1'; result <= Q(R_int-1 downto 0); -- assign Q to result end if; end if; else done_buf <= '1'; result <= (others=>'0'); end if; end if; end process; -- manage done signal process ( clk, reset ) begin if ( reset='1' ) then done <= '0'; elsif ( rising_edge(clk) ) then if ( prev_done_buf='0' and done_buf='1' ) then done <= '1'; else done <= '0'; end if; end if; end process; end divider_arch;
library ieee; library std; use ieee.std_logic_1164.all; use std.textio.all; entity UART is port( TX: out std_logic := '1'; RX: in std_logic; clk: in std_logic; tx_begin: in std_logic; tx_data: in std_logic_vector(7 downto 0) := (others => '0'); tx_done: out std_logic := '1'; rx_data: out std_logic_vector(7 downto 0) := (others => '0'); rx_flag: out std_logic := '0' ); end UART; architecture RTL of UART is -- Fosc / baud -- 25 M / 115200 = 217 constant ticks: integer := 217; type tx_state_t is (TX_IDLE, TX_START, TX_SEND, TX_STOP); signal tx_state: tx_state_t := TX_IDLE; type rx_state_t is (RX_IDLE, RX_START, RX_RECV, RX_STOP); signal rx_state: rx_state_t := RX_IDLE; begin handle_tx: process (clk) is variable tick_count: integer := 0; variable bit_index: integer := 0; variable l: line; begin if rising_edge(clk) then tick_count := tick_count + 1; case tx_state is when TX_IDLE => TX <= '1'; tx_done <= '1'; if tx_begin = '1' then tx_state <= TX_START; tx_done <= '0'; write(l, String'("Start")); writeline(output, l); end if; when TX_START => tick_count := 0; TX <= '0'; tx_state <= TX_SEND; write(l, String'("Send")); writeline(output, l); when TX_SEND => if tick_count >= ticks then if bit_index < 8 then TX <= tx_data(bit_index); bit_index := bit_index + 1; else bit_index := 0; tx_state <= TX_STOP; write(l, String'("Stop")); writeline(output, l); end if; tick_count := 0; end if; when TX_STOP => TX <= '1'; if tick_count >= ticks then tx_state <= TX_IDLE; write(l, String'("Idle")); writeline(output, l); tick_count := 0; end if; when others => tx_state <= TX_IDLE; write(l, String'("Idle")); writeline(output, l); end case; end if; end process; handle_rx: process(clk) is variable bit_index: integer := 0; variable tick_count: integer := 0; begin if rising_edge(clk) then tick_count := tick_count + 1; case rx_state is when RX_IDLE => rx_flag <= '0'; if RX = '0' then rx_state <= RX_START; tick_count := 0; end if; when RX_START => -- Align the sampling with the middle of the bit_indexes if tick_count >= ticks / 2 then tick_count := 0; rx_state <= RX_RECV; end if; when RX_RECV => if tick_count >= ticks then if bit_index < 8 then rx_data(bit_index) <= RX; bit_index := bit_index + 1; else bit_index := 0; rx_state <= RX_STOP; end if; tick_count := 0; end if; when RX_STOP => if ticks >= tick_count then rx_flag <= '1'; rx_state <= RX_IDLE; tick_count := 0; end if; when others => rx_state <= RX_IDLE; end case; end if; end process; end RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.ram.all; entity Dcache is port( -- Dcache outterface mem_adr : in Std_Logic_Vector(31 downto 0); mem_stw : in Std_Logic; mem_stb : in Std_Logic; mem_load : in Std_Logic; mem_data : in Std_Logic_Vector(31 downto 0); dc_data : out Std_Logic_Vector(31 downto 0); dc_stall : out Std_Logic; ck : in Std_logic); end Dcache; ---------------------------------------------------------------------- architecture Behavior OF Dcache is begin -- Gestion du PC process (mem_adr, mem_load) variable adr : signed(31 downto 0); variable data : signed(31 downto 0); begin if (mem_load = '1') then adr := signed(mem_adr); data := TO_SIGNED(mem_lw(TO_INTEGER(adr)), 32); dc_data <= std_logic_vector(data); end if; end process; process (ck) variable adr : signed(31 downto 0); variable data : signed(31 downto 0); variable res : integer; begin if rising_edge(ck) then adr := signed(mem_adr); data := signed(mem_data); if mem_stw = '1' then res := mem_sw(TO_INTEGER(adr), TO_INTEGER(data)); elsif mem_stb='1' then res := mem_sb(TO_INTEGER(adr), TO_INTEGER(data)); end if; end if; end process; dc_stall <= '0'; end Behavior;
<reponame>Dmitriy0111/nanoFOX_VHDL -- -- File : nf_settings.vhd -- Autor : <NAME>. -- Data : 2019.04.20 -- Language : VHDL -- Description : This is file with common settings -- Copyright(c) : 2019 <NAME>. -- library ieee; use ieee.std_logic_1164.all; package nf_settings is constant PROG_START : integer := 0; -- depth of ram module constant ADDR_D_W : integer := 20; constant MEM_D_DEPTH : integer := 2 ** ADDR_D_W; -- depth of instruction memory module constant ADDR_I_W : integer := 20; constant MEM_I_DEPTH : integer := 2 ** ADDR_I_W; -- number of slave device's constant SLAVE_COUNT : integer := 3; -- -- memory map for devices -- -- 0x0000_0000\ -- \ -- RAM -- / -- 0x0000_ffff/ -- 0x0001_0000\ -- \ -- UART -- / -- 0x0001_ffff/ -- 0x0002_0000\ -- \ -- AHB2APB_BRIDGE -- / -- 0x0002_ffff/ -- 0x0004_0000\ -- \ -- Unused -- / -- 0xffff_ffff/ -- -- constant's for gpio module constant NF_GPIO_WIDTH : integer := 8; constant NF_GPIO_GPI : std_logic_vector(3 downto 0) := 4X"0"; constant NF_GPIO_GPO : std_logic_vector(3 downto 0) := 4X"4"; constant NF_GPIO_DIR : std_logic_vector(3 downto 0) := 4X"8"; constant NF_GPIO_EN : std_logic_vector(3 downto 0) := 4X"C"; -- constant's for uart module constant NF_UART_CR : std_logic_vector(3 downto 0) := 4X"0"; constant NF_UART_TX : std_logic_vector(3 downto 0) := 4X"4"; constant NF_UART_RX : std_logic_vector(3 downto 0) := 4X"8"; constant NF_UART_DR : std_logic_vector(3 downto 0) := 4X"C"; -- type logic_array is array(natural range <>) of std_logic; type logic_v_array is array(natural range <>) of std_logic_vector; function slv_2_la(slv : std_logic_vector) return logic_array; constant NF_RAM_ADDR_MATCH : std_logic_vector(31 downto 0) := 32X"0000----"; constant NF_UART_ADDR_MATCH : std_logic_vector(31 downto 0) := 32X"0001----"; constant NF_AHB_APB_MATCH : std_logic_vector(31 downto 0) := 32X"0002----"; constant NF_APB_GPIO_0_ADDR : std_logic_vector(7 downto 0) := 8X"0-"; constant NF_APB_PWM_0_ADDR : std_logic_vector(7 downto 0) := 8X"2-"; constant ahb_vector : logic_v_array(SLAVE_COUNT-1 downto 0)(31 downto 0) := ( NF_RAM_ADDR_MATCH, NF_UART_ADDR_MATCH, NF_AHB_APB_MATCH ); end package nf_settings; package body nf_settings is function slv_2_la(slv : std_logic_vector) return logic_array is variable result_l_a : logic_array(slv'range); begin for i in 0 to slv'length-1 loop result_l_a(i) := slv(i); end loop; return result_l_a; end function; end package body nf_settings;
entity c is end c; architecture behav of c is begin end behav;
<gh_stars>1-10 library ieee; use ieee.math_real.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity comp_2p2z is generic ( gain : real := 100.0; -- high DC gain for good load regulation fp1 : real := 7.5e3; -- pole location to achieve crossover frequency fp2 : real := 531.0e3; -- pole location to cancel effect of ESR fz1 : real := 403.0; -- zero locations to cancel L-C filter poles fz2 : real := 403.0 ); port ( terminal input, output, ref : electrical ); end entity comp_2p2z; ---------------------------------------------------------------- architecture ltf of comp_2p2z is quantity vin across input to ref; quantity vout across iout through output to ref; constant wp1 : real := math_2_pi * fp1; -- Pole freq (in radians) constant wp2 : real := math_2_pi * fp2; constant wz1 : real := math_2_pi * fz1; -- Zero freq (in radians) constant wz2 : real := math_2_pi * fz2; constant num : real_vector := ( 1.0, (wz1 + wz2) / (wz1 * wz2), 1.0 / (wz1 * wz2) ); constant den : real_vector := ( 1.0e-9, 1.0, (wp1 + wp2) / (wp1 * wp2), 1.0 / (wp1 * wp2) ); begin vout == -1.0 * gain * vin'ltf(num, den); end architecture ltf;
<reponame>IslamZangin/ArchitecturePorject library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; use ieee.numeric_std.all; entity MUX_Fetch is port ( Sel: in std_logic_vector (1 downto 0);--input from control unit --at reset control unit send zeroes to pcs --PC1: in std_logic_vector(15 downto 0 ); -- PC2: in std_logic_vector(15 downto 0 ); --address to jump to from BRANCH PC3: in std_logic_vector(15 downto 0 ); --address from memory[0] PC4: in std_logic_vector(15 downto 0 ); --address from memory[1] CLK: in std_logic; Out_instruction: out std_logic_vector(15 downto 0 ); InPort: in std_logic_vector(15 downto 0); OutPort: out std_logic_vector(15 downto 0); RESET: in std_logic ); end entity MUX_Fetch; architecture MUX_Fetch_Arch of MUX_Fetch is Component syncram is generic ( n : integer := 16); port ( clk : in std_logic; we : in std_logic; address : in std_logic_vector(n-1 downto 0); datain : in std_logic_vector(15 downto 0); dataout : out std_logic_vector(15 downto 0) ); end component; --*********************************************************************************** Component PC is port ( counter: in std_logic_vector(15 downto 0 ); new_counter: out std_logic_vector(15 downto 0 ); CLK: in std_logic; RESET: in std_logic ); end component; --+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ Component Ext_Mem_Buffer is Generic ( n : integer := 16); port ( Clk : in std_logic; Rst : in std_logic; --enable : in std_logic; inport_en_input : in std_logic_vector(15 downto 0); --?????????????? instruction_input :in std_logic_vector(15 downto 0); inport_en_output : out std_logic_vector(15 downto 0); --?????????????? instruction_output :out std_logic_vector(15 downto 0); OPcode: out std_logic_vector(4 downto 0 ); R1: out std_logic_vector(2 downto 0 ); --addres of reg1 R2: out std_logic_vector(2 downto 0 ); --addres of reg2 Rout: out std_logic_vector(2 downto 0 ) --for write back --LDD_Memory: out std_logic_vector(9 downto 0 ); --load value from memory to register --LDM_immediate: out std_logic_vector(15 downto 0 ); --load immediate value from user to register --input_port : in std_logic_vector(15 downto 0 ) ); end component; --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ signal MY_PC_SIGNAL: std_logic_vector(15 downto 0); --signal new_count: std_logic_vector(15 downto 0); signal PC1: std_logic_vector(15 downto 0); signal copied_data: std_logic_vector(15 downto 0); -----------------------BUFFER SIGNALS-------------------------- signal inport_en_output_signal: std_logic_vector(15 downto 0); --?????????????? signal instruction_output_signal : std_logic_vector(15 downto 0); signal OPcode_signal: std_logic_vector(4 downto 0 ); signal R1_signal: std_logic_vector(2 downto 0 ); --addres of reg1 signal R2_signal: std_logic_vector(2 downto 0 ); --addres of reg2 signal Rout_signal: std_logic_vector(2 downto 0 ); --for write back --------------------------------------------- begin --inport data --regesiter to store data of inport OutPort<=InPort; --send zero to pc when reset MY_PC_SIGNAL <= PC1 when Sel = "00" and RESET='0' else PC2 when Sel = "01" and RESET='0' else PC3 when Sel = "10" and RESET='0' else PC4 when Sel = "11" and RESET='0'else (others => '0') when RESET='1' else (others => '0'); -------------------------------------- set0: syncram generic map (n =>16) port map (CLK,'0',MY_PC_SIGNAL,"0000000000000000",copied_data); --clk,enable,(address to WRITE in),data to write, outputdata from selected address My_PC: PC port map (MY_PC_SIGNAL,PC1,CLK,RESET); --PC1<=MY_PC_SIGNAL; Out_instruction<=copied_data; --Out_PC<=MY_PC_SIGNAL; end architecture MUX_Fetch_Arch;
<reponame>slaclab/lcls-timing-core ------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- This file is part of 'LCLS Timing Core'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'LCLS Timing Core', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.NUMERIC_STD.all; library surf; use surf.StdRtlPkg.all; use surf.AxiStreamPkg.all; --use work.SsiPciePkg.all; library lcls_timing_core; use lcls_timing_core.TimingPkg.all; use lcls_timing_core.EvrV2Pkg.all; --use work.PciPkg.all; use surf.SsiPkg.all; use lcls_timing_core.TPGPkg.all; entity EvrV2_tb is end EvrV2_tb; architecture mapping of EvrV2_tb is constant TPD_G : time := 1 ns; signal evrClk : sl; signal evrRst : sl; signal evrBus : TimingBusType; signal exptBus : ExptBusType := EXPT_BUS_INIT_C; signal txPhyClk : sl; signal txPhyRst : sl; -- Trigger and Sync Port signal trigOut : slv(11 downto 0); -- Misc. signal cardRst : sl; signal ledRedL : sl; signal ledGreenL : sl; signal ledBlueL : sl; constant STROBE_INTERVAL_C : integer := 10; constant MY_CHANNEL_CONFIG_INIT_C : EvrV2ChannelConfig := ( enabled => '1', rateSel => "00" & "0000000" & x"0", destSel => "010" & x"0000", bsaEnabled => '0', bsaActiveSetup => (others=>'0'), bsaActiveDelay => (others=>'0'), bsaActiveWidth => (others=>'0'), dmaEnabled => '1' ); constant MY_TRIGGER_CONFIG_INIT_C : EvrV2TriggerConfigType := ( enabled => '1', polarity => '1', delay => x"0007590", width => x"0000080", channel => (others=>'0') ); signal channelConfig : EvrV2ChannelConfigArray(ReadoutChannels-1 downto 0) := (others=>MY_CHANNEL_CONFIG_INIT_C); signal channelConfigS : EvrV2ChannelConfigArray(ReadoutChannels-1 downto 0) := (others=>MY_CHANNEL_CONFIG_INIT_C); signal triggerConfig : EvrV2TriggerConfigArray(TriggerOutputs-1 downto 0) := (others=>MY_TRIGGER_CONFIG_INIT_C); signal triggerConfigS : EvrV2TriggerConfigArray(TriggerOutputs-1 downto 0) := (others=>MY_TRIGGER_CONFIG_INIT_C); signal rStrobe : slv(ReadoutChannels*STROBE_INTERVAL_C downto 0) := (others=>'0'); signal timingMsg : TimingMessageType := TIMING_MESSAGE_INIT_C; signal eventSel : slv(ReadoutChannels-1 downto 0) := (others=>'0'); signal dmaControl : EvrV2DmaControlArray(ReadoutChannels+1 downto 0) := (others=>EVRV2_DMA_CONTROL_INIT_C); signal dmaData : EvrV2DmaDataArray(ReadoutChannels+1 downto 0); constant SAXIS_MASTER_CONFIG_C : AxiStreamConfigType := ssiAxiStreamConfig(4); signal dmaMaster : AxiStreamMasterType; signal dmaSlave : AxiStreamSlaveType := AXI_STREAM_SLAVE_INIT_C; signal bsaEnabled : slv(ReadoutChannels-1 downto 0); signal anyBsaEnabled : sl; signal dmaFullThr : Slv24Array (0 downto 0) := (others=>x"000000"); signal dmaFullThrS : Slv24Array (0 downto 0) := (others=>x"000000"); begin -- rtl txPhyClk <= evrClk; txPhyRst <= evrRst; cardRst <= '0'; process is begin evrClk <= '1'; wait for 2.7 ns; evrClk <= '0'; wait for 2.7 ns; end process; process is begin evrRst <= '1'; wait for 20 ns; evrRst <= '0'; wait for 300 us; for i in 0 to ReadoutChannels-1 loop channelConfig(i).enabled <= '0'; wait for 3 us; end loop; wait; end process; xpm : block type RegType is record advance : sl; count : slv( 7 downto 0); addrStrobe : slv( 1 downto 0); partStrobe : slv(15 downto 0); partIndex : slv( 3 downto 0); tbus : TimingBusType; shift : slv(TIMING_MESSAGE_BITS_C-1 downto 0); end record; constant REG_INIT_C : RegType := ( advance => '0', count => (others=>'0'), addrStrobe => "00", partStrobe => (others=>'0'), partIndex => (others=>'0'), tbus => TIMING_BUS_INIT_C, shift => (others=>'0') ); signal recTimingClk : sl; signal recTimingRst : sl; signal tpgConfig : TPGConfigType := TPG_CONFIG_INIT_C; signal xData : TimingRxType := TIMING_RX_INIT_C; signal fiducial : sl; signal streams : TimingSerialArray(0 downto 0); signal streamIds : Slv4Array (0 downto 0) := (others=>TIMING_STREAM_ID); signal advance : slv (0 downto 0); signal r : RegType := REG_INIT_C; signal rin : RegType; signal data : TimingRxType := TIMING_RX_INIT_C; begin evrBus <= r.tbus; recTimingClk <= evrClk; recTimingRst <= evrRst; U_TPG : entity lcls_timing_core.TPGMini port map ( txClk => recTimingClk, txRst => recTimingRst, txRdy => '1', txData => data.data, txDataK => data.dataK, statusO => open, configI => tpgConfig ); TimingDeserializer_1 : entity lcls_timing_core.TimingDeserializer generic map ( STREAMS_C => 1 ) port map ( clk => recTimingClk, rst => recTimingRst, fiducial => fiducial, streams => streams, streamIds => streamIds, advance => advance, data => data ); -- This is the serial to parallel part comb: process (r, advance, streams, fiducial) is variable v : RegType; begin v := r; v.advance := advance(0); v.tbus.strobe := '0'; if advance(0)='1' then v.shift := streams(0).data & r.shift(r.shift'left downto 16); end if; if (fiducial='1') then v.tbus.strobe := '1'; v.tbus.valid := streams(0).ready; v.tbus.message := toTimingMessageType(r.shift(TIMING_MESSAGE_BITS_C-1 downto 0)); end if; rin <= v; end process comb; seq: process (recTimingClk) is begin if rising_edge(recTimingClk) then r <= rin; end if; end process seq; end block; -- Undefined signals ledRedL <= '1'; ledGreenL <= '1'; ledBlueL <= '1'; U_Dma : entity work.EvrV2Dma generic map ( CHANNELS_C => ReadoutChannels+2, AXIS_CONFIG_C => SAXIS_MASTER_CONFIG_C ) port map ( clk => evrClk, dmaCntl => dmaControl, dmaData => dmaData, dmaMaster => dmaMaster, dmaSlave => dmaSlave ); U_BsaControl : entity work.EvrV2BsaControl generic map ( TPD_G => TPD_G ) port map ( evrClk => evrClk, evrRst => evrRst, enable => anyBsaEnabled, strobeIn => evrBus.strobe, dataIn => evrBus.message, dmaCntl => dmaControl (ReadoutChannels), dmaData => dmaData (ReadoutChannels) ); Loop_BsaCh: for i in 0 to ReadoutChannels-1 generate U_EventSel : entity lcls_timing_core.EvrV2EventSelect generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, config => channelConfigS(i), strobeIn => rStrobe(i*STROBE_INTERVAL_C), dataIn => timingMsg, exptIn => exptBus, selectOut => eventSel(i) ); U_BsaChannel : entity work.EvrV2BsaChannel generic map ( TPD_G => TPD_G ) port map ( evrClk => evrClk, evrRst => evrRst, channelConfig => channelConfigS(i), evtSelect => eventSel(i), strobeIn => rStrobe(i*STROBE_INTERVAL_C+1), dataIn => timingMsg, dmaCntl => dmaControl(i), dmaData => dmaData(i) ); end generate; -- i U_EventDma : entity work.EvrV2EventDma generic map ( TPD_G => TPD_G, CHANNELS_C => ReadoutChannels ) port map ( clk => evrClk, rst => evrBus.strobe, strobe => rStrobe(ReadoutChannels*STROBE_INTERVAL_C), eventSel => eventSel, eventData => timingMsg, dmaCntl => dmaControl(ReadoutChannels+1), dmaData => dmaData (ReadoutChannels+1) ); process (evrClk) begin -- process if rising_edge(evrClk) then rStrobe <= rStrobe(rStrobe'left-1 downto 0) & evrBus.strobe; if evrBus.strobe='1' then timingMsg <= evrBus.message; end if; end if; end process; Out_Trigger: for i in 0 to TriggerOutputs-1 generate U_Trig : entity lcls_timing_core.EvrV2Trigger generic map ( TPD_G => TPD_G, CHANNELS_C => ReadoutChannels, --DEBUG_C => (i<1) ) DEBUG_C => false ) port map ( clk => evrClk, rst => evrRst, config => triggerConfigS(i), arm => eventSel, fire => evrBus.strobe, trigstate=> trigOut(i) ); end generate Out_Trigger; anyBsaEnabled <= uOr(bsaEnabled); -- Synchronize configurations to evrClk Sync_Channel: for i in 0 to ReadoutChannels-1 generate U_SyncRate : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => channelConfig (i).rateSel'length) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).rateSel, dataOut => channelConfigS(i).rateSel ); U_SyncDest : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => channelConfig (i).destSel'length) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).destSel, dataOut => channelConfigS(i).destSel ); Sync_Enable : entity surf.Synchronizer generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).enabled, dataOut => channelConfigS(i).enabled ); Sync_dmaEnable : entity surf.Synchronizer generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).dmaEnabled, dataOut => channelConfigS(i).dmaEnabled ); Sync_bsaEnable : entity surf.Synchronizer generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).bsaEnabled, dataOut => bsaEnabled(i) ); channelConfigS(i).bsaEnabled <= bsaEnabled(i); Sync_Setup : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => channelConfig (i).bsaActiveSetup'length) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).bsaActiveSetup, dataOut => channelConfigS(i).bsaActiveSetup ); Sync_Delay : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => channelConfig (i).bsaActiveDelay'length) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).bsaActiveDelay, dataOut => channelConfigS(i).bsaActiveDelay ); Sync_Width : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => channelConfig (i).bsaActiveWidth'length) port map ( clk => evrClk, rst => evrRst, dataIn => channelConfig (i).bsaActiveWidth, dataOut => channelConfigS(i).bsaActiveWidth ); end generate Sync_Channel; Sync_Trigger: for i in 0 to TriggerOutputs-1 generate Sync_Enable : entity surf.Synchronizer generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, dataIn => triggerConfig (i).enabled, dataOut => triggerConfigS(i).enabled ); Sync_Polarity : entity surf.Synchronizer generic map ( TPD_G => TPD_G ) port map ( clk => evrClk, rst => evrRst, dataIn => triggerConfig (i).polarity, dataOut => triggerConfigS(i).polarity ); Sync_Channel : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => triggerConfig (i).channel'length) port map ( clk => evrClk, rst => evrRst, dataIn => triggerConfig (i).channel, dataOut => triggerConfigS(i).channel ); U_SyncDelay : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => triggerConfig (i).delay'length) port map ( clk => evrClk, rst => evrRst, dataIn => triggerConfig (i).delay, dataOut => triggerConfigS(i).delay ); U_SyncWidth : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => triggerConfig (i).width'length) port map ( clk => evrClk, rst => evrRst, dataIn => triggerConfig (i).width, dataOut => triggerConfigS(i).width ); end generate Sync_Trigger; Sync_dmaFullThr : entity surf.SynchronizerVector generic map ( TPD_G => TPD_G, WIDTH_G => 24 ) port map ( clk => evrClk, rst => evrRst, dataIn => dmaFullThr (0), dataOut => dmaFullThrS(0) ); end mapping;
<gh_stars>100-1000 -- The Potato Processor - A simple processor for FPGAs -- (c) <NAME> 2014 - 2015 <<EMAIL>> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_soc_memory is end entity tb_soc_memory; architecture testbench of tb_soc_memory is -- Clock signal: signal clk : std_logic; constant clk_period : time := 10 ns; -- Reset signal: signal reset : std_logic := '1'; -- Wishbone signals: signal wb_adr_in : std_logic_vector(31 downto 0); signal wb_dat_in : std_logic_vector(31 downto 0); signal wb_dat_out : std_logic_vector(31 downto 0); signal wb_cyc_in : std_logic := '0'; signal wb_stb_in : std_logic := '0'; signal wb_sel_in : std_logic_vector(3 downto 0) := (others => '1'); signal wb_we_in : std_logic := '0'; signal wb_ack_out : std_logic; begin uut: entity work.pp_soc_memory port map( clk => clk, reset => reset, wb_adr_in => wb_adr_in, wb_dat_in => wb_dat_in, wb_dat_out => wb_dat_out, wb_cyc_in => wb_cyc_in, wb_stb_in => wb_stb_in, wb_sel_in => wb_sel_in, wb_we_in => wb_we_in, wb_ack_out => wb_ack_out ); clock: process begin clk <= '1'; wait for clk_period / 2; clk <= '0'; wait for clk_period / 2; end process clock; stimulus: process begin wait for clk_period; reset <= '0'; -- Write 32 bit of data to address 0: wb_adr_in <= x"00000000"; wb_dat_in <= x"deadbeef"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wb_we_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; wait for clk_period; -- Write a block write of two 32-bit words at address 0 and 1: wb_adr_in <= x"00000000"; wb_dat_in <= x"feedbeef"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_adr_in <= x"00000004"; wb_dat_in <= x"f00dd00d"; wait for clk_period; wb_stb_in <= '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; -- Read address 4: wait for clk_period; wb_we_in <= '0'; wb_adr_in <= x"00000000"; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait for clk_period; -- TODO: Make this testbench automatic. wait; end process stimulus; end architecture testbench;
library verilog; use verilog.vl_types.all; entity test_vlg_vec_tst is end test_vlg_vec_tst;
<reponame>rubinektomas/Digital-electronics-1 ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17.03.2021 10:51:11 -- Design Name: -- Module Name: tb_top - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity tb_top is -- Port ( ); end tb_top; architecture Behavioral of tb_top is constant c_CLK_100MHZ_PERIOD : time := 10 ns; signal s_clk : std_logic; signal s_reset : std_logic; signal s_data0 : std_logic_vector(4 - 1 downto 0); signal s_data1 : std_logic_vector(4 - 1 downto 0); signal s_data2 : std_logic_vector(4 - 1 downto 0); signal s_data3 : std_logic_vector(4 - 1 downto 0); signal s_dpi : std_logic_vector(4 - 1 downto 0); signal s_seg : std_logic_vector(7 - 1 downto 0); signal s_digo : std_logic_vector(4 - 1 downto 0); signal s_dpo : std_logic; begin uut_top : entity work.top port map( CLK100MHZ => s_clk, BTNC => s_reset, SW(4-1 downto 0) => s_data0, SW(8-1 downto 4) => s_data1, SW(12-1 downto 8) => s_data2, SW(16-1 downto 12) => s_data3, CA => s_seg(0), CB => s_seg(1), CC => s_seg(2), CD => s_seg(3), CE => s_seg(4), CF => s_seg(5), CG => s_seg(6), DP => s_dpo, AN => s_digo ); p_clk_gen : process begin while now < 750 ns loop -- 75 periods of 100MHz clock s_clk <= '0'; wait for c_CLK_100MHZ_PERIOD / 2; s_clk <= '1'; wait for c_CLK_100MHZ_PERIOD / 2; end loop; wait; end process p_clk_gen; end Behavioral;
<filename>project_8_randomsequenceVHDL/project_8_randomsequenceVHDL.srcs/sources_1/imports/mcc-simulator/multiplexer.vhd ---------------------------------------------------------------------------------- -- Company: CERN -- Engineer: <NAME>, <NAME> -- -- Create Date: 17:31:33 09/17/2007 -- Design Name: -- Module Name: multiplexer - Behavioral -- Project Name: ATLAS Pixel Simulator -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity multiplexer is port ( enable : in STD_LOGIC; -- simulation enabled(1) or disabled(0) formatter_mode : in STD_LOGIC_VECTOR (1 downto 0); -- fowmatter mode 40 Mbit/s(00/11), 80 Mbit/s(01) or 160 Mbit/s(10) multi_simulators : in STD_LOGIC; -- multi simulator use enabled(1) or disabled(0) inlink_0 : in STD_LOGIC_VECTOR (3 downto 0); -- inputs, not simulated/real data, from BOC inlink_1 : in STD_LOGIC_VECTOR (3 downto 0); -- inputs, simulated, from simulator 1 inlink_2 : in STD_LOGIC_VECTOR (3 downto 0); -- inputs, simulated, from simulator 2, not used in 160 Mbit/s mode inlink_3 : in STD_LOGIC_VECTOR (3 downto 0); -- inputs, simulated, from simulator 3, not used in 80 & 160 Mbit/s modes inlink_4 : in STD_LOGIC_VECTOR (3 downto 0); -- inputs, simulated, from simulator 4, not used in 80 & 160 Mbit/s modes outlink : out STD_LOGIC_VECTOR (3 downto 0) -- outputs, to formatter ); end multiplexer; architecture Behavioral of multiplexer is begin output_mux : process ( enable, formatter_mode, multi_simulators, inlink_0, inlink_1, inlink_2, inlink_3, inlink_4 ) begin if (enable = '0') then -- real data case outlink <= inlink_0; else case formatter_mode is when "01" => -- 80 Mbit/s simulated case if (multi_simulators = '0') then -- single simulator case outlink(0) <= inlink_1(0); outlink(1) <= inlink_1(1); outlink(2) <= inlink_1(0); outlink(3) <= inlink_1(1); else -- multiple simulators case outlink(0) <= inlink_1(0); outlink(1) <= inlink_1(1); outlink(2) <= inlink_2(0); outlink(3) <= inlink_2(1); end if; when "10" => -- 160 Mbit/s simulated case, only one simulator possible outlink(0) <= inlink_1(0); outlink(1) <= inlink_1(1); outlink(2) <= inlink_1(2); outlink(3) <= inlink_1(3); when others => -- 40 Mbit/s simulated case if (multi_simulators = '0') then -- single simulator case outlink(0) <= inlink_1(0); outlink(1) <= inlink_1(0); outlink(2) <= inlink_1(0); outlink(3) <= inlink_1(0); else -- multiple simulators case outlink(0) <= inlink_1(0); outlink(1) <= inlink_2(0); outlink(2) <= inlink_3(0); outlink(3) <= inlink_4(0); end if; end case; end if; end process; end Behavioral;
<filename>PROCESSOR_6_BITS_FINAL/TB_2.vhd -- Vhdl test bench created from schematic C:\Users\<NAME>\Documents\FINAL LAB RESOUSES\New folder (3)\PROCESSOR_6_BITS_FINAL\AU_6BITS.sch - Fri Dec 09 23:09:14 2016 -- -- Notes: -- 1) This testbench template has been automatically generated using types -- std_logic and std_logic_vector for the ports of the unit under test. -- Xilinx recommends that these types always be used for the top-level -- I/O of a design in order to guarantee that the testbench will bind -- correctly to the timing (post-route) simulation model. -- 2) To use this template as your testbench, change the filename to any -- name of your choice with the extension .vhd, and use the "Source->Add" -- menu in Project Navigator to import the testbench. Then -- edit the user defined section below, adding code to generate the -- stimulus for your design. -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY UNISIM; USE UNISIM.Vcomponents.ALL; ENTITY AU_6BITS_AU_6BITS_sch_tb IS END AU_6BITS_AU_6BITS_sch_tb; ARCHITECTURE behavioral OF AU_6BITS_AU_6BITS_sch_tb IS COMPONENT AU_6BITS PORT( ADD_SUB : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR (5 DOWNTO 0); S : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); B : IN STD_LOGIC_VECTOR (5 DOWNTO 0); Z_OUT : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; C_OUT : OUT STD_LOGIC); END COMPONENT; SIGNAL ADD_SUB : STD_LOGIC; SIGNAL A : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL S : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL B : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL Z_OUT : STD_LOGIC; SIGNAL OVERFLOW : STD_LOGIC; SIGNAL C_OUT : STD_LOGIC; BEGIN UUT: AU_6BITS PORT MAP( ADD_SUB => ADD_SUB, A => A, S => S, B => B, Z_OUT => Z_OUT, OVERFLOW => OVERFLOW, C_OUT => C_OUT ); -- *** Test Bench - User Defined Section *** tb : PROCESS BEGIN A(0)<='0'; A(1)<='0'; A(2)<='0'; A(3)<='0'; A(4)<='1'; A(5)<='0'; B(0)<='0'; B(1)<='0'; B(2)<='0'; B(3)<='0'; B(4)<='1'; B(5)<='0'; ADD_SUB<='0'; WAIT FOR 2 NS; ADD_SUB<='1'; WAIT FOR 2 NS; WAIT; -- will wait forever END PROCESS; -- *** End Test Bench - User Defined Section *** END;
<reponame>jake-billings/PYNQ-DL<filename>darius/ip/cnn_dataflow_2.0/datamover/lib_fifo_v1_0_rfs.vhd `protect begin_protected `protect version = 2 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect begin_commonblock `protect end_commonblock `protect begin_toolblock `protect key_keyowner="Xilinx" `protect key_method="rsa" `protect key_keyname="xilinxt_2017_05" `protect key_block <KEY> `protect control xilinx_enable_probing="false" `protect control xilinx_enable_bitstream="true" `protect control xilinx_enable_netlist_export="false" `protect control xilinx_enable_modification="false" `protect control xilinx_configuration_visible="false" `protect rights_digest_method="sha256" `protect end_toolblock="jprrY/WghfJv7TJ70unS1rgXJE7gRXuEZpXQi87frSQ=" `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 206224) `protect data_block UNBOOX3jRQnf8T62/IeJRk7JcMYkvasdVj3/YJL/lMMrbYLU9PiUZgCIkfp0XM0OaLLIhhLnUuDN xIX5F1SnG4QlT2Mpidoso0c4OUfJujW0yWvtxFVsvUCuAkaeHRGoMxPkBUUM1ZgPxotgqaZ0xQRg S4ltC10sToiW/5znOFhBnlN+lHf924OQ2d2bpQ2/A04wl/fQYJ8tWbswdMp1dTFYwnxUqQuubXun ePTnyk7Y8Ey+ppeTt6+SM2F2mwa6QAoa+ANGEcV0a+jfBM4gBPJ0E4jxptTztSPipnGTKaVCiIS4 VKGFuTcJidUcFZRSvML1n8Kphu0rBkyuHSGSVmZOCJ4Tid6rLR4PDZTKSJNzJox0ApKHcYPDffyl dbAeryoqjsMaib0fS+ijlzZNyT+s4lhYJsxg9OBp00g/02ePPivebD/VUszBbcI+bQ1C6IVDg1F1 KpgXn893ILCtHPkI60KIJAkIA6vWZpZyEjozlPVDyDWrZ8gXtA5dFQxV5dZZQeW2Yxb+6BbBLexU zQqvNNezkFWvqDI/Gw3VPurxmfaZLQVSy5ZYzBe2A8GwTwXAaxHIUi2/7ADOynhV4P67btxT/W0e 3FHLcPwujG/zZjMvYkccuulDj/xGtOzn6RfWBIc2xiYrt7IviX6ATXN5amEoxVH4RJxz6ThiBXAY TCsARhgUPO1z8WW0kDs655KyOANTIgqBrbKt1klxnrPQ1x6LtDu4naRRTDhmeaKH20RsWmDb+Aja Lhh89Q+pEH5fwDoyKP7+0yXiwZOqxfoobHUD6GMs5K0gM2xRNZaU01AiE5EQHCmHRMYGMBhha3z+ JrxfH+/ipSy3+5soK7SQcfxwWM7PiU8mj2SBVmRcGagmb2j31E3v8u6r1vDs1r/a+XFVuFr/WgSk 8iLo0p+lb4Jwq1ZIJaExdtMF/BRsf2luLSkLsEv4gk94+pbjRICA/1zEkObPi9FeWh0WhUI+jbkb s0/m3iO82YhD1aIuuXuYBIQDrJcxojjj9Wcjzk7JQUfo29XCjJxuW/Bnj2n0xDwZBVHPhkT5qmep zbe6rQ0ZaOI5/1dZ/deGDUplszX4ymGmsFI7xvdK7Iy9+P1xpXDRkm3jXbtuJzCMG0GaBtuqYgn9 YF7wreqeAyc8UzFL8q0gogNK0atfvfi3V6+sSBI+fpiTWIdwVEZ17zYJ0C/MNrbWldSJBnw7A6oh 2DdZtRBwXuJnB8hspYWNaHvLl6nA2ppRR3JemeplU8H7wzOvJ4jpsaZ5FF9EXtF+E+ST7BveIDKm 4DlijgOqcWgq0pcQ1vd93/MbaAaefXCWy66uu8RgTP3f2GFuGPCHcUb9qalHi+2oUkTT/fPCLzjW WKAlFRyr2En43Wn5h9E7ZmQseVwUuZkcq6swHpJ6QzMT3bm80BNnL6R3zhLnc6aPO32YukZud2dp 0eWhMIrRgCPdy53TyZu5xmW4HvxQqTxdpn1hYw6h/oIDZJo97pI3lAWBAZAQjQ8LhOiBAh+WepUX bx7a2Q4xWjbR6G7IK+k/+uf3OKZFm+gi+UUkhzOxyKWEk6o9NmG1dSv7WirVz6fIUuyls5QB2Tbj 5plFw6p8eYyw3h+Cthmm8lBhQW5Y/CgQEdPs8aClwgX0OfgXLfTzZCrdavS9josFpuXLNzffJnAh Ic/yPXqD+hYl9UszvApPLtBYNDydCz9T3NNiDo7B9+tR120NBRS4XuE2RHW5HBUHPjhtsFjrmqMg qu2e5U/y/RLzCWKKkawMU83Qvgis84JHW2iAp4Ab9QOkL6dJGavNtWYHhaRwQpVfWZTO03y6GNoF NHoMdKX/+juL29s0AZX/QWxJO9EQkbTJ0t6kZfWgAVDUMQWxqycFSAK6rnQsyJyA9EhwWXoYqypi A9g9hstfqQDNRLLODGoli1bKQRLLbWZxl8PwIKurDOBnAI4X6oMD43ptirt5Xe6lO4+pAV7Yh2SW G0rTqIzUDAJfBE6sfyvLQDxeBEbCMlu3dGXKXBa7EPDPHEGe9xRmJzpz1uRwwFHwpjgMSSXStV2Z VGYOw82aBtm2m9sv122lH/O+WCLNS4Vh9lpFJcbMP0KwIQmt3cJm06OYvmAHBVvUFPueqJusADrO haWKGNJCMGv5jbYTMXL0xIOnkfH5ylKSAXAsKJN2UXvwjoPVahMR6Cf1XfNXLUjh+7NVmz0mSSqR El0TDWLk3Tfa7yO2OeHT04q3Aig8pLis7m0HKSe66+Z6XykDg0CDdv+pA6kMAoXo8p8S4PYvSyx4 exEyd8vR6s4PU9f6z/bVWGRA2TJ+rvnx6XwgOWhbvBC9pR36JI98Lv2nqZKJ3dBPtvop7I/lk5vR jGWp1JIX3X53u8uWyikYg3kvA41EEp1VJp0F9UC9cGtuSfp0CR4N5c4oZDJN3igByUhRt/w7Fja7 j8WzT0UlyHJueP/YBTad0cI0sUCSl7ZIinhHBvlWWDeXPkmOn4M72yNQE3wQIBDcJXfX76sl2lKb djIUOZ43KLy6vHaFRdAPEDuhMSSAIP+X3g1jUkXge0/mmPEfOi3RtPIrYKJKGI/iyxuu5RayBUST mT9nKheHqBkAIjb1dP2xVMxS6yF7SwBjcPgs2ZNSFzU4hLXGdhUptlAMCl3GS8ysH11MiQf3fWDF GvhGyiSRGEDAgsEEwuN0QQ4y086YxE7AvHX8EWXHqqUd8nkou8cq6x9T5x3r5Ma91aYaLS3zBMQN My4VU8cOE4vF8mFGO7NIu6Ir3inxqjOzHbDWjiTO/r2lVyMhLF7/m7iFpxwbQFQFvLgwnUdQSa8x 3KYSIqMsiiPKwoAlFZ8KVBAX+c1l1w424YIQC011A4vs0HzIE1krVu/XE6jKlluCavaK+yYfwg7M UtQjE0j07nnfcog37euWrALoElsYyneOs0QFHO04gBmKPAvAnmi9LL0IQyMYDn45oaKTYOLW7QEi Z8ke/5cVmcwUe7F+ErRpz7iri8fLeoicWiQp+P3BvYqzPg8sQXxD1LRiWRLKyVvueMCpmFxNdWS1 wKGF/i/vN+a02AciHTM08rmwaAWeOASXPbUm34SMXa8qNaYZK8rSVitP/eCJRB6xfAx1yKjcycaC iwCvsBDK/Z883GycGLHgoWhmm2/Rrz125p/IE0jGWXbuO668OVzBP+p7ZTW5/7Hi60+/yuZRR7Nr zGqrKdhJp9nCFrUUCkhX9Loh4b4EcZLuc5TM8ZLHzuSyHtzLasqGtgCYyt9wMEUSbrebETeyjaVF r3EfhZezjyvvgmuXj2vCl/gF3G+Gw6fGusBnVLYt8DCc0b+ZUjUMKqS85sX3MbOxb566ZcyAoqzI 35O27IObntAugBwuICHbpqJeJJ1D9TrgmlKNtq7zPPH19AM2KCJcH3eiPBEKIMxHwlGqZnnDCgVl j0jWIAAyKQKpNWcaG/OCfkPuG7f1DyhbtvyBSO7Y/4iwa6humSyv3f53ZhBTgGs9dHwCJEbgYWH4 FwGhIsUvySqxAHEtnNAcCwI2Z9WvyD+pk+5UngmWG+EsNbmlSQXrVPxp3QEWYjyzjXNKHEcZRM0g ZQ6HrkcBcOgErkCNzL0O3RJ1xJcDCSyfRaTuRAcHbh62/u5fnSys1errDjlTgDVszDemssHeaLCS zZ4lonNSl3VELZBPchW/oBPDaXQedC9SFK4CgWu4pXYvk9Ur4zCLt9/nXWoaimtQsVIvHH4EYE7D h6DA/BlgVHzPXcopaOnspTA3FOPZSNqWaYZKPhaINPlTykFLPyA9L4TfkGoKKAaMcr1wXSmSslDD YhVN7G9JPu8NlODDL1dxh15UHKTJH5m/G31nUI09AHsKN42ws/xowbfr8sQcpJAL1WDrXPjRLot6 fxjanysWaQ55o7XEf+hhpVLMfDFVZbOGQ9P0snQlkfRHrmbYlIkuUpE3CaelJni5Wv3pebxUHV/g ClaalCUxiea9psb977/mwTEogQKJwI5Uu5NuZBZYB7FM1EFnAkI6c9z+Iiy8vXjheUQcJzEO0312 InOT08OoxdeFA/DHTN44YBhIXuzJVvYkeZbGBB9GirRF7sTYs1Ct5zePWc3ERBcXedrcZdLuH5Xr zI03DZqcthZeHWYNMZhUsjrp3/UapXgEb2ugzXDqMe19VxrVd7SXTMY7tDmEv80PAhbjureicqFW CYtcgUsfaqTqy01V0Lp8yUYBLDcvucSMnzInM9SqfUHKMEV/wD9n3TxoVrNBSUaJusHS5ARRlDb/ zWu4a09sEdd6FoCYDcxaLPiHPxhjf8rFW5TJ65E/1hLmnzJSN8Enep0zKjclvZZJYoFiJzlSuDSc nMW7l7uwFxQryk5+8ufKctYLpfHwlOfa1iRjzRqf1gs8Cfr2hPSVZQRoI4fv50mr5XOgXYKg7ZFQ ZXVIc5o7+MoYr1GbnfstGSg10nfFHVr/Pvf/K6XOY17Cg+VD6QClA/9TPQUdisd0hBTbXoH9O4uA FXlBKh7m4Pd1DA1G1PNWMEv2r1cVHZ2LQ9QccJvdjrRiROgxisYcIR7R77wtKiIfaDr8zbH1CEGz B/ktzPMS+ovaXvoZEO6ybElRtCitLg1ZIb5NwFfn16mm13hOmxvF82eM2DBIwPhXlZ0vHUIYdfrs 26MvB99U3P11A9Jbwm24XDSizNkligHb/qE+XQB5rOG7ROwmzsEGlU1RHUyVuHWdtMgxJatavs7U E6Qr1hCG4q1qYxMj7eHYgcrSQ5EbsV3AojSe7pLLLDrhe6MoBOXtcaCcB6CDYt4WiYMhKW67hf99 4Zg3VS9tdsMbVSgPXLP/ADSzegFq9qHfWfi3HU9IvADOPPN2WVvtERkiBGIkkjmROa1ER9jRipme yeNRThAJwFVWMI/DGAAtaUGfHIrqXb2D0velqhkjmgjl9bHogd04K9QhqrvfS39vZW8MVxRAguyR xrR2K3eQEIw2nPzPR6R9dZFA4ZeZIV0FMV+uYU7nylbq79Qt254OVvJwJAuJvgEIgEYNYDQkeHm6 cwNS7mLSx0dQnHlw3uZHPQW0cvP8/MDM/r0GFByCSXmzsY5ZLheeXQPxoeo2qWl3b+t7brFFFDOV MWLaUG/pDy3U7UebztqztpUahiyS2yy1iaJWdbCp6Gg1J8DaaQzaBK2PJN0i7nmDfuNKkfYj99aO C6wkuqB0yVKDZJw12ZeGGXUFOJkO9wCzpj+G2ynWYsSCQQraUx+u5KbpwKNfFgaxiWT5r1qrpVg9 CM6iZBJqxx2XVOPIkurTScYVcJ/iL6Y966GvugI67Ao+lKuq3e8bv1r+T/MO703ls9kXD28AssOt M6UzfnKXCtzHhEXEs1zboYFWMplclE/oaa0kc+y3NDxVXBWCViGkYEzLwvV6CnqqQ25dphBvnzsy HevXkPBFTcJ2H50iT3gA3aEbigiFo0eP34LD2wszUwqSPEakAY6b2y6aj7hdSVFmJQKTk1DxnPBH kpwvVGQt9qMLvtCmiMjjoub5hC/66MPj6pNSjOPfqUjuyWdZKUgfbCeJwbbHmdYfVzvMoEmrsolL lPngUza2okkrmWGfOAwW8hDcczemzKi/mkY9r1xVhclehKvmR5kk0HJH5kAX42CEqxqgXD6xZw1w AKpemeSOzYiNvjGEPjJppQuLH5WmVfjyotddX5gpx3AiXC+wSNon2B/souO/d3bggiF2/IjWdy7Z IF38yvt3amSUxOFjMgtmnvA4r8UekG3wH2UtLUf/QmWwpy2KJivOpvzzsukIcnAkIekoLEfz03rt vaUe7a7BXKctoqiLPLk6MGSWCAKMYSEtE6HA0W0SOfPsil9hpeoDTf86Sev6MH+nwPlconMNkD+4 eVikOCBWUPnRsIqjUFZ1Ra0xCNi8NJHcsl3tztGpuc5I9LtNn+vIB/J81tvOFrNAgBDUhJGf8s83 yRM4o5GvSvd8BV7MT0xHA7IBGWluo9WCWACpsgiMp94WGoQd9AmmH3NjCnJd+QB8CmMtI/6F5uyz rhJtMkACyH8ZJ3zmhJPZSG2+glSzqUDJ8S5rzdPLqGevcFt5EZctn36/Kpl3QsVzDJok30IZrXBx uyUJ98DZOW/nvDb6nXZ8VnGvhucA4uQisNgprpaDT0AqBG+R161+knGC9WjNgIqDe7Yjpnp0rQbg anDrhDc94hONkG5g6FdLksP6d9T8FtlevF/1de7HTsyGDV75+FkI17smZKN5DBhio4wU5qZPqIdo vqGI/0hhtSyn/2pjQhWWJYpsIlsr2mY2JSid2muWOHi2exQwkVTfrypRqORtjwckVqmd6oEmexUQ ctF2593AXOulJgVfYDhJmP51/RLEiBWLCHayBUeceWaNRxK6Cb9miEW62kBJ6f9Nstd3DEiFuXrk qjUOSZ0sT2uAVLeuuODuooyiIHsG9Hse/Kr0eJbnXcz7fxV4GJ7qQwJj7Ju8MeLxZrNhGi43xpl+ kqrm7d18dSz07IqttDBr/FTBGwgV7Z4flGGaOUN0YW7e04J5INch8Vr37kJzhUnwSNLs/oGBYvml 3V5MCp1cpyoAb4aqc5HZHRx42zAvhwSvM3NC5ZnBcfYEMA3wG7QRTpnnm7pctg8RHzoHUxhXBU6z fnTFvsele41g19XlSdYQWz36NeEXolEwcZq70rU078awdzbBRI0g2a2xPf7spTCRdko15asxsvVc nZCb7xoXoDGQ7S+jOgYmn1HK88IPqBOGuU4QuluYVXwsovuvtqFI9KjhD3CSRBDT8j9EvDnlD522 OhnRymZZ7KEdpQKyYvj1kuBtcr5yu7l8Z+UJ7eFYCQjLHxh2gR906VTtM0SuqRvLSjTb6oi/IZi6 GntTXse3LeuqFgReQsep3cqVqubFFZaMHvGHgqmv51Mc+HJcxG0G+2PKBndWpVfo8ZoKR2agesvu D+pFK6/wJhtJuOK8zumIjbj6JZIxoUa/evBYlipZ3Nqto2dYu7pJuihzlkSUTVSgUI5xGtejWT9g byF/kALkRVwQMddvUsbkxWLN1DpgcgUyUWTSiQFf+x7ZXFHrqSKbdx9ttyh2VQ0Sx30i5G4AGj4P hVDAE6pUjjuH6ES5CcTtKxXKS92T3OWc9UlagIpkbAsXkhor8U6ZcE0nd1Km75MBlrsy7TELbQOK Snb0qGV1pa8lzldHc080ZQJAJZFH9Q2CmCELXCgGHG8NQmeAqIkrS2wzGFsjK2e24bZ2g0xj+lHA Ycq38B8u0lOV3AUTjRVfedFdI8b33J/TjuPigt7HaC6I+q/DnzMijFsFvr5ois415KV2yM/QDiMa mWc0AJqOpFzg7Sv/W4EB+0cS9+YZdDJiO23IeVIbcvBbcR/TpgLX+f+jCvLvLaMaM+kTDjhT0xZr ZEj4FG0Kim1Cd/5cE/KNvzfVcEnv2p+XBoiJ9DycdGRR8fPPPmmFtDLYqeZsJqE6q38w1hL3GQcY H9RRZTNI4ZIhW6L3Wx2fMpvk0cuDtj1IG6987jHtluhkQJZXjQW/F4rRjWw5UQIXWN5mZQjgwwww 0GwLDbVYIzr4q+On88beIP1TG4DfAEa4EWkEg/REHd30aa5Zglxs+q+/seI4vC79jAZYKzGGeFxw yq/blkYiSWrI2gk6xVMZlkflhELfyqs46K8I14NVtd/Jpk4lX7fwIMBXqcZpJgnt7kW+me1B8tfc h3NqIPs2YF+kOaAVzkyLcCg99SynDDBg/jDHkFndWwS+EDCqGG3H8JmaV3XySQyddheFUSszURhX +VgoR3wKbQrkZPXZpLoFfnAtLcckHaGYJX/hbg/n283d0GdzyzrlFkFWUasGa4RYuQtQ+TU7ueTX iJtxIzgrIpUNk2wJg7x+/bmd/Da9jbVRGGlSrbvxqd55CU1DeT3b837+bzWRgFVYOlsB7xdhsEIx cQogPKm9hgTj0rvxecvxK4kn4+1b0u62IZOUxrA1jGDTmWkCqzgdZCCZT3j0sEz3PLIZexD0ku/g kT41CCnmzO9MeXjgBtLRgZOXIO9Ndbh6lKeldeCpDJleqIqNgqxIKlThpQS9lhaPPsYroNYOyevm K79auNmsoaSpJRmkAcAlOqsEqBP0ZPZvHmudedBcz14sK9iVApAGxkAa5yzM9xRiw1PSMpaWwMVy WNOEs2TzTeFYHn8IfPQsgXUOeNP8/pfXvLe/pzqW1Gan3I0iMTuoml1CzVEJwTrdCsfSfNGBFnB8 IRyqdJ4QhkGYLklKXTn6vXy5jpw69GcC2eaK10RmJO6ookB0r70ERYRC3ydTdxwFFLZhd/cl8xla J5g6ZfH0M1+HcjRX0/ROxHwcg4Gv7NN9JJNbpOtfLYBo8rIk0Eek1XlV/IVQGW2uVD3I+i0VmNpP 4cyWDy4mR62Yg2+bkd5Y4CAi70ZlJ/OmoeO9ekdRhuvU2qGqJ9W+8Xl6SEo2RvLcwzCxIHhACuTC 2B6LhN+2LMxn4vQMzKUBl9ZNVeACtd4nhwr1Ur+28g7XpSLwCPdhswwvfNXCWscNZsePN0kFKGj5 7JYIYvbBe7joihMEOUceYpuc/4Ik/lndJv49nVbVwIpxzGoMgXSGODdvE7xVKISfzDKOwjJkcIkG Ow/zk813JBEpdg9Thyfoujy5iySjiuwDT0LO4Z86zD7ohQV+AHIRg9jQnlK3JpILPXsGQTwv9Zxe 1+lycIgUW8uIjnMSw1nb0OBxSScs+C0LA2dsRXMlr/ioMwq7Xfz3+2JgJyWaMVpqqOoMk45/1HRG 0pfsi3WhAJEpEgXrbzlgpo/EctEDd1iuuqaZWCKCEPTi3V8ZqRYuTK5IRhDHblGQ9SUfgchlkkl1 pb0ptN4++12W+MQ4bJMI0BWzUJd/t6xsCUb79on5oDoK2tDxU0CPbbTz3v7EDWquwDgHU8GOZOh2 j0tOggwxKRoaCdgBQI0/Y1wbvpuEOHJvSieTrGeZse2rIWN2XzcMl/463dwhtC+UxaAsfIvChS+y 8FY0YkSxKhBiMbPSm8tEl+Gjxz3gVf7ItQLFL1pF+zlhJ30kwfTPUi6xA2RC0Fm7VANS6HEoM0UP OGP34+gHPrLGT07x40uSYzNnhB94JSo0cWouRSzRMtxtofmgO0Z5Crjs8M9DqEGywVbNTHJ5NkUh CC2zrb1JRD6kSGPVGYw1YmEWQdrohc8zHeOT+yNdvEUbkKt1I4K2Zpba2SAc6F6WCSwe7iwtU+h8 GiLq2fFDZxp1iEfA7PSdMjE4R49tbSof3883qrj42XdxfsioCUDu9YxaNTk1jphAlMBRqO4Pfr8D tfJQZ5UGse42CPFVQOI8a+qxwtVYk2Y78niMZPm0W+UyYiL3K7BL4Ls4Nd/j2XxxPeqjVxoqGR08 3lAwYY1BGQkAMsCxc/WKdpdEobI/c3bG1Pq35B4QOnVqHjTBve9UctIuyPGbL1IxO3sTyelfrfnK V3k2Z5xNrWlFgyCBiljsNLMmZ+tBfK2GhAYsHVGC6h7s30GY4nBw+xUpT1hCCUgi/AI6Brw+yZ56 RpvUYDiffoz4VWerTHeZ0cyrcd7fwSxdCqQlaxxPBmGMlhJuNhdsOTzJ1CauLbIiVzs3Pj/pTKwS +H3sCNrJ6j3VMzRy4HwhUtAJIvwW9LQnxHGAYBZWAfk/mPvOAOPi0gXe5xhVpCD4R+jsKQmFDyH2 jqNcJGvZDmSZ2+OSxEmatiQ+9sav+gnj0/OJ4WVIEcZQIIHkMQH23FsY72aFGAil1wHXe9LYmjXp 4jY3klhJRnpsfebAY8aUU85JoxBaEJ74KPYvihUNnl9hdLShmQFyKDt11LoOj2pdPkfJHW/9+RTP 9oVvOXmMAs9XoPZUFjJOoGlD2pyfHPfuP3Yq0YwapJuYbodC490AI7S4NBQj5IPd2JjR1eW5Scne vJed5A7/VqGbcDB176Uj0XOmrGlKgEdn9gSrZ+8RKW7zTZY4LI7N2GQeaDfGibU87GFvmmNuhhaf uBrXdOx9Q6AzMOy0FoPY4p+tkuLadg/W7RY+Qgmp5c4xUDpfP0AV+Dr6R19FTwByLE8dMnyUc2XM RRPgamx2ixaXm67RKTPuwxTlO9Kt3dpQIZQ2ZIyobvvzuTmDnXCYLpP/98PeQWDNoeSqoi7jVkvK h62RKXK2PYwNcZNZvKdLB/vWnuvZpnk5G3/lVHwF/3Fzp1SOV3lc2aJ3RaPozYRXPmst8OBo1OjD ITr7AJyxQLrBpTdkP4sOx2Bn4LWpchH0R2jfPlXYFo7oV1qJANwmMNFtgIkIr5X/D+4JAS77J4u2 8Mf2gXUj2yKeo8g1YbI7ktkdprskogNou9yscaAv1rj+c41dTZ8jwwh3bjJKCOTK4BROoaht3QYT wCBU3QvuKlU+1tCa99gsXoWSVlBfz9MM2e5w6d2sTgf1pp3Xmgsp/KFnjUBmWRJVsfKpTlynbQAl Jc1iyvWFRd9w7EMt5Qchu+vlhRIqtRgOzem7MIkBufhCDMEtzbAAvZXOKcHZKJd+LrcHtj7yKb7e vkNUPYDkjllgBKzBOET89LUSW+cNTKg7Gv2mh+SAeHI2MxnehfDBRQjgOZVFsjnlEIyFSunhnXke I5yrTo3kuadu+FVuV1ro0btVMFULgg2Grkw5hszSFHQNvQ8mfbs48OI09fhyNVcTVXf5g4iAkODJ LuDV+qeZNJ5VRfPdBN5Pc+crtXYK+Rm2eoZbYx10St1E3QiDlsvnZQRg7baVXzgGoAnf2B+ylFAN MaYkj9me1XcpUhL1w7nrxGUwL9ZtdsXbd0iqfJ8xoFbcjdk+0jKjJRBZILaT0wpX52hNTmOjYd1f OPPVMAAYdcfb+bLhZvRbarE/+TS2uQMLfFLc7G+HWDHoXn7vHqw8EwC9JrB/lnRWDX1uSbojbajp y1QRAEFlbX1oX/TPpISi4nq84ULkAe9dBgdDFCmQdr4SdJRznRbTAbo8hx95TvXhEEFIK5MlNANm sZNOB/eebkMWR29rseAVyZo+DZGv2FD429pn9G8MnhXJXelOi0BZ3FbCg1AilINxVuBP3YfdFzV4 /pjHYS78iuxiMZayGjOcyoXwYRWUlvVexgW+lmQL58Bqqy0n1jP34kkVWaaHv2J+v+uwnFlgHpHU NoGiG2MaV/Y6UZ1c+muZmWt2cbhxrZyA5kJKxoFS9oZ3e3tmNkQ+0CBolOIdWcb4F+iHzqeqClvN ySw6ihM+ZuXsENgr3bEfLoGz/2slNZ35j6Hk9fjSkIjgB1ON7wnswzPQEIYypjkmvVxsT+dzUMyO 0mo+osJTsacUvV1gfBl6LuC2AKnDYcqYsUGkr5es8QtwaqRmLoXChgjisAhGO1+esDwXs8nn5cuW brFFrK0FGD582HlWzNUAsAsKNr0PPElKUZO8cp5+OMrtXFsQ1/W6C3bK3+wydA6/BqhUgZbSyWr4 wuyKkynPbqrANNl1FiotZ5Ck1iYxY3S48MBIGNPsqRAYaYNUyNrbPQd+yzqV/4ZLFBcuj3WbOn0w 9GC2nDSwxeCfU1EJYJAt7HOoLPLbuwNRj1eKVMpkYo0wRc901YCxqYOY7nKfbWYDwgPr4n7RE9g3 3CXyiD2Rt3rQx16w8wJWhe3T6L53/Jz7B/XJLuhqENmCVb/0XwFO5K18fmHc6lcDQ9NxS97U8QhT /4XMeUQdOWjGOdPbbVyALvAkmwnveF6zAhQeF+Mu5BUPftIEOYQikGlcfBGIHEKpwP7w/iV08Taj 6u/8NTlIkGfose/FQoZrYLPiW0XyVNnvEuzHXccmfU8uJorKMVeUe4PKyAZBteR013oNkeeD1hmo BxM+ZdcM4xAHTAiVtrRrXmX/eXgU31dnIQ8ADgh67e7rdyNCpj65PM82oldYNw8hBCpUJ2k4yw6K otXd0w5miEUjWsEKr093DqAGAKRfd+7c0GdON2W+8zeRYZmkH6QEYLHYsBUUbP8eQ2ruyLLsQaWd e3aGJpT9jJwblaiJ1hPEouhvOXCYeImHMbSn4V5UjHv1JJ2Qk2mNPZXYjogF9aEFLep8C8Q3V/jU m6wAqgE1JypFs5huOJKeEiO4/h1WHQW5s/ilYLDJCJlTDk3xfDZQzopIGe5Bhx/DWwu8rtYTXJRX lFsrskez7dp2a3pROCDxBwTTxay1n18YPSTFArWE6q3IWQ9/ZrPsTxKYqNbJA+4bReAcLgzindxJ N2sjLp2N7TsqYqEUZ3PsjzYUJrhPvmN95V4xQUgu23BuKcm+yTyPMmE5MBaSPAxnHjkog+Xo/3B1 1hC7WVwalDVjk8/j0M/kxxPxn1roJ64B95FGg8ubyavvBMnODzna33LoRSZNZoDJ9riHfM1HQx5D zDHrFyqJSGbc9PrWxCpUrEKtELGTEsiNKxAt2SYJBR/vDMNY8TW6/8Sp2nPSgTCz+sFs3TNxDmye c/7ZAbfEmWXmnLS+ATLA3QUtefsjESox/vtXVKG7wiBfQF1wPb4M6FVCdXUXq6ype3YHzHl5y736 jIXTK4pKh5B7IjvXbyIQE8b8ee3wpxbZnLfiJr2M5dA+tUdh8H0MxmzBoYEkwWknW24TG/3KbE5h OK3UJJy8VDisqN6Nkfm3KZd5bPeV8/iSZ/unPoGjGW3CfM3lU1LakFxqj8J2ZyGe1cGTuI8xmJQ2 aE6w4Ue1ZwxxApPBmiIoR12KtKVWIVrCCLJ1jpIXym2nxKWaoSXGQh1I4GYBJMZH1fIPl4fcGcnB j4yrG1YLomxt/NlTjzrf7aM16XiMw669Jo+qDxtXwVZI9w76XOGIfk38wIyYbBEjKisSPlLPa8LF SQbGxMzS1A5CSxxz0RaxPbMBYm5lofET2K1X2pRcz5iKwCPY2qdY6BeGccVz0tR2bFTe/D5iiMuO 49ix4PZuSF0yCXF2hIJ7LrwSJcIXpJ45oPj2DJpeS2QL0IQkPedRXVkdp7PDrjqNLZVt0qGv/Z1h dsWUUfCdSOFD68KZflgw1Z0Fp0nmeDtDiHRjnQv1TdqswTSp/P3n15Cz7kwvKmSJaDC5+bAbF86+ IXmp8xLB9zY6Ke64nwzMAA5bDPV7QNq7a7OmFjTXLcqFsJTdNZZPVFneaokPorDTJt1/cvfWSuFc DmzvhN46UgT17yYOE2z1H+sSgw14ENm6qNTh11QA1RulIQ1Pz8kvA8stmJ69Rwk5Ewu62PHR/FyY DstVP0kfWlfoob3uqLli4GJfYGrpPTQD/ZXsFimAosP/EoevjfM+bisUBQK/+OCq+P1q2zXt+bNG e7Lnhdlg91MxqyI58C7urcYLMhP/3G4eXG4fFKrd5QxEyHKEdiuDercCqHUQRSomcXo36DRjFCcR n3/7TM6u1vbvB7rezTiWPhwaYps9KKFc85SNFXwN69H9+GLTN1npAHpDAJLuncyhOPzGFbsg0grm WX+Hr6k7PGAoLv6T8zFsdUu3LYQ4txleEdR8TtrPBQO78mu/fgjIwvxRKJeLzSz+Al1qmu3Do0dU sbc62EQZw7Fsyl+1WFcnTGcqsAa0XZ5r47S9+Gt5zOAk5Iv21fWwNEJxQimAjq8uBZbvhQY5J/cJ NxxSqetdnyS0J3tvz5lbZ4fjeyqI0iPz+zU5jQM8XF3iuxsyJXzuu+AW6Zq9wpNNiHxrUh5t/9Rb 4xbepoCOBbHK4SZrqxUCTltXHmmfOK/bhb/e909rFpEN3qnZzhNjlHTDIBG2lmvXKpXY9+pLwKA4 IzpVJqw/vw2KvOeobBKgE/vYsBafKy09hkwpWW/pnI6q3sM2t5oZlTxTn3zw1zuts4KJjsKYVH2V ZFf/NToSVZd1azscjl+Y7wLQx2MsEc1bCJER0daHI575lhnNwFA5Hy5MJyUfjjLL4JnkftyqVxs3 GfCLpAw1OpIYfK3w+SI0DSN+w2i4vvFW911UU/a8/n8eAQ0jfm/2h8RtyMmxkz761Cdr47qs3ylH ZfXcZFpXqfhkmcg2CWq6NYxYDp2sbW6XfjD1sbV3qNe6uk6psPm3anjLn5eaUjtOQ23dRwiC4OWo C3725xX3zIGNVVoK3cIGxtm4InSjt+YcsOSYjkbj8WO9dHh8S5XkkxMrrhieRIIFAe7RKXR38Hsy MhjFoNc6ZR37i498yjTJ+1MQOUJK21zPM08W6pmLSwzy4PRWLg3y/NpvT2Fg2m4AWtYmPBy4Ye0n syu5JwEN1A0UBD32KfZ8NA5koe/e9EJomLCwbP4L8SUhUDdSgESYzT4p2s72+qnMhBP1rjfYB1j/ bRYlC72W9Cl6tAJXiHeOaWpZ6ixAqnZYkNSVxpNAku8sHhUpyY+BJHGXIargl5SQtFnoaPKBm1g5 U8URytk47+1+dJoJ4/Led/0cq7+eswK0vFVV2AHCWgefeGFf2urTtw6sJFB+a96gUInzvqcccYSk QpGZondCNe+HLxNu2iBOgvA67j/L1deSAE47CDi4/LR0590v4olzhUOiUvV/upneTTh6szixexhQ zvfU7xExZ863S417Ti/p37Lce1l7Fmv7FUGv7Fp08PgI60qpbBRMEBl2iPX//rbWozI4UemE/Slg LYJqKm2cd90N8wUkGK80nlZeo4ofBuPM9Ek3p0k4AwhgJ41exeWArDSZaAyXyH8GIgPzSASY5dr1 KhNAJA8muj52ppl4aavDvLBFXuVbrIWNE6b1aq9c70vPcGTaFisM9pIHeQhlVL2y+rhCDCVM616U tTn4TRzNxWueNFR7tHzl0Butqe6Go47ofvIebVDggxH84kcLfC9oTv8kZnKZFMa0v5/lqz9WVv7H WIRFMeOB1Dz1N6DmNfH0raDB+j9/euBX6Z/9XhbdezmvvP2gQ/Hfhl6xAPIU38j+llI070lzzsVh eTnGnn/IKqmExjx9sN6GOaESOel1T1hxhOTQZRDVDSuQjUWzXCh1XNX0x5JsWZ0+SsX7mykNU3gW ylo8WApZXLxYAYDpBqBwigsyYsKTmKPO8JOv5nksCsX0wD+dhNT9MD2v0wjDeN960ZQvaJYyKKAS LrGVSZ+Y2Z9kK4voqRQwx3GSfZLVrytoKeolDLZvUCW70nvdCm+2xWc134YoGuwB84p6ujcAT6/P BYicn1Zn+y1ekgsFUU/8W1YZovRZ4vla1I6XsgUflyY3XI1egdL8w++sv9ROBJNMrjY2B1tSer1M mHp/5XVd2nOz08H/psnsLN7T1XKELtbt0QYZGRzgpDeh5sdAXd5KhpviBoMAPG39V1p/EpntMbo3 SeEII4mouWMjArY2E2daWwHPBcQdQiMC+/DfXvTK3eG9PySYduVgQQsf2UbzJW8HlDX+10BrDV0s 5u/w02BS54tHq9l21hdaG5GWHrcGE1Ko3WBVof2qSAjYNxFyZc2MVCPXT/KRQ+ClpDiLNU4pjR3m e3MAnK0Q+nPWxAMKAZvM4/erDK8RozNDjZZZ5D/X76hkOSLkWF2OlmvyDitg0LlU33rc6v553Fzq Pq2f2ElUge1MMvEwxspz6p/ekki0X3dLql+9OGrLnJyZuWg0ywSXAKZisSCXplq2rhtEqrc5Zp/d G0SDcqpDJ07GgcnUd4a5vKbni1grEKFkNKzhU52HmDs9Kd7c/rZrieF9yK7pO6B90+v/o+Vz3FgL VHiOFJd+FoVPWV77YnkWYi2WyD1Wv8Ec7Vw+xmbh/UqT9GIzYXj+8kMDiXgqev50jd41g8bIxlzr rJC3B8SLN/6j5OrUbhTMKwJ24xhBV4t+/MW+Oh1e6x13hT0dkuUYNwfJaP1fegbfU+ZVFm3ESKhk 4DkEY6MF60OdyWH/M5Ln/WIFVfwhUlR7ulVed9elYGrR7YyX5i8u/KK4kJ4AxAJ+wIDUiV6DtNqD FROqaqqoE95sHTVRm5o0g3lffJ8xqkgdWi4bcgeXJKAgwT4+VyHb4iMvr3sXmOPzIr3AUzh60vpp qRoW7XoALTKigKZ/F7Zgd1kf/XvaAY5nh0xeqk9xdM3dU4oxSGtndXxinAT4DnqRYXyZ8Igv1elr H+Ft5pxbN9OFHiIpBu0tY+rIMX7n0kXumO7k9vwTfDF0v8c4Xeq2JrI70aFjv63FBB3tSx7qKKyI ERpetGVd2GT8HHsvGTz2hh6DbbW9H2woj9+/g9YM4cn9vurJ85kZjbZDYN64XV2Gg96oAGY9jCUf oGHuN2SQg0Ph+4jqLq+TQDMZMuyf8rcA58YKcQk4FNq9U08BqWs7jBWxr4RsIFgHKryCncMrMBD4 W6SLOOV9X6V05yWQdE/pZD3MZDMG6IXLhesudRBeuS07okMHl4rptlEy9O8TUPWrG9NXVk/2reTU p/62vjQleWkj+5U32u27Snzr3ijx8KVNEhjBdgVhQvceXCqFKCBI1Vn2/dXOXeKMME6uOAdOPIhS sns9wNVpqs/NTJJb429BglfJxc4FoKGvvk1e9hNHxzpT6TjkffFj2PYTLMiaUI8vGdt06ARbFiHo V+iIJUUKj0Xlmi4djFNGrzASX38LVRnmFq42KjWAlzbIRmtuH5s0FYsnaiB3b8Lh2804yN2R2uLL ubrZ6pKmJSFHrJ01txVJB5cLFqwWSHgpDQ0kMPZqVo5pk67eTn4h4IjPQPXa4n9x6oBx3tKZufNM iPp4fVyUBlchF4zcZcUHwZlpD1y7k64y+z4vKxdnJVmV8wbMi1ONKDV6Lc+AMlJKMQ8oY/Vk24ya k7ozFgt++EgwyATSpe5ym/IVApX8siKl6X/BHX2ivtHjSFqDH+hz0+mcT4AydBREgIKXpMzG/Vt0 jwI5PWuRUpeVN4ia7bhxxoYyw7MNV9kmhCwDc7AdWQcnJbaqIZ2HxpnihU8wXPeUpXMy5gsKyYEJ /aJRg00cNHKWvObNyfOgB2vrgwuIp/TCUWKfCn+2UPOpTjom4DBPnOaagyObBSv3ccBS/zYNO+dR KxRecgN0B/Zs4c4LbRbDAxmk1e0s6PCRnyJgf182GlnZ5O55PMoauKDJIaGlJko3nsW5ZIEpDXTj zDrBC/Sk27Y+0SR8cB2RS4sqrA/mQrZaLPcmvYmPu9eXasCDNKnYPpgfcL48GanKlN2wT9kA5gQ6 aqePc0SiMlQ5hqZCEtceOhMEGimAADKQcdQWFNKvODvMeHB1qQUO5oXrSnwfxkXn3mYVY3N0c9JH I0dIRNi5zsIFWZpJn78Gn6zjmScLs5YeY16V+BcKI+PXs0LK7aHjqlzG9SpYRysLwwJFJzFZrkQv EGnr36fJrwWJoxv/hduYBnizP/x1tTAbCziPj++xCaAILW8UN5HQHQbMZ+bcEDBfY24QqETuxwRj Z0VCHtBz98GVmx1tqJv1u8vBIPuF2OH776o3Oz5U7q8Bc5cpGse/d+waaf0MfJ1ch5oe7ATx2cq6 udX7+tGtu33PS8oFNP6ir581jeh6mFtcpGTfSvdcdiuhkAF9KJ+ybyV3F0BJqVDpevpIBV0q3cXO 52TwKnnP9Ra1kwkzjQBcy0MonFILCyAswNSXld4KWflDMKZWT+kSoNvhpyOrlq2HBf70TP23QL2l 5em8VU6hPwlyknLV0wAJqj6DWcpjQdR+crKGIuMmRNsouLxRIVXgM/0JDoKcpnB8nDv3iLqj9kP4 f4txeO582xgWB4VgpK0VRMNtdslRv8kdxuTjAQj7TXU72uXkUC6nbCFxKea77Qp/HaPWM8f6Mj8x 24yKkVpBmXo1x2biG5uIBJfmk8+ZJKVywz+fGlCLmvr9xoJFFarRCpaNSx+JzpoMqk7TQ8z54Sst M0ipyknmbhe+dK7pBi6q3LJ4P615e5I9IzszNs+HkO8NMCye8vxeY4Qe6XkmNYxiODUNg3wPUF9V Qd+UzmkGwejUdPei5U6u/Y59XAbEvzXXpJK7/zPf8ETEHiRBXnr6T4P3i+dEYDNYwcwDoVkpb0ns zMrLxKQXhOSqqLyaDSWpHcu1rJQxjkuhJYu8JlYKBLr1B9ALs09JIUjArElWzKYp1SHxD3ddWcmU VOJmx5JC1SjNJDMFxMVe4/DlYiSNt5dMRa1J4pqDsj/RhDTk1hsEYZZfYWKel3Z4xl3zW3zJ0QUF NOW1J5CwikcSP/Nep2NUR/EqSyw6QCoFHfhCuHq5Kjw+K2Wx8p6Nbax/wusJ7LFmrrJCLGElJWHm 0WhqVoqaDJZeJiKHou33zT9bb7tU16K/9CR+57N88elZCqoyIiRYYh1BprTOJWIes/6puTxkCxHc fJfsoEyfAlUd4tK7IQYmoaaAjpq1v6fUZH9fWB+CARHJUrRHZhCOYsCPdrAD0tTytLILKj518G2B A4pJBnuaH6XHEETqVwbNC6b5Ril6z+SAvlawVD+vXQQYE95MCk6sKaOOQxL1ejlefmb415JsDwaT ZfdeFhfdoFnzY7O2svC1i2XIlIOj3ZdgngXv0JhzTDTvYgSu4u6pysoOHQBwDx3czUGRPLP2XfWV l34xEBcMvrdZLW8mZfdNWMETiLIXLdkovc5/D2p1olibuVMmgwnerDQas0hMvtNQSml33/sKTEgH 3VgFBTUbOYTAizX2elch6DLXT0fuMJhR56wJR/wmzXumDKa6ax0Xb4uMD3qbSzBtEIrWdSM9GI/8 thk5SR/jQg3iC5xV1fgpl0pf6HDv8reMaPp0v3gcsO0pBcRezYS16EA9OGqbWNVNV4ypzOEOv46b sjvQNlbJNNlxKlqZmqlJIfR7G8Mqd7OF3XiR7WbhU8Xf/AjOBEfTmNArVsifqaMyoEtWzFd5exHE K5LZYgTyjx4iXxgVkaSEpfFRR7KErUkAzDU80kcVvQlaUnNt8btly40qT4On3DYKjJHQjOp/i11H cxfSa2X1XTyv0MbEh/v3+raIXgm12aV8wdfLNoUwuVykkvJ8/UCHam2clEZjOdCDgIpPBuyORdxO A+0aTRDglauAvo9IkFZVbxZFfFgcuJK0pJQm4kYYneLR6+7m//m2njp6LeC1lAzQI1DN5FN3gWSI bbUKPuBjP8W/F/w5F1JJijvsa7dM1d4v7Rksj4w+9lVmma4l9u9TXiZhPQ0mzcLuW80Wko2T1TYK ZOgz5000lgAtnSWulu1gSGlIGPd1YyPXa9+TmPFYGCCMYOv/OFEZVPMcYUvE3A/xq9XM3SWZwSUC rFEgPcV+bjCvr43jVvv2Spw1p3HHluKIUkJRIo5/dUZWdDJjy5DV1oSKK7FL3Na7XLtM5hmpLfcN OS4Iaq5yzxLxo3oKka2aKcFRhVL6Xx+tZnXD8ob2aIE48huhvR1wQ5qlP3XxogLyjk+FmpkuVzjb vdJ/KtaM6pCIQPQeKcTQEhAMmWYOjqENbtta7YFgvF+XiIJqnAKN6d59TTFo/cs/aoA/EKYrce/G MBFkbVIf54EwrwR9h3oqo2ah4LLqg7Gm9oprcqrZFaPp1PsrF5/Y3kBNdQZAdHl4xgi6Lfw56reg 5eq3QEw5AYqeKeEu2fpQx3QWG0bdVk5kGX7RCacgfyBrsAmuyNxuTeBpt2oHYVha2lwzX7u+Adsn eJJUF8XbQX+5jWV2B7A1uMKBzO1UY8qbVAKGI2nuyqotq4GeBVkw8PBjzTMArYYvTTVg4+TqmByG CsAfUVBLYdbWG8b0CsBjKL/BO/GHEFeABoVpYCoZAF6sOfrk/fgVocOlOytTLlc6makeYzjk8V/9 1tMhcYd6yXuzJ8YZCnvE408XbpIoZg61L97FP6H/EKPzU+9InoJm1uqka3DEKbKiNjAlYfUF+eTs qhTMlrpOcNc2xmwONyowsj8Y8pNPpYNStsjzcKHg62WMNKmK4IzVAcUfkpkaXHlGyVUFaR9jtUfq 7daUGdXiYoS90y+onBkT3kI1oCqJgYgIyWatEDqHmDGAOL2HkWiGl8JmB/goquZ+Ii9H50kXfONW 4e7qmAFmLET8txKE83mzIXheajZcHqJO0z/lpw3Nwuso5tDF0Zl5ANfyjfYvTx+BQgnf51iim+j4 1BWWVZhYW/loH9dKuI7lN1RgGiDGlXn2fyQPPawbct0MAe3ojzISsg//+rrc1yaD56SethhrFWwg Rxow0JXnZKDzaOGFomtzEeA+KwG2bX50v9w3DWUecWFK2bxMzM/8xEdKKhKBB9zG7+L7GadGP2wK 7BJ9rPe6ML6p67l1kk8KCj3Al+rD6PMRadpcmJoPaEHRIw9mJ3sGriSXkSxPAXDLUype22mA5NcJ nNkB+FJYe0ji9w+bwzzdK+bRd6CBUU31gXb5eXd53PN3cq30mz3rTPH8g7SA5yidwrEhlXkmNVZT Bh4LJNnYCNio1n8sB4MoZBizCofku/GrIOU+ihDKCDS1x37EDqO3IRitCmjSxHjP7sTWWaiIJAPS f4WEGLxJgnQ57tl88h58zAqhDHSxArGJaPSOl7ZwNSnsarviVfa/Yi7MegvDuF2aw0teQoJOgS/g prydn19QAv0+KDKu8G8cG/wMToGTJeCJ2QvDEu4Oag7kCIf3CSdm89pTeNOP/MvW3Zis3g+y1oYD fGpuUHw0yfQzuw3Gwf4SOdjQwTSaDbvov6xoiFPCe2TLyhe/9/VVAXrqQpz/o0CQrSwrMg0a/OYy jkGHbU9JIHs5Y8C7/kgw9MSD+BLCsUNr4LabOjHBqLElBJEJbrjIpHa9r4SfEUKIeKEAeGQqc93A 2DQpT6dT4relx2h5Q52zGo3vU0PKyx13RPubh85sPNYLWUfEg9Burbmzh2U6LfGdPTMp4Lmj6Jfa ruV7e5Cale3R4rihc7tFrHdNr4pIYE8EYpC1AZyqNog6KZpAfA0p5uOaHsVBjJ9WUc2Keo7aF3ue x2GamG6z3aFMMWzZ/pqaQlqdfbPv5UjmTRMe75GxeWquD/hbAaBvnxBQIh9PDI1y/RVVbLaEEcYG ypcRpZLWF5YaF+cGYF4wGf0VJqxVw7FS1hVAm89A11MMpwp2NAH3mezyBNgHhi4SFJVs1ckC9EtF Sbr3YpNm4UuEM3EnzaE+6+pq0EWNr2YCRmMGl8sLksiBbiZ1i5ULQmRyPNFeOqHZrJpL03IWEG7M 8fOet7Kzff4NSqArnWqMmB4lo5aCVDHARQ656N7lgk8zdRW42eC+VCrdY0fz3af+Pt/7Ld29A/Du mBhFqF9rZ8atJcEQlr9O/HpolkoweU7TTNoHxPRB4W0+NOoOV3jzkfYBtx0TmnhTa/ZG8idSvqUB n3mZ/AF3ae6mrmM7mKC4cJyru4BADwXCNd31RDZsbDdCQ4JBAhlnmAQIepb/N8HqpvwOifRWYn7C 8W6PbNWQynBHkzxFZwnzLVFDHZhtJL7MGvPD7r0n9XRJSZWf+sSLccdA/dG72DkxfUXLpnc5HrIe 0eHi6OW8gROSLUqK4+7TjwflSO7mp2FibACWj9eFf0ZzA2hgSlm8koFE42aLHQWBrH8bNjqrza6P bNcDFKEnvC84YoDYnjGFOo2hohxItcukkwMDpB3S6Q/QzFCKJyA5SbXsmIu/jefDwkzKmFhysifU pSbrxxuh5Svugy1C5u0FLnSxX+rCPvXOptXPmA9QiecJY2ycLVpmPxCi87h1c+Hj6qKWkrnEO0XD TJiHtEVJWSbR60N2OKM+niK8AE1MrTizQoPnU66tLnSphu9sFhIyHSXYkREEcZjKCwYlPtcXHfrt UNZEE/gmGN0d1UWT/wL+8FdXpKva9mHHeHVGRNMXKoriqC7Ovb4HeWwEnku8EOMbZDCD1LmQtgk4 MDfpxEPxcMn8p57qi5RuKHwintd0RneGX6CYGB7ec58glTtazXrpuH1/GzvncqPoYpH/rJBSfcv7 co9rDqmjnejsFPFFzEX1jIBLHUYf0cTu8iEtalieFrhukfQYn4jFwEdlj6OMJSovaYamBN2wVIQU rEzRuXrFkRbVTNz4msN+F1N80TezMTgdmxUa7qSnxQrn4RBYz5q+oX4MJbBCSVhgfktythPr/azN uwNfoGyDXB9L13iVtG/0Ymfq+Ifk7NXOL7cAUhEqO8Pt0VsZh5I+isV8+/EhsiYkUc7d+iWirxbj hIDOPcp+IV50c3LjulxVO2aks6Pto1oJaS6hVSs8k17nvig1Yn8+qZaneB23r1I8yPXv6ZkJ2Flt /6NXyEHG1AZCgzn+cIHVQeNra7sVbExPXdkday0yaQ0bVbnvZJeNiHwY+bOYXGqk6Di9TuW10TrJ +h6Ut6pmoc/wXX572aaKjvIXUoNxynsNeoUm+J+LulR3583vkSx4V98fzV583EbmTC5p4FQVKPXf 3yltLmkvl63yx2reBTGX2waqi9BZqe0L01iPxy6fh5sSI8cDQtv7CXo68BAYJazqp6HigdSLHCkB +ObEIGu3o7EfI8LijF6n6FZ0KvQOV5lWXWns1QgoweJnSYo3jp2lj0TOJEUJNFMw5ceckmk6WUoE hCVWLsREohX6Bot6QMMiDgtcGD2se+02LOBBd919wntV/yxhohe9mrkyAcJR0xO23a9sjE7UB82c 4/rQiZu+kDhYRrUvxpCCYC29ff4Z2Zdq+MWduuK8rIioH3gpQQnyXJ7Bn3IgZVUJWv18DfRkFkfv AjtAoMsCoXRvC2CvoaLVGPHEsCJhuV68BsS8wd7CUT3nLG8FVb1bSUVaJLXk9WYSuiezNQdkrC2A RNSFCP6LhhyvCeHbZ51n+oyK73hyXMRjZ66Aghuc+Zegke2UCm/jVyu8a6lBX/wssr62iWfgP6JO RgJzU5kC5/DuGsXSpGlzmDFzRCEppMLAWrVx3I18eL5+1NaimKptqmvo15AJVx5rUquO6t2OcsA9 POFekhYmJAT/Eb02FKuF4n00FSOZ4QnTzQ/zBgYpwl/v7jqzLEX85S2NhpAKMaJYXgysjkxVgvSF du/w/7BzVXi2hP8+UFg93TEqW9lY/AwgZzR+Q22VxElXxbnSdB66ktSwO10KV/UWojUGF2kCauSy /UWsvEqDp6AE0RmBoiyypaBCAqf7Hd9uN/XJzllFwtivFaNU3g4QAvS78X+pItHUNnbvSIFeCoD8 O3dVWayDr3Fak7HsULoWaezMINmTV80PHSvbzTEOd5adaBvwcRRyQNesSWXB15DUPSAKz2qAGEbC KlE3tTBFm2lfm7F6c4/MWi479+7Fm1QkCTRPFepVRh+UtByXMGEthFsfcJWwmVP7K8kK10dE7ywN zFvvUJasF/NfaCi+eOxQatoEZule/lXXGBxY32pxh68KutLWdB6zVwokxKT4LBuWNXQUrDeVMtDA dxGrEmZ1KePM3T0xRDIFCuHpcy/79WglW2wR2Ayopox1djHcezmGB0uE7211FYS03gOw4RJRwNI3 AngApRxxUJkgmqYX3436nOoIgUrPPRbn70B9m1Z7kmvRgIfgU2cAC8IunBB//84HderIsDhxTj0M zIWg5rYDDBD0rfKNaq8Kh/BIGf1gP2B7007U6qODyOHCHnu0G4bmK5o6qArx5wyTu3TZHZEFWdvw QcUGJbjFAcXdeqxfwQScD4EiO6SQPwzZs++iKYxe4mf+lW7h+GohzFn/YOcFxlF7xQvOPmf2syhH NluvZ4BSLYhtozZXpoB/607CNZVm8uwZRcCjODIEB9lhbPZhLF1FTb9K5xBsESJkpTOvsjU6NzrS o4KVCGZ8vLFI7sIrbED2euAJpwqmTa5QWc5GRmyjT8ApB1fgXg0UuqhwguYesiDqZkIHZXDlABAE dESG/kCRObivDC2rknS4xbLN9sS12TbCn0lN+bK9Am3ZNZZ2F0aoS+BW4/Ul7HhEd8c+NGey8IPK xvCxByqr2a6I47g/D0WVGkrPmiHJ7lgxqNxYv17flLuphjcwvcL+Bw7vlSQK3i2XShrKMgVi2Knh kgwYXO5bRzrZ5pNuSABCuT6PlrvUhDSvcUhGOiKT42U0rjSY3FjYjNU5ECHNhTrmNJTIEghjqpyP pYXT8ajT3/B1iLPw6PNToyky+q8tcOwvlazDJ4PA5HRHo2Tv6RRJgWdT86ao1dPvxZvXHjSNeY1q 8BXC2kvLjKgtR+IapazT5mxXHM1OW5neXCWIojx09cDqQEZDcfNxlu1824FwfKj2ul4tX4BN8uRg Oy1ktQJ++oAewNoLf0lFpIA9M4htHA5Kc+QTHiHNFDsqVFfAseoZM5JUpDBZYxkweNGnY6jQrhch P2EB++EGCsexvqh5H1qHwVsv0lZYKXGhqHGJxjaQW2vonLbx8MzGN7lrzxBTTG6QsvVLphPtZ7gj aBooTN2UKEHoN4MEvcsBPTlE7b83QWGqp6j4g2WCmpxessERRuJk3K3+M6z7RPuqo0swCgF390lR AWO7cDppiljBkBrmuS7SnF3+9eDtwQK7FA7H9XiqsE8SGOKCbxZpDBDhb6yArym8GQ7uMa8H69Op Am4Zks+GTSa2t2sUCsZzJ4J8gvence1sI0DO5lrugOxO2L87AOtNmGZDMwBGBcogDL9MoyXOmn9Z RhBvTMNhIPghpvwvB8nXR3HLhrnRe6H04eIgR7g6I1Cm5YTtgn92JTDqGTF1x+LIKP52YrtvvXxK C1pGHY7FnSUm7/67zQs26T77wEyV0qrjWesgCoBe3d8CyQutVev6vzjm6NFwa98luVJ0t6lTJVAy ljJrcOukZrQieJlTZnCHzOI2ACVi1SKt9+nXXszcFzcT9rJjG7IrQ8mSGeIreAqeg/SCz8PbD2sI wRnew5bT/Cih+eg1AFYmlaU8l+4W5DFhRXIXK7TvZPoi+EuCd2r58m2oReNrVwnbUwjKgq/Dx1BV nGmO0haCD9/zbpbk5/1+6bPvRU3zOG5VqE9www4ZACkNwcPk6nbZQ0NTQ/p3rdlxFv+MX2a8AuJ7 p5cWq10qA2ZqjkTWoLlUKudwmknoNSLP8wGvUVTfU9o6VHkXkYeZH0PRwjaWKKsMPQeHmaPjUIUf vfXwkpyqeU0qqBq7Teuj95GRmBHBK/Yqqndsot8ZKKuoKXtcGnuhMFIu+xvaGywKqxkNoVl0Hp/k jliEplMU7w5DLeqZTenUWEHrD6L00OG7yMBIYgXFNEHCg7sXBJRImZ+4Cuslq8oDAuE1jt0cymb8 nf3wHnYyHWsXRN4MVEXfnE2H1u6XkEdflKsXeBjKt9FA3YTigis4+JIZ7xk2FzF76n9yUkIqSOV9 xdudx63IO3aKgMS5tZUqz+I9Trh5C56kbvn86VmdoAfBRUZf/Y1ZJbUX5d+grv9hbam3gEb2xelk 6St3B8R4kLlP5mJcU3ZFoPf/pMhDMYT6CVMok4famWDmjGMCibb03gi5igr2izoPYgEAsctwtLIe 7NQ0XCFiFfmkym8cjIkdMooejRFj2TcA00u6yqnuGtQyiL3QtrXY91EiqiVmTBrzfgmAD5TEePZ+ Cx/c0+5ND+LAt6j0Sw9VNjvY/ldaHzxRNt6We69gWDf+bEAljIeP2kIZ5Mk1WihGqa52NMewLw8H Cjww26PIRH8ZABPke0wTbxqlRXZiV2cdZR/+S58WeFcjWiRrQw7TBx2ptB0SJcEb24kGcuEd/9an Lg4XtNLDCun3dPA7KqLA2sFv4L3l0hgAy2Ti4MdENZxE4C0T8KtoSbXRyYNai0RWZsk2ajMyzl0w f20LVHRMewyxOPiCtWpt2GtkSjG0rnK2n/VfpemMXd4ucNNSDwGRkwJX+13R75lmL7a/ok2QPRI7 XEtONA2R0Bs7p75XKol/hMeWufKeKojFwsj93KlewH1tsqme5EG4kGS5cINhSvOJRDsogsrt+XAv iXt0xO/3bvipiSn3Rn0BCZ5wjDuqva99/a9k4Pl/VuGQFSaw+1jTVqcL5U+wai7WsT44mrlmcbSy Af0m1G33rxq4SI8Itnw110WbdVrmKArBkFAuwQpjXdhtZQEJGzSJ700RbZCPxfXeXKMZz5D2tS8f fBDJFGHTnGpb62V83WzPkfRqPXreruBgBF8DvLTayLQt3kwDwf8TYowMlrHdtgHQCdIHGrSxLtG5 5VOgFtxWAUlZ566eTmirNVMzISQB1FH0B8/n/Htk7Qp4T+va7nToLpZkQRPL3P15wuoaYys6ZWsj ksYAn94QbzazsyROEv1L8RmP6fiW7gCLmAUDPQAwsft2IiZHZIz8aJVaP0XhdVC61knExIxj9j1y j9T1w7ZC2fmSCYKd4Lj18YIgNxhEeuwT8vVy9HrX0MUjafrJpRlgWIo0Q4zXM4tPXID0zkWWHSCd QXmHMwCEIRgZ+DPKAQY1BgTMUwdC9DLZz6eeSZCIHEtIRttJWQcR6xeJjF42xMKEiMlRh/lWS/0M tx3s6KkXb1iRe9xlwvM9JUnznmekFAnnVS+24gOJs5VZ3trp5M0m+BTXf5jIUI5Mlb/lQ4WjRMOp AXca7XXLXnjxlhTF8toqewfqySthLxiDVkdW9MTj5ggM0wo0Z+VitY3HnL/m8biX+3fruMRpiKtB dmzQxBe5kmAg+J6tCNqJ+0oN4kbg9Pm1+7tBzgfGtXeLcd4BzcrO63tULtAkIrYM42F8ls2uMD97 T0wkfuVmOL1MoydWZWW4i0LO2NCrKFbZZ5BTLq3BEIcAnX0qmAMWi86xxObJmoQ/ThYSFyNcIBug 700b271nuHedtuFgB3jEXnyPwEp2Bd9oU4QgKegedVTTpUTZxbCCimPK9qLp1Y2cGtX6s+cKFLPK olYc2sE7BN+8H/qtKBu73nu6aMGW+UfTnKqNnmWYy2nNoG86H37r2e2QzscldDkpXeQYATMEEWfw ffTR4lTHdtjrZdhQwCoFKJyztORJDymD6fxQYDchrMVqBeB5v5xQ8EUoEFFRllAm+EeQEiSxENxc ktqzGGm1PGOTrDpwoXMkwudiDPiS238Dn7aMEoP1X9Sro8ZvHW2kkDWEb2t8xBF9X1iDixTVoKTJ <KEY> BCnPNgZk/2kw9NdSwpYn0ejFsiuBzoy9ABTvapOqZPyHIqzKMfp2Uo5VdIlTu2sB5d8fuq4DsBid QkS2j8SY0hw84VD0gJJMkZopXrPrdFSvFJcyfP75dNThczUtDV6fpJTfHfadKIM9LHdEPFXlxasE hNLadoBY6CAQwQs7kwr3mVoZIVIVuk5eIEskIgXCAynFx7LBcV/bQA0BLrb2eZCuZahqQMOY5Au3 FJL9aatLkf4NMg86y51I2HAmluSgbX9Zq+sJoXPKbEuetriPtnLnwIMpo2wSr+d6T0jmcotvEXNU giZvHPIVQ21nOGBp/rAs7YuIvjJCQE5vAvK8DW2FQn6kP/YWymhDDD2LbgQxqBoT20c0bjwjMgg5 Os1xhsKP7NImvgGRV69bgmMumq1EJqf77m/pLLNsSIw+dJC7dcQsswwS/xda7S7/dCdueCKS6Sv/ 7PbbvcDNZAhtEnZLBfvFU4uY5oW1gwHmMsUYqAg4WnSWi0R7EXlVPYVSNDtJJHK3YfJcWPGjpc76 zNu1Rnecykqj73EBUXFTdk+B+V4JqQAcMX+28jGJ6YvveQ5oJQ2+SEQvCaf7KXo+oRFPA3noF8en EVsXn4jYw0ofNbNDB9oL1Jrui0UgY7EK5Ldtd+w8u88xXDDmpOKtQqjc/H+u5tSWIBcvXkSVnkF2 TtSVBm8lLoXnrT6Ixw29k83cYB1dn0Ocf3q7l8LEkwgq0orLuvO+uemfE36S9U/2eD4SAvF+G0FS Lncj+PyUxSCKGiAmSQp1qYQtCm1WEHDB7jTIaECJMD9cVRD2upzK191yapI2Yhthln5eQ6i+pXY9 JrgvzLlEqGdRU6ArcShhqsyLQsUXRZE6MblaSn7G8Mdh1HvStQQAn/2sS030h//w7NVYIq86QN2e PE/oxMX1eQ8ieSlxBKuRtjZhkUtd0LxTTNwbIBtiDVhNGyinlzPGuPQYgdhrwkbo9O5gQP6EY1xc N4xR4T3FHarwzfgDt9SomHgWxVtPblboORLBF/oDzQzf3UJQmm+vuxi/RB3vBKq0OOk/R3rn3BzB 32lMWEMm64U00oKD0+csbkuBIDJM/mfjVZVtftS77N1BqsWn39RFghU+PylUcneWVFNi9oTvNNoz iqis5hNBrFG2ne2TpbhEWin1CKSGk5q5lXiGqROJD2xQxnpNTIt7zI9nX1RNuDq3YM2UHrJKPAhM 3UAq5u8pbEYgBKHrpChZok6Kab8jLmGOfb2itzlxIDJ3T+E/ufD75EKe1VIYq42csqIRbArILvbL cAgkCKJQbhXC3nI+3qikBN6zP3Mq3wP4qacHcaWq2k1N+3V4m6QZb9E0uG6tQTiIM5ZH0ht0viP4 GTbkMPzdSPoNKEdg1Uk2Ip0aYu0W18lMtoT2Uz+KUOYDm142QUD+eQPI8siE6XqK4yo/a8gUUmzL bja2pHgeQK4HqOxFV42FHXCGU9hPpIqae3NP9xqyJLyKsdFmF+kf2wo2fWVS3rC3a/Yl6DvkT6ly kx6fENow9zVvk34HVCjJppSbbiHGFwThxmS6mUYQrKdGHIBrnGpx0pZTvfjZNH+iw72zpQRHHAl9 yIP+mjvBC7nGrtFoXLJOdlpKXuutbbNkadObrq6bRebwJzOOgvn7Dkd67Dy42lxs2EKT1c6xIHSq qo29bZ5o/ViSPYPKOYDxVeqYnen2GzGrz/354Y4ST6751PJnFD5KjCby4W1Th1zY0C5BHHf3dE5c sXNRSqYy2GiVpjNhSDyljlF7OdXalOWdO9I/25MlhXaqvCayJgsIndv2i+o3It5gLNc98TLfaV6L SAA29tZUzLo6d2uzZwnUI5DzcgVGM/2aWCHh9ePbYZMTJMhJyEzbNe3B8GtTOButSHn7FwendMbd Cki/BSdGUrayEylraNdb6+f1qdqzTT0sxlpLbC3lxwDmql+DQFQ+xhOcCjhuuU910nglhJdBKte6 tbcRi9ScDiVXsjoqB1gRpt9lPMlLTq6PXVVzmLDvYCs+Mnu/6CWVAqOH3RfKQlt420PzP1czOPRa 9KuK5EHOCPzYkKbkim/APe+QORe5h/lhyWQioBECfaQFkLn/4GaotUzOQBacrtBdeP2EVT/2Gec2 coW0FB7+p3IsCnKDoF5Rv9JOjZxLTVcYUjPnQ38ESkH4tNDMQltS5L/IYcG01IDP1ZJ8NwBEPau9 97GmWRIYOpNuGApyEGjoyF5AkuwyKILZviwVnsrfl3FAwr1+s0RGOY3djNi708N5cSjd86PJ+ZVS B0+gbKSm2z7+J4oqvO4OABJntsoIBrV6XWM0tDeaeyuqZlNMRvmyNLKhTJR0amyiO2CxtY0hs3rl VxpUFwRA3tBCDERjQMhaSz8tzc6IXffGtlr4MooNMLBwSS0fUB2QrC2md+Hgd9F6iedM2BTbwGDg uk0L5OgHGXzAxrD98QCSt1RP+bm+6u3h448LhURJvOY4If2G9XzVtu4VQHtVbSG1vo+n8G2y375U 5tG1Ozq6odUBGgNO6P/ueEFiCPw9p4uFTouLYZ1u3s5G7JrzAuhcTVo0EUZ7r0+KNEZfQhIiiLaB jMI+U8Yw8wsa2TMiY/efQJZ2KZMyIuCHhWU6A+QHDbC8s9oaZfq8J4wnb/U7wyATG8pg4pEX/HB+ IbpdNf8X89hNCiaaQkF+gZF2H8msVs9Y5utmmPtYH0WzqYioLQNgPJ38+NzYrI0U8iLyjl/rwESe 7p3ZoxZ6lcq2CczGpIQW4MHnd5E9wCZoAZvPmcsB6QYFFQpsnsqf4s11/aIagF+qUm3bce9YpxGY eoAF4kt3Gp+VSQpq+kjfj3Hlw0+Ior0kY8NI8KXDVYGhZVjmtPNW2cOEbcqLJNXAaSGQQgTqTbKd ljILcHeOehsU6hhOQLEAj7mxxsVywgSEJK6gb4phvuWcN2F5Hpwo3316QrNvSSwnio7KbGvibzJZ w5lNlrAWdDTl2lR6fAK3M9JFBjumLT//K/cz9hQQlMU8rkOVeLP3JTBQ3CiXmE6bkjTCqO7sHpq2 u1MzexGACaujrbF/HH7okSuWEyl62msNg0xdVuj6opJ99mLPFBn1G2dMFEFgdEn+bWSBZdq+Zvu4 +LbVwLTafOStlVhXowKfxY3Z9luRQ0a9QKMCCZO/UEJldYOIshva+iG/hXhOZO4+xWuFA3BefkRc PWeNO0IhANPjKz09xxlA3VFTOd4F/TOpvK8INEbM+0oN/AlLOofLa1F8nRZgQAfGIDJ784KI4xjS 7FFDxdvmJWU0ThTP5X9Pe1N5CbK7mcEpE/dZDYMJN+VpqGu/jNtZ4Iv/TdwrnmOXYTs/ARfqYwR+ POIFouqQv/xdad9BoWBnNlGqGEtbZStSovIvlco36OAUvhtN3lgGEQ2inYPsSXSo3sojnt1U2WvX k9Nitq57a/YGsQvb346Rl6UdpkIO3rwJyOUXlPr5yHN3+6Ko8beYPCnfnJ9oFBHdVYCPw8jdzcfx fyNsYT5Q2Njr55LII5Fb0J8qHd1ygEmSkfdjj7L5DdvQGg/R4jfUhjnmddIMDuqIEc6H7t1C9ZKQ dnwbYL0YAhQleFMghfWE5/R2FodA3KH1nU8p0WMVQqysCbJvGiGTv57Ugl6OIpL30XTadLFv5hzm CMGLRKK0gZkx8SG3yYqlIKHi792OpEWTTb3dtF2eBV4+DhwuDIsSSwrH1OoaOVALUW/ly6bDD4Fr VU+9W0hyakrutJXR99m2e35iuqCy0HqZz5jIMIs8iQXvR4M6XrjBwYiTnUpuRRa2ZP/CGKE2TnB0 VZXRTTztyxjWn+1/9OgxxWLjZrvdtV+opfCayDIjJBjXswupg4u14ZcZqDlWxVSkmtPWC34NbsaP y/BWO3MfL+113ihG70Xxuo16e9ELUHMiKgOwUp8fLma0m1ynhX8seQu/O+i7Sws6qySnLg0FKM9P ialMcay2zxtggUj8twD8wh6573nOmsQijyf/MUxp+N6Y2uRbSFT4PLi8kjKMIgeFpoejHLJu2FeL sDOcYr9Pwr1mhYysHdyw8OdvC/XrlvPojRc9FLCXnNYQtLZ3dVbv8GLEDHL5xroPTry2s5Dk8qoy H4uM3M8GbLDlV24GKVnRD0DxTaxiLn0bOXef2Z5HJWHg8lS/Iy6Fcm2PDHWABcvERPxuxOMQx0Nn dvHQqgU3r+W05Bn3CuGx48cdp2dFVl2hozk8ooZ0RffXdM/AQqLuKNUbihiQFa1SNBTeCGgeHfvr vM75kF1s3FvFrZcErpLypmWDHoT8iyDXsPF7sjfPi/a7HrMsZvXcsOGDzwCxFvFkaNHxsqnNahPP hK7AempTyL9B9BhNUVI7nmQnSqR6VmYUjWzSdoXSWXIHSBhQj/Z7Z3m6buudGF8Ka/0zLZ+ySn4Z l08/n/em3l+iD5ZMgWDxjrF5h98eXXfRvScIw+QulQC7xfiy2afo5D/6q9GF/xpKCgKftLvhHfMb HK/APlgurU2CbzWX9bHn1dCuPsZL0HyEf+hFA6PpPTeP+v5LglIwIZHvlz4O5H6v4ALz4HdPKcn/ VemfypGOgvT75F3rLDPa0O6a02lKCL6JWc+j7BOmg9WTirA1JxujBewMBYUu33WPqEc9PNPXo1p6 +G6SdvFWGljC8inRKKRpBhzrYWWDhJUPhv5nT2DELw3u+nmdupD5ZW7u3M0AEOUiGEtrmZgxLNyO f2ymSH6DIw4XB2vS8A9UXXYxkxWsV25dkNNQL7v6d0CSeGHW7TW1Lh+Ysp/HAU3IKvUxYKD4tcxs /QhmgXGGMPXBljc6IJU2/NDCk6T36GpC2ubQVg3VhJVBYg+ZTDeasTESA0RoGBTNNrjlbamYmUbf G8jvmVoCUt4U+H33DFWaXnfcsCkbmXajNhadIwOEG9psaJh6YdwYbMKbn88CNP9s5GuKxOM5elhD RnxLh+aCtc3h1sUJWNhHoxsECNlpI1zt8JWGPRHyUflCGuax0aYccYjxjAGJxbBwWcozy6JkpiS1 /nsYYGvDKX+DKz7E/J8wFD280bDm5lNZ82QTGwV+6BwhxwzQpb39Xfv9MKpe9dYDRbEDrCKzUj3k w4JwrQu6xejz3cFLOrhztcx7azKduSSB9N3vS4HMSza6PofkEMog/Niiu+fV2jL4fwaHPvgoRAkD nFShzGHCmjrres2XEE/NaxXMzOsjm2RoZ13vZ9wQovkpiKMYF6ZB8sgirGwtkiUW1Wrxtl8oooXP rBHRYheAbP4wQvowCGCi+7IzT+gb92icjnbF31EQe9lWO63q0msd+oe0mpAvq8ep3fB6iFPRmKtH E7dB7GV+2Lawy54nnWd64xOtQiUC8nMeL/OSdzvbU3IX5uxuS3+3G5mhvFFTbGoctC5LSljv/s6r hxLCt7F3o0rBUGJPc1muKQ7mN7WBZJv93ephwBxQB2WWOk3bZfEwarLKdEBRt+GKvpgTyHfFYptR KbFGrh6dz9tGmYiCKQq9SI9MaGSB0UKsyCE/6S8OPKCoaTqvbNPzVyeyH4uwaeNb3WonlqCprdlp mDQmzubdAwyJexNAgTNXttscN7H73A2BWgoDCcdEA/tZmPfn7VVW4iAbS5C3a6tcKEKCZKboMXzT mrRYMXi15liKpQ2x5bCaagAzADtt0v5/lEInZPbZI7cCUslkxEidLx8qJm98BMNpytYBV6meRjmi BeU8foWz7SqtLi0XDUwFpLR7HurL1XvWQqf3sHMNIi5mrF0cMScSnm7ado43Aub3UVH9gITtnWIc NXTwQrl15uMCr6ddu7Qix/OewY5qgdit9b9Jiq8/QxgtGeabENM84YpnKrg935WkP8Pd1XIm7keK +xcixxoidFLPt4wDGgqaIIlXlqa6+r786CK6Az+gqGQTPjsHmwZRLHiIZn0AZ5Ed46pt1D6googY XYSIW3ppfv7q+7X7hVlB0PbS9I0t6FOWlS9G52hPl2WwXKUFcaM6mlMHkvCr0x9LnsN6rNTVVMfP NK+GqLNA/+3pBIotvRqjFPM622GFt6bnRr3PfJwQnnwhAD+ytigXBC8XRAjlV/I/mXR97PkNFHmE 0fSj7K93O+opAjS6/Ff9C75og1jRtn2y6MOliYkuiSukVwXofN5D+UpvNyWwVBfIKoOT73oJOnWQ WfzKQ4zWmAFbbcR1NjkvL36bXTGJH7QQ80I7Pa8D/vPnkycUB1S9uXcTdW5HNWggEVwwSI2xPJG2 n0TmcTN/tvxM2rTZ6kkzdP/UdoslB16r3ug2veguvCiDpfaUGBpIpEVQS8FZDCDoqR4Y0oUx/KlI dkSc74sVVaLcZGLm3d47qGsJe/wUC5FRggPp/owneGfyGM7nM6RcCqFCzDS+eOXmAR9tTgFUn0G1 DLD9DihxEoz21DJBZSetPGsNp7vJ8rBDSLpoMc+kn++apepse6m73dRCaI4iDAs/Dsd1g6IDaZwb +pswAhUABNsBfbypt+ADRGkP3imoE/ClnnhgFVydBDMv3LtVizl+HelN5NtksOgHgOdhYgJ+lMUh St+oRl9YcXs7D/pb3BeVusrobg9xbbQR0gpbhaTBMEn1ml0S/zCCelgQT4hNfT456g/RwSUhjZLt N/k7CIxwEzISMUK77IzU2kNLQ6aKM/dUndGV9wnm5NgfyUHFDqSFfWY8ddjamT33F6LUYteCUBiB N8EU7CCHvVB/6fN9IbCiz+FzM6a8kVsWgDwafWwk3Ju8wLcva/fhSg16X6SG560yZdFXdAanwIDx hLPo1BE71MBgQE/zwG2qJNJKGt8Lv7zwdeU9KdXEJqDHoGPUPPS2C20ncAohYufOKu+r6gMrOwRc KliAFUUnKWhrq6YVBhArZWkbj0SSJTIjGbaDX6XDeKk4/IXgkXa2IizvlIyW2LQBKdBCqvZkZnAf gYuh3ZUo26n+dhojlIxwt4tAWIDDvyTaZz1ZnB0qOIudfEgJ0v0jvKDoVar6fM3ommemvxyfiPMI K2jjSLIHTpZQcdc7xCw1Kkgowsf+Sk9TVg5XteK1NIKXgX08iGMswb7zEpGf5rQsSW1B8lvQ9e2Y cps7dERIjMgBMJObPPAzES+2AcKHekaSxdjDjVDxno14hgYIRkqwGl8FUbNfr1e0uPsMICiIr1XY 0InmgL6qzmGAmaSdqGUKs+XGWoh5RV0E6jmTAY1iuxnLdFwEb218HXSKwVPKV2lzqWvia6cl0whV 32YyXDKjVOijbDT5zYOGPRw9saxpA3UeyhkG/0+rSpYiLXTd3BgySMQPEiOvR9ZWRtdsyCM5Nw6o TU1L2i7gLzBHduW1rZk9GpTpvRuyq+mGVxHHvWgnFZwTBBSoyNEfyDytf7tvYlHV5Od9Ux3MBecD 8tcO/h6kcnYkFYDCrYBHC1qjJp9ouI3SjDxO02J+PDK5b+A1o4AqF2TJVPnNdkt/QuUo5u4xs6Q6 3rDksBKiKYEFBzdJtA+LEE832GDGRiMNT6iQ5qhlBhQkCjUO3DmrNG9suL7FNGKlNx5QF0cFmoM1 jm2SvpezGNnRkDxdmH7B2CroduEZWg4a2JpdWr9Mw9HrTo/TFleYcbtBFJQsyqH1eKtJ2xN/zT/D jiXnERI8hGYYk0Utm3vL7M7OTX/hFYOPc7WjN7xR+BWrS1z8mx8JkbOuGPXn9YbBbFS3Xw4u3HUb mT551KAgPnJAQ+jA8SnqlNYw0DHN0gWMGhd6DySrt2gt4SxdWGYqT8sgyl+3FeJVRyNPjTO4SUft ZNWZJ81K1HQ1RBhYuXiEPWsoirDQL5wUT9+6we0zxqGcRiL6yx/wtERhoD32rPK6eWlzpReEF9RL 2RkZjXQQej8r7j5whYdYUB9nvM+wCGE1WNBP7rxtMzay7DwBUJQALlDz4XBSWxfilbZhCE11OuKI nRz8kh4udRcACf5zD0esqH2MQ8aUqoMkyXsKVevmxejqzCGdlhy7ZVu+7btENGkGVRwq3pPTTeix Ariz2LIj874bC/PuzbSvtZAMC2GvWd24V1yzPW5Fd1djg8yhqdL+KiuRs+Ygouqxi1RQ5cy+jU4e PzfT9G7oHqLWPITDApI3QuJqufvBaONWkJWjGyweWrmdRVUoNDS229hYNMcv7degkWM1ZeJiRWQc U9bkl73FLuZFtxp28yx1KDMGQuMPGh4KQ5SJXm2mIh+4tpM2UfhctEnusUhnB9hJRtiZd/YrFCmm 8dlCKV/29hukph3S57lQ32kWc/fkbsbeEpNDilrp4XyyLpHn2053u/UFhE4cyV03sjMzQEBs8+3/ GDsUfqKDRyHuax4FPzvbUJg3U2HxdZWCbgLELVdUZrZ3oAoFZURFLyU+B6JyCv6BPv2YtTZDq/8t lXAy8tt/s6rJx5gdvCOn9+TPFwfuMfOhY9aCvoBoe2ctQoH3Zdhb8d/FPaMiUjlODZMPDzqdr32y GnMQE0D+uRknvAVcOsv/IORtNJQFU7g6YEerM584sW7057MXQyGQWt16mvCGBs+Zhtw2eJDuWyXs ON/JzlAh30dYb9KbJ9QyjZqRJoJLU9ACsvvjc5hPRhDcFA9Q7R5p+69CXk9Gji+STBFVPfiWmZ05 TyhNgYfrIvnMilass+HiNJnvvmnrx6RCmhhzeMosLYHjJjNPBkEg+fs73qQnx+nbgEwOBVd/6CkN oL+EQfwW2KtLHod9NMa/XrAf6b9VKqkJnionWUbRHwPSuJbrQDFVt5f2tSxogRHDEsumUpw5a1pI LHJAC5ThN7ANrWIdn//SkbNejfabPulHd3kXI3RkOCuQvlBPt2KzqRaBYgOl14B9JK88By2ey1M1 cjnBsjREduEiA6K76iKYAcE1iyxoukhim/lhoD6ylBYxo9t4JDsWTUhQ08Wdpp7YWRxIS12TE9UZ f0ATSW2f8HR9tuw7s7Q+JOaKGPjPusTcONLcPeiMDAi66Q7BJbpBxfazypZy0tzFkG8xXkBKz/+N rRLAZTlOIZE9A0b5HtvpeVdvbX5xFN928ji+YsgXpoRBBfGRYXbF+iOYe05erwQ0crLKJpioGw+E ifgkcmokTgHgB2ybfzEUbaSp/rJzASSq8xNWBg7J1VARzPGgpR0pDgZU6Hj9/NWHgz776GeXaMiV ZgP5DjqecOZEXeUbvB7KDbdGRYQpA/40RIDTCs59KZOqRn9jxBBIC8/s4HR07WdCd2aXaxFMKVM3 4UCPyfKlSHjgYOudk/xsFlzAPGQyKqKVdKySM6hJZG9dxK4vinDUfl31eWrg6XQjnQxQdnQHWe30 Vs1sfYTV8us88eX+jKldIrTpZRYIlR50zmcD2HaH65xCdoF4XhopJNFY1yEKG7MvWAQrwm3IVOpG HaoOb2/+dHDjIvAXy90leCTP+BaLk5MQPHhJcaVpUNgW8dv5PLMOX7BqBIZY5NT5x5mUDpYNTa+2 /53i28llQTSv4g27qhcWL76eyHZvbOtrkwOKTcs6GDidGWDQK9IW0vV/U+vjSA71GWWFnth/eys4 e7cWr6Smp316FE+infOl7H6e3GhvfdBovHolbeyfwrdFkMHP70e3K1ZDzUFFRbloscE7/BJTcnA2 kKjfYD5yBXVUFFxZ8z1+fxDDvhypRp/42QHG4qagv9aqWTRenR/bVwW/01cPB2jRxSB+rrUg8j7b BuzCBsH075pU3iEfwQIc/nXm208dAt3LNnaUf6nwE9RovjwALU+rrscoPWwlV7/ivtg+XXvikh0K SMNDh+ikRuxZMWu8wh19m6F6Oe+RUflailRTqKocT3vyV0Er0c8EQ5BaN0Lv4xrmpScZMKttA84B /wcHyMKvss39H8dF00wD/hlSwj5W3MppkXjKaF8fnn3FxZBETOTVuF4TcqnWPB8Wd/nRHNITK3su Bk2EA9KvmnKwaN8Y56+WVm/yRSMtwxCCp2LGaHZ+f4h3npK1HW1mwopkZyxWoVeGZjzIDX01IFjf wypIuKIQYTw3yYoP+wG+z306OnJGBf1K6iGOhC8rsjs3TdzqtycNtFgGSLw5PVKBnG2o+t8IXMy9 CSBvMPMQeTDI43XuZJuoAt6oH1hMNSMB3rp17DuwJghIZIOBKeS1OFdT8GpzgZLaJBzIL2aKXwLR rQ7pYrdOdzx9JvSK6FZ+kkvmKAmDF7Xi8+2o7IqP2kM2H3lNzXxgKO1rtIgjtBQvFJjemjVJaQmt h/OzMHILD+t4BRuDePJCAarBwbUHQkWsU1KA0tEifei7brxGEpQQcNj4J4lrtKxqgRBd/I8aEZaM H8pLdL3XQI1ZIbuLyikm8xfbAtylszMs8m6vj6TPlQ7QXq6OUe8btYK1Kvi1hsRBpZ6WjVZnYWcM /xZHR+kuACiXCfI3s08WWlXyVlmM4mAgZV301JBUTKg1mK/QXvEY7KID5QarYkeCqaY1E9ipPxRE +zDHndsFQI4h+U+HGpEfjkKa05ZJs06uOvJR0m77f0cXpvcTWvQFk6N069r5jWtNPh2QL0uZES2b dHa+5btS/2ZAGLYH5PMYOW0ovC5GD3A7fxloU4f4iuS282RZE2pTcGB6GO9wOFvbBK0VSQl/51vy cy7SKL3C+x3dp3SMJMXQfK1r7vKX4fiyliz9r3vf2LfR7KrqSY1MHyG/mjZkK4hL45Ay1HMckwoF NjxheLuhb5Ivx/lStrFi4yuYc3VtEQ2D7VyWbx5BXAFn+7skL0y1UG7KOIy7Ip/NC8o/Qsn7kEZz Dzb8pSbD9Q2YVQ+u5TXKg8R6BD1tz2ZAaazh8RO+4X/gEk7EqMqSPpL1Kw0oZ1Pz4sSx/bsh/XrR SGX/OPsRiBmOJz58abq8vL+N34MYwxa2ArEwBV6vgVmtvnFtKk0w0iDk0Ckx/3B9c1cSbm/dYRW2 UJxVRhH3bCGUIE5NLvQ5dXkdXUXY49Opwc9+iWE+skq6go/fg6nC2OvXsbwpI0wCP0rayJkHnqrM QjrulRpVNTXhFbkeCPq2IEEYOgPSNjQfK3jFstMcjA/CdXyU0w1xGm2g2WwZKjBdq1/qyxqVJznd BLFFrFsitAztcuVP7m6WNga48fIAgdWVX5UuzR7bQPikhD7b23ZWOnyzYeheW9tWyUON90bFJMl7 REqGcL01GphUeoYiF8JEDLoSM5wjuS2oIH4pAounXOyv/E4Zk/g/zql1bwaadI4iPnSEErPTeILP 3SK1LQZfn3kVma1usWc0nGi89pomvYg7S4FmM7So9d4kVuJGJYH6s46vlfggvBEK7LjWxqE2uE3y 2BLbRmSDvJ7wECNRVzBsL1qwLCJ85konSBmSErdEU/mXQ4eaSnQsLyMU7Z6MXhL/i/9PzLxdQCmY TrG8XUIUC2Oaq/22B6Kreb/wcV8t0FKaLBy3ag5QP/MX/Aaqw6Z2BQtvKV5+ARdx7oC29ZNNYMhe oCA6g440EOWe6dq/3FEjy2bJYTb9Ke7XiIbRk4Q7QYPJasshcGReHWd/VM3a5050KedPMN94z8ew 3byc4gPJd/AJ4KaaALMWFV02Szym9cpwOBhO+tMfbnIuP+tPdxSxC7lknmHQ6/9F/Vck9VeGL6DY jOcYnyqRaTVQVN1xVaekgIUvS3dwU5hmEVCQhharOdRoZ4434xDUllWBXZ7cbfx+5jY/y0ElwqHJ tAEKc/szxCUEPGFV494Jtz72o1k/wCEV69OSyB5Q2uQwu9tcuDLUvgZj3QF5zoo1bzqfMajc96up 218yaNNwf4q7AVooWg/8UNvwq5sH47eFN+3esWpuasfSHIfU+h3FKXgGA5kgDb3ljW+uorPwLvx0 n0ZtC/OMq5LJQie+6ej92EU7Ub4dMvHEXbqtM+gRMsGEZDNjApDvaccSYcNz9Jl79p6Mlq0jSPf1 XN3rTXEDn580JauqESFt4f67w5CviLnTQDIi2HJU9JdW/1Bu6eSVoM+3h9pMuNQ67/TQ3TpwqYIP 8cnskURUt2xYv6OOglL1e+zoxubFzjNmsB7XVIbneSt5elQ7MmuA3l/aWSugxttN/tKKbxu87AWb bNMHcq+d7gTzRCp9myKk1PYBOpVkI0oQL7CBVNI4ggYy0/gtRjriX0nxk3O6kUgA0xI5Kk05ROf/ PldB8pM7u6mEoUfnMAAQVe1j4BSupMvOM9gxEb5BkQsQrxG2Wni5ln15xn4OD9olUpHqXAN0FDHE mcR+kyCA9Lx+y5SSmn2H8X/T3Ab24L57o+LvYHrFcxWs1hPLQMtZb7wSdh0/W87MRfqThaGv0TRg 6vuL+vpDzFc1W7Wbo5sCzNFRKkYmKU0Tbo8yqyjpi78OLvlAUZEdDfoBO2F97vnW7PXTFizsfjuk Iq9zd7X+DmVY7e3gXzSfW8CzhmUfCieEywqi8AI1ZSKva9C8Q7wQVyPbPUzjun+c9V9M7xoKekM8 xcwfWL8/38LtkpUZU5PfkcufQDLcs6/+CH2UOmNgNeklrqcImbcXTWRhd7cJ+hcPcDKAn1YWcqVl SAWP0GDmdw/NEdFmpCEDEMavbkTwSXNzgruzb+Y15BpbGN+EC4HHqaKK6dAjTk+GLwDXeUVlm97s 1b89MOxwH3aPi5WPYi7lYPpERNDICar0AkAyL/exU2wUP/AhcAj+K0FhCcb6l/Eawc3RjhTjL0nX Wz2uMAF1Vk8159jL8MOtvoic39qthoyLT9T1EzWH1wvenc/7BhK3bnAgm/+bGbWjX3tNNcxLK/Xa bVN4IvGAwwf9PTrFYWEUg0mIvqr+AA0zZsdJvoJpybxhRQkIErHtEOIcrAN+jgw+n2jKkqBhdB3p X7e3JuItD0SlMVog++axBY1cwNKiTJcEJ1IBq16PQCNFmiiTBRpQ+CMYX+CGU1RaJz+p5Drgf5FH CRa+HXHZ8bYEHnqg7EC+LCTdcb/xh4XvCaXoOUaADIQE8Xvn0VUEGSLpSfmDLIoAXmSQL1D8qQGD N/nJgfmaFqhguhT3E9YlAw/OhmlryFzvV8QeVH8Y0YLb+wUh0cHPzfFTjEY45jk61LQgfja+WIGf M3uESoKPRVRVlyKTovtZafMXJ21axdmylryNActUZ0O704hGNf9U5Xe/Pq2qcBYkuafQkVtSQYiS jgCbsZ1XoeWF1f0DlJDJ+3Jaq5lnsjq+gqslQytaQRb6colTqhovCqrYIInj8ZSyO55P6RGFm6jc vIxnnVYVu/mH3xabHpWTLq1JuPWhsY27J445j3ISJHaxh0mRhwvBWafIql6KKbYDQPAnilxd4YQy O08SYLzzm9a+fBnRhgexi0MSuYbOuebShqf1Gp8SR3H2Oep+FnO1yPgVC4uR5DH+0ijc41nWTbhV d7McGNQLj/zFaiAd7T5iWohKMbk8IYw2c8qZPVXVS5T0LqefHwMWStrK8Qs7Tz3Isf+4/dpV20MU KxZ1NbPidYczD8rbdkcYVt/L3QToCCjluoKkfrou3diH4idAHnQYn9kHQRaLdsjlFP0z0lPrtzEw XmdswJF/L3UMyZIjRFZUApfpVLuaMIxaVDqcyY7JcNpRuL/OH7mySR4GFnfv3cmyxk2pb5PP96a4 LhpZnWZAHBvOamdDdcJS04cmnOVOD63RmjTAJZEzL5tHpR5CvnawJDcB3CSvz02skfIctsLCkCt7 EsPo16BBngWD6B97LLfWh5fZHpK6elhRTOkMU32BpEj8kL7zJUilmOmeVrxiegZ+2Lq/gTxyti/9 nuh6lExAbPGkMad0/9IoF4My9xoVH23bYis6JWlCeDlJF7L+qx/dglf+DYRULWXTLhu2V3DT/0yK Ir2clyDGRYPjmtOPKm1+OJjUgcQgwChl/xStqYyxDNeaqdNircih9SLcrYm+f02kca/hLWMAlfvZ 3CYLropPky22rL7f7VOS38lB1N3WLZhCbZxGY1B+yH5GaMNnTNtW6B+BOvG5tWjO1KrfMAZBmsJa xsQM9chTr4RJuJw0dVRd66cTl4SUgfQ2VObLI4A6JlHnFxwAkvO/uORyaP6liSKzw6fo5q9zWNZo bOnfrxGs6LMJiDGl3XCiYb7Id+pISxxdFUFW+QtDi1xymUZwrrAmfxA1ClVWiUSRlN1colnt+eId z1JfKlUj9M8OX8LBRMzZwmJFCbbWoiofhk1iaNb6+fSEwTTmWgg+roj/JqR7TJYTSjGerzwUQA4d NI+ZBJqQQNCuel+EveWWZuEYaBZjfflTeuY1I1TPicECYj2sHnoi1UStMX65cCipjTolKxkYU9TW n02KaTcgtaFGNb/XIi/LUomR/P6pbFu2VhcvpAJj74vQ9WOcTULA/5aD+o3FN+DuCGzLEfbdzJgn B6whfukk/8Qb5F4oH3RCsH8tnCErrjM0gmHRZA1o7UHhCzxSsPndJjmUn/xXPS2ee0HjqCSbiF51 iHArIw25hB8iMIfZYpcoByW8MU1q4dORR0rlj5edUHx7OPFujntD7+ZYhXarLX1TfSU1h6gncqyl mAQdnI5XgqFmBlGCWAG3FTl3vWPq+P1ZYp/sOflYVQOZmtJg9hiQEcHo6jmx8Vg67NmJ3yBfse79 P9OYbYNhLzi6BnjzCIa0IRXR0W1B2baqcyXsjCv8ukrPdaqgYpUfXRV8YmZChtyoI+2yFJTX0xb0 8eQBfBrYyI710IpkiMyX6PyAmxN214lgx65sI74uRt6Bx8Wd5EYXQMMpwtF4Mp3vZl7kyGRRExpF nsVgmVV2JV/szTGD7hABbnoWl26qqeTpgziq03GSuPamVf5+Iwig/1azqfDlilARXNarDjbH925c W9vz7+61hRkV1Gc4G0iylPsHyxJJprD8Nbkg7+T6qPq1Rhs+rRZBvFI+bYK1gSEPJ1h49PClomVp SF5BPjsAncHasfygNZbTToje12MGtbs+WLrYoT2ec94PTtK2PB2fiTFZeuwWQaufQtoDAVtmmbM0 Loog7WtjwJWJ0oNuhswEi3ATwjWi1jw1dMxMSdLqacmISgz5eUHwb88jPf4OIVzr3/txJI4RAzRu gMS8+lrwJjxtbk+wpRgkjVrV+7B2oslCgAZnDrRaYAvGmOEFieAa0bCmC612+I/LK2g+rqUp7KcN kkvNQilg/taWande9YF9VwMN0q80PtfjIdAQ47DXiBNBBCCc4nfmWSD9VQjnX3olEWXksqdEB9/9 xFDUP/auntiD7d6dKYQ1ovmBpv110dS8rKb3S5SOm89briVPSZA2mNAfhOPYWRX7loKu/xc2WHn+ J6aQ6pxW9TgY+IBBpra+OKFpKf6XcJJMKr+0ZoSdK8f8/z7zk01171J3HChQIT2oFCV2srY/kTHo 8ebHw15xd90h8rOeKpTFc7SJ+23VxJ1mpfe+2/tLI/n0PlwyZsfVw36XGp3QrGv8cG1Mttea60cx RxqURKVlrZUurPxfs5f7Y+/6fSmh59DkkUDOFxUBbVYrJkvBL+ipKmZefv0hjcCxg4qUPDniXxae WbP5/DNu3qR9s9aK5IUmYYF9AyiZEtbji+MiDIv7HCHGjHVoskhtKksB67QD/C3m20o9+tLj13r7 mFqjHqHDIA83eRfAWUmtOtalPLfQUFXXh49GJoaZiMhm88bLizz5J9wbKleYlQvvn1QOZV0VVH4E 7LwosrO3HHtw9iHA45c+8s8m1Hx1c68xyE1pRqjpGv7nUdABfB5HlycY1stI/9e5hkMfaV1rCTF2 Wg5pCoSNO19ol50VQj2Vd9bU8FLVNTj4MaKDvlS71PPD5pMQCROKhrA1Qee0MfwoWHZhIe9VjU1J kE0gRPCaUA/1/BKYfdDZNAu15tsvQ1I/9BOR/yrFwwNrwLp0EvUf2gB2EUj1a1mZQsCZcb5oAVfc ntbSZv5rZFjIq6RFrsvh/8NNDnfSVJl1D96K6zoEj0C1uSeD9/oJd1S3SN7ferzQVkI5iZECT4I+ y9CCdI9jllBFQKxvmUo/dDwYOdGZ0YSJWik/P5lcu931JuYixsaf87RW37/gHfFllMt/quh6tevH vw/y9lUFjr6hughiY2jgt+2J8BH403qB2Zr4I8nnD3O4d7Y7/U+0F4/eGDt/+gLN2tlYDchftMlb HV4U88QVtz8va9/flys17rdsqyv8PSPNS7alU4GiDQHZ1zP7B2cgW/1qHRiZd629JUP+pcJ/Cf00 WtFog0ojQ6SGmTaJpSODVytQChIRDR+zNGC8eoMFdNbEnZNy8kfUytu4zxwmckkbAxGmMU7Vae85 b1ccSosWxqmcgRqJtHXnJ2F+CJw2tAse564NiG0hw9WMHYEFZncdM8Iqb68N/0hnDVdJ0yBn8AZE quFhVndhYyeOhXz9PBZkGSXTnq0ZHCmHK2PvGZvKQhDQQJj2+CTNeCB/YpO9SwDjGc0YOyrahBR0 1PyreoHe74oEYEyO187f5Ahu0jEriLJVJKTr9FZxN+8rbOpESPTlTVh93EBrW+HZdt+NVFFjB+9g Kb1xCwKHOLvcoBGeyQUOI18pw/4YHtkGg4Jgzk1H82sDyJO1Vwn4u75C+toUkyWY2+axXbfU9ssZ qyv9rTQgZq73PxMGxWmO9eI0RUeMnXQd5LYTXpfZYnVndRvElPUo0Rmshc3boMw7u6kvDhRVUhU+ eFGsfEKGAVCDJRrtlWnApwWyTMKlQBz+XMmjNJl84iVeRipGcDx40xnrikiBN3zDgHGCCVx4eKxs 5IX0LY8h2WVZlrwSR6o3PVVc3XUbw8P6IIjObb2+skt26ii+7xsKG/6u1puzUHlDOscGPu86WyE9 D+8mJLj4FN6IOnvlcMN9VX2/YUfWy1NsXMtM8YGgKe0XHTDsjj7qjZSxecZ/chbZJiPxnv3mMfe6 T8SWImWuid7tP2WTWjXzbBdA17HUzoqS27D78ptz9Sj93h22PnF9kz8K4JnBA9IJytyUEkgdcrYy slVxjl+Zy4TXZTxGdpE5GYGww+AurUoQzfgNDlNuTFrSVpHpxf62RgXUjuov0BJShiCPiu9ZlYL7 ov6LaF5az45XRAcSFF/oj9l8Eah6eJE7F1mro3U1s3P8fxv6tTt3LSF7vXE/0aS1+r/SbwwMh+7B s2S4JkYnjqzQE2B3S6BLW57kTIUzcXLPMB8xPgLfqBWiWHcf5V24y/2LS5G5wioZ8SIJ6bD5UqQL oyJjZrJb3s1Z3ot10Vu12S6bz2AZQVwn79vB+oavTMiLsWGRlyZy2vqQZb080RfC8D5rS1UpseXk UNBJnIOnuw+alPVGU8891pZB1p/zspBUNhxFZJt8QaiOJqKBl38TzTJoRnR6OYa1c1J8+kZzSsDA i+DNz4jMJiSQyv4rAwSJL0lMOWCyEbU4yo2ycK+Wtz8vgiUG480QQy00MaDtGnr+lRJEnnYw3bcb jtT0kKmJRl7ea0YqwcVIdSUa4dADsyQC/pKJjnHWA2WMgeDD4R6Yb1iR5fAxisu++4Akf4oBtdcC MDbTFClVKp7eKztFDI2/M8V/eJ7hyjKjtWkJrZTFkypR989mAjvnzvIEmvoOBTbcLNvEr5W8UgM5 SyqQiS7Q2H4xQYTEiA02/O324Xfy4lXYOLHTh/x7JgXyVp9f+hIZvbD9V3ODXr+9ggfQlWvz2Eai mi2Fw2H43/a+C7ixTnDkVn/XyBWNkiNkxwhRdS48XwOzykk1+WmB9PrdBHUOMoSIcsepwGzCBEhZ fYoIptTFv2/J9kDCAt4pxuOcU5LA9GMEUe7lW2DelB9zVJI9NwgzTRyoT1AjtczLobAYam9A7CYF jF6TYnUs+dD/eUew2Hk8RUuSJmwOyYpSa4Iq/WyOBYUsVq5j3othIlsoe1uIY/ZZCdd9Vppp02Fl 6Bhw6Tuff/Qqvot56MllvFx4g2ZEBPnxFvg0uHC4dfxeLDxRAiNDelVdR7i9FJxLXPgpWs4ZfaUz Uwp8Ih83E+FwCUZvolwK10fFvLNCjskpilzOdt+RJEHoqannwS/g9Fya26QokJFoCVjFo+uZV1BI YdstCMTpNgChfQ37d8qqFdRg2WE/c6qiaJ0N+R1kp55I0wugbSH4/H5VaIqJPAeBX+bkAtqrpIF6 ksL210lOcyRTRHd01nDpzsyZlG91q+tzUDuY1rFaUu9eXu6YUTSTlH+OPN6APvjKPtXH8vR4QWpY 2ZJt6OOVoXu1hdhAX3cUZPWqCgVPpKUIjC2PDeUFnVwYJc4xXZbDUEP2JGhqhoUdybrdQasLVRID UY3sEQM/Ga/UrypHDItqb4ZhtN5C/JTY4mUN1obSuhS3iY7eAPeY/ey6G6u4aA/UktlxmqUPlJyQ nhfaP7Vh8HWZ+Fd3b4oaZzwwG4sim8EmP2NQTUZNc35pHNJbdswkbTjlDKqlsW7yAzBSzTNJ50cB Sxca8yD9YuyKW/xGt371nY4sgVPVvHDUdk8kt1QR8V9vJmwi/jcvRTrigbE1PgbZtha7djG2/I5z v/kJssIHrTnfNo1PpAfeHOcFcEwYu63LsJ1W3Hc+cWDonrVVgonlPrY+NprtpIxhDYehomcvRMVD tCHPG4medCCHVpxOGs7HWQZOvbEdaXmG8+zaaBS30RhJCCqKVdqaEZR+a4O4+4C5bl8k4DnMEc1B DaAdlcNfHp5+rFGtbCk92sD+6YjfDUyoVyyhFuQp6fBUWPkCjY/INhv20liZg7SA9X3VFi55m4DL Qjow9TgQMstljkHm9535MlC8WqHI2PMA6xJ7l2fCJuSKX1jhlaoSycwg28MX4NoPq4JjW7tea+0I vOgRQTTQWGRq125XhUfOXg7cb2tCJeCbCZkvhIWz5tntJF+P2P/8doM65acuDZrUPzD0tyYJPCpG Hg1TNgxYi4Chf+jh2PAjKJ7/Grbh8iYgWzaQ0eWNQ9eCkQRogm/x/poFdrsb5xEbatYtUm//NSTO ErLXJw+ecz6oYnR47dqlWPq6fwy02qyFILwwikoiFuYYoh9LL72fSyQ93herIcNFSQrQravBMarx a7r6N2wAEamsbTml4zh9L3uSdM3XitlmPSgwnA6c+we/bwZLEdhlKux0j4mnGe4jNRTCBWIED/3C FhLn1l81gWq1ZTuzdgcF4y3wSMyCV8wpJ+v4sCxdXoUCXAYWpPZb2lXEEBlWb3pXScdjACSBN+mZ DLdLmsDrKtAMr1Dhk5oZgAFzmKcQ6X+d2bZceKLHyEON1oh7bulDWJjbTVX/Enn7ATscK3NceM37 QbDxW0OWNoiKB9eP3farGVVNtUJ+iUDysYbcBii+ukHlSFnxkfnb87W+VM4EcyudtFwoYX7xPaGy OHCkM5zqz7Ybxlcnm1lks2DQ/NjY7o6j8pL8GJtmXrAUKu9putP7sTTWaiRw5L4LPLd9bHzAWTdw HTRTSRMUTNEJgjKL8CQtKrMV0D54gIed3qXvpWZE6I4lnxKCd6aOE0r871Xw1h93/8BC1KPc1WNL osZ1qtZwL2KW5lxUrorvj/t6pzqnUUXYn/HlFpAcWsR6x8co57XYLVyancA2ER0JBWyxqF6P2a+w 4GUKyoiSjWpVy+QkF6EJG9zOFGso50hpOFeb4pp4CrdB8d5t7lVCMMsH8hY4/0M4ZiUuAEFtFEtJ pMUXjunuVGejpFDYB7S8CDK0ZFbkyxt2TAUkBiuzEOEYDKWPqyq3UVDnxsXrIs+Sn2XGFHNScBga fa3ocTwMaOOfnRXAH5k1gz/oG1jZkHPzNYRdrCvxhtr825xvD1VGCOJoPuy17pLvypbL+EDVQaKl Klnz1jbWtVjjBp47GRoknovy4UA1Lo2FRc59snAuLAUHjtsLa/HveHAlDdU8g8GhnuGgKV0S7E81 gIDKn6hdOR37rVwJsCluZrMoYgWxMnvevAHvWgZSWvxGW7UuBg6cCKAB48LVq9KDhzYtQmZrc90x oqjq1+dg0DxEPp3TLleWxB3ZN9FBpA/1/oMfMo9i0mBiCXRSc5XpRwlstvsYaP+1KLXI8SyNZtvF QhtoMHiJdDhrhFEXwxFpuTvHqcjrr5+RFyHLPe6zJnBxGCpg1JaAyqAfR7NHPb8oCLUN5r+e0NHy i/nXwKKW2QVxd9lOejCavn8lRNYqicVFKXuKmxj15cwhOp6E09/LlXSnEYu/wvBWtL5dSFZ4BCGk wG7GMHqLgRUkLoFWFJRKeVC60pu9TJfsPZKRzL3uAavCWJCGom2l/EGc6ifioLl8aXcw5rupQd3C r2UwAEIinGHDiNYsPkUkUSYuba+Gu/m8v3tziCnUU/lZdTjM2laylMDCORuovBEjPpWdYeoPRaqo A4ya+eUkIg6QXu9SCbKwUtJ2jOaLtzaBiyFwgdj8qLcYH9w/c+vdvRZDt6yXjcf18ZLK/GsmmOV+ VBv/NuUKShBht79evDSAwI9JRH4zutW0/V/gpb7XywrAXyc26mNblhz/uY/32N9z5Trka/4PpZ+e Ez2+WEDjQ+JiPP+kXUFcbsAZBd8qLhAVa7oHfMOSW9pj10nmDMKvi71mewASqiIAtzZO2Qe0MSa2 7LB4UtDgY8o1UHBoOBeDc3ZEx4UKwSQwXxqRm8Rs16V5jFnjdkMV4d8r3Cr0f/tRyQxlopmn7cKf Wbfy8RvFO8PtkHWrBffqeq2Cepp7EcmEevtX90q1yOVKY7S880Iye97PBzSmtxzbZzcb6OTmkHh8 bfNupDYZNioNAak9AmQkGvSLCvPudJyMXThSCtsqP+fgzK8HDtJqIF5ogmETFpN3Y4BH3HIO+upd l7YX48tn7baW2Z3eNOqNWvtWjMM8QJTh+DcLpdzN2WuYCs4izwIPbPuZutvHxeBXYdYfI65fZ/Wh pjKV3HM6+5MzOaRGaChBaWgTHax3FIJHf7cOQGVVX1+uWXqtLMBXJoOerpPhUZg22xZf7syBK8Nz 91WdlGd82seagH8DsY+ff2Zz0Y03tNJanBHx2WzpXrKFRNTZGNTCsWmmWW+NBhewjnFa6S1vDNmY xxTDCZ3Pi29njNINTSUUG65Hf6JzdKPK1dVfPoidB5PiF80krSdLRtno+es1YWiL4zayEhjiJtd4 uLgmJdShzYw1J6xzBlspTfbCLh1ftUZET6RgkH7RusyENBUONWChzuQ5T0iWYiFld/FX3FLvPxCB WhomvohpJpccSR6Kc4478tpe8hvrSY1+ypCSwGe2r+9SboBpA7Dzh6PvtPz0k3BSOAZhZyRL9yNk GQ4Hc4lgOtKqcmUSD0llImJN6XMGUz/q7Ew5KkvLCNebCck3G2d1LkOWTFDFyJUmK17mmHNBPHXT hwqXEP5azuKZbiDbn7hZti0Za5e9Zk4EnbqscNv4KMq5g+Vk0/OKNQ+LX0iUhnfO72HH5NN0O6dw Mt/lHQye748eiPlkM/Ac0bSSw+rAXMhkC9Z0UXyiuPhMX80yAYoKaQ+1tuqEYR666ghCjvA8/cV6 RAIeTt8qleiIqso2TU5KMxDrcW6Pht8J6oXDEfe37CEAUjMfcuxDYWK9R2vQHwZMt6KBNGV2uGfW scc4qjNr+ivJOeOD2EfmOAYwizr5k6UaqTq6c6wFG10sin8sKpTT0iQFMAuB1f0vZlt5DpblYCRT ++W3MpeXfHcO5SJpF2e960b+aW0tTBT6HjqVvk1aQ2EgoHCNSoS5uoInDX5xt76Vd0EhqEOw2Prt lwuA30us0/hAfbT7na1XqWdBXsTfTCJub8JN4Ic0vHbJbxOsaoTj6XRO3GY/A1vECM/Q0ZrjNlFr dYTjAzWdY9GNsb1riAkazfDx3caEOgQnNv3RL5p+VjPRiI6MPbvRFEEidMUR6rTbMfWfG1M7rzjc zkc+4UQ3dVYigG+FpY0t/WhnRUocobnIOwtxSCUGNNW4FmSyOvSFcH5YVeZt5IzvrQ7iCEt1Mb9c i4jPy/9+tAIFSa2MeJ899cxLUDMLjXGM3nTb+hwNhtiBr24slpYvesQZsx5+XJsRvfpuW4BdgTUn XCL1tDSwlnFkyo04vLgKMWKtL7ymcWemaN3A5RhQ5e22vPDHj3+ejrcjs5+sQmWYhFMDaTSkuvpa fYY0NoG/7lsis0DSgpqyFTsaurR9lTidO9NqpR5aFGypYYIlh0YeNXpUbEszNX3z1+ooJFEqVt87 qsj2vI6AuJt+IfayRMkr88e14MpfrMFqBnnKwBd/MMUofATXdA81m5OKzYGAW07DrpO7h4MxF2Kf B9bMlTyeF3Rlst/aom2eR+rxYfGbCuGxlazLzwgeLjQnZUzBx+YYFPeLyOpBraG8JbDCplx4uEh5 5GfS8ftWYBbQhEEhHt0QiR1drC9bwB8bSmJjk6XMFSvi2ieFiYJxOj7subNpKal0akxgK0Dmo6IF T00KAIat4kBt2ulsNBMbTOpHJBnJsNPIrCTNL7I5HhP/DkGrAHP5jMAr3SncA9lX9+0bEnQrFLqn 6ZtAU8vi/Cnauz8maWii1o2UgoGsXTUnn4P9a02vQejjYoKCvBwvXs23U8SPAT1ThHiLKT6U0L9P l9hZ/aR5ZtvcS6wcoETpydc8D+r4EHjVK04DV+uVVFiRuDIU77moC9FPjJnAg9mnDJpylzcIrSgd 9il8Q5uJ4BHDyHObCtmnmK9bxpZ137rR4KioywmWONgH2LwNahSs5Yxg9W86x8ivHRFcShVcaQyj ICZ3P7aBNKgMgdJ48BEIrnQ2GhE9ONybKgaDWdI5GkBkWdOXIohEQWF87IvaOPmBHIspAGM8kJvp eNftuAS4ZqzTgBDuZUbCjLHjFMaAV/hH9qLbyRrWqa7gDT6OyFAObQ34/ciwDRggTPLVp6BnZUYB H4Qpn0cxBJAJvhkpqhNTY9AgDwG/pKIU1f7oBNiee1WB+DkDviyoZYg3VwrsM9IX/csZCL0RaySk PIketLv+ZhE3daRkVBmp4+VAeQlNfWD2oPEiHElV1Fz5gUsp0xwhMM06sD+rUlhONfblBVtu151V 7/AYr3PI4MHdkqOr+JR59OI9BmJxmGP8StJo5QE54VOeaH2ZO6PocLMKPHQwWK2tAA52/bkjsTzF wW2vn8DikWgXjFJpIFYSbk36jVHCB8vjwZVWzZvepTNurvQUfvG/zBPjQGfAjJn90+aFqlkS6+h/ obtDlJqvtmMX04WsWqVGJZgwCWJhdBIxdr8FIU4u+GEctLd9kr1uOufVdEU8jeQZHtmuwvDWShOs rleZvNPpJJmsSFMZ0E5279rOepech0dAYhdEM4DEI122yWQoawR3dlHj6MA2/u0MX8xBte6knoYh lsjGF0mwH53Ts/LCqcnKuHW2eFzdqlimpJu1PzKuOcG4B1l0txfP4eFwRnsJXkg9TlFfkPJRgCze DjJLDble6P3miC/DGWP9fmOsg/3RhMQESazBdAHhk4TsbRouduS8zaAtNm+jQFTp/ExTv1LONWwh dontMR4Tibz0SUrjN3w+IzNiTSqpvBhS5aEz2jcWiQSTucvGxcqnuVtXocnNt84TNIfe1cTE1vsE /Gx8M/4z/aHVeke0RC1aP0nCs5vxRdseSWFPz2Z+sapY1zMvdZ7Wmttqa4I+EmlyTbDeMfNzbc3O QZSXqLd++/+NI90NOo3PnvWRyvJptE5laqxfXGa49C6182gd7xPZIMSOwuZgW/31D6IydftfI9nw +0qP2USYKo26m+SOQGbRbpAy3zWN4FvhbASBw86Xc3wN0xNz5toseMZ25TCKGYw19njmM5JPoI0J WS/hLZe15WRQYZ7XbovBB9yND1EJABhwN3PziUj4DTe1QxJtOg2A0qEu0wmk4IUG77X1vbwCsjYk iPcOA+gHWILZJWBja5Xmndi1eM1tvT+o98Bs7bq5MfSboIWO1PlR+L7eVo8/7l+1FQ1GvK/9Bbri iT83hqi1g7m793VjvdFQ9VaTPZUnDlLqST1zLv1Vm34DfrZGMytvOitgdOlbEtjTuR7I6C1Kkv/V F3bf4terebd/vKim+PHI7gUjSq3DYpWf898itzQUlib6HNwITRiVzk/zVaYNlPfe4u3s0hmLcxv5 F35wyaJ4gEruOI4fyMJ0L4hrm4PnapASbpZvnZmQUajI9xozSzki1P2bNoAc8rY5nOcEMThu4obQ APNiBXtQe6kntNoxUBfq+AxZkxujh8UbIn5YlJuzdgQcCX8PmBLkiQp9H0Xj/3Zip5ksWUgTh7QM HC75HT78Kfo6LLX4nlPMff/pV4gZ8QP9uer+C7sUdonG6Fvk3wlVVRtl4G7CSs2N+WJxBcgWfeMk 2gqT6Lbo5nGVS8aHrZHO7exhm8oTu9UA+ukyQKl7wN1BmL8QECPbseYGp8BrdjbzTAPLhEFBKlaE BGdVqo5qoiFEkCB4RiH67ym/RN5snt1lTsCpjBDddMgcA3cQdtcBoS5PpbUsW9UELtPrksIr50ED a8pav6cS5PiXYMt3ksWJ9H9BDPuyzEs+lrlHk3Hs0slcVSxjaxsJb1lMZLmiDJzdNVkwvmdgwu6J 6+zcoEoBJDUP56cuGDy4+2SiASJKmRRNmlUna9nHUO5NfX3dUneTkKknqYDQDxQwo3pT3qW8HFq7 Mofmjr5Ngj8F4cJ/flcXBs5PtKwK+BRLq/fPzqk1kTV0+dO65/AGu98pAVYlKcJXc/UNpNbAfXtf AQTZwaSCTJEnvrssgu55/eJjPWnnLVpHjWXZAmBhKEEwEZSK2FFFsyoYeZCZO9ve/c5/3zYimUfx E13nGBqk1VqY1l/Ruy7bmwAhfztbE1MwZACbHxnj8lo3APRbGILx2pvNiDSc+otNK9siyexUUic5 D1/lBbTBan9Q/yznuvBrS5GIUgmcwtPBNO6c3+Y+Uu1MHncMNaKRlOI/PW5KFoLTQKreGsQ97MIz C7EcKgpA3AgumTSNERCF0Vi8aXGh9hAa9mvIOT4A5XOOTuSlcwZ7CvxXXKVHA6SmwrLy7mGQW9Qx 3Uacvz0EQHNZT/O7LtgRKY6BszigKz8j6y1SrHShJgVGVUha8i2/FTMmm5OHjIKt7rMMJ+DGmbjd FfZKwyJGZtCSNSNz4rvSgSjQO7HTMQJlYHwn+cK8+jV71NiK3804Dev5yoORn8OZaAbPKMOYHppu YD0SkgZjz7OMf5clyzk85NAe6GAgbnO3kvSGLmLPOSkxU6QnGXNjDBShoftf+J1aj7n9sgtliG6s PePh7r8Z8gu3UWwSvEBmdBqdOFljUgBavL8cu19pCRJmuhDE6fi8aOZA5Guij7IJm09VqeWkXahp bjy3czAXRj88Kbw29tyTlXCm/T4aXsGy4FxMMCcoPkcuVhn9ihDM0so5vYK9RcYX6PD9qQotDQFM EL6D/q2NgncBuyVJv6Oskc4332MRQNqWCjrJy9EMf7ZWxWvmH6UNpTDKAciGWrvhLBdNcumVFtmX WngnFwMY/2Hoqqu+Tql3cSV90j77D4vHT9eG5TPZfkRPnbbVxCg7GKhndJnHQDk8t2pTFMvNkNxq xmDI84e3pa/apNYBYXl1Gb0cFF9K5UVW7haJbOUXMo29J7PCl+gB1zDYFfxmEszeRc7eggAffo/W E3TVSQGClVaaFgbh3ftqN59vpnM5EXSCCRb4XgLns6X30/ZxF4CftjUq4ipsNjzWN2a+dYO7ZRJ4 lwKlGZfN9xAzZvxZu+ACH8xlRiIAEvAHL//oAzqU1wPe2oJoNSVl5g4YFi9e/4F+E9pB0shMMmjK bNA10C2UYNSeKmXc/bHdKD/h9e9sE4g442gyc2MZVcYAp5P3vmwneJvzaGZ+CeDN+Kedu8zk+P9y IwOHJ4TVEnQoz9avEaU0Nkd79O+riSU7oh2e/DSf9BBfKeYfllj5tVSlmqLilH+OiJKJjOyv7Zkz r/Amx0NWQMyX4ZxLoceTIhgTIwh3vpkv4pblaBG075jiJecoM5JuZaoD6MAaLJpi8QJmrWqyvwUt qTKUbmGaanI7KQZJeVUh25a2Cgo67ql4hvyIyLOTrPY198r7/aZyiCr/f96hjKgOe70PieL/b2ya B/OhDrvv3ap27Zn2jVtm8Y0GkvL/8vg615f/kbuzRjHHIQfsGCJyJFawTdXhcJedUKQADf4f98+w QzLw/bYkjsA/x/GBefpv9mrVdxyaox+Lg1SmwZwCFeqsKaNLupGN14613TEFiOMmqi8miaz0lpSF PPQan+8PW53GbZ0Zk2fkLZbBajiX7aVoZK8LueZZWopXKXCnbcrBf/ZLRQKjR6ZGat3F1V7QYxd2 68+zykv4wkoDoj2Ir3mbZXMSU2trE5GLTvE7n2gGU/HEdXmbkuVBzjDrRa4BYgo89HHCjOd5H5AS 3txtrekZh+5dTmA1gj4TmMY8ZloeMQdqhXPFf6DDjdiTJAkML8DrvHn07OgAoRtFwQaxcpOZh72e UoaZIDXFRMaCZuMBdQGmSV1kciSpffzWCE89+Arc5oaTftFjDNjynBRH4h2r+UpRklroeXPTz4En 7aBLR3n2JQLqOlYU/NDNWkTHu3YmE01Ng8DrnnKtOf4taaI/zIJ4tVcadOUxhjs+uaL6JsTu0Tim tonUJmjUzwPfjQyHzc/d/jxwdgQJAqC8DArnXWUc90k9WlfV5ISU3xMIPoehS1A+nTr/lRhEYIkh fXNy9AsgiEsnN5MB/x0Tu8Rfr117wLIk8CP+43dMLXXVI294Ei7zG45s4qonaptgdZWMiSyeUoWU L/Ykzk73zaLui5rxuyXJVrQfFtMMIo3py5YfEaJshuJqTxYvGURejmiZv9w44bB5IQXgOjPRPri7 HDOeu7CQbdtyvWIt+wd/8hD1dXeF+JFt56PNvEdr0sTIKInDLDpCT0Y87IqonmIwUSeAW31+wN4q cEKA2ASQdD1wQZnwJDN06npEsps5UOxrLtIAvfdfIUIJHYEwpCej0GObMoPumPAfQttD3ty0pLbn G6BprijoYnhYM08uynGOe7oGqZRgZZNnYdHZO5cIEzE01rM/py2rPpCnaqN1Dfq/WkgCLDBiDqnX YVY8lJ5miPVVnrTDAc1Z65zgBHqXtczEAJWNjIH/ZejdfwyrCUaOssru0WxvH2Q3Pc4H46+NCyXr 4hCX/TecIsAsuOIjEjvlHDUExeui5Z22p8g9AansjUFX/yqLwmH6eLxJcfmufVHX5pF7d4jvp9xl jnK8VDU69b7bq7OwTDNwEv6LCpToddgF0f3ib3lLGMPVdhpD5qGRF09yoKdIF9ofbHzb77fi4FSU 0jBtnrmZz4vOJKf3Y191CANDTfplgvUyiQN3G7usW5OviJpnmwN3euXSP3J9skRc7c2Izcz+mTdc gCl21gtOyK6XNG/x+dIk+XzTMjnh9AzdIDv1xhIU+wyVmZ3lJHUqS9/zfY7edPcWrBhJGXkuQ/2r tS6X3QZC+n9JiWjOj5rxtWDtTksTn4Bs2jAEMxdUiI8aOtnCek40Rxmhsy+EWjxfcPI0/InQWR/k LPnoDHtALAAeZGGNj0MsggWT8anScSouuyeWq+QJzHnmhoqNi+f6T+BUDFfJG/ysUIFnKKhSOrwn H6oyBrEdYxvZTFwVzymYBc7r1b5A//3M1e49/bUjAmXQGxovdhHx75xGlXAo7gOgLMii0ok/z+km tIfeyO5x4RS4gvpf/sjfjTZ3u1bEsNLV/O91usI34hYdiE7NVJLp73QgO0B7wBS8PUe3Xe1wTsGl PIWi/xyfoWKTPZRGhFATmEjk50W9F7ATErjYhY+DDrNkWH3MfCGNAghewvv2ZvRMdERFNcjfFx2A 1lpPFg5FQ3gqX/SlIG+YxrmNv94lzxQPAT9xHMtrhHi/poDEDWoAs+7/QJ8fIBabVezWBbK7mRHv eDI2NOtRbWYSF8OnOW56OSf1v3Rm05SjGiY8rt0cqFzwDE3xLUYKNd+Y4tkaXTau/9P1zt6GRaRs n0F7HYbEGYM6A4XdqGNnMoI7LBtwHZLFPfNYtAxYp8Zvka6monYPvYv1gCZ2MbUszWHga3B9v59K xjE2I5R+KARX4bZsfHPYqEHBrDwK2q1BQXkepM2nnJIeJGhItwZ7wFypk7/Ly6pYbrc5bgIH/tG8 UgMABsT7ludZ3R6rrrnSZEspvQ35l1qoJuGI4hDpDr02EJLwaxngjXplvqz6mYleL1b2F74SaZ2/ SvgfIge0dSzWgfxiC3nOFPhdtEjklfHoE4/6f0GfcxeOgMpKqkQs4DZIz5A/PN6Vk+/5W+zmqVc+ bVe21Hh24t+tkTeyJ02W5payiD7/pfm7erQMENmJuK7gALd7XNYOLYKJJ7RHEJyK0kIdrJ1FCocf Mtpn2d5OPygMr5pR6EdAzye3yv1OMdsCSV0k4ULdScLRmtM+TvI5O521Cs9ir0oyqBjEGrE1UgyY ERe4Cg3O96zljea3J85Ys+xFRKDjg3f3bc32/cvgMarK2CvmYoRnIdIMEMr3VeLPKBEMPY34WubT hYto0fDZog75keCEb5uydOPyEXCX4Tyb3JAi8kPDesnkWe7iRQCKmrV/fekKJ/YEolSRtykfcD84 wuMGsrAGz7VilR8D6GQ1FmQYZeWXJL6/JIDdn0g6NBzPCm83WCGDcNq0KVbt2bLoA1lAJvQ9tM/L cl0aNX6NrBk3qkAKiRbBQNzfj6+JqFgemXPEkWhtWwkFbwJgrX07+S45ggrM6ocb4GuJ/zZmjvYf uikOOsqHl6ZKSOj/rf9ZpzphKrZCcPzgOveQrg78rZwB94uY5h0s8+Bi82se9LrdwsygfXAv106D Owx+UgwoW3bdZ4CzYe6Aij3+mg8qRdUHfAFMnz7wmldolrctmCE9//XIJ6d0vamYYdgA3ma919So qvv+kQy3fp/CNiuwFcHfr1JGhFmwcAU67wPj92HQ0cBFuBOQyripTwLVXDjAWRVn9myyD/5iL5uP D9RTxLtdY505gWEGFPvzsCSuY/LJxzfu+AxwUfijxsbSVwvhspuS5GbBpbtHJUy039yxBh7CgFKv pG9nctuQeT9dLH6YU4vFJP4uvRWoaMKQBjxVn/9Z7zCHI/YXYdS4hZHwZfTj2rQWpQ+TprveDqz+ RJkHugyfAf0weBE6RqlksbU1kioaLXgthgVNNfUH3E/tor3G7O8vM+2hxre5oL4eaaieoRzG3bNO tfaXueOfXti2mqm3F+jp60d7fE9akmzYaP3SqXKSfIiRZiSl7RC+mVDQ5UNPG8Cu/RuSkpItkf3w 4tMtgA/SmMb3YLUxEgjkFg1l+Jw9+aqzLG3cjfB975O2j2jc4Id6efpHMgzsphmHEK+DCKwdRNQA mULAj9NokDLf50Si8l1NXQQRFov7Q63wiDMufaJB7CBwpHN7p675ClAycvnvqgpdn0Cqo7iwB8Pg F60xvBKjsuTUMU815ARfA10dzTyfEiYeftAnKiYERRSsmoTPPH+q9JWm6p+nTBSf548iYK9gPk+l 4ikW2lCKnxW779/80b9H4KEfNf4JbdCT0qveuMXYyMQJqo+xSBfX6yGWi2SyiloPrq8WVTrgaRrQ DYrWm4LQYE5AfxXc/Mu6ZZcO/oDQYawA4lfJ24/G/gozY8BcccDslpKPagTOqOfE8OkOWjfPUpl6 HJ9a0vAnupAXvCQKfI3zXUz4g3QQx2uCl6f7onBEWNNCtBxBa/NYmrYhjK9+43rV7r1ZvVN0TSis RDVkNUVHMhOl8kCj8pNVmxrJHUIs5a/c8n3pbKMh4FbBeMdMVN6DBw1AvHz722lI/jtHnIzPH3Mc u9gVAZbXVZ525pxX++MJTGxut3TVV9lCyLJVaKb5UqN+8bTnMzjq41L0h1zn3PWxPu4MvKdxGumE AH8IQX6P78oLsOLbOFMLdt5WXV47LzqtOsSfdA5WINOSNMR3TTaszH2+bX/WSsiP8R42Cf5wzXFb W95+3CwZkTTiZTuRDIz0rVq6MGUJ/s3WjyrpEGMthorTCZ8vFuzMP/2cbwfZD6Mkc5KrxYDsKBle dFwYyurXKJs+BKSyfhRIfx84vPO5x1GcnpW/I0Nepq5DzdUD+uScrjXhFpdvyB40gaipVSrvSl6p ndwE/J2TVLwDiNlAHv1TD/SGppYBnmCMCvUDrSqH+mXQVfMAYingpqdJPj+mXqXcJHusTB7zlvbB VfIEMNH7Y5dgNpwA46V+CU/Zl1e5NRxl3LT2nBsBk14hwm7PpWRaFs7RbxZXf3YyCudxsuIVkN3J UswMdKAnO30wJWKEpo1527nf2aYgceJerofrrXZvxpLRRb5vOG60zKwjTX10Wn0Z6cqi6ms+Lf2z YcA8DW4LVcwOq3838yFQuod/2+PX6VHn703Gprc96o+A2ZUNGup+VgvKi5/MuBfio7brLaq9oCXa wR1CsUyTcPAFQUdD7VrV7AUOrt5ePvrP//yZH/38HIVjzu6vf7eM3i8zTgfKxoOD8A/XG8fO6sOy SFC6UhcHvqrl4un1UEmRqMvylLNsMtzmOTWdSuQ8hHURsPqGsxpYmgGIEx6GWACUWnoBFrELuyQp k+A9l0JNTCvz7jgCYxjdnc7ustEojl6HIy0OsEkzyXHX5vYb9zEOH1P5RPUs/Jb6HTAx2fC7x8kO BMKLyVCrwjqVvPAAWcRKJ2hqEiduu0BDv3unnG/HYsYAAmSwn/GPJj1S+2tKjXzE3ykXWLTIhhwg N0V8tjv8+euMpD1mPfOlOOP60FMjxqpg1yCi4d3Zuwgi6jlRf2RvvHPMqvOpVwQuVcuH6HcSOqEt 8M+EI04Q/dps3HK5lZzftP5tBJhTda5TsAisaMPoYqCM5tO/jkmH16igu/uXzEfiGiJGV8f1Fdw4 Pe68jQRsb152aPPVdfhhALX6cKVtttDyY2rLojaSA8pCtuO/ibMPt38XW8duLTJEZGAwsXC+WN89 yT7hr0e+IhicmJDarloXrFBj8MJpjKeBEPK0w4xDAPgEm2X2aaMBHtUntmNlm/+YN8Bzhd4kf394 Q9WfVbGY4l8LxfXu+04xdJPNVKrPmeVAqAUeDzowaWYYPJXeY+WDkcFj/1kL/eWX5ERoBR/Scf09 0a0NFcrBzEH+T/t7KTwPIRWECCq/2pU8iD/oYnjv/k3zT2eJ/cl6iBxC1Z8yGggOF1kU8PMdTLlm qRStZZU1FkWc9M8cT7yeLH1x5Mtf7tBE8fTK9kwwzdnbx+/7/eRNd/9tDd5bAxu1/V3Tyo76RsTK tQH44vpHJibtTINVNnKk0p7pH1B62ih6haC1nLAofEOis9w+3aifjNrwdqd79HpXw2cHeNt0vPaN 8GWZOy8lIqzL2frORW9PuAn8De3S49XRrKJVLUTd/R+vF0ZCjijg37h7RKgrchw03YNjhIAT13Dh Rg1X/ETPgJglc3PPBJpAmRJIFJBvBedfhStqjM2johjiOQGqUhV+ekF3o+SZyqnp0f6SE7NL7qKh a4eueyBp9zKD+4CKKq3nrk1b3U3jWFi9iJsZ8ggUam+gCnrZqJRHOgy21dWtUlkkT363ltBGoCbt YwIEwDbaYkwjMWA0y5GK+/VBbk2Wi2j6Tvq2eDxf+IwAHWpk7lLCYNX6VPrKcM2ADw724bqMMhZ4 j7Jq5i+laYwRSOVbzZm82wD8bmC8SOT7C9Kv9iXZJjqjUtjshzemvS3+kAfR+T+Fhju3IMDTGCpm 3lcWj3uC2dhTvrs3IbYc6PcHKCsFDcdMOBGJIwphsapk2N1uk+48R/2ug6/J4DApknGi71kGhI0N eAtFijzhsnWE18bJc+vRqak73fp+al9s2I8ZftYV8darQOzjfY5C92dnvcmdQXWE/nKoZg0lyJGJ a4gHwJygmz3RAbnS4H8xmkurz6mYWQocECny0TWb2bpVqBrN7claOzcwhc4HjHPrt16GG9KRaOu9 gD/fzyf3u5HsiYQIRqPEEyBS8S04c0tfCujDefs3F0+DAO0bmCAd5uPF4q0OoHbIk/JIY094fbsL z0SBFh0FQGViP6QbpLexqkaLzQHl3kSExgzxTGt5gCzLPLojgfyAPRHzwUoPZYYmMi77BPx3ILOX bMpNVLZTlfagiB7mbGshOKJMcuYWCW/j2SXKjEuI6vBBeb5rJVChdNHeYibvA5HAScy+k/vbIA4Y rbLthqx9s16mPTcQhTh9SOv6XKn5g6X8MNak9OI/K6h8yZdHvCi038xSu8dne2uFNnRBiw/ffZz/ 1wTU8I0YKa1KDd+wMmyYbgOE5j7B7HNe6ZoStvVF5xQg2gAog6NW6NfQRy44Jl9WvtHb/pNjjyBM lEKB6SyoiMg7ctZ7cgN1G1uhOdtvRcNtT68t+V/6hlcUOqANZjTCR/MCC2C4bM8Dn9Y9m67CK4es SIAHaBGqZ20UMfvbGwqm4Fk1YjKnitidggsNsj34SsMlty9GBlzVMVGDl9U0UydWw/nYDB5lkBFP rBOD2ujqwiNemybOMyoZ3uoCcW1mPVtKZrPXLPVDCV6ZsixJim9DMyBOTAo93YPscKLw5VZ3Brt7 YSfUfgCSF05fIH6ut+svinmSbbnEDQRwRcQu/ojibhazX/32vSE0lwme8KxLGYDF5xkEzDSUgvgD XR9E9XMTCCEKy+EivS00uuTc2Ms5+6Cn9hfZsKfn+JP9TwYQFmNJPreBjx0HL88zBPmcwkNYwwBq h1a7FGzKJ1TII02Z60rXb/PpkVCOhtd3fkREH0HWMf6WBmL8g7h5nt0fO6xRd+SiBD/ye88TeTdu IdbzIN4IGKsVERMcaswfGiA+M+rJGDUMZ2ikpxAEb4DoOq0GZm9JZOGPcnOjDMCVviCLD3Gs2cUQ I/iP4Mdpel4kcWxa/mraf7KTROfQsTneUmaV3iCfgyU8G/GqQjy/dbQW8NEAnfO6hDIls3PUbv/Z ThGA7yttlg8XP07ONyr/rzLfASDXz5zU9Ua1lNCHTOPKvQtNfi1Lp4+HBMPackeBHHks5f5gFvwW haqQn1lmiFKgkO8hWZyeMrZL5TOdIm14MYUXjCwDYcvtokhWvRcdd/YOBeNyg/kgrDvUSGTeD629 +ZqyIKzwAnF/w/L9SL4O3zcNl8YAxnsblKAmjtORuEAgRkHaNWdnKMIBdyh5op7cEWmJBOK+blos ewnqGIju76VgnwXtKt013tijG+sTgOnBBP7Njn4oPDhxRCQnF2AdDpqPrJgpZDAPh68yh1/ELBtR tj525BhYLiWk5k9qyFBVpL9Z6TbTe/ldDXVpWM1tu9MUP6lOQARKF2pz9PhZ3QbFTOv9PaIqcaWm jZPiNco1ui6E1y2cOymmCVrXxSIOaXYGZyNIGlsE1y6AFagSN9OcGEy7zrCO3+LUhkFd5+gMK+1X OEYPZFoDwioSjFkGi3f9cNLSAKSPRCJvMi0qNva86YBZFSXTC2tv2ZRh3NeetIFQkQPjfupfbM/c hZYbL/efSzmHWTmn7N4w7k8BsqhXH+wwEX60xa4pVvZM5DekCxUGqeWPfrYdD7LKTepOB1/iC4// u3leYHFPD48DvowFadzdHqs564UIPGjY40uwRFy5ynDG0rrOkpBBmgNtGAuXumCQcMvOF3MvP5KO 1UORZxNXWYREHqvpglPIRErt4J23/nrBjsJxlJnj/gOuUH9J9VzxPnOIUieaNvLrWqC8tJyqGCXj RkYjXaOsxL3RTpiv/aNJkQE+5UdNcavFeGKKXIaztzFiJzbfbAWywA96xh4sdtuYCePRecgZz1p3 52RM/plVZGR5VO3qfKQtMKc6Tq5MLHd8n0HnzfCmyHMHhpYi/lOR3HgF4ABlZADgIwApUAATZHA2 5W0qpZjFLe/huEVq/upcaPE66xkPaCJonB63InTI65zbdoFNWTfKIMVaF/bNiW1xFcpdkaZq9Xiq 4/AecQKzDq37Kq53SkXYbZSdAxPrsxBlas/ZVSImAVW1boLaKydQ80TyxyMwVO633lVqubKvCeXa L6VQTWbZVK3y4gLvmZqkn3pJna8CVdwWto+WfBCCXJz7tUVPWFSzZBk3xDeAImzaZvwcm4eBa6bc 2PmL5zJBRjDzBySGAvv+TlCefUT5WMC6cqcNHup2CQw3U5O/HLDa7/w8raDSO2fs4IUYWjTPvK6W Vs5QYfrrUkkwMsMCh/EkKn+PFThtjVqCU9O1NvHSEwT0fFnY15cH9vwYV3ALonepWCN5lGuvDF6M e5NR6HUSYfkNoUyQW7Ejj/BDX4GYLhYY0WzshYKeQHQtFv2KQu3kTeF9V414kG1XXF355cH3kOr2 9I4D7RO8daL6Nhr0m0ZO1fy568jhESSZ5fED/ilkRTwdrHI0qGr4a46VyaxedmML4KN4jY8jMW3s Kn6pUisXB+NVlHdhEqupZYjDw4M3qa5ll2bCdfnOl8WA8E8/z7EOD0cE/fQFJ1PfcMvWOQlYVerQ dbRPSKZ/+9M6GBCQINCMs15eprjfnMReV84DTPWInH7Np4UFWWxNJGn0t5YlEpKWPFHtbWRpQM7t lImIObI5gfwQUGFyiZUmX/8EZ5PLrKbAWHDG2SwUO6/kpnvnDY+VAerjr/xRrlzwOVEv/s22ldVj MUyN7gQnCfOHnYM9Es1V4KoPq54VTxVgM4CEW1AMe0SKAN0SI/wZsTOnhK5AJm5bnEtKYWQKYBHj uGLUEHgw5EZJIReMCv5mtxIzaEijThVBZFx+NY67QThdYwLchzSPPGxr1Ee7IbAq2XMQ63kE50G0 2QJw3QTi6n/wGhEkb6LQKPS3/2+1hd4XOaw6fLKpNSNUCYmzylG1/UsUxwqOWXTVLlX5jVbEP3En jPiSMQJVS3kGJw4XUCWskCv8MYmzVTFvPxSj4qBFsW1RC4FRkQUC8olqg2qT2lrXnpnpWciWMrgM sq1IFQCcNInrRJJkzQHSAy4SPhZXHtINDNm9CZkUCBF8UpSeHEeHRQM+8F/j9JeknN1220ftlzIu 6XsSIR0/pLociHPCFxlu4htofG5aPDIn2ThSEB3wBE4QralUj0z82XvVqeymHd26H8UAxdUkccIU uxM5aRU8WaR/8J7ZpqQ9Ro4m1Y/iDwXi5pOUu0y8ti/c/k2VIQZ5tpzFznbtBVT1BAwi7J5L+hb/ s7VnUmXriI5oOOMvNYyyItnjpa219xZrplRzry/9UG0ZjKYqNjLXPXKTC59HdAC/d+sw3yRuh2yk 8nuHTmNM0owe8JySfRtwhAUfIRDNUM9QyuaM5aygDZABW0T9hxq7Pv9UAGo3L9uXJpsNilTx1ybF g/aOR2jruIu3p4dJcya+bEvrKqmaFnHaWDghEXNXek825Cig8B8QDGaky9yS44GVdOJvCqLyMsIM gg3G5c8Bb9tkS7SRyp0MoMFRcsfVysh0B3yrENePLVZC0Bts7rZaCMig3obqn5TOQEnP52yFRpxa RIxhjaxq6rFo1jG/OXJabI1Ra3+lFC7QADrZodi2EzsmN0OqiqyA+Z8cYb2vdL3iwkBAbKK49WSV 493if/1ovr9YN79oxVW7nEAKUsnfZUVWiaWC+/g6nRZLy0E+Pp2WQdCCz2zt0tChPz3u3YRTocCQ mbUt3m60sbUTpamOthIWXXZX9OQ1XkH6Fv0nkEiKDv9k8bojqmRrG7FRByLNSWsJSkUGFj44yBpT w8gYWgkuPSuEdOicuAa38+JXvGr7Q8Xj+09NHi8vZS7Nguh8EBokM6SlrDrnrkAfgSyoUxTB7v5u SIsqMoR0L6K3MZlXrNzoaTtZs/duOlH4o+Ft4/jQ7T1IL7+DbLxe7U5sTCQzXdr2RvLF2mdxdo/Y yopJHz0nsoscCwTGxQhuQmD/4i5KaR3Wy6rdPlNOxyjpLuxr3EuCjUcV2HCfeJjwIxTg6rcXAxTE VABBTITolsch87as0VFuVBth8lkkQJqpn1hJ43xZwuHFoUfPmU4YKjEUY32K79wlEqycfEW7Gufe zgEQ73DaaWYJgE3DNg9FuWTTFkXo9t/4N1xL2UP+jZMMqHiOO1lq9FsGzXU0fmqnE8KrHgv+rV9g A2nKxDwf2RaHysUOd3jAnHvzRFBC/PbHRJ7gHeJ/PDOGTkfSj6Qd8LXR5N4TV035pEY+bBoW2ZgV 9BRVvveSewz864qdupO1SO3E0SClXEOtzUToIXKPsWNZH2WRYKJmeViqdqYjs3z+iHdkFdwOb3H+ 1uyhGA4KgLZ5qF8ZZeUUlJpGOYM/oJzwY9nli3reSXJcVhM/re9WwboekjcbU73rjJBAQeTVXnn2 yvweqmeKDINf6fziSrB2o67gZOn2wQ3FLvcAGLOFK1Gu9f+1PYSPsHEw65zw2r1ItG1zzjMZbOw+ 7NArvLW460VC2l1PQU9pq7wCzFTohPRPDeVA+/zVA72naOR+sYzcSEhT9LWmESW1itro37s1ySqA 7LAzywbxVCugFEW52AGetZozptbtjF0IGYyCMok647QhvnUYtbu/WA4x405IbzmSvSIRyh7Uctjp 8/qY61yyVqutzSsAyAgPCiT5e/HhjzMRX6NsBLG4Znv6KXugBOimuuyBZAEIwXv9ph4sS85EP/Rp CkU8P3oCMtC/rp+HJ6s0fddcCfVZ6LVXXdyqPffUo663zQO1IH1JNO8b3FcCuBk9Ewf22PJmdOrM xVeMPaMq9KojCf8QjmnmbBfJRVMNV0TSSyCXULQO3vGd8eRQaPXqx0jw31qDP0HLRHsreoT/8Y3r plcnnfI6Jul3zJjk9bNIaoDN68zzt3UwVbBQlWpCbEOJJFWgSHllRKwjdox0JuomooxtS0DJ3of7 F+dRtVSZwfeQqo/EFKVZXVImLNgpQnjYaB5W7phNJjDGSBJyn8VuUK/x/9GSD/I0bxNC5DimbDib f6Hv0iQVrCiMiwiE/ipqaaUUjJ40CLO5TPhst/drYcvQBcR3CDN2ZWL+On5Xj9a/vOFPV0TnM3W0 MqIe39HD3LuKSB3GfM7ANbbdQxrxllwy3bZnl4f1QFb8PqQrZbEaoVj/GViuN9Lw5v8GOD6B8klS DEOBqhIqBu6Koqlk8QPbA8Ih9AC08JBYOT+RLtzslmw5sRSJoVRyU0xuq9EFHzr2gf9pMpqMXw+f SOhkZN7Jlylels5DaeLXEa87OInPZNvY+ibI+ULQ9t22qjs1TXMPO1R3tZbkYNbhcwZ2RiiwdHBl f3RkBJRPOeCEioz9J2M+B1uxfjwZtm+nxhOg4TKWPSYdKk2SjJ+eZWkdxc7jwaB3y4omdACMa1Fe tD+6ueOEkCoQKhp7V+U7QNKVzYuAzbPty/2/7tAVEZ2K/GTrWHv50nFeBQdcHDwe99RQyqqzUVrB 2i5JOGD0W54q5KkFWHeou3Hs/wIChmckz0SfEMBwuCcTMeB/CamcvcGBYQAmqY4q1xsGvSDlJ9c0 xg2ZO6oRUCVkbPxN1t1G+AtLwLVSB66Xew/NXssppkRGjbYYmFMVqQXIMrnL9FI76PyD/G+mr5r3 J+9ovIat8B1DdKdOABvBIj4bcIagXyu2GPBOpJtl3ZjTYHHcIsRpsyLhZqf4cABrhK23u66sU72B IekbsY668TB4wHJzxR3Z7r8XwUQ8MuN1Cy/Kp5ZytDNH/euXiA4D23ky1gvgsn+tcpwxZ45JX9CZ ekpKTnIBRh6wqYLqX4CZZgdbZdZyqseiWZFIvcNYiyGf5pqEohe9qbhMuFwlTCry0quWvQqH3vXo VOeoEMynnoiSSptQcsevNelaxOklkkJB++EbFX5jo2lMXyZ0zD20By/0wV3cQihfXa8kpxwoAvbJ WCjzN+uHU3LQwoX211f4RXIIw+9J1pxrfbPfYnEo9CuG3I0X2xxyKBo9lREdFG7p34MzM0df7iPF LMhtJne8Ct9zVHW7wPHwMuWtsd5/lzgSECVGIYer8pcwOX1Q8qqAU5I0SjSMmjMlITRhx0Kb0IzH BX34b1iazs5QfVBUo2qzpI8Dl6T4epk81rAJ4UQPIu/LvAQP3DZzP4WuQd7IYepYNdosZIy3EzJZ hmzBXaFKLlcQvLzCXwj3lURkLDtspy+PRmVI5OHKy21rltZ59ovIqkw/HmRdCRlDsAoP4teBJ4CZ 6IYsMN//oZPF5YqXNKOS/9pWydvGZxLLGnWHHiAKyEbqx69RZOA8vAlKeLGptS9MfkCEdUQl++iX oypD8mo0Nfbpuur2qzOmRigCk0rgHeKn7Ztglwis8UsIOGMyV1hCnXkfTb/aD6tP/fUx73+JQucY eqQzVZmpHmCcu/nmQd+nEtlQWQAy5bjIMy09L4X3VKj2PoOnk/UZREyTNs2kihKHA/NUvFLhX0zo qljMn+mxkga1Hp7Gg/+zyzb2i0LMylFQNRjDamQW+KIBn+wzGPfGxcbyZ5dlX4SA05BdpsyIVY22 KgPXqB9XyQQ8KQRthgKhLtw3PLL+gjPXImHzrnMzNsG3JiIsLK5YnK/T2X2fIGve0RNsKQrbnvZv mbL+wf/19WSkZI6FthaZh5lYicJisSNbqRnd+de3/qMDtAHFpjbmFDEAkoUSgc3vbNRmKnssPzEG 9Ji/vxQZ0oynEpAiIYtMsKvC+jxWJSw0PQ8VG7Q6YAbxr78kRG3PltxoZ1PQ87maZatr3xVm2VNQ jsLgntuSCsPuqlTcDRV9VaNogomsVrw0WXO086YnVKwk8BjA6iwd2TiKKB+Y0POjAln1uPOvSGDy Sck1eaJEJSty//QaXyFs0elwykBESXXkl6yPAt/CU7zudaQ5ChWuNBQBLAO/z5D8wSMISDqBOCwY +J+bRXiNF8WnohOJMea5fX6abFgleXrzahHiCo3yuwbssAsU7XmVkGenxskaOP8vpfk4u4W/4G7C gI+in98AKnnTkHCNX8kgSg4qxnWrIh3c25ufnINKUZ1BywLYMpy5hO3fPi2H/rqP9LgLUTTgowSD 1lkE5mye380JH7AVyXB4AaLojn20Yn155AZSzbLZvdeC/Fq+aLWMbGpA2gLXhclfIePZQ2atx/TK CxuSxk1jxkGvgGvUQJaD9gEfRQkoepauGc7mNLR0Nv9T7ZICzyhfHWN8XFc639EuRNX/dcvEYQbp ONaaTfjBAR2zQjsqtlsnK10HUB+wlQV9xP3pK9F4wU6IjTcGiq+ArDsncgWfN/W3JJrZLuYKNDuX jAW53LYCsDH91Zh/NT8ahNTL7lGeCrdTLghAJmDZjVByDGuFX4phR6kRXGsEv1fWl/sQMPsX1g03 hBKvB8MI5s5HG+uIHvqd+CCXroizDPGhBywygFQABlZ57lZGRV02JYL9w5eWvEQIW6/EAPbNbicS yDIs/HS3ISn+TbmZHLRZYyK3zLvVXnbbZqr4XDAMZyTWnop6ChTx0IAxA9VpWrqZoe/QqlPIraac eHGf/217uYDj/kGq67nDmQ04aQlf8ZehkAp1rhlNtzZUIgeQSPagbg9fbmagTnoZILMJKSh2Lpd7 Cmi7y2unUBYPLRcsIHyhsUb+EYWqcQQ2DDr4v1hsnDaN+yTXFKgRHVhZwCHee3FgShvydVIoTMRj SoQb54wmZ0j3NpySR16KeNIM3JlXwk+/zXI5OfOPuRaHX+OHzCsRFIdb3FMh92p8hgxp3NEqfJnr 885ON0QeKBfEA8XxbpwoswHu8OHNNTW9S+cEUDGIELSaD+jt8O7O8Py4rrcP3e/i+x5b060T6+XR 4KoXEHvCqpUT6d4WNsAu+Mf59cA2Jo07jdOJ1OND7PUs9eqsdLHq9XEU+XTEIoeQLBjJijJiu5aE XHmUCpUrjGysSrp/J4unDCBmNEiCCTpuxvPT/G3qxDyIO/jUEMnpjW5YcfciKX9T9MZXzrkdB941 4nmVWOAldMhd7KKMAXu+JmBV3YMH8RL81/BbihR38L+v5RJ+mKjPziL8dNJ5386bQMZIJg3QPkFt ixCDEdzVGG/dXWplbxywJlO66pqZq3llFrTziVrM3Obb2stOSgLkRoazIEvbbzt6jbF2CU5rLuek oaZS6+tzV1IXFx+M5tWxqpQJ8+Ban4OjwPtaHfcqR4On8BSASeeg5b4NH3qaVM5RNSOwcl5nR9/B lRTl3jhDfpG3qNa8hDMrOsfuv9KBmevYNHDdGgFPSQf9APXxnAje9eXoPXpp32FTSqH/I3axKpAG 36G6VhBevbtetzFvR8w5JxiIqwYZIZwW8zvyWq4lGHN2RLW6nTdRAfauoy9n18coPHWL+F9o1+MH 8Pmvcw3XNlECdBtdIelWIbVrJe4gbgJMItgGNg9+dJhRK7eyDrFPLEbGV4P3JhvILtx6c+joNuu7 9oVLP5axD/dsyXCf5kSTy2KzsM3APW82L23zelL4KxnJMUUm9R23qQMG4keczGy4sQZr5bymLMwq tMB2dZPGI3uUKesx4lUJpc49RFeOTaRBIs4OlLNCf23mwfLZlmfVWEWBSCkawNOsclWxk9nMFu4J tmGOzGBggcbuPLHeQngQJ1zA085MaOw5qZYhUULuI0s0sV8yaEooSk5X4m6fYOBNa0SbpMtfinah D4D6ELq+eg8NDATFwZRmN0WVS92LciL9EiVgzmwIVRXz1DTDwxuvS+X8hOdyGqFb6WkHadeTyZBH Ij5+xdktBDkXL3mEH1BIm1NNfsYCeGngaOcs3XJNyNsue41W0USS87pl3g2j025G2xD2771751xt /zrxMQqrYfwzGfJ90ZG6JrjKGuSj6qTPl+zKnQiiMKx2boyNdhMG9Owt3yjTbrWRuMqp/5+PIzgv KzMpFvIBwGXpNWVRaAcPg3Pa3ef14MuFhHlvwxdkU+Bp0UBJVo+LXmuFis4CwnpaSKNm4uC8wQP2 6/s9yXeaOpeIrP7/xISPJYuGEdxxR10vI3bEX0zDv3thdytSY7mE7szaLRMwYHH3fgA6tQ5LLecB KkWx3uCFljSUI5pCdqEveNyL1FBPwAjUJh9S9oHJzhqFvwR4HaWTF3fda3DmtUwSJdr65xtLHGiU h1dkE9Lmx0CVJ9stZPRK9+u3BlwpCmHkx7+t8wBrhk2MLcoTa/D8tEoMR8pyCz8g6MXpCURo3WXi eKSw6SX++ltsNjNhq2hvJxKKn5RQ0dA7PULP//EIgsh0k5Hkspu9w41/oxxi08yaldoxfauYWWqA Ec5fl/mYeYhTlVJJLi4h4iD+X+IPELZxB5OJLaa68UWAGu7FqYs+5ro+wcsfeKL3k/M5dOIEuJin 7TY+PS6wkSwrwLaK+Bi/ps2LPceim+uHe8H5+IPZyEJNc9zI5+zVS2pejwQqkbc4Zv4k39SV1fWN oDWOedtM8+eJ9paJ8+rsl8uo4oesn/GUsmULZTMFP9IuqW3JAH/Li7NtyEmjE/QdQWKb7YM5vqa2 lUIy8vA/E7ARmuupZhepUBwolB4uaozsG1gt/ogYF9db2Fcix4cH7UX1EqXse0CxvMW3REai2xNh k9YgE0Iw/Q7Yh15ZPOqBj9l1xXffjmOrGQcpvPa98pE2HWXO9fuonRbx6kLC9Q94lk0fbmkwgt7y chHX31bxLLvGvE7t+GO6rGIPECN+sVk/LDQSrvMP+mw0lG1BaTSi4Gyvm32Z7FSbUqIIJvkG/KB0 RD9ygU5kdcSElk7uLeP4YNoXGQJWqCr4dCbNuDGg4PeroT4gpiAUW8wp62+ZjFbi1KaThQ1egS2F /1XFY6qPavEwzX3sg/H7lurAnY5b62Kosh5E8HWWDfbAzwWgFiKBIMhPV8EAGMfKtwqyUw9s5WR3 hET60+nBw1qYDOD18zOq3HgYJUjqRJcnyFgh6Mv9vQf46O1kbU6cu92qsNpX3LjYqhhA/TBklZgJ V796iOvN4yk0/QtNDnKrBAZiRoEnX6ZwSOlDtfVKU2Bxm2NO0OBqRUaK9IbYYhedVZVnV7xKhnpV zWgkWC8D4F5T3VKTaDiidYyb/cHtR6bVaTsj5znqYVeuANWpWwnb10n9MnKXyxLbcrDjmkmNHfB4 uCduMd+yQhPzFfEMOK6+/gRlF3m+EZKv1vtVQUj4DOsWSkZc95kgOKgaonCVMBTpQEScEP3NK8HV 9CE5hUt3HAlpyJrk7JpMzqlAaEBvgBo1MecQoOhyJCnY/EZrnhQ6ZjJ5WvjMgmpRH1nK+YDymSBp lACHLGv6GNcQqXSi3CWkq5jwnl+NS+b5dgYH7C2QE1ShsOcLz3RPwhJkNMYgd+fO2QCqoCP+DYZX uol2noe/vrURoGx67RZNA0TZVTThK3QVu5MmRk7iOn8OqkL/o9CqSG/noF/eBwaFYWfjV4b5jwAx 8sjgkvP3fupsnB4Ntm9zEK6siE7wQMdgRe3POPFjuS0XhfoQ2x+qoNcqLmBxw8HeEBBqK1gAw17q yTkIxV644oJ5Y365C2gDcSQDM5FTafV+kKj8xzETWad9XYNLclMBPUAb69sECPZiOODLOUmsyZa/ DT91L6heV2euWl7zly61aO/ewv2pBPtMSj3IPHg94eXHRovvbqQNbUfQZCf8jbWOh/C/LPL+JAPv pIWeFyYHM0/cdFsbxPkc7ZbWEqz83w9pfioucvnLyKHlycSM/eS8MWxdcZiwgXPu6v4tVHxvshCr bPtk8UhX2YYGzmXpJJRAajvcBnV8ZqkDlRHrxFUdGXNe7WaWLFq1nGWLqHarGuINHAKpBWjEoUi/ 7+LmPJyC5Iz/KVOCVU2YzjouuFFpGz7lwX7vjbDAfRCimdeCvysoLy5w+/URQZ0Y+qU6DOU8RujO kmgoA8CEl0203hhdz/YitbA9pLrDvMzPvgbHQrm3rpb+m09SzyDIA7AYSnvY3srUaS3Km7Ee+6e0 /gvjxaBS8iOqWcCyXywJIB2zYu8Uok+rF9RjcLGVoFcH5ljEwW1InCMeirU879cdjuZokPyJU+Wl hKeEJSG55pbu99t268/q/XdigNX9bUGWx9x4g83bN2qK1k/9mZKE/t/hm0g7//5kR3yDw35nKpRb zxem2fMt5vkc2JL64mSWI6wqRGVVadJJk+uihrmIzJ6LDiWXcayxSLmFgkuVNdgi+lR2BgxTQaZk gwHp8pOZdpL2aRlqALtxbPpJSLCSum9LKOfbuuu4uvAgPr30lYthJAuDZjviJ9Y32OX+K9MZ7W3P TnQtw6eWnfBAGTVAw9IvtOo/mI+lGtl/77GxmQamhT6Jk3PW6M3eIsneTU3S5sbbPQS7dMM5AsE9 x+mftkrWwM3rz4q8FX8AO0SKIefsUcHMvL9Aszdq+hpGb9uztxQkkeVFaYA+nSDJCas+EHE34cYb ScbNITnYeL8lxk/Txdt5GfAwl3e6CyulwuDnjD7AD5ouU7CyFg43o7y4cPOCRPfA9pXrGyndgTAS R92IYggKSiH5x3VoCB2Bj1AOXPVQoXy3x3g5sq4R0ewqrnR3RdJIte8COCeWs6YrmX4YrQRkEWM0 w5PhyW5SOPCVViH4RR5Ijqb2VJKDCXHiQjHe2jksSuWiRpVYSUz3hwKV05Fnp953lK8x/JeLdp8v ++m5auF/6P0qFvFVqiPtdX7HOUAW5RLeUb1Pw5umEpH1CR8P5f7EmbnskDlvK7s/oMvZhlnEO05K dJY2XZ+1PUYxJRsgB/PrA5RrXen2sSL1GI2ZKZhA3RhmCQuzsAAQJmDO0OykYeZ9nPdxbapeyhBE p59SRT7KcEBtmA5g1fkoMGwT2mCl62Xd2b90O0SjTrXYOK0ViBOnrdmRa1C4ZnEUGQFTuJ1o3Rwc hUG6eFMfP4H66lTIyUKtrlqBOWLMg5QSuDTAR4HTU/AGhxYf7IdBydDBWdoMZg3Yrn4WiDSXPLa2 cYm0kKrg5arO9y720V5cEi6baD47V8goNicjTBDLoOulJU7/7/xazOOTCsv47VAC+8VNGAKUNM+e 8scIFaReYJC4cNYHjuOkWykiFJjqucOuh4azLR8r/vF8y0bIYDl+hP5ZmZnbZ7dGKhu1QlLH5z+9 lLItqHd8gig3oHqPHNhf5q8ahma4FC26Ow1bgv16iJDXuLuuwNQTKoozV/Ok9IdPHYarMiMOhw6h ybozFHwuxxiQ2slUd5hdPwtMbkhR8aAebTPFnHzH/qffmdii1b8Lkk5TaA7CbT1B74H2TQzDCzMj jlvtRH5Ia/XWRqYkLLaEifK1vVtELeLnIxXTVr5sGuvbcxipzP9QfPQYnu2TDNkXG+ah6z4ALzsg +wqzii52VIg2ROhpWIb2XBABDYSN0q6Ac/hb2XM1AE7j5+VgkgJMJyO3OfzTz6EVS4VhWd4tF0/X yf93ki0g/s3aCy2rq3N7j7cizzQhhwoLH3OQ9pg31lQmWApzzLf9DTzIt4wDZxrnvqHdeumK9Pal sBorTPw0NR1J1SSfFReyC7E8yA7Fyj4ZHyKRQPHid6S7hj1zzTcJmx5eNlV62Bgjj5JaqiZFMmDl iphYD7IZjq/djjUIzV5iG6Ax7F74CMI1J5trk7EClhTsw7kAdgem6T11QtqwEvOutsNzpIrnFT1r WVGjmXXTfpdeiUl9NQX/K/TnorXhA6Po3DvMsBukdo2lLxmnTFmZzx8FqLLa+aNY5MVbr1EkuyHC T5sHnzQjJBq1fbS69hHGY86lhMwceFOtwjO6aeZm1KR+KTjIcl3taRioQ42QI74/WioI7B6hrm0L p+g7G7drmEEIOaOftWGXRhFSfmnbHg7i3w8qW09g9KKIIlid3qNwVqMuZ7HjPqxkDRhO/ZosimpL /2H8KObO4B0hcXRasHAlGsULB0ygGOcug9Pi1W3fY2+iMKaEDTHkCjLFLRsNdOEQFy+7VpIZjld/ I9cCDlr/2I62I6XgF2H2Onob3kiqCcm4/y6E8Ly2WuGC1/W33WSDRZ3BLykaptUInqylaNoa3m40 DkJ4xPpE69S13RVttIeBWrZMpRqiL0trszP2p4jd5/B5H9q6HinocdjMlwcPySGZBIOL1744xSEL ay4P3FIHzOveUf7CtxwpDYdH21VcIImND7z5KVh6MduG1hzFM1Dn+IWrSHYBPlq5MwqpNKU+dYN6 zjSXtIzprlXQsAftpP39TDVqA7Xb01pwo74PtU091DdH8OFJL9iJdwkzezQWX7TqdO57UK5pPUl7 cJKH9RbdcqUyt1ZWqW76UOWVigirzs2ON9Bcdkmr9S4xYxgZuI0dyhjDnZdb6Z0sFxq6NcM7hyZc gpEjGV51r5yQCsPuBHp6V0DHmEXjJrMDm4JCFd6n5tfRwGHe31Xkg14tfkioF9YQDrNG0/izu2+4 r0unlyzRIwvhBfW0i0xKa5O8smyNs2/WYrT6GJR15J6/q0vX43F1D+1Ij1XUkM4AeTz3zXLKaz7w P1kDKqWcKNSh3VrlrSt6R1M2gPVrlCUXdfH4B8DL6qno5KsjLd/l9ppxD9cCSKKIcK66mMKy+UeZ jgUOPHD3s7ldKUJXq/7w8NCzmn/SRb16aP6Z9QEhB1aV4PbPXHaoLPXYZZkIZDcM29h5kyuGikls zyJ/NRJjaCGPnoPv7Oa+fe55zX0e1p60CeKg2Ch5QsDPFGuOpzX2jNds64rmXutVVcYyoF6ENz+n N2objTvcZphq68YxNJYXTr9dyHvByYaCUfdsWj8V54yaGx5sj6hMLVshiQrtHYHu+AtHGc4Vlnq/ PXlCCl3Or5EdBZgtykfCSAnkNVy63AgANDPv5PkYONOmRoFSdpQvnaZTAls84rS3RguFaysmj2Ek VQe6EQG/D0LOT2QsnfmuWnoXXYf0Lv6gN4JxxwR46ksC9MlB4VVWUMLQSijNKK+309Tw0CR0RyYR PMsDJme6i0nIDuy/cTKpz0BQ2uIfMUao0et3SJNvAbK3jrVr2M5mgE7g93sCn6sdH+xQ5PCpWQNG nzWIdDg61Bd5PHi1y8VeYlxFYGOb8nw8g1lHEcmbcEaB5ZLhHz3sTanO77fxh8FaCuNSZRsLJ258 tENHIPKyLrr7tJxagJjLMkxJXMcCVCZQqjahwNJ8XDH/Yv/TY8L/RHbzKYtHPkK3lSyyqmk9LaGS NPryAIsrllrTUSAYE405DyBg9pbeeH4EK+w0JdcTXt+kNU8bRvv4iG4Azc6JFD/qNomqPbgzSdxL XS5QkskofEYq/qmxeQfId6gDsqW1WDDkE2YENahfrvK9hMZ4H8FcHXH70MUdIClqF1d31vL6eobo iBTBp1HOWd06D6oO4037oxLscopqOMyJmGsy6k9kYxPjCOI4lSZHDn/xEKmGbfdKeHpkRSLUqYRi YGnWDQjr/B2Txyj+MQ0Zpbw59oACjCXABIT0ow5gqrafUP4pkrtJRkdg6CYH+OQHEVaQ+hGVCcE2 aBAXmIJlLDvkJ/9jb3IMhRIRsZ2R7cuD9VLWlvTwDn3KKcWOXS+x/XAnK8+hQwOgM5I5CIp7fGn0 8Qvi2EH5eGp/MkYLYH5guVbAxG0/JyldKuL2Niz1vOowDJdxYUK48xXQiJWPBvQrTdqhHYHJ2ElN jeK12x1M+nl0x0tOKhcdTMjop8ZMSpbikMXzLw7PNvupSwvWNS719p2jfMfIf3dLLo44RmqAMnBA kYbjnf+JIK9YqRHKyJKH2Oc+BTO6KQOyiow+tYojrBZfgc5HeSB5mXHJf/EYDoRiNTOkp00iXAwH fvuGSqtrcb4pvIS572mW+ydz+xByQmCQMrdTwjlL4ybC1mHAhF952SxkphngSgQSZGQdAbaAUIUk RDo1cyFfqKFXBq4QbYRU7avZktYf6e0SzQ1wcsvvApsGkPMnBLsWhl28W8lHcmaLWIepenMjUO7N a69KsHIJ+9YJfdh98a2XuaV0ME8DnuIueTenskoOnwbIfd90hzw0m4p0/KUDNfxlVfF1bzA4BKuK s7hRSeovwiAAT2dRPt+Q0MOeUgj7WaLJsElBxXt2GXVAB986/LK00JQMFvP76LOtsFP136TrcqU/ j/NtWP6GW3nUfbFORfrsyFGf+QgJ9f0T/twE2iP38OI4MZsI15uo1wwymKgAz9Mm5PdtaoKeMugJ GZN/u8DAbk+dHRzjM2mn3b2o34Cu8n58avGqVFD5rlauy18v6TXbtFO3EKOzQ4wbkHW3EilYM4vJ mCeFaPQFBbNvEfKGyAjPowBT6i2vwiqTKc3UNaYZrqUOkvb1xJ8AZzW1pyO5GjhLRiy+XVmhQ8BD iefwiN4ASbTg7xwf9bXkfq9N2AtMyloNHaf7yiCP7/EKNuQYPzYeSQMN5t2pTBolxkkJmgFPZMPh CGjgTJtbrc0GJK4NHHAGI8TRuxMgXomdPCjB8xzIPmG2wGxneqQaNmlTyR9gI7VKVuc0p60OiqMY H2tTPPJif8G9KOvZbJ6/oE8ybfdQBDeDtg6mPBsGlNI40Ka58udtUJF2Op4P2GaXDGm7Px2qwhFS j/8yWqxM0a4zCu5XK8zFBZbmiltBk5necy2CGeaey05zUXBoYVHGF58ghBHmk3qRjWRb+9vYYcqV akE1O/F8pJwoMwzhZDQa4TjTqJ0/wYnwk5f9L2rQWpFp8fta/6fKrh1TgBBMhZHnHWI6t8HU0foj 86DyF32siztmBWVESTv0DJeQrZBSyzaicK9jb4udWCYf4sD1xKTRgiQ8LwIID2k06ArjLR8XpXhv ubrxQ+waFzxpFThAfUEZoq2q/nTStZX9YRJsegpdUoaDz+Cv91oQ/w5EWPzWrOSxCgSG1dBWaFyY Nmeg1M/guuTgTaL4U7ojBXIOYPEW3ShoxgxFvS/RKrIcyDGxnhmFA2E6yNLXu5cgXpLxuMZeyepn 1wGlSXzneEuVomTKXOfXQjn9XR/Rjg+f27nYMnWUx5CNjUqdGB2CrVOY+PdlOXRbifGpLXQjIBIo a5Te9eKGCzoosXmTUxqKs1zQi0CGOIGSLZe0m2tq5Wpa/kd2r0aeQXe61xLb+s+P+3Lig5bAnSL9 zs5l7tIfrU+GmE+Yiv3pm8UzafdoV407UCm2XdDMxNW17tTu9ff3DpMGqvIjRbGFj45tl4L8HF0n PDvFgWYsrbVDZgVvv2U6FHgwRZJXMAAeSKJx3xcDPPTj1Fpyi8G451wicXRv9XfvkzPclwJjVXsa 9ZGTdZFwgWIfFAZ8gdi1/q/hx9GVHJVcTvw4Z1eQ9zclD4VXWa4qgVWhImpRTn5PNt4R2X7cL2Yi AMALIkp9+x/k65OR6skoNm4ZxKWCnOxJw464N1PG/49VDKPI9ZXErlJbyDbBOo+hD3lswlZm5dcL 2yzlWcnE/R+uPSH+26/XKCME66sBBew63gIuQHGH9LVih8GkXcb3ZmK0eCqJtvRP/ROeA9+/uA9j cqKJ3rczfIpK1z7aVl3VSTB46srOKfndkgsNVDujobv9MQAOSu/+Zo1eXyRZVdNg2mkNRDYhDuZm yIWv739l8nf0QYIRzP870NYhOOze8pe+lBm1n3bBkWkwNPjnIytk0m4vUlgXrQl4WRgwVBsZ9uhj L8i4wnrZDWiEr/zMMAoCyGAv1iTZd7zhxemJ1shCvq3sbhvAUT6MJCa4C2LlGkQM5h7c1Y/lxDgJ EY2c/TqBHaWYrLePueLkiZr2mSST1uglf2D4grEy1m2zIQZ2t+JRhT/jBnL18/o4OrA5GPGwugP+ 1J7+69/2KeuPfkwri5pAlTOmIaTjAIqx5dijrr/Qp4pefGvmq/JTkiPdR7xH0ss2LIpTwlVGxosf iCI2xnMAW2YAFe6K8GG2pBs+dPBlc8l5IL6h3J8GTa890nAV0yyMi7Z4T8eIL61RPdew6paG3zwi QWmZymllRn4BxHvMdw/ZVsO/qI8vYKY951CdnFTfQqZOhygCcAneMX2yIs+g71LDd7E7ien3W9Et TNBcY9Yq1uRlCVIeLhBg8Wn7eb1zShqotyXozIwe9dR4CDZwin8x1+jNt2UV5vgptMSfkEDMwswt fnthEQeyMFyfjBjHRq3aARNWn1ERu5hBec3DPMzrRIoryO1AvLhgNe6hFHA9oCPlozGrNogIEbFQ Rr6HYjw5YxrbEVCaiJh5ZKUYvPuogCmhPEYiGxqo/wdnDmM0Lcj2Yk3PcxmnX/5iGC2Jkut0HNmP 7360XyUfLVUZ5I+s+B4Vrlhqld89wGo7KNkx2T+7s63E2Vw+EwphBRNVkGtDEyo1cHcTzdG4vCLq smoLrAruO6xaAMfVX6DuBHtfuKUL+2e24ZrAPWmelH4pVvP0JcQUDCcR9gCKR02jKxBa0iWg7RvN xJvVreRvAui8gUvSuzPebsYe/6i2aEAlwqv6hFasOt+IhfKX+rEoF8TY+zbJkHP2yP4pG0aYVxBr /LxWKj2RhGW85IjR9IKFyR33drnPGz6Ort37UF9htPUiTttNotFT9XWuKcyxxePpkjlRY35LlePR KGk9anb8oncXnJtyv+3QbUzzRLBqh+qFVsq0GyJCF1PMGrtE8DstFVuLXYkLa2zKhxMI9MHQzBmB 3etlvVPJx9CgLgpK7zdq+t7NXVQJawcrFepMVHzjnR18rxQ0M9MbF5Ggdmh6MyTfhJpB4qFK+DAD B9aPJpxkedktLnvg9EOwfx8GhZyxO/mELRkIa6/rjRiBG6TK+kEjdUXyM1xoU46Zr4wvluH6+axQ RPxvYjHNogDO0UBr72XrH3oR9TdaBar0JK/RdbvpaE1AOs9ts/Dte+9futkm1B07YV9GI3ha0uXA EXPcdbPL8fCAleWXT2g0Vo7p+eYO0i4atMA9J6IiQRzgtKs8mPRrALYV67qMGtrfgWxNyFudhmTb K6AutO2731V3/GbSg/Aw6avwS+3jzMR9cFARmiXQBq0pEJxoKCnhMG9F3d0zyTrmOldVuUP987gi d9bdVIxkysURS+NW0hV5CkwcAdGflma3lw2ar6xwZcnyT1EjWcvJ1RzXMMus2bFyDeIVqqN5WFSH W0zHuwDPsvnvwzwl6HQClD8YmvMgrsq3YLW8OZzcwz8m07lx8voUVpEPI+i0TrZ2Nri3U7vGBLFL 3YxQN9JK4MVewH1UF287ac/tl71BkScEPGYcucs0WhGCk+i4Z+VC9k168hPwTPxrIGa1NFit4G1l Y19HUn/jhFq/+LfEP7KMHGXAkH59xdJbagEIa63ED9ss2O/F1fwOFYogZH3QVA/PAswgcoLN46dZ rVF1lldzd8M9F6KA4+Aw9ruO94VLmL1G8fJbOWowqPcdHLwfLrwVrSNz9PUP64F9ZDCBSczKVlS1 MGDkWXtTiS+C8qxDa7XcPCk1wwPmeBzLjf/9LizGRcS4W63I9SEr+gyzBzPGa839o7n3ET1Y3eS7 vC7eRhBVbWy3vnVG3g/7xsOup6lhQxx51QyY+SfQjKfPWQMMty/S5G5K2PmZaBIaeZ3jCtaWjjYv 35t0YcCJ47V8uvXJEP21n4K0q3i0S1sZQnzekNquuU6OwZUdsqo4TgF4eq5jGEMBuHXH880wSOSW UDJiuSQc2cMurUGzk9GyoxfCg1hA7PNH2GVhI4MBuksvpTs/+uOey4dyEh9Pv3OllZZkP1Ozsxis x1+BT9OPC9n1/q2uaDFUQTyqDYN2HTycCnA0AVLYSsE/ilUvREJuQotQw6YwuYWWSRZ96iA+ZZ/g Y2Tzld5Xpua0mewV/KdrAFsA7Ary/LDqI25vNWNyxhRXXkGo7Sf2RQ+6kwDk1gcXt/UaFLUNY9Ym Mqzu36N+FCFRPEzZESFS5pAT06o3EvVbBYwGXgO70+qtksUO7lBdbV7XfKIIIwphi1kG3DVfIRpt LnCrZaKrDoiwcwzkb82u3KvYd9fMM/KRFACZ5uVIvilw02/Uy3FqObUXM1bL6xn9OiUaxH0hfttL BCszwBJy/j3MN3isR9D3qqSg9LbVOaEDpJ1fIUISF3e+ncULMn1la9w7aJubIxEpUqQQ6vr3ORDi 7q9yzZSE9KbPreHtbD2ePOzpD/65nhipJpeAe9v7Ryx6RzbU5ZwO0CS8vaNe/OKKp/mh8e/5U972 U+CqemU4C9vPyXEecjMhzU5rtlFzBmu0V2zgFPFx95TYksuzG1dMf3yaEUCIu4J6NP78tvCf2A0U pnk/sxTaioogxzBjdv6ZmD9eZSm0hI0X0rENY0x5h9kmb2Y/Zo1Ts86TGYDFQyD21we4Hm3KjgrA r++Vo6Z1xvc/ixpjVqmKoH55tG3bdOQMi0Dfj/Pw8w7ZqraIveZ8eVlZPdzBHPDDlBQtq5AH8yJl 5RpPioqESs67kUo5oS8sD51nKMYW61TYb3OFdl1t6q/EXuSwFjPnmlJ0xZa+MSEdOjIIwG7xTnNT 0p9kTf19p2YQMTe6c/vWyKEwPgt5kzYVFvd+g5zFQ8GBSoZf7LuwGX7SdBeqCWHtH3R6DL5VECRM u+43tEhWPDuTksz9RR4eF0zfW/jSADQSXZOAScvRv/8WlDibbxeJS+rubJMwSGWfok1LSXfAiSyt 8KR1TBUs96oa866QAX8rLoQuRdwCHWgEmUQLXT/+Gt/bwQufEIVtzCvPAWxyqaZu9DI89C5dqmbv GqSXN5DlaVi1jkOs3UfnUMC6FgWWt890NrLkaL0mesG2SDw/6J/jMg7muqOPEgXV/hd2Fb6uICBm X7vzbteyOHaNz5C2bWldiPf9tfWjEYbKxmRn3aBHxtYSKADZYVHPDQaPa5aLJ1gMG7ww1HEDpH+P F8sPpO/kib533p4JHZXE9qAHVDR2GFyUpWDEX6QaZ9z7VKuAWUutrxIN1Sjv2UiDRbA3H+cHrBla sZWB5iuLBSlBhj7IRo+B7EOCQaZeHIcSXA3LR2H7YQ+eJRIQKLh4gR1wy9PMmfYWKdmil+sOcEDV Or8PP9pcaqk4F+29TOQf46iwjJEt8DdjHTLJWiNcrbnwuD6CM90va9mcJAGJcE/1sSqTyVDL8x5f /HZQYBw/3c27AaMpTed8Rp6y+Ryf1ypBSQJG0BVHJlRdPrVOFL/OcjWcV3CVcRzcHCYMLo4//go9 GXsSz6YqDHXhpgrExU812rwyBaClvyTRZ7ELpKkzo1+83i34bmnitbcXGj90XE2gCCjbCokwP+0m ZIS/KKL68R+tUr1zRghU/vHXjJUY4jNWNlAsccCHlchB4ngjLq+JJRN5lf0qaY3067dmzq609wrm ffDY6bKaLwWywPDykfL8NH57RLGxZertz9A4h0Nw0pXhanUaVKMy8TSBa85JwuWIbCEwYMAcHEC1 rGyrobGp2FvyNAsUYWHzQteUvkk6/5Fi53qXwtP7Vl1IeMXjFFqupKx75RyRsrrn+gug+tsn3m6e 45zasmgJZ7JCfzxlIj0zUQX8EpxRbGSsRVf6ndttNFepEA6SVhVoKYzP1oeqfNFppz69FCkdfD4K iOACsLbg2muHnVV01lJeQyz7EBDZ7SCBzLTlymct08Anxmc2yBVBpbRNJim9WQs0S/ze+NOsBMqa Yc+n8ZouT+zEFag2W9F+/SvRaWOqcku3lQauOd5T6pZChA6FRoOF2eMyONNLJZbgzLH56Znyqpsp kmQccIkC6OJmhX18irpDjbHSnTNybSR1gxrdgASoC6Af/jCsKWgrZw/kd4tHged+omazhj/0E7lp W7Ro3kvMGDzAGLVkLmjM/iYr0WhGZTmCUbyahA+jB/GeT/090qeFMhRwZEw48EzUPrBm+5tdvYMy sQSzHihukv2vmaqCfMq92HWuVk+F6HLQTmdZnN+d5fByRcU7CfDmuNDY6od3roZ4rzaX+/iQvcdD vagt8eAklS+o5T91Bm8WukSTVueN46rKd0MYJJfGF5EEBjjOQspUVaA2khsxINqVCiMGiLuA7nHQ 5gU5tYeIqlPH/wNfSIWCd3w+TZr4zA0QgyYQycOhCiucxBoozjLTStz4zYTp3zrMbj3u36JgCJur 320LyZ+gKmH+KM8d3p2uWwKe9/w2woW3NOUz6aA7FtQjtcif374nHO9X2rOg4YqeEnlVqnW6FIt7 vqHS7/bfs0ehUmgKMIvFI91Ep7y32+bXnA1RoYsSj9xGJTV9ER8kge56rEnJn/ex5ZrJI5dRxeAy 4f5QoNbdhiyfI7KrSgN9TNZ3Wjeg29Y2Br5Ep6UE6PHF51tzGLhgjCxmv9k3liuNi9htdo41EyfZ hnHpLH+xw9zXignjQXbmhU+XFMM/v5pxQEeqwb/ifBS3+YWg0FI0NJqPKjed7H17lTTp7boyO29y ZPAtdtTOxjmrV1Tffg9ibICLe3mZCA7jZHcH1iHobQZ29pgoD23PlGqrDl/ggTpv+EPkPHrD1NBB 0+GJ+mBNAFkYNMIJ6j4N0J+S7w9t5XJHp3AUWqaQQXXS24AxbJ9Kq7iK9/YWz+pLjqiHpKBFPoUt +5Q+pWHOzp6dopVGzrPg9hPAQP5ybq2ikIW+6b3wl4JwSIt0JdOsaTkeDtm9+ACjN69NIWaKS4T7 UxPdxl8XRYnjNCpogDBYKPEeIlt03+6WiJizQzdF1eQRC3xy7Y7DKxrYT8N6G/2lQHWce1ov5igj SwMyyG18H7T5wPhgtFC5H1c9WqhOPG6SAEGHU98B+TbwEp0sOVDMBQRgHik3q8Dq5np7KK5vD8tl N8nOgVr1H42e6LKlRDL31eDms4TIl738IVbMaOWn9djzNWbCX4h4MhoWMiAIJujhYkx40OmPgtAd mXldoWxz/qDkRJEJffa3ecvV+kbVHmdlXQJ3AcJ2z00WjZF8WMSt1xxQgZ1y7AL/bEYcrSG35fBC z0dNEU/nWXNnVk2CaoBysyfot3xQzUyYl2k4f9N+0kMO4cuqg9KdrwSMPQxFV7skm++iguOf24iQ sRhY5M8qwlWeaHOHaes6Zkweuhs0zsHT6sHaVEX8KDRLmu4Uemmkre01xg7LaiHd6jAsUoifWT3J RSLdEnzBqNH6a1nbpyOIz/e1zCCGIAvcG4Kq1rcKY8tf5feUEmgxa8mxsJysOqy9plrl+N2vvwmY YznpKReyq+AhsfM1WBNT2wjhHoGB0ps3E64tMl7yjtiNNyZ4UEOrBNRCOb/n0ED99HIGlB7oGjN/ gH4DeQa/mAHFSCKMKxMemwrhIi02nmHg7Uk/XkTKEGlDAIEqbU9piaTkYbD7jIxqacw+MMLfVslj I5lXSKaASqDRH4V0PdccBk0NxRtaLnBP2h7fcF9T68mu60i1qIAuP9XndBPnKcGta38uyRTDwxlG W+auBbdjvYWXoutQhPT/373JwAEfaUgNs+i9cL8D0xXrRuuAVuKCRd+oqg74VM3F+fq8VS+gvl0h oost12iQFQ1MnpUTqZnT4CMaWimUz8dIHylC54yO6VwuZyCKQPQNOUs/KeYygIxCm4sAVYz1LGKw 5XkJN4ZqPI75v8r2AQCAM0naIU3rmccfECxSW8chhc/jUMK45A0MW8VkSOOd8cOcMEgrq3Av0RQo pPGJ9lrnr8QY25D8gavp955lP2gFDTakFvdqG5tgUJgsec1gnWd5OOaF4+ALFj5a2znNC/Zqj3BE aC2/pSBgyscqP5kBXhPMna1OKVP6j7es7T4E73+jqA74+LQivRbST4DMghpLzYX6xySPdbf61Zum RrHjYYn5buTVoBcVG8HVePKxc7jr5NNoURyKQjafsvsCgx9/CYlXQZgLb607mZagFghOyi+WKp/p 1BOfVzMRfefYr9j6agfkSN2ECBnVuQbNei8xeAttXNou7u9pu93LAj0SnRxPD6rqhgLhgw/uUMi4 7f0ybandoECttX8rk0Cnyy4llPD87aBl0PcC693GcBcseQDP+I1TQruE8ovBz70FFchkIac+rMAS HVAE0QeqU1eMrDGIrVTlOOyuQYLn42bsy2fZPHu6dGjYRVp+KKKIj2eE5SXjsVZOC1Hqp9v6quEU 6K1y/u1FrI0W2M4N1dc+2P4zZPb0aexnYyM1xBJWLJOp8xa+XQC74czuzuDiImWNKkSZ425M2BB2 nhuDXhqxE2Rfick5pY4BZcIqkdxqa79mqM7FiapYKPMAzpTyhaiIMZ3lvPpMYmjaatwibq+vinxx DX6m/k906KAU+glSh1TOlKGfQhgTY9pvj+BEGrBC6ijC0iVW0aldKExDPbm5GaP5auqjXhSyXhkf i6ZxM1zbJJ+HSNm+3jXPnX8IugZUvSHpRdYdf52E+QqKrZHzr/3/sNdbzdKLic0+hbobxTnKEOwP hIaLoFgI0quLDzEgx5v8eOx2AsecWFBKqvNeRh5BJFBwAwCZALVvrO/VZiQIQv4sQMvWTZo9smSK 4N3+ZMjhYLrQhp98tSz0O2JZKpcIPAooCje5DiyZLf0SfDCgMg5NkbhgTWIdHF9068FLVVsxgsBL fL8rdKg4JiRCtJMUApbxv6+iMRUeRDfIpDORwi2QC23gB+tCsNfJfUVOZU1HUrGc+JFECotEOaH9 KtBiZUv9HgJXP+TCjDi39Y0RzTzOYMz7TpkuctjG7cr6pT7Q+s4xk0deW94ciRV+PpP6JMhzVcZW AyEiXgRzNzI89elSmZNJEob36RXvoszbkwVNqgy1lchzZwvPj8a6CzPqL0OqZ9TR1hd7ZFtjSuVp EG98q5h+xLAc8FJVMcgRLJr5Ab1QVBHZYMHxs2IZr0Ex8H9Je6pYeivH8fNVdtDAiw5A85h0V3al s9uzvkXLYd0b4OfxwAiNutDUsYWAC3hW6WD6xmcp+J2HIzOyjp4P3fPFhGZsvMZBijhT4bWkVwcX qn88RSdGvjNk5fI5M0nOBfaHUOwL7gMTPdRBVb535mf7nooqExQ+PejzWT38qhIDi1RRTectFoz1 cxAUR7wy9pOV24wuwHSbHgYvAktAHyN4gXeFTlEW765O6nSvOv8D4VFUioPG2HPTKdOQIiHKuqXM TWJduDh/UxUhxSLEkE02gwMXLsdfHGP6XDfalDZTh7uPAvBe41DTsD2k1cUOn3IVWnR42DzF3WEA 9e4cP1QVFrpWjLSBlLsCLGB3oYwFfo9B+rRisiAPgP9cpyiOi/GYPSv5IqJFA6ijUH6F8Y5qWYsc 9eGZgmtVTj40eGfEI9iUOq8aLsdXmMNpX9UWf5TxfwUdpssqTF3ip+i+ThaBDEE/aDida24v6SBj P91/UaAinJdam18BAM3219URrmypJycdKfSImbBJEj5ewuyLFD/nvwVjQJaIrwmJidt14t3EvHJk oa5E+zgUStBtTng7oWyPcehZAXmsja2NkQ45ySWA18oFE1fZBxu3LOHiCHXFo9YvHYvLwwJosTAG fEX8M7VcUI4cPLUbp1dQJ6A3TBGiuGYkYsw8T0ni7FEwNB7Z08HkzO/h2h48VvJsl19Ux5dEQUt1 SYXFJUgx1CJunSxSyWxFRpP6bIIIoN43ymJhoPUkr82flVak8xbEzXNwTRR8npGFPjcq+z29xiPY M2DamzhvwIOUQ2ONLw/4GLHMyLNW4PWur23bCQgBcRojlVMRnhZpbllT2TQ/QlmDwVFlcy+GcgBL C1aot2AhRIVcpesF2xmi3o/COlhttDhS2Kh4bA/2fRQGPwc6XT8ATzEJ3TtVOx+fhDbqoJ7aW3IJ G+S101OpBeT3uXDEOohjeim9kdENMv9SsgHv6ATswy7ppOpSvnGU0F6UiTZiZyEKPA4vLql/gT9P cj1ftwhiUjoFBP7K7mj8F8I2WBBkPOMdRRGLvQCTmb1eW9UMBDcEE5OYHWmOVmScYm4W/ZEDsKV6 WDIhdPtz/ASfetJc6PvvPyAy3jkwHMinCJ1TUhM2XhP+Wt+0m6uJp7RKGI7ThcDWMoUQBVlj9s0d STtn6D1kw3rI69tjZsX1ts/rYz+PisfqEHIbljiH6kViWDp1B56TbENcBCS56p+7CmSZL+oXWN/l kpdEPVRFUTBrKZU0A/qaJJnYaU4HvelN1RUQD3fE76B/NP8ScmylqMSO2IM8I4//OhbLfMoE3uet QY1JoXGW8WMiC8zPNlbuZrVyAuePMVl95/OFcFgUEuzvy10f5vMPXj/HWFm4mkEDZZjAXFKCofrZ ZuG5+nlCHGcg5J37pDlwgTiE3c0dG6PCIOZBlmzfP7hnnaF+LlO9XRaShpM345mNdGCmEm0ZFMdr vs22q/dQkkX67itoLDU3xpaMFEA/AnomK583lalxHG6eudCAfPjeZ+SQo7ldGCUxEVyr0GDKDJ4Y JVMgqdlc0Zwcr57TX4ucj3lTK0N/Mzfy/9rSk8vjqKJRfpA8gQ03qihVpFVXonSfwBnzjTz1qEqD 9zeDf5rDtlu/mN1JJlLNYD0JQOEeFoRlNYDdVVcIHNPmvz+4r3lsJIEfJzIM/KiSJn/dDEDSM2UA ISPPsSNZoASB/GJ0hAmt4WAZSwCS5IYNdzmR5x10+2AEbuOcrajRlJUOuk+Hn4vviKZ2+f9S5MQb ZHyczKbWSSb5I6Ok9VAbt3TpRP+4+mVLd4b3ZVl8gHUwdBMb6IiGqfy7MXrPvGvPPg5hrzUxtOcX CjD0JNLqPhBnK1UtopypbA3cUs7LJmYc237yNOzYAh6SWOwLalzxr0kcamPcKIuH3U195nXOrw/E 9brd6AwT2LueBf987m2URNcu6MMuq9y02LMu1ryE6i8YTJO0nEJjZNrlS2X9OA52ssbCSWqXRLsF R/PR33qfGtRo3ccCaXPKIdqCd/dvDwrMMm8bb1k/bOaw1cfDo6sQ++E5ve2/6nJod4nn0Ict+Ib0 1fBKPt7nh1+i3uJcRIB+rX2PjD/7k+6DbO+jKCtFUJFONbMFjZ9fGSNkfJBdebP4+tlW43Q+6QwA VffGlzxVXJEdxsXe3qv/LC7pX1pPC1eLqS6T9l2xdwqEi9s6ScRHEWA7t1YJPpI4Hcs4fj7zx2O+ bTMnMubH6dAEFESwAZExWPLvj0oJlO76ZUOI3/hpC/9Bx9pAwiPps6QYduJzIcoHIJmKXbpBD8zY VEK5siKNr9pVVwvbow9O9hxeKJ0NxZTpzWGDHgZh9c4UVvgsVVfDmYoMGyUZcFhfPLAsseg54Y+c BWo0KT9wx9+XA8MgG7633OE39PdxNlu5x32nzRh/sFWpe6Y+P18hHCyUSxNxCzmjRV2pf6V4SMtB 80U7lFn/XJQOo+jn47ros0XSia5ezLON+kvTQCN5rt1iGqSrIqIQBnqTGJhQfnUfqK7+tDJap6+x IWfvWRAEn1jsuQbys/Tl8l1G8b7S1rNuoihdoakPiw9EE/gRX3QxqOohTdHA6ijvbDXMfYZu6YwJ pD8u6MnKEfkRn5NIzX+Bue6v2SORhzNXGwytOa6AMDyJ4uHzENZQKLRq7z8M4bbOPtx5MgaKW+4S zSKaSQLhyPkQLH7V7rw5cNbPjxv40pqZQUnLXvpQN67RRqf7RcsFmQwkOqqRESsi3Qy0bQKj0jfh MP0iTWhGws3wTQk7+GE9XUGaXFTXVs9uR/KNBQTpFXQmeIsgtzZTf2af1cWJtS5oJ13ZpHRhbc45 ZsjOLhzg6NbOoVdTq+PGrza5KXG59fkPS+CUllGuQ/sPIleYuzK3gSmGj26KT74HjTALs4/gwP5E DfFlJR/FeKxRY4pBbMaZarow1lGEJT2o59JAsyFdr4v7BwFjtJ8RLWVtQr/uTxaJA7AW6/dnwEGK WqN5ww2HKP8xqoRfRXsBXsanKTfe0cn77qJShfgH8axCcHwJQ1Ok4FMX3XeYmR6e9UFONe12MlHs kv0VbcziUlwzlcfZmKM9hetWlQUu++kl96yr7TMj4aUDF63RS93aKWnfeYWVJhZAeQCD7lbeImcE av2ZUiVAkDq2fFYxW3xkS95MDNinAAw28zpeO2D23zqPlGp/AVnQoNHbxUcZUW6cgLIOPPqOre4H xD0N6QSnPmxwZZWWVSRC228IswqIbJOBSGJB0c/y4X4tqH2DIXSgCaG1kaKMaD+KQgIG7yVGfSOu crT0+uddLxcjKxc5y8IvuqXC+3XqpyQw4QRBS2T4hmu8C+xj4UtTijpaFgvPSb8bfcGpxaPPpabE CqsWA0XgpAvvoztS0xQ4eWs/but7aXfAdYtOSRXZJV4NBBSN1VuxaJidePtGfqe12oUyXX+Kxlb9 p+wXD1iMMxK7EbxhNM8KIL0TKFUDYELP28n/YuZJgjjnLpGos986ooq+By3rMT2/AL5+g7RNf2cj QGzjjtKleE0YY5nZ0ZdwpgAudsVbj2xAipfjI79O7mbMT3GRbYm7GHpG5LdJaXaZgY6bd8L5QZyf SOIOw6d/oGY5uMN6KeTKCjmsUireFW7/KCgunykiZA4Dzbzl0/zCtEAY/AToZ2Eh7PV0cZTBDRMl EgI9Pdvs3kO1i+qlQw28DvQA3zVEbqrWyxfF+kUSVgiLAq2Ra42PrtfyrNOt22rUTtdxyyhVWKZN Y/P9cdyDePpsREzFBucZcPM2auImiwPQpSkCTWEqhPF0+TtAFNs1pOS/YjrYUGYh6y+Hf6a7FjuY 6loxmrkNyR5IQLfqSQ3374AEmmA0bR63qHLbQaPbyRZbGaMsqVJnn4gOTgvatJ4drtkIwWADN50J Xv1sQR9PdqicgG2a5b8wRyCEV7lE8ia1+ubPromwYRDfNlGvHfP/lnpxBlSrZnDTKuBMPL4JHFqy Ln+fetOGIdXLp/FhF51WxpVzYtDJuIacEObLF1MkodfTsddLXflml0WUcb56CNcSZJVdJWw4qAdO oMInwOGwIeI5IDBzudiOZdz3rEZ8Wgf4WJDA7MGuJx0MTFnp6jLCrUDmbQStHCqz7BmDboCJibpq tni/aX2+qUfwO7yvnvoZjtGkmsu5i4Sr7FZ4p8CPyq2GR83CgJlaW2i02OyNbexRWl55zT5HcJM1 vLSN4mF+s8o5SZAxedAW1V2tcsiQ0RuBS/8CwGhLrICw0RCzqCx6y5p3bOifI/6VP2Akjmr20tDi V/JE7ri5Ti21/TTm0tT1kWc7nZubTtQyG7vsH5x2KBJBrm90ZH1oSH+ic6JK0yMC3S3OXMsCzjpu Zrl3Nd0Ub0wBOc20bbhN+N5fShAJmrDAb7F5TGJXU5Y6A1omkCIKMyVcL9oTiWpAhBOpt7mBt62/ 0XL2kL33utrgFakNOmPgHJ6hel5qXNLN+Q1jk9cuv8tThCXW//z8RM/7WU9UOxDC0q6wAcDgm9FY W579UbNl3Y0ooaSR0c5cClFD7x0Mlh9Mo668GIxen7jZ5Lp+R6kxk23o+9yXdKATfYY+pIBf9iVQ t5c6YmAXRA375rvpwkxHgU8vXHG2ESc3rSz0scPQ5Kiuw2ldm8fQufaUnTR7seC686cBV3pod+Gr jyzWJHszIpQTflJMCyuE5Z2wrdUfdbmAqH4U7nT/XPZhov+Qbk3wiaQcweqwtOuto1qo2HUpG/sW H+RjPEIwLrnDs8uwLVr8gvaikBM8OQi6SvTjGuuPri6Faj7qRR3hu82wtH1ukYN2qmII5Ysii4QP Ffy71BRgw7fM9lCOEe5mA8Q673QthAMAYm3OqJVE+6XesDC8n4mtTN0hb8VvEDaehvkpWDyqB8FB GUBThy1e0lOw47s3oI4heJL7/kz+eGE4MUUKIA/AL4dhsue+1ft/ZLVA3PGAI7sZaq1x68UeRolp c8NY4bs3J8HO0rb2e6Nrf5zJjYhy9Cs5PAHIFaUHkprCowq/kYwf+4cvoHvzMZM5EOa6UO3rhRwd G2N+f0p0Mt1W5JGck91I9eOWsQD3OVlvGJU8c9qNBI0lDFh8WpoUzAWrVIt91PDhJl/dn5Viy13f rqFEq+sDfL+YmF84K8e6Y+ElH9XjVYsWkQujq5q3hybQgW/auLypH9PrQSd/y6xUNWrsvwJdK1oC 3HXjPCtiT4hI7yVWSf5n9yaPmyYW91Fu62hqSK1Dyzmuwhi6xiyWuDahGmwNmZvVY+JRRoxSbr1U HYzkFOlzn4E4Q6uLMqkfuTQ8DxxLtYEQZo+kXCQDKRAp8NOJhf0ay1NydzGUfFoO3jO63J5GWvAb S8ok9hSPIuSLugfkYMW51zB86PNGR0VCzTwz2j7pX0AUhrrBmVJhJa1XklY1jYZUx/825Dq0NHss 7b4RKEdQtjgrmhMATECiPn1c3c7hX2SbAoLJti/d2R31tsETl2EeOVFUthMJtxPBNdNXhYaj5MMT QmG9Rtt90tXeG7mg6EkNgvdxl+C5yXXnjSLMx/8UW82LaCIikFyNm5qdmvM7BqNAu6H/RyuhOJIO nNknpHv3/dT0AqRfv5J0/6c2E6R23vz3wgORkQTplTfzRYMm2Uv8SsW4FVJE7Yaw9C+QDAHctWO2 TsUfAp1+cxlJj/o0prYdrzWleapzpfrss0fdEke+J+DNZY6hqwFZ59An29KlRVDBpwdY9Qv+f0Pa NzFZo7qnP2XVR+nY+QpxdxyyYraDpnyGPeSwUP30AQ/TMd1iAohJb2Y6NQeLDKMICMzyfFHdwWQi 1RwlL/e3gHUHO8v8VBHrO3S63/xBiE1QXzMziAcDCYqwEWxYfKYoari3yOB9t7E1QiftTMYbh1Ld xr5q5KtogdNVYp98EsjwyuWSDf8IBtKe0JFjC3OO5VJs0HqTFgshd/CvkNwojnCKUYSsBro0tC9g uVj45VXzp0rjsEnypciaEwuwddk8h7pZnISnuR29f+9G5mSkxg+jAcI62oXiHb/XXxo+DamNCnXJ 8WexRcKdCsDynbGK4M6REc0V33XG0RThT0sMKSTNKNpuZXxQCNokWvU1ybP927UVKr6NJHAYvPyI HgyYDRqBnRU06+8biXITQi2etA90WvXz4Q7qvMqz94xilw5+2+CwUYODE+r0GU84l3/3+bQLisMf 6g0BATTUuNqOElHNLPhgRYbMpnaJ7OkCZzQQdWXxuPBsqfgO9bfYENOKIF6WTCCdoMtXbmntw12s yZ7myxo8Yq9mIVH2Xu5TU9OFTyghBIhavslK2EUGjHRzlRAKKvF2ntsUN/QMkr2HWqaFy/OoIBpY uyf1xCejE+DqU15XqeMu+sO154/vFWxmc6ceQ9QtxOYSYE+puyLZ1OXlJjJV+1g7RHTO4m/l/LsD dugsG8D7i8gWKvgdyfDGscNabu0RFNmGN/AI+eobYY+Tle2NBetSemw83pGPhDF1s/sMuw1HtLh1 CTXIb/bHTZOGotMalkIfHSFDCFEj5FFKwJB7pf/hFUnk9vrJVSkLxMY0Olgm9dmClQaHm+KmCBHn olClKVZZmKdL8iM1xELw5zjY3nSj08NMfH3VN7q71IZ7+AbffWEN2Q/3bnkcKCyUPfNSsRBraoSE qL/eoHHyu6jmnRUrapGxq0IYLiuZOoaAVt593mtmUn7P7a2q56dMz48PybBWgg9ovxPXF2YqwT9p 9nRlTzD2XSXCJxqGGInwJ/8kro0vTo0Bb1SsNPnjRjsIFWeyGITyvkyWvnddYUwnLvtjqh1X1UH6 l5Kez4pGfBV3Uq/YPBDxpHUsb/mqGQrxrxyQ2+ShxcPQfZCT56+u0GMpAdbLV2oGLKAzRN5WshKi Ffntj95PntmRFDsZrf2keDEVB8WnVYuE0Oo214X0ZFYq7UEqusCZDfrVb4wYhO7rN6ecu5swuaxv X3sLdpoB8YyuSnwW49imfpwKcktUuptMv0Edd86k7NfjEgKD+oI+ro4sRxVIY+uoGWJNLi+yzdYb jdxgf/5vumdvqqaYDyE+B/osQR3386HbNbpQUpuh8+IwvxvuSQtFVS31olwi8n0Dc2QVD/HV4buc aZTAJ3TD53XO+S5+2EpT88FhnDhfNk1TMUESpU9OgIjkiO9MD24QeKj7faPpIAmQCBpT4PUJqeQA v4yqQqA6fyQsnltfBbIrMjG2evzuof6SgaCRs9ZE45RBVRfKYmegBtPheuYBXpQZuOLJw4rtxydD 3Ha/OZyetKIqbZVEP9tgOoYoMhLXAc7tNUNbtI7BqfNN67I9JucpmppgGcMYM3xAcDO10fg9Cvwn bGuZEb3JvqcGCMjm6tCYETDnhbeqZAWdjAvt5hRC2RIYRjSnYyZyLJFt0NBoVkI9WdjF17u+CNfZ 1UECnVFz+whYgy4b8dDc58dUPkyNolhtKM8TiFb9UdQoCIZB1a4L785AwNF9z8CF8Fuv9zxp5UHZ Xv/N0rgbZRPVbdJ3RMRnAX5dOFEJnC/d7u9iT858L9zo8O/mrC6Pp8tf6nluMZFgcdRJQENhN4wf WzlIlId+oXVjetRrR3PFL0JanB1SNi4tNZWDWMy7ErWIZ1EuWh0ZNWVowunIe8hzANFfG1tKDhFK 3qjoNWlKc05ga0zjuYHRI8qypnX+LSjvXpDUaIRb6HBM29BmX9NKUu32En7WzjoVwaYLui/Ox0zK OxYsUFSYklAQEsg/6gx16lPK8aOzmiCZQzDmZE74LTWc5KZwPFL+VaRF+xU3XefKoJiG81OgWiFb UwiMRIWIvgVRRBGBcNp4ONBiETZUfV9P0NOl5EqwkdsLGjXznfeWK4Mb1SMoQSlUJfVbm4BWdMLt tSv6z3g5cO6zloxnNuyW/ovCtd9sc2hvJLg1M1xYzmblY9nwJiA4jZbgTal7BvD45AYRFe6yz4+h dQHpUZ62Dy/fP/PHZpuluLwkTTy4a4ZVGZm6qnXthMzBEnsSQ+Cy0Q5VIruTSbRONphJET8YDpe7 aLRtkCKYiwdkEZvrvY5V7MJAZPSbBqQLOFHwYedUVsGgyXoolbIzeR21IisVmXUHuN1/PV7uJN8P Szzz4mMXzwDRY9fb/hKFCHN9plYjeUVz2fZUEbmq7CRAlYpwkMKUGG+f++EX3iCH17VSE8OWi4tn 4lW5ft2ucMWcKgwLB+jLMQA7TWUI8vDPPTYz28lNfvyrEgvHXeNV584BMEeT8+ZEUqnOamx9MAjq nVgYLOy/8Zo1Vo3xV+sAy2n2KK6jNqHQQa5DBoTrwu6OS5bDtqz8CJdXa4+b0H3qIZAJo7y/qb9x ZT+6/Prw1NOGa9SipH8Q96neNx2BEHyLtiMdwFEKnaBnAzCi8llDQmQByZjXytwHQN8aVhLxt/SG N9/gjHkBpOIUH++lSOS47+I0B850pVepqNoEFosw1QeVoeDpJglIBDWPLga8c8am6Gve0c1vf9MZ tvcRBPWrVeaRY8IU82JfWYpd6xuxsbnNjpq5K5cZdLLILS/YYmL6ammG5Lk1+ZY8JYeJx8tDS5jV bWDJzjeuqwlrShwpqaccOIVUuRAl1vHnX3SfjmeEDaYBXsWDbWJQxt6BrVJPv2IMKaucN6jq+99V Hu1mtBItTR2L5QxZxGA9pvJ1Q5fbQ/PGqEvyOl6VOPBNsl00xTUFmMVEsma1zf3MJ+Riq1CUbkoR RvheMbcNUAFJB7QiOzuL+55k8d1V4PSH0oRuX7GCQsB6KeIe2/Bcr8jXl/m0CBhXGlGfjuEY8oti MiymZSFLy73YCxIPTqQO9MatV/FmwWHQ4Nxz7Iv6wYfOvLHWxILY5tWqH5Ep5SA/uWBheqMkSDGj 7hm81Ry/zrA2oO0Rb3nc16GqV5Tlb5CLlqOkZxlHf6afTts7Fvt1HEt0P+bKuhS95rhSz4r7DnOJ yvkIiUidTP+7udXWIsBJ+3d8bUNyBxH3cqw3dkbY7K6nXKFQ/BDkRqeI0IGZ3z1hMzDqSBIrWZID Efj7kalY5nFmUu7Nzpx2ZRDeBSJHJZILi6d0dKQqYRNf6nGI6X7hIbalu+NMM71EzYoL615IOoOa ZEfvvXlxoFwjcWoFVN4/tv/P4WAChPTIZbFjwe1XLEzXxaNVPqVmfXF4+KHNkvz4bVuRIN5EAiKa j2UxC5XZRiThy1bORRgh6Y4N1G27f7T5n4jmp67L7mnmEmrZdONhaV0VbkHFYEuwCaYF1pQVV7dt sxfPBGNecpoy9GpeGZ8u+RcdRwQ+50OChmx2htyeAsKQOLdz4IanFnyHWXzybEDQ3BOiVwPXuVj6 jFwVFYmN+cjAfs5ft4GX24ekeBpcWFqrY495DXQcqCzvs2UHKXtm3W4ghdK+jI8/fowftheHLM3q P3kBBOVpbFi+NTXjPlkVVwRCQyQmUBVmLvOu7u1K0bKrZWm6wauaMTKYyOagQBuUalqOyOAWLoGL oYZhlDlu4Tn5SCHlZE22b6K8fGkOqeIbrcktXcOG+GooJs94H0A2RU8p6s66gouVrGVUYosNQZL3 4kloPB7Sp53yx2yhYCtsVehVsDnKf1cClzVDZdkVWjH62pTK8ur/Q8XpQ4Bl2qNJQcWeKXDXxq1e Dl0CfDTVjyZHFEJV5B93TeBnLcNSOrb3/jFXl3eGl65HLJkaOIKiyaHbrHUpBJAw7zk3FeVJl+4i qZhNEtNdr4vCwuMFYZ9iQjPOO5tzgcJwOibrR+GaRm8QpNpOu3X7hUD9YP6PvA4eY2WQ3JxKOuR9 UzY1f28VSGl8Dh2Vt0IvZAEN2D6JxxkSIRCVW+DqVGbDFiJ46dZ8F+k2V6tGeaMCBe2XbGXewrlw Uh/fP1XnErwY8k6Xc4WHacU4e0ft8oogpoMSomLDQOA7bd3o1MYx9Vmy90x57JZGO5yDurQAemrm ufNYDB8jUFI/T+IFef8m9640Jxsj4myVvLtBEWtd1bawr/cUrtLG7rQum38gK/wLM+ar85toBzXq Erry4M48nXhe4XWDNve728lpDM2mByOuN2F8MEEpPBvoBEu2w2xL/mCLTjG/SNOwdFBwaN5CkoaM dbVPxTmBajc9OKqeBBUGgiinfG5LdJ2w8pybAUjgtLxQozbZ7Th2M4e2qOf4v9rZVvekhUacx2h+ 7eUpe05PldI99LN2bV/U4B6DgJELU91GNNVH1456z0TqIOab2tYCH4S7fn75RiW9smygJUhGEjud y3wpzOeTKtI3EWYCqXs7FALoJDNN985Jd/KLOhedumPJihydjsfS82LgUlUqryoi/r5M9idovUOu 5nftOjbIGON4vqqhzyI23/pisRVE5BG0k0YT1ROg6xS2UTC9HFKJdyUY63Sjumh2clWFi4GNeRhu r3WJKRxDoYyOfvtMdCjHM/wTYfSf60HAiv2mbOIJmDUpof+1gAJ6gFO1B7kD18Gvopwetp2Snnzd U6YT7jcc/hNSUk2qYqmDdrzi6Qd+GAeIS+O7/UyOll11tuE8zwkPf1U0X/qXcahJK/IbzUn/Pkrw ilm0q/QSlFfbF4VwJfzgKnkoMttx7ZyPTX7Zw5n8oOdeG015N7EoLHdouLEUj+WJI+bB/HRh8D54 09myff6IfzwI90g+M8ufCh73eNdR+4U0260v7HaZfa+WUGq6M3TbqQxoWeWyyZSJaw5mj6tGjPgF yiK11Jhy0ef5QK/rXVGB557f5p03ugHf3LLKtyvTkinFbRzfGdBzisfrQ1OLtO/PCUAcfiVtM3P+ +ElDEV/2ZlhiSboOQTqNZ+kBFGt6Al12hHAKE0xLd9KCOreYguWwAn7ONSHPV3jk2cealxpn4w2q /11svuVZBODGpKkzHzsz3dNL0sjbh2Ozuy5Id+v5450Y2q7f4DWfzn8ZMVrAXhh9ffJPV8WvdNNO wIgUgwiavI1MFUrcpIXnIS6bk6I6NsqhzpxpQNLgfoA/0ngpVnwJCnaLnETXqB1s7Q7Zzps+hXTH oyHQ6SKkuuAe0Su4xSYqAhWD2t0b4K6IF7bDjOMK2TPb26ECkrgY6G++DhUAxPhgrucqWnu12xqK MRT4keQZxFYl6gxdPxoDLkt750tlDNRKmCSWe+FmokN2Mkn0hIqs9yk3AWPffAWu2qTviqsz0Zy4 jzpiZT5hikpCFosaE9HPfsnUzuUNVeNFf1Vhbvp7FeEIyRYYVmSa4DSuqekttbtVeCyMK2LKq7hV fjj99v5VYo54QVp7lMuTwGYUvwdsaV8AqBXmhODp+3XxFnItWvlocfBJjdV683dmoUPMq29yEc8x /fYRJWvQ9t+tbyIzH6oat+jA/Yp6SmQlJEf71dhcnTNWTA5qOCgZaD3m5Qv/QP8Y9Y83YfT1EY+a ch1+zxg6/zckgn+o/EnRKfX8eh0T+2aoe+w/7MgYf+H/0wx8lqUaar/ez0ijCG12ShwjM+nnqNJG pwbaxDR1MqbMZTDthW03n2i9gEjqEqhn3yzYdTJnOu9D8UenjhHFVtSAgOHaJvZyfWHEtkOIaQtg KexilOxOM2pwv0+RWdv9CTpyyUL/hnwCH+Z8RAPEQyHjMj1t9KjRNNyrFMRLXPtE+oc85JqlqruL 5onPNvHk7nr5c9shohRVn2jDJbnIUG6oNWSrduBDLeWv1b3YNQmBPAl0n9pK3+xdX3rm08IehdQc lvDQf+3313ERxrsFWi5OjLm59jJXka1ZF+73x52ctLVgrjaeOcd9JIjpllboBXr+lj9RM43Ie0ap asXKayyJvcs5643kIPsFYnbiqgA+yor5f3xWLBmUMl8GObnVq5yjZNiGT532qej+8NxGo8tUFJdX mgf4UeCB38vaMnuLZBMyGBJTOSDhJbNsJiOwFMAlgUoci/7GcNjZuShbuOlxHpW+i9o2YLbd0ufq efVYQiyTcltDLrcMOqx/cRnZA1P70axEVa+/DdfjifMY9R1dgooEoMOh0FlGk7M3/D4AVQGinlon 022UcyunQ1DgoUuz1tEdn2W3Zhlezs4ZRO3WTubmjqKiodv3IZ2iaC9RPdGTTxISdRIFJZF6VoyZ jXlRwt78EhgMI8LfNucqPLRZdXtTkQLRzxqqeNy7lZOlnin+O080mF2bwaQqs8yjSzE5LtQDDOAm SxTOGgAn4x7aDUxwiPiwf2DPH99abPjvjaGoesJijKYfIHatvmEqu+4EifxrfXL2m7WfFNzAE6t/ 8pKfbsz1rGQQb220oUXcHtMlL5CdxJcVdjgWez6ZXB/PLK2tuowsLHPVAz2Jrxz81ANK1XaOFEWq mAuLIuHXiIzY5jLXEZuBR9w73ApnBAsqA++L299hRb4tgNMNs5J+LoChUiO2nOZIRpc7Yjdgs/Rc jffaYnJnaWsKKPbmgU09aySbAHyMSGOc2IaVIgFN4xGKbFsj/STzE6enXAZDLGuONXAa8uLwET16 CQNxtk//mY8vcPjHTs+2hVpJ9TXbnWLPQhdK9wPnvkgYvQtm5SsOoF5hRAysIuRawFNKsWoPvtT9 j999sLPBsWlV+bR6fREUlkxn0ZcUQMN61HtXR6YiFAM/+ZkxB/oOGKvX6HkIm1f7fUGyjGyX8eHl yExutyh7qC9aLlWkdUpZORMsqE4abejPeSMC2udIM30TXlXygdHjLUd6HC24mAIPUma/v2tQaQ90 sydGCjgjxg2r5Z7hUTuwA1lX88Fam8i3bQD1lKwPZnXkf30eggHw08b3wYWHPXBAKosp9+1l7VR9 pzcHHo4QOJ0raK03qK/Z+S4oP0LYeZocFVNv7Dy9wNMTyTZyX+d9I8zIPrx9ZPYoLMEqtefE+k/W X6Cpm66pQLSGyW3R/JN9DUQRyb/GfalnrZBC+Yt94czStPGe2xC46i8bT57MLobKu4dt+HO35stq fcSB7/7oRmezmNtlmaQBSDMBMvIuiVBdVMX6vJG64Hev2RokLlOkkJwNh6zPY5/C1eBmojqOtRqF Ymanl57+aIqC/ZcrI26hZlYPumEawHSwKbH7VaYX1A+dk6vaiEygCGSM2IZhWTzkPho+7f58Xno5 Lc3yQ1jWoCqniPgkLSlUJpV8QSn+y9NVJZYm+A86xp34Sgl7tJz3la+oevpI5esa1catix7JsGOX 21eTO6P/yyCRpcm4PPjNApvz/1yK2wu3QLkSaMXBFjnebGmWIAw+ZOVBYYdVgE+lukGQy8L6TGmK 9vm8swwRzlEEfkHBpsFKqaD+0FqoZzLcLxxwJE/h3UDc+ASbsKioKXxAdkz7/YlVdl6tas+qJzOL ZdXvTOHkiZQ3mWbM3ThWsWvscveH4Bu2TOMUURaMTVuZM8cBHXMlpc0IpKiR2Z5A9jDw4CaGr44I iJveegJJmiNJTjyqTWvLae4NIZgboQyXvz7vF3vxbut3nx+7UmFI7gL1yUPPUBU+5LkuHbUjYpMS wd3sUEHGnuCdIpdZGVKoBEliLoUC0F3FEcGSsdiF9FOnVN7mCl7maTOsa26ionFXU+4bLMv0GpLf Vijno8DXprF++qeQDerQqlGox/BGsptVtNJ9E+mj8donwk9qTL/V1emrn7jBbigvm9rQ1f8w1lQJ 78RR+OTHQdJTM9SgBJ+m8wKiptmMQtO+MeXRt/5fhxcaSkEn1IOvKnhh+p5X/CLCDmtwZ6xRM8J5 VMFYxt2GNk/r/kx8D3u7wpTqXEzJJiQb7hCZ7q64zCx46VC+zi6JSU0xrXmV5PS4L3n6jrFu8YsN DAWdOW6ruJ3BgigM11NUAH3r17XM2l3k6lCA1d9As9W2mYgO8fiOWeTBxTo355xRWHVvXjHZKkLY AfMVafkNofpsBzErXNNB2EeUQtqTTgnu3oHDYm67elJbNNpLEnChvOAyeRuX0zHY5TSz++2zPCZ6 paorWptoUiMh4gR4u2aoO5IHDmYogG447SD2Z4tQm7DLZb//7ZxbhV5xbngqpIwiCybmD8PTMKoz ZwqM29fNIlNj4cxPq7Tsady9FD5qWJf1HSBpU6HXi6sz9os5P4ipuBEuXgCyMIoQMrmyN5jQg0iA 6Vzujg93beKLzeFAAx+giqaFlhED0HgyJGiVwwKcywNgSe96JHj7jy6s3wkm5v9jjbNP7cJEg4yn ERL8qJ26ZWevcf+SZV7/r7gB2GPdvbpWeo2ro4ien96FTMBkVxnkWmZ86Va4mnlUTYNBByep08wJ UCcwRMQBxAx6gIIRmMEyaMxGpaWAK5y+2Lx4IFN9yrIO+WmYbf2x8oQMOXjErrBIzoyOGhUVOpoM fgFEecn8iXM61w5xcpmeBeopkFtJ/vZLSY1kIOteG6zIvbl1Hxc/3Qe8ZS8S2qFb+k6Z6fWlhT6S zM2k9hFRT630XUjHCW41BfFvcg6N226IWJ+7lMWg27uq96jfFiL03lbKOq2FA1jRAkYDRTGOSC5y u24jGHrTqF7sUeLVYGmCpnSsEr+w1KnygOse7a0vmDUV1jiiAEBqx4j3M1pZ8krfVo3QMCp3HL38 gDBzeQ4ITvfePYNf5V6Roew/F+zyu2pVIlnsmcZnrt2QTC5NXvNcQgCRj8KI/nh+15xx7tKdXPGA AYJqekvpAPv2nPrMzh4E4gPfpYO8U2gpP1ZGAftxc5s2yDARzYWTaC+lbO1Cwl6n8fXsoR4kcPlU 8WXSn7I2DMBL5MJSxquUmn+gfP1t1Xn188jG5AfQlSB0KgOUV4a1nXWlIOZKKGw/u7Xk7tP3uE6o GmUiuoHHyQTvjydNMCxCh8AqfaIWMbsAzweFooSpHZ/Ncqa88EYCXDYcq8jULjp22J6oniSQgE9K E0TpYoeKR9M8KjMAF1BFgggKcqH9Psbcie1SzR9C6OYZsb8xlv9zhxDYlhC0mcr5g67PxYtb+y4Q I3I2h9SjB5UBIsnOG9qnMQ0RIU84xDGZbeHM6+wHZX335K8bC2tNWTpEqxeaAT2JDrScDBQ9Jhow HqCCXMSBwD5XIH4RbLUI8RTHYP/shYrLR06NpDgF+9Xan14VEBprsFeAaZmryBuw8FRPZOi6ijEb H9xUiZsMjoK2jV/PP63sgDXh8Gd4xIBWZMbg1zRPAU/R+v0iewXydgBc5cIcoE4CCtoQ2erjNn0M 5bVTtBDiUfo/HQuMaCD+AaanU9i8ynvXtVvFO7dMD8dMrMhDWfvEgv4MZVU78342XBauN9QE+/jG YemcCoxGWAKwB9G0Po7oAou7KVCAyiK/s8sxsfKjBzqiw89tdy7MOVl10zqex0EvGnuOaaY/+EuK oZsTDhbhzBZQh6mwcfjz7oaN651r88VEvi7PrVsn7+TKLBdyesw8UGngJvk4gAhd+7dtuJwA1TuZ pW3uy+IFdwmmgRv7rsRuxIZC5n1g8TojAfZWy1/NbLs72gN+tq7VVEn/9LOk5o9yUgryqJA8tvYG eVofgeFRvKPNBOii/tQJqzTtDzs0Tv0A0THMUlcRlJIplBmhXxkHOArqxvCNHsSBAnezJv4Piqjt a9CjcSAKYIMielhVnnQqzHm2aEVhiJ40Ar8cFc/PnDOCpXwD+z/ERKVBr7mmbe8i/9cRKXua6jFr Au+X0OxgnVnRqQ4jdTXuY2wJ3SP5b5Mmj7GM5sMpaqZNVWiIiyYXaKytwNHIcw9rOI7txtRGq/qD COCEfMtAJKHSeBy4rQCBqyMPoM7LKgYsLbTLTJ3LGem1F3M4vz7gT5qRHCUwbcj+uESdm8hg9xpc RvPITZA8z7A1vy3lVYebHhDtuMzUqjuoV+R3OPNoMg10/vdqxzvfdmY5Rz46QiLR9Ivbk9SPY0hg lDWkjryduNBN5AdUyhElRUqDxxjFdpH9dg9mqldWGbl+QXbA4Ota/2gEe07r1+OgIy9d89Jny1Zt O4yq0V+TFYR44zngU/zs/G8o7XWBc/aux23qLHrf94J6JyJY19YaemLMFdi/wlql3epaEXLJcRO6 Q+yWUqgoWmeA6IZHkpf0f5o8/uLKN/byKSjN83XYRWlN9mW5+gQKFlP6HruZJ/wXVRqawhti3QVX 0Js1el2Vn7uiR/30IB8bYHW24tUzMlg6k+oVgnGKHY37VRC6/p/Z0k0JLULaOeCFdfLHJOpS9+uK +CVqU5Se2iQJU26luNrI0wG5AQnBYNBC+F1BEvTgXr/c3zQY4f9QZ5QRCZCy21lP4q5sT6z8mfXA VjS0JovXC1t8DVtWTKG+lNu/eoejPSIL1mJorMesqGhpdHPclTEO9QNMygDygmtgvVdLSHdeOf7X KIj3qz1SH0LBT0EaZQdrOrkX7A2+ZBYlRyV28BC2Fy2sKKaoBekbLO+yFrtoNp9AjF3LMwpf+8FV BNWawAv7wIYjorJ4MTcab8IR6JKA4bQ4pneOXsdwrhfJDQruIykpg6S10IjByMktsApKi+Nh0rFk b3OL5Zvb9BMRv9/jO+dIuRJ007K+2Da84x0hR3QspN9mnuVP8WDbWRU9ZBcgkKHinJ147eOKG4e5 kMeNfkTpqwaGbCeJifV5nmEIPRvGhdD/5++JDJITuKYE51Zl1VCEbts6b6kf23oGa9IX7wq1i92P MxInxcG7EZZFjOoO6AEXlRJlZYkUR4Kq73oa4kRrVAuKOvNW3ACI892laDsRHFejclHL/JeG4puF wLCSlOFzvrpBayZvEE8chQYIYWzL/a2LhuuUX8PSbat1rYcVGKiCWDOJkIWAMcAhpv7jG6JxzyMe VTiAwt6Af+W1wuFHp1i7JQJJm3specrQGpKgTO3cblSgUtAwj4m6ist/ajCnHw/nKoYc7BOj0Z/K 5SKhx0g4vx4jrDHpS/s5yOy5g39LFz5j8wCEKDI5m7Ludt5EIlxLUe2dO0HICGsVr1PQej6+CZxj ERcBZ9Wx71WgMw00aHCzD+c5H0Qg+c3966Dnos/4+Jug43wXAdL9hLQ3YLFcJFHnUnjzLHFV0V3h L37mUvFeu421qWaQRap1MicZ5X1v6utGC3lI2mG2rwrG+MfAICY+MnCkpVxu+KSH4rmrxzUo5l6X 28BmaNgKPlYKJI3TpJBXNTMzOns54MfHZSR+iP7Qmyeq3HFsZzbx153EF5zp9dgBnENUdPS3p1m3 19VNVf0Ok6dEnR6ClDU9KxlVc2sDJKAPFH8k57Kp+kJNN6AEwyZ220GJy0R75Fq93/vMgIBEhJQi JE7sE866fKBeD5ceYPP/DKRPNp/RBL7ZOupfhYX2b1xrJHpX44pGPoVDCE3RTfTqV1ZPNlZCGRDo P9G/hebKekSbEUE+ANL68EvXVoKPYODo9pul8F4SxybLarZ8henMmK/jyEAGQVeOJIGneNz7cpiI viRsOmYmqGdnTq4+2jP1P29rLWmVenD8Rm46Gh48X7VVgm8swEGKzkvIzsjN5aNIhJ/NzCnNxyec u0/tJ62GLaDlGTV9CcwWEf3JYz3hr0/KThJtBXit8PUkLj6FFYUEO7Fq7fuSq7TwLqKT0Fhz2BbK cpAkCuM5Yjse5IfYDh0GEjvW8WFN0eVL2yWsNXT6KvPvpiF7xiBs3NTJXNZ0T+tQj7DOb8LOm1tG UvM50MksrfmV+fU3P5G6WpuDLcc17xJrvGkoaUSKhzxTh3BiscIy08QgC479GXAz+2nCW20VxTcz 95OKW3lb334E2LBWABiRHUY6m3o6w6Sq3FgMhXvnLT3u1u90uNAndY1wdw1B6mUxwc090ECuBglj 2rO594bz9PEMo8nJlwTstDC/jX4sN7j9QF1LrcZFr9w4lcKvMWHlQ7Mrk6FAi4WsJuZcTwaMZl22 D8We4+rYCI588/co3b0it9YeXbBDy/t5YGbnnQSdbsG7WAib39hOiV+gAkHXzPpWCFED/rGKTCEw soxHDeZyR5d2qbXvWmxQGqsv3xdYzxVHLbFzHbpZ6klIm+G0s7eJ7r9TJJhbP9tTtPKfhnmCShZZ igN6ZWl9FOObuOet+lXrXU9Axs7XKQpsmgtU2kaAv+Z6kS7+hRuKlIbPwWqEiKvdcNetheSFncIP +i9Z8PxaecaCR05eKfzNYaobiXzUgX30BjK1idnSWYRsrZSTMbB2tmbngKbOzU5oqUzLAYhMn6hE VpZoey/h3p6xzYUUk1g1rA5NuHtQmm1t9d4/ayrtx+66RAoiWVoqMdERI5/iy+3vVo6Wgan4lGVQ QiAaTDeOg3z67HF721sUd5enKC+Duxev60JJr052TfSk7omL1t00iewsrG32mcg39AAnXI15GQS5 O6Pg5LhS9AeansgeAn0SEym97vMkf3gLlFV2CgT8F8WqnfXvpEdJ0gK6ajpqezFdXxqPxbOZgErO w/70wWgXvbjc2xBKGSWo7PWEcTkXQjHpNWcbPq8W4fon+wgX5l/tTgykhFTv4gMhTRhSt7NNZNl0 sQKkKTbiFnmwE+T6HaeE0FqaKFzMBXTbcxrH1UxBNY7SIsG+UhAFeSJj9ZORDN/aLYMh/VPpiIFa /6FECAzyLNR8Km2jpQUwvfwZSgmqPgsgu+QgFIuODZok34qUlEMkRwus3NcY5j7rUH7m2tAEbyzn EFajUPltQ7QUqirjnzHL+iasqPwkTqrhk0DbgTc6SFhQEwbeZ4VX41RYbUA27jj4zN9BWBhKYl5P prTigzf001sOkJFpU/LO14M0kDbf1cvXTdCjDFjSvL1La4DB0Nf49njLBdypNTrZZtjwmkPy+5HE AMNG1ZB/vOnVK+lOv5bmbESWiFJCeeMyUn0cTpyT32v4HSmGGJE3cGme9V6nRbHOqpS0EjSDhdsI fT84ujGtdY46ZIN4neXP6saGCVqT0w7/LwVgY8Z88OXCGREOXBSmkjc8pUcPOHkcfmAcUlseTHS+ hmfqEdDy0mcLyZIa7HBZrxDE5sxmiesHPecZyw4joeMMctdmT4xWks6UoK0QvaxCF5PvEG6dL57M Je+XXtqmlEq6UwMyT8mUjmz8nhDVeUtJwLSSnzyNbrRlM9QtN9YSeJAUcQPswWTiD8rrtjQTdRtf ewzwGNx+oN0gejJtdfpSh15cG6CNBNQ4eTG834OKK51/O9zbOvR7WO5Yz56qc22/bFhFh3WgUxw0 kH2gEGHMT+xgiqXsOhVeCUH76pvIFdulE1NwAKl7VSJ/r7rSLoBJrrdGjC1I9H8eqhim60dDftLx NNVj1Vvj8lbbU7DRGBaTfe1QBhIqfcvYwCiostEuxsGNSY1xn2yVLnkT/r9YmjADSzwGd0upIi9A K68gptoF4qTqvCbeADAQJy3fmtNH3nISv6sLvtlDtuiY80Z/j5x5Uu76MtEk3MOAu+By+WkKcZIs B7Yf3RsKWjGOPr1AA3zSThHg3z+QglA186Ik2oJSJvjE94++vIyMTMZFuLtthzeXZMkGWgoGCojU PRgjV56wD/kbigbi0c7ZmMa8mwpy6GuMGLKnwYsLPE1LJadVGfX6w44O//tiSB9CGCo01kXGv+Q6 OhxHBdXk2HbVT5MQaaMUlx7XtW/eeuXxwntZyebjMPV6PR4+0nxMbGKVAwMjEhdhDRZkQ4jH8AM4 MmxZoj6MwXJaMmXv1xcgt0CWIPxZ8Qt3kU1a/l9PDGiAt1Uytt07Ed95n5U6EvQu2GKN7oIOzvLJ FKoa6rx8xYgvltIQl2k8EQEh4S0UMgffB/fErWlNnqhzwFUBPAj8motYe0agEeTevixBp3pEMtdr /+YA+9BJmGF90N6nV+PDOI9EVwqJZ/oV0AiTwcvB1iXj4YFn57GgwrDID0/0OSLVfhAfef8WqVaC CkVoQxnUvUY5/rIWu5kiBYrzYGb7BSVl4QadeoRAXMcPBwlFtddDqkPqQ9dlCvSYIgilLVTo9+lT MYTnUVTwjBB/DFhoyXeQhFAbhQsh3bLA6qPsbsubQLuxhl2iQdUDOM/I1a+8ALOhZpFKBUKC+pVs N1CGlT7lzg/oZdKywSFMeHYWwaT+fJlcqRMM7rMxpcYSGcRo6VH/5dOG2tx8gvzIMZGWzm5g0K/6 vNtoUK4bBZf02GPHpyn3c8JspouWLzbcUtfbwWjUu4JDs9zkVX1cJPRDhnQQRyRTIn28fBd5Aw4t D8c/8TojcJqzDKR3XfebXSov7ofRhxB6pM4xXQqJXhgPmItgDF/kml/WivDDgX9vlp7mjSYPKy+Y 17USo47HEmUpchZX9tr+7O6B9D04NMyULKHDqOlhQKPbM8gaeNi9I7am0afw2qqKV6urrR8mvUuu 0/W78Hz/6hhdCemqJblpuyhbpCJSsLCeIJ0rxeTXBmfWlx2G7WeGKDUfebCT/SkQKJ5Xsa0gU+mn y93l8kwbwKhk3BCFcJH0C36hw+Ds3UojQiytsqeSAdY7S1YPHUFI+Jv+eLYFz/lHjj37iuhWJdwy +Rf+nRqDB6d9Ne+cP338RfSfEiOEFa+qFnfTwc3uPlGgB+3eudv2ex82HFaVITHnETIxcSCDY8bm Bq42SIiPMoOPy4HPWR1Ij1P9hww/P3nBIMSU1oOaUqyc3yTV5Mm9YuWRYZ7Tkwwd6xeUjPsd/J81 2VW1BRWYNpu0bnu85Z/F7RKAdHCJ9k+VAyrLivKHc4LGLFkj3UXRaKoAEUNSqVo0jIWIMN3kEMkt 9xqiptlYTc1RuVFBRIhBECnXj2vzIa4Zldpl84HsYkwFvqkQO1bpBVCZ1LxXQ2namjeCE5ZIjY5D BeP7ldfcX+xwrMPVEmuzyW/hrjkpDR+CtfRRNIkv5/SotEHIEgnd8P8gJd0gaK3JxVomSMe/IOv5 HxVc+cyiDOG91Sg1kyXrWqmlLXCQncD3doT1i7DFS6oHb8O+iWm681u2jgrniu2b/DMoftC5H0UQ lGE6G/RPcnygAvasRd2LDlwC6n5rqwG0F4Z6/RhPn5eMduhrzHwN/pDpzOAYywY5KUEXQ3Nu2q5o y9UyMYCgiq8Dt+x4B9bBvU92B5nzLAEPioB9MJmWt7BeA/bWOz9CuLPP5KVY5+E2oAXZZuF6ypeX 4W1CX2pSdhcjrBioMPOO1CO3PsUqSlHnUzV/rX2HpuY37nVlncduiLrwaC+cufMGRmeJaafvp7DD zZjxzC2scjWDqwODim2aM39HbVYpMOp/J9BVa6HEEYLHFJxXAXXT6u0Xv5nSK5Bqh5Nruom/G0Y/ RlBtOuH11PxxWHK/zS4XnRUpikLRcpQMTNJABhXo/2tCV+tE66WlAGzwJmP2rJ0+dIvnPHHog6CO EO5oU9xagVi7ZJF6XQp0Bc6nJFJ23Fn0cOUw/eJ3r79agRINuV6NBgfqDighPJ+v0S+WFtkBzeP1 He2mFEfgp+O+zPPoI3TahTgqF54cgrSwd8uv8U7ZI9L36YQROfNlZmOjfm+tylmlc5evbd6qQS3r vnyPLdOyZJva+IkNHfmR0o47Uv4ZbvkzJoYb+DL43qIqK4EVjRxvRAZ03GldvSVeE7IY9FJccr4G pabf+W4LJeaD9TL3qoTlY/gU9WtvirKIpQVNfplYLNIcQFC/TCIsjkFD2nED/UFEMCrIFrN+5IHA k+0yn6ELxqYZ6EopqUnmJDsOyVgGvRSHaY80Jzl2vNUuvaevcyAIXvi1hS6zQEcMrL0GMyFSpQFU PcG7VNp8bkRzyq2zWsxUJOjfZO1IaO4j0EyDLXYg7jc0+NjxIQ0pA9BXR9o1QVFhsTYQ5MR9WmmS k9U0y8n/P7WNflectAxPBrDHT/7e2yowwbUfiqxn9VQs/MrC9IxOQLO0nge8xLW1z1DlM0TaKbMn 36GDpB9dp0vkzoLpqSoLsj3BNIx06vyIq9v26R24LM+Zx1RnutExu4aTRRvfQjVdeUI5YcPaOY4f bfxZlhI6wuoxFzkoWceySuEmhsQmy7GL7md6kVji9q+8Th60LWNQaT8fZ4ImaJ0PFomlolHzCpaJ qK4dY+lB79JASvfajN1o8LRvd3kDMUrCpL4jb4YAJIkPR7PvsOgz86j2bLibJ+wZ8LLEypJuk9DG hGIhgew82q6LzmHz3dURe8g2pQym2uIsAQnijzObKRbLIKH0u29jKH42ng9xM+0AOou+zIWL4mHn MaZSi8vYVVgbKLBl41eWHGcpASztHucAiiXn0+7Bv2ItAKYeQRu/jVVzNb9JZbsOfGiAEnxs8B8V ILwOLZVouKUqSKKgUi2S8qWCtkSlMAIHHxHNUtTjv20YUrBb87g8ywIpoXMDDBPVZRkotV7pyyj7 v4M6oU2WMlP6gO8gWTHnX6wX8T853Tk304sc6NwEUTvEiZxPTU8diNTi7S5SA02cp9a9SX+HZX58 wcZ8m0rEuakxmEofhG9hUanOPh281cSh1dSdzXN8oTU9f4hi1XJqpyVyb0pE1dyxjKkILZs844ki sO8pdlTsLar6FnN1JQ/SUtXuZk9NxhoB6oQmYypKUzYIEyE/wrFaqZBOH3S+gntTY2bDQl63huR4 +UJeScF3XPU6bIcJJNP/WHMwvM1h9pn0Wny6gOE95Enfhdu5BIF4s7NWlvm1s5Ly452x2lGClv6V 9kd5X0RWsVKgdFWj+ejVz5q/H8jAopTZdZ9V2KaOCSFEfen5dRousQM72RSfLWVqhEIrJszSbry5 MUl39E+QsoIWxIKpfu88MTS4/ugrsuOZeFEidcGGEQDZPmpwdii5esxZtH7rh5Zb5AeKd9tyqmdw UP7z0eRBqRqVeWiPOYj7YUt0Of6cYB0x2B4Hly/tQNbAI3fN4wU4DU5eNqQp1yfw5mijNGRl+SMK Zc4aJMtU8MCp2CohvNyeA6Lao86/ReHu/TVQusGG9GvO57RFhLj6XBpsX8IewNI9N1pMkUJ/irUF PRY2GmZmt0hbeVuTIQ00icNUVXoSIhCUTDhi9GlCQvhcciTETocCDokeuO91nnT2A7XopeHKjBm/ ruZpEJnoEo4Bx3s0aJLLIE9FI5H1yEhmOWB68KYCgAiCXRt9R+IWOjS23YJv1wXcwTGBiwGYmNL/ 8TjA+xKd6z8Uzyezl7SoJMlpmSxNXgED55q5goAaT2kvavpu231y49t8Kkx8U8as3VT3xpfDOFGV dG1IQQI2E5moxNV1k4NJt3VY6S35KzlL92Mg129nLmJKg1gu0Pj2lrPDCYdd3oQ1iswwj5Dj6qqD MN6T1fhF3si6ECKxG/J0i77eApagD3LCWpXLEfEvhhJ+Z7kGaCoAK4DfUX9M+VM0do4s9Egucx7M TUo04ULP+rE6y8vNfhs3tZLIqSFKxhwc0RJtlv1kdQQ9KFIXpbeRfcFBSNNXp4THJ4lUTavAJwYg UNXWNeLnZj/tgjQ8RnYbS21Q57Pe3v5YdSvD1psKHG5gapLQTiKEBEtYaaQ5IL3+EGpJ9PwI917l HBC44rLQB15MGJNAtdlgvDDQSj+mfb04r3yjfqameoF9r1EBa1+u3F05W5qrDQXM9PNmKK2BAHLg 4fVi0qynAg63JFuDKoE/bzf1+VPU3AmvRgXRwtErInNj+JJtB+2Rpd07L8H4acJmPQibln11xZjs MxsK3yPcUDZt7qcXfpaGVpSZCQrWJMKttaEJ91Ggv5Put7COeN1hqX8X50Pkkr9MmRslQ4H2LPEo oZS37+94kbDuCM8Ky+7GggMNMx40bYsBZhvrFsNc9D0N+/7aUFFSbzSJ1/RNgYIQ5f3zjCMOh2xL i7AumjbfO+WUsIy67d/OhQw91nVXh3D/DRbTAAhHIp0C7riF33qVWv9GW3I8asIpSzDwUrPAkr66 eIi1Kkp9srdfmFJ4TcqXhCdrudOj/+uN04fgiuoK0gRpoYn6XMC63Egou1oNikNMmIgwWJbZII65 SWIP3mcDY8CjOCeFBEEO/gNRQ6WvkDEKkctawVbHttRsw86Z6srR4QBYAx8MY+EOR02h3fWEcyAA OPNHEgZ2qK4dDFJbFypQrvBdKB1Hr7BpyS7ZoLuoww3p5EDOgS4NeIigAJ5XXPGIrU2nREja2k0y 7Jj9mRWs/WQWfO8CwUGVup3AttCsWDtmG5vP56NxGw7Xn4fc2AiZhSU2/CFwP5W0eXwbStpiT2z5 7GJpRJFJdxD7Ar5WnlBhvn8DVoyX3G+bJf3NqnoBBPe0amU/tQfCUmWqzjkMB9Fo9WX51MX8N8q/ DpS9xJ//Lyn/kPp7iDtdPvl1oDWY7ZRWCA5tKlJRcB/EcNMwRk+3tWQ0kwVyBLqu66OWxHSjijvl +3LZO98uSpBV6OEjsdVpBz8q45eiPVfDRC1w1oXI1QRbxdIYELLLAKZOO+f/c9zPn4jmEBbiPTKJ 9O9RMAA9Xa6vT43L6QDSU+0/dyf6iym+QrfXC3Yxg9ZbWaVd6Ew3JeAq7kMVSvmxyumEwpseEMoy QhxZR2JgzznHAs9sh0KKnWuJpMk130F/9bqLjJobMYxe8Eu+E6obtF5Rjb4um2PyDFdmAS/F7eW+ E/kJ4sOh+K9PKg6mdvh8iSkdCl1GtWsximLjPfkQQ9s0UWCrmU2dMHpWhXXIWw5s+Oy7uvEHBiES ZwkTByVe8mGd//dZTf81kn3vGLuNJZCj6rcEwI1imV4mVxpr84hCkXlaAjcOabn4uRR1TOQTc3Bo x9Qx9D7joy2wQjhEy5iDL/bWLMHNWZhnd0et8DHyCsrWoc1VGOLzOObCxCEpitl9XXE/zTEeP4UY k66mYewP/8EMXC8tYc178S34XwQMd/y5ptOkkLkaSYePubdOtkQLxdBMSRJtVmqRqoJXxnLr8R1K Euyfv1Q/c+qjqUXEEANNxBVWU+IRC0B4bMcdEqjbXuHhDud1aYO89MpG1lPXZzjwULDQpuhE27rz Dr+ywtVH34DwPWcWSsFHNljp/adtuI4vLVbtsSADGRBkxog9YPDs+cTVLzljQP+HNJ9SKgEyRrvO I75WQufiQJSDViI3b7EzYrcMmcIcEIlt7sCLCJGlsrJoVrOFX+e1Plx2lmsoAo+eIzDA/6dZkeGK 084au1ZpszV5XM9Ehh9yQbEhweLjwmIeVhMQXbeQ3a250GEapvxid3rIQmqYfPZwcvsPm68tJw3q Gt89XyNp6oTOhgFT/U6nqU4zCyJMGzLCu1Vnts/CPQ1AL4FKri/FKsZ/SgKu/m+Qie5oHx4Wl00w lsVR8YGIgMWUnMxRJ95cJjAXga5AYSUp+c21zbHliVD6/NyDzZfkCQhmTYiJbTjEM4PwAE90ngxz xcij9hq2dnfgxSrCqzFz+RSJsbx3s6msb5cNPYnjPr7gbYFhnWbzyAv61PTURjdlIgVCr1hos3+T 3kKaAEqEjZ1PY98JiGLUDZkMQZkd7jawhDVrkgIEhTlfMct8SH5EmtSgJuAqIqh9zWIvt6FZ6C6c Mq58VfyfXZ7DZL+sGjFMzDGkc0YPzGM8YfahifRCd6f6CvWbI8U+hEWPzct2sSUJ3ypS2g524mIN Y2Ki9v0WAKptTWT1JplcJwx+ZHe++jKpyodH3Q4dVkmEAcNZZCYws32bfmU5l4K1NmZ+NEA8VeLI JfldAYW0MyS8aI4XISOu0nW62SD6sCLFPpwr3yDGjmFu1e5Sx6ckXbbjrGTWnkUIOseUxRZBr74T oRlRp9eXR6OIVplxBLsvUMsxbzgkJlkN69SrVF47LhhUsTSSFup9vZDIseaXHWzwn8Mz3/Trg4p5 mccqsgQ5VS2epOiUH9MTKtlaND5YPnNtRdMu9Hys8UpUWuL7y1ozqHArEvZxV6au9UxHdKXU6vvS bHBQNyZGGdMP91FJTm6vWfT1aSMEP5Tfjw1wzJKNf1U46qph+c8t2Kt6u9AGKg2z0Z6IavY+aWug qDkxV+4t3fbs0lBTQp1V5KCHlls4hXuGO2Hi7g9UluEmeauaRFXL5slsxuVbtBLMIigZY4weIcVp GHrRvyAvrfNKRTd0GRFsFTSZLfpPw6jKLata1JdUfqyH5HO8G0ZkeD/og8FytKPkqPdSTQ2NBRSO 275as8AvoVuWpudM9KjTrpqsTNAoGWqGR+D8P+TtLg3GnUw0VP2Ma43vCkaieXrYImzC3MXd1Cwk SLHFDyaMCT4SF63LAe7KZGLeQz033d4JYsOl8VABuhd2sqGyflrKQScQCdCWn05PfKyLN9kV8T78 oxtpUqjJz1dWBYTiSED8blDgqJBfAvJSs1FJbv01iFEv0kqygGWb32szREehgoZQfDwn8HaAMlN+ dJgJKXi/mNQWyao34zgAaYf4p1GqdP6JppDBlYUErqAN7bkJSm93TmP5zVPq1px1GW4+pUoZpIJZ f5xYBv/Y0AJPHeprwpE2NnKJAfSLO7UquLLS7usPmmj4vTPogipNJg5zjrltlHI8MJkzbqE5gKOu yAXNMQDcMCZ4BeM3ZC7kL1RmdKjxn8p2e7pYTMfR0c5fu3MxzmM+JiuKB8t3/l6LTiX9jPy6LoK8 /+A9JoQkBZGPg/CM9QyciKxYdpVOohXB9KVAL80qaO5tg4uZoa7ZKkkIGsNp77o3+ce9Ib26YfvA 4JifHytsgHGNPWXhepvczYmctdZz0dCD6UkQS3B0u+QUeq2kDAiRhY5WmizJFRlUPMF3JzN5CyGd HDGFQfsnH6/Pccp+L2DgXqQujluDV6PyqBI/I82+iTM64CtbEls0TxtjdL6pztkj6sPmpmzGp9Ef 54k3IQJa8WM1Bkh2NH6aEyzPFQbosYMfYb7VRmvWvvA3Ups+nwMYbiCVR0Y3FGBRAXSPw1GWACM+ E2ern0kTgQYJoz8v4twYII3u2reZyR/E8Znt16TDo5GEkW6lJcvqy+iQ+4p7jFPgh53Mmg+rXEaC UyCTmVT9ubJrzCQWVINkybwuMyk7EFnRYuxgX/rfdz/emYfXd8QIXHySVfV2PoCNghKZUNiUgbMS uJpfplA/dfK0Flb7qI6WSSv4bEN7hB75DoDXmTVvkEsHIh2HH6p/4Nfq7/2IRqFxfY8G+tovT0LQ +468IR2TdT1V30bP3Vg2iXMSc8hK9kqp1ooUKaFCLkj4LsIwJZJcqG7VHTuuqC3EezOKnPLNB8Rz XmctAQw/0irN36Jh5b8UzUegf6YimqN1/P1KxGyPQI8j5sWDuVeEdyz5gQzUPj2HDoxOENLxrzrg /1HZo1MRvPWpid02NQtRtIz5YAqBtXy2Anv78a7QFqv/a45cWu4jYW4ZGpm2DD2obinsKiMcb0Xo 86022vluAB6oLeUGhCoOYT40bnTa8yjlgKjxVBa1qp4bqLCD4oWgxF05y2ReYw286iWA5e6YkR3z quHF1j+/6YciVfpLugGWFU9km7DeDC8LcBrVVcSufmWDKI+YA6JSKetpXhwekea54AG3ByJpXzu3 syE3Xiri3B6D72GaE/z5UvMzKIHIAyhXzmaFiZhuACqn0mReOrcZExypyc7yuQXnD6PihifgD0IO OIrvwCzGQpb5bO924wBsalup/a3IOWsb5ZPi2I0XEsSmE0TO5GFbx8ui7HJzoOBEtxvzFyOe//Du riNwqJix5I6G2TWKKlP4mSd1zNPNqM3RGwZEd8ElsLtZAFbN4o30AfWtBlPDn/XLjwl4rlyWHI+M YBMR4++OCuhXdTO/LfUO5CJFlBPmNYo91KDtp+MYQFGoKdQV0oN1fKV0b9c5RCw4/4lCe0N91ymc DB1d4DQA/EgBkKAYgnTp8Qck7GZhhtj+HS9BfZvhRWsd+BxloeqVeJZgd7Qs5iAx1vTur4mJv1gc aDPqxsTwEvnSe7sE1j6QILEnYuaLYKRxYhfI1bwUBzPNdyVaPSw+EigC6WnCEsdEeNla3mw1F50I 9OK2eV8sujmkocmHGeE9hELAd0AnL1TfZo11Z+4fNp5GxnAC8RdRJZVZapK4j6ibahC/a7SEehrK dFAyN1t9jlE49D3wFt+82bzvTbQmOrbItshOhxUI98CtD3vy5GjEpdTiY3SHheF4ZI6eNpx7Dxi1 rYiisWpK9QkK1qD7DRuMF9987DxtspD8CnLcHCbGi2I3s8e4P27xVchhjepQu1H6k28u3sir05S5 AdaPUovHANbyCP7UtkRM6xRqrUiuBaZoI59T0GEkPsJXAsMByKR23gQAqVQTXTBbJq84HUI7vnN6 EbyMyDjoeDAifFIE0t+HU/JtzZ11HfAL1HP1fbZ1xn5heuZ62eYJ7pBU97JQc6Ub2rz5jNq5kmBf TgF3ebki4CRkO0or4I/xWOArZk4JyYkf5Ed+BMTQQLG43rTJawSofl7Z3NNQtsVA7E2AjsR415VC 2ycL6/BspMgzrqcdxkjFzUkr5xirkM7sBf87Ilf1HKg2BRuzzTcIJATISLRNbGnLbUJ4XXVickWI J73rvRQFVlypV5513TKEfFvTQl6+iR3P87yMrzLVSSDiPqosLwJeI03LQSW9p4otcUPhEPO7329t 4FpUWuSMkMZZjvvza7ZCXVYqyFVncB84n9K24R2coaBCuJ4D+HHaOgxDfpi/RQxZVSs79AM2NucA fOzJcPROTtcoKgataeFzmfF/dkblfiF2WBPpaNkTCr94gqOC/mA/8uv76AtyWkeA74GDh7eBDpBh qFIPJ5J+H4yjTzDYEhOtwh0ysdSwljT6mVmyBiFkQoKOCb1xLphjMZs7hCunD88u3ynCKE8Lrp5u 6NNK6mnfIauihOONR/9DzH6C2t0gV7+iJtLAjqF5TWiKdERHRHSvg7HKI/qr5Bqxp2madJXqGyuY mTk/M3uZe0m2XVPpy1Dqjkal8WLnmbq0cEndNywyotn7wlR2e823BvAsGQ0gTS3az+56YVoDcsnv AIkjKWlwpRHFn+XZYwuhk8/6jUV32WhZqGtZ5u0UZtDNsEfq26sv6ew6aJRFpwsiuMfkPldQ/Gzb +8lWj8yljzIGAS0CdQ5mCFF6J/InWx+ZFQto6oq9Kyr+/081ORCS9DEfbF78EMpUn+tejh8PMmkL X1ecWKvzMxjGmq+zyI4FIvqB0cPYsM1uaT9ROfScH0fQgdiaXR+CFtMtkQ1kFYKv1qG9te/87fSw Upkh0OQn7I7dyU8/VI0DwdRP6WrEKk5jNua+p01fM1VS5BmE6DCiJohmwLucDDl8pbjbDmddof3z Ax2Bwa80bYOwOnCpjomemocV2KV8YLn1NVegyLhTE/PMwJcJaiW7HTo8RHqbB2d2a6QS7GVUehEo kCHGOgc4vCgywDzocTwSMdRfOcRjFfEe6iOVOtfi7QMggDzZTkclX2uhxMSF+EHjFAyiEumb4zCa SPC+ZuU0yePXNZQuEolR0dHXeWqtfyPhwZ/h2GGYBLKejBzo2q+Mfs5OKtJnO5NN+hzwpn+IQIIf VJ9f4mSxo2qfLKQOC6sW99cfeaBvFXsgOIc+FV6xOaKMbTg8awrTNOvB8W+tlr/8Pwd/orPeZc9O ImjCtZcK2g4ajibJuIeUuMlih/bgkRRI+Lo4wWl/NVQqp26BTJ5gAZ/AHsYnfWOzCpgtX8T4vmPE beZNBKUexaJ6smywomJRz/1UfrrKExN93Qhj7WWW+Gucn6sn9o/CYEIUAVwMX15lGgHfqpae2RpW y7+mH9u2aCHMgd0UF6vLG5zeFBBX35bLqDnn2ZnbrcJX+QAvouYR40fudFY89x6CBJp3RNpQjYfD QwOz4XXX7Oe0lxKeqazBmZcc/Lmse0HqwIHEHxMnI9c+qmKRpO7aP2Eu2sfacJkJsNjsSYZtMa6U n3hNSNIYkri8HTmdHemkwYltvq5fK6cMlkvAj38XvmYHD/u6wF56Odj+HJYeDBLbHwBY8BMMf4ao m6MR0W4yC4e6A8Qe0WKR6+QaY0qlPj4jvUhjtLEXwJMCsL+Cz1ZFVVoJ4bQgf/ob/wvsp/yx0DPZ yXfwk6EbvdbNSrOnoZ1Yfho3fiUR0/G5rCOp/o3LzcBOVB6a5AvaILm/3tiIsmp06z3vUiZh6H1j pmu5z7wyicTKPZZ6/oM20QFJ5fD75r43/t/T1Hc1yoUHn4ZJ5aYlIbeAwgc2Mfp/iAjAcfOwGyja h6EKsOFWLykHy3uUUYVu5Yu4gV+64XHi5gs/qk9whZ/e81q9JY1SeCz64hu5xY7NgbQsjeuinJTR hNs9lXoKzHNuH2FPUZGAwK//nHmcHq45zZ3hOpyrKIFax4VmA8I6QDcS7ebIULnNUjxCbz5m+sr9 Nt0ChH3gCTe20Ca/viDN96sZyoe8l0ZIboujK7yQbomXElIo698GNIUSpe1wupK5uhYVCw1w9urb sH3HSy+GA3s3ScixM44YU5Lw5+tKB7dQcV0O6+3jwSqWtV8lt7Brk5oOMF7F+FmJI5LJbgKvocYd cn36ebd1lz3RvFXq7B7FnLe7JL75ncIMRvfkHgX1hNlaXIG4alP87GCDyGbiozEDmY2TfBhAF2ZL 46bP5E02Y4xXDmXz9Rb4YYEIPYrutFzijUGijaCMvSl/K8wQFElXj/6Rnp/eZ5iJDG63m68y2Sjq nBVXBkdIzlzgTFt5tbmIzDN2b8TcBlr7R0OvvKyY9Aa81tCAnyb+VF/wgbdp0q7JOpSOvQlHw/Ga TxAVQh4HU9nKZXKXuG/ykkb1+J5/8/ppGspuuJfL4vPuOW3bz58VEYgwsSc6F3UwNjM8+ZWBHNsa PX7DQto/eG+Z3umiu+HVrJVyTjb5A9ifBqCSiZwMDgSHpy3AwZSYvV1RUGeeA/07Bwa1Wj9sfRlO 3xPpOd1rxVCzunPIPwrW3oEbKDRJxXtZwfHqZs6f3E7Om9M7xNzihn7Wd3Awtie5NTFu/TfT+QqD rSRfeEJ+5LR45LGz903ZhquD8iMBavw76AUtxqd2c/G5Nnv14pkH109GyQi+YAv3VOvQxKTfEyvS MrcgFAH26cIcZZuR2vYvh1ueG6M77lQSAuk5ahEnEBXgCvgl9A4yIaou+lPRrsPdnH2FkwXUBHFN jysqRGjfWmy9xWfLSBDaBoC50bLtHk+oXj3kfx1Gu2vgeGCz/el7WNYcdQ2m7o5CcXbX1Jq3FPnn 7r+MYaLlZuboZ+V131A6UR2KJGm74i8b0V3+umFbxW2EZN2D6b1Gjn0cB1N/u+RoIG0y82ki1cAM wyO1643qQ+m3Ct3vOg+IdSvsyEbZ7WTEjsFrWBAMEQ9B3i8MOL7oYzPZdY0AmsKlsxIelb00Ns8u 2Oki7uM+Ry5cRQqHBK1eLT7xAy8D0Dq9+7wgRw07eWimvOw4ozDZiBC6TL+ZTFfKxfSw4yKJngTz qLjYQ7IQzNTIAM2pQQrT4yaKN0SVrnj7/Ucw8Cmo+mzToBanI0OI4wuzyagsFK7F4sT8od+Z9BX6 hf9CVCCTYuz17LQI+/9qn5L24Pad/vPE1tCCQ/HHoXtQ38vjdsifd1iCV93OZ5KWgU/2yADjzUB7 acBN2xknH0kguCPVM+3bpRQVt8egNLraQzCqZcHv4UtUHWbWrKDG6a6m1UjLnQ1eKj6ODgQmrH/U C3xQW4x5EuJtZ71LMwqudZMg2ydbQoK+JMYm54KVvvZwtZHdnwSfZf102QRskPPC4L+gxxTj1qJD vFbm9kfNLiTRY1U3g2V5qj0BaBUsM+0QrBVnLn5NS8ATA6CEMlzUA8j9v3H2DmxwYGhwRQpL6hVG pgIbHxHyKFczuwMjwGSGAUYi5qlJpoUeVLN7KlOsKkCuebknZr/8rajtoQIXjISnyehniOMsRUI2 +8yELt9ghI7gC86zJfBzfV67BrxuuWWAW8tSs3rWM/vBa9v0//miaurwIz8omci5F0cT7i7543q4 xC26Mv2IuIETUdegG8pQEikhPgqwiAsEvs3DSLjb2b7xUVxF1yV4IOLu3iRz3xnym3sRhsHjZnGO iPo9PmZ0yHubrQ9HD1JakMl4FNB/wloFXsvcrErIy1eo+N5PcGVXdwcfqNaSzD57KqsJNRoRAXAg deGXoy/bOqBm58+tLIlBw9icoqmVhvf6PrzWxsUa7D+Qw8xOVr7ZK2tRmcIUQuBq+xMfu9gAHE5C QJ6ByB1+FDSr2BK04dfHVmX1s4IqSo00PUgOgXKVVzbGRVMpu019FvrF9PHSwnicl00WEtX9oIKr ndzyjbfd/rPDTwOMt/o1gvlkK39DPWuJouu7EOMvaRCm2wR6IWuyBJ+7h+KEl3Kp02U5JIFW2k8X ZP/Uv3VC/u8ybFJu9AbWHuP70rOm4HT3wQRBMsCOd7/I+yv4HxWOnvT6mo0EzTbhyasSQgLOChVm H0cHDFu8r0ysaZGXKhj1vK9bQM/51pIm/17oNnm+edUQSIVLIjLd7NJHLrsx/vmNUvCHeH/TfcdQ EE0cQrV379m05b3W9fVxtVirVzF0nufE8Y0aZsUAF2nvHe4VB97wVckmVpCxPYvH/pUmfwPXltRx uu0g7JB9UtUwonIbSYY+Mh+wiR1S1eWglfeWthmFXUjgp1SSMmDmi2Lu3s2exXyDpu+mqHqGPpst ETBfEvo1gMtZfR7u6m4pUzLeyJ68bu2YMxrdPfTJGZQ/jldCul/ZCiKn+qQfzqxiD4H+1cBzv+8p M9tBvAU3etF+y9BZBGdv+jUi11hGSI5VM/8vst3rC8klK+hqT1lTmBj6oNUzJKnOJiCkuzNWMN4J AQp8WMQaUuoWkqss+7h+2l/Ylo/P7MbrOu3JjcfsTG6WtlzTKGMUI7K3s8a+SgZPRmMKAsJ+WyNs PzhSJbDV8x7rhgFs6ZvMahsSZLCfC+aPkjlGwdVemTMx/JtPMCDh65yD90/T/+otoCJTEVz599/2 mFT4KKtBULvK0E9ylgrItaWbKc4Wp4kFIG7nJTttgLm6LNAE3Fk4TUGIwmYgYPIaCcKOcP6fjj2h ayervbze+S+55jH5hGNml2F8RNmOGERrEBt2l37jmCuXpVnCsmZIaZnES3WTly8r+mHikFoW8DEW 5OKqKQsdWJN+2D+mkdFbs7vYgZ1Kj19swYBwabn/WMR22cOrgIBXms1M7ZDIzVtcjea958ksDjrc nP6DyvUj1jXwF1Xl+wEkhjZmVEjNoCgq0kC3Ew8M6K4mdIEgWv8O0B6dHZfiJYc65wlZ9PMLU3Y2 6crLVmZLyFB32TMjq5UZ0DijB5qQvdi0CKY0zv+YczyG3OblgwplHNxtWttgtRTy67B+8aMVB9Q3 Qexp31bI6bsxFaUkyCBU3o82jmITn3zB1BKT2j6/YgrBiDdweODN8YTSIKd0P+L3D937AFPrwPPJ fh1Wh1H3gRo6Q3a65SxdrDGtSbj3qDZyV6K8FvgV+Y/Re9cHHS6D/CyVj4TdFmu04tkoreOKJxUV JjMukeMkMNT8iM4xil6P3atgAS0YuNearRjhNrmG4+Xp0iQRTDhLsuZcCGR5P9oFrB3NBBSYxj0c gdDG18qfX2OeuzXJ68Zhi/8kgY0YiRuYn2Zfde/u0n6pEiyEx/3OHLf0lqvEQR/1YjmjuGr9YPeX bvih8W+IbF7o+mHahBNrHt1KN16/itAePRUnii1CDu7mH/ejE4ne12y9vM+z9zB7pIImJaVGC4c1 BWsf31FTT1RZAmkw25UkRMEXgsBsa9jidxu/CeDxMortKqNnF7Oz9j+Qkkfhuhd1V/kjpEh/AIzN A6fYapcqgE8qhpg/V99ekk4Q/f/rxLR6x/duKppwjkwzlLddO0NwjJluKcEqL3wUTlua9E2IbnFP AHCmHrEhUjgwxAG9nPJy9l6MlSzH6UwEPfNyJ/GczU7Qj8H2EA9GPqnGps/cjO9AqDTko6HHCwRb XW5F1ZoGmWlz+aFOv9ANhi0v+sFR96Ycwp4DtI4hGAEceGNfDM5foIHVhmUgwXBMjh2RXB+bhKXy 5hLRLsJyDvZDjQInoEzia+xeglFJZH0YjBXFVKYxWDdgv8LqOP13eZlUrIPjvbJskrtefzeIrxqm F+dBRimylRY3t2aQvdmEs5bj3DHVr/uCZiodCsNn8KpRggGD1anSWpZWXC8ckHamSRcFMyub3SNx 9qajAzGGnKMsOTVad2DVK+EVXFYLKKZfh7PfNFJ6RsfT/tLG0FI/GHBrxDaQ3HoLjBdIMdpPRTyF yH9UUgXbz28qGbCt6gXMN8axePvkfnzqWA9XYKd+i/07yESCdf5bJK3cfv3O5NfbqX+kr7wIZu/r ADv1DS70qN3rD/vs2mxV9zAcd8IYfRdYRqfJsdd7aA2Go+MzYReEjtX68zkO+EAKaZf7tk/Nmk7j FqbC/ART2BSxhE1MsTZi/xBVCvqExcsQroRriOE4J6FG+vI2eBPDmAo6xLIWktIRXD2wMwRG2p/1 2cXUkSsC+/opveah90KdGqP6raWrzpt+tYqbot0NzfeYcl4qPx//Gbj7r0xJ/c5Dq8yHzFeMgDLW 0KitxhdjN8kCegn4Dh/fZPBYLLz0tmXZJsgFjwE/ohxFX2mVN1Lac1jXnexAnAkVm2/lzoifFDlT YmaytOm6dKeSvTluFPTN28WwTq/PBuyD0nlFgiERkGm52cGWBQqMoRoCgAISmRnrcaQ/EaOnsXoM GBHczSf+q0afa3SojFWs1QQThhdvPTMdYOgBIgcYIuwCRO99qdQNwJkmYiKlzKQxXlCGTAL9WtzB NJ1iC3dY0rG/WZyVXGPCvS2YWghH2tfjP841v1CDEyfVYA+e+yYQetvugIFE3lAcz3krroS0shRV /qyUjcE6nM4fWv5HS3qlGlZLxnQbmiSjpafwdnlgTijx9nVnigcdG7eHwRnK+N2fTdaoDAL6bGjj Og1WdasQ1Y0enkZ/rErpIFrow408n5iBEu7YOBgdAZcHOrlM1x5quKmcUGqpjOapkBzzewlBomhW vPpsu+44JXmTZjHxWfIvdZArFxxwpxeYu3+wZn4eQPBoupwrta5L95+AyAR6q4b34UAKGqSFzuzX VTtuM1AylwBAieXQNAtxN8nLIUuurSzgHwJ0qXJzvSludJFpIWamCKPp8XLd/OZg3VTkQQm0UvlK pw4LqwMnjn2Z3L6w30qSa36Gor2qoi1rBxejhDEU6tmV0nj72G9gJUM9v2D00cmko+SSKOv6n47T FQ3l98FaULkvpdiV4XlII9FVtc3lexttzOLlUxm5tfMjeR/oUc7kSK9ytfh97LTgKP8+mkJvy8B0 pNOvirFgoPikVDtIMTYlELq0Il5M4OQWJU3OQZJ9ASfpRIvy7YHZuvKWboWWnuIin/SzAQQ4tx53 sXvg1Qc/UGL8y3h0qbwVLQG5TQyipps8+XvTPMxckF19PFATfVb/SZo+DLcAQ2UAq1lhykuLXL2r jsbvrEMHo8LRrdQbEHWNBvOopAtDThah9PgisRTq1yCzBIHjq3H1G8WnPFyNGaWSSUeiKPkYgjHL TG7mlZsYKb+BpR/BhueBudyk4menawvNhXWpFJ2gGjdFVe5DljO1fmmXDE9oG/zbzDq6botEm8px KY6qObPbSi94urq1dMlVnKozMiMAvcKJR58Vq6PbtCOWxPXgAnONbDkabii6XYPZHFcYZFoYGQQm YlhXXDCNA4dPjNttFF/c7Wb4+/mcfLHP8cBywktzHim23mzqoQmTEUcoO+COSwUFtpmRRMND9sV8 cdD+TxGL9Z/cxO85UNXuoX22lblIWXp5W73VmKGTS9c12K0mHkrOZMcHXaniWfhHWIF2CRgh2dZQ M1IMr7bIGXAe3Um/ASaTl0CdAIRQ5InHwvq0eRvs+o/dlEZZdpEJ29XEdoNuAuIaoDOj5mPgKCBX D5dtGmC89aCH9Uuef3zEJuBJ9UGsJ6PdUtH32FZMDzg5COBPQi5zadUmI04C28ewCxvBaOKv0CdX 6xjujDlTNsoPIkdot1TDTKA9+D5VdUfvnIrXW9lave7rATWUE2+WRly9JZfbVzVoVGOHpRoxiSwa lshDHjm5BCaeLYF6yqaNXBSj+iutxlJDJy623VuvD85dAPZdD5mAw/dP4PPFPLSkkx3osaRTjWf+ wV6/Kk/fJVxG6QZzvdPrS5bZKgjsvHgWnEJieT2rsA/DTPXzr2A6jwAfmjRBbX5+6mjY+OieVQsG 6fkTjnn5J2DwsdJgLA26ZZvw8I1hYLQo8wpBGy3RdmYwA5ciu6VsxfylFO8DMv7CljbBbRgQhPgg K6byoN6yKaACJHza7UqFucNgu3n1NXf73wUwSMOvI1sOUn/TpCiTFf6k2MqWY6Igx92lBN1DJrvA DUvhg7nH5bN8OaWfWCgo63GW2XGl72zvKia/fctub5YtKOcGqZSX8E/gz14C0nr6/BC0YeLrmGgU Q8XtUiLm21C10OJllxzCF1tolUhpW5HWxR1GHB4QAhOtl2wTbPKRLlYY+ET4B5rOPjPrT8SHDt3z zO6/AEi5kD7jhX3zuoniNIGrECqya8Ny6v+qiCBDIJHBXhuKI5/++yBrYAy7wsTlYJOZ8NdDB58E 6WibEc+5DONYCO28IYTlmxXUerhE26BKxT3/7FD0pg3CBURUOb1Ow0H7tkfgNDI5798UDAZ8fF4i 1hamlf7ORSfunAoPFIfshv20fwHYqUIjI4oXxsaDmRRG4u6K0KPMz2ccf795DGjp/r2vf7XRKFri dS28DsfQHmics4Ov927J81vg6ToVXn/0F4JxgqZ7VZ8md6sbLXey9MmwgpUvhTXfqPXEVkvNwvJq zBDK5689c0uJP/pB+1qomw1/Hlf7qUXBj6/t7jpridY0oSRlNQ8DFk3jBt9NrgT53yV5fi1FMVb3 HBzq5BuYHFI7z6VAJJ6F2aJYyseGBjP8CXCg/BV+23IIM8t6DJ0Zwq02RoutPxWiYKLb8heop6Mi 6eZYmC8E8qRDZ076casVImDm/Wmw0+aHmvU45HSBZ6vZUu17RvKpJXmxoxwdKQypzhh/6AOGi8q4 v8yNDYxMx7iAcA81NefKzDupeTpJk5DSspq4b0+5yqB/krHYIaN6GcoaO2+5AeJUzNgTi2Y8arnz 5tNk72B21iW/2jwUIiK/RTRYteREGHTZey4hFdk/iXi0z4UnTIjPoSs2WtCzRyWDVGjYSJL45y15 9C6X8/GMhk/+yFhdLwMIzBkxQbjWdM/o3r5CswpnAB0T3OKOq7Ghi7gom1SCTSX5JifDduSBVwDm MfztvdOpZLmKeMgzDhZ1pTZFc6UjSzfmSno4MdurDaGb0RHarb9tGs7CS2UdbdPly669WjjWRVun shLZnutY3rD8Df+p8L9Mz91HG/BYCHPlMK1e6A33bE0l7HAjiT2+OreU0HoPQfZxShg0qWErK8xd Vq7tbulqX5HKwApkXXtSmg+NnE2u5UnLl+nYO0Dzy0vBq6PrLb6byxyh/eg4VFGiXEhkh7x47BYA E0+f6vaxm1PE+sPbGYIuF3yx73uwIoVN+NEeyjulBMUV+Dsb6NmTNyloIAJHlv8FOO+YXcyuNFKy lfSdKmJng6JjDb8R+rMpuFY8M1vzKzM43O83841GUbTfTBTIHlHEaka8tXlViWY6s5VlVRbIDHcy qdEjQZmrmh+6Hauy4TqezT2I+D4zGxYfE2YIy+HZ3GXQhlD6qdppNZL3d2RGW4kE1MuM3kv22cg4 0jd3WDavEJqY6lumcCfEP77bRt4HKogWMC00vzdhi4Xjipzn4ncRg7Qs6d6MKsgiEsh04+KzdlC8 wir1remJPRD42Yd3FXvM4Le9sJYn2FkAiCTnHgr3mhSMXWZAxcXeXR8ieioO7WvfyHsN+i4BhG5I 9R2FKR4GdeTh0WiG8f3T+SNmRlaH67i/BmIu7D8RrvqehloklEKiJsiBMmcA1qOcwweMmGXpA5Gq 43lsEul9qYlw2hefwhsMLcxFybWikisqcBMs2hs44OEe4w0dNs1zkNnVuu1iWE1YTPyWlajk1eGT eqKPWohyiOT+diRXF34af1RisNwsYNtRjzaYfMOejVdg7LGGtzC/iIJu578HwBA9LBy/xvN4z0lQ 2Gb5O2Mra2xpw1ca7i11Clr0aVz0uf3UlMhHIhD63ZfmMW/G7dNSCqWyISF7L9+/xG+F2Bp7qL9p Si9MxLgdBphuYKuoDiG6CMrmlb7hnBP2sdnb6z183EnsyH3s3+5Da3yxqldZ5z5zjH7QD02x6CCj U8b5PAXHIXat19zX/7hZ231LcWn9NDw5AkCPrO4nLpa+pOLik20YYS2+SeAmHr38jt+RZfGQLxIs vFleQ64EusFOG0Q1Ld4RSP1pm6765gIWZBMTeSVxsU8eZ9fdGk4xVU7hPCtvo39iJYxb/wsOoMOa hYWtTD1eg1DayJvKC+O+LFqp6Er3fxRd/L0OzyVXuWEd+DyQ3BzZyzZhtIgC60D5yew1j5tzG4wJ LCxRNrEN/gChZHM0Qvtsi/tdqHJXYtYLeIWshibxM1iD/39l+GHrHmKTTtT56g6DeyTOSy0xCDPI l6zp4oCyby8giOiAkX/GGGXyfU7gnT4llwBY+y9dTzWLXxxvW/sZYHU3F/MfRTlrfS93uKR5bD9I tBVQO87rPbFseeED/juBPovjToksKSezYV7xFq19CDOu6FzGSPEIYbdN/kgrCAAsrU3yNvkMInQh n3unDVlRnFMjIjftNrOaLrAjoNJvL3TflPU5ASaHO+mmxeQDs4RI99PRXqi+twjaDCl+MXOytbFv 3ZN5t2q+qhnnbrNL7alW8VRp1HhWuQnm0G22LMR9hRTGyJCNmPSEdGrZ5gyGgBqferOJFmNPrubd l1AdUzIjofUuGvVTMv3kwwf5+iqI/sWqIqoXlkhZyWDWvJaKNiiEbzuptfBq7XxFvby0KMa1bZuH NsLifvDFdbqvM6xPKQp0a3xC1deJwHif0EQO1ULV5pEJxhmZQLjCaorSsCbmtMQcMIPQGJymgAIP QhYL5NUzCV9PdqTcVeX4uZYR3sM6e92RX7+xuvdZ3FgBBnVFHdwAtf/Jd5q2Ie5V9EL5rq6VVv7G T1/m5Wb9/9Bwk5YmWyN0HsMnGL5xvsNUKXUfcFgtD5loMkrLvtTnQGpgaUcNxjBXGNhlQ0BhrR2w sZ6xZffKaaZGV3wTpSLoDjqZsVwl4e+4/D6DTVBxjDrkekDnj+NFwcgYGo+UrpbfP6ZylBzIrtPF 1acVrYSUS7Dda00hHdZIjhB6ASkEmzZmS+FNruvEMb1C0ahHbMsv91bmkb4LniRsB0nnpPBH7817 dHdLUl2vwfkC6zTIl2VxtQ1Xk80vvWZTM+pDapO1hItcTeHKl+rHpLXvr7iEIZjbwbIgtp+AiIvm PZO3jJmkj3RUAWKqCvhaRB9bbgtM3ijcm/IFtjLkzYq9CuF8YDgOcFIV+BDraGfLyB5cmNyWCfws FsHunpWsT5NIoUoHHvPlXtb1n21skHr86+I4ciS3JqrwVqS/5M6enV0iKJJoahrK0pW8Xum2HywJ F3EDar3LW67yZRpYcnPYBPRPshREc5Aa5JtqUJyp4BRW1neSpG7Ge0PtcdszlevrMMkkfihS6xQZ Clr3mBBayGhsfilhkKHXHy7h5RAOYBR7xr6A/ma5kZ/Amvc9jZe7EVDbPRD1gMdeHoN2gAoLqXvE F07mw7OwLWC3DCTM7CIP2DUk/mpGSPD005YuBx0m72vVSOiENxLp6dSPAN7fPJ7yg+HGSq/UkIjx tS/VImdk90qlC7WfaJqwsKFmQKw1FhXGtqLEf5+aULrza9lHvQuSA2yJC8FLUfloRM3rnF84FYG6 rEwnZ9m8isS68CfCm6XKX3zdJX6e5TtfUwU3+0bt1n5W32dJBu2hAuGhqqjAANT83au8mwYBydvh YGiWvX3hVxB7vUSJsrPnc3LwLit5ntL/Nsj4dvnA15k/BTR6VDr61v+2vjhRb/UplipOCx9bV1ja PZCc41fMl80Kws4oRdVRtCy+cJ1t6nlWcOWgoM5czsY01HMIFmqEw3z5OnJjQlzZRaQogNyCxNmH 7cLWa1BBiYri63lqu9vmiE/zxQfyjPyuLYA4OAiTDVJ42qyjVO0VR/Yll7Cen2oZAEWwzdi20Zgb zSP26qNoykiWEaaIsRLz6gKmu1cKM1PnGL/XDcG02HckpD4pHvV3kFq6bBAoF33lVCDwbpvgYOyT MHQRp6U4tWnO/HepPA9mmpGtJboiBH0/Y66teCQEXJrfWxOtLF9P672ENiVjv8IuZ4FyuqOrpqZ7 +WyvLFarbot2L3jyiCf9INWN+o6RFYJYjC1dFyPYPwApYuZ1m0cmu9p1a9jyuNjGQjNJxAaM1s4q AJD/oAK7HS8M4wvJZ8HgHsdStOw7Z804NILpEwnm6MpGq5qC1X6XTk2G6G/saYML4FKpgfvARi8g rAA1Ajlo0qkfPV0cJR3XDa0xxbSJ1fyGzygr2ACWSLYn0omr+hp9mzXpLrCh+OXLqtqpW9VdmzHk VVgDPSIIKLVSYi90MQbBgttAnSeNryoNJ0YhPxDIXAe9W55vqq+pv1koljCUZUXmsc8ny+qy3QGW Ds8gJLERmQR8F7LzXT+8b3kPqAFT9NxIUikZeAOhUpDp2OtQiNaZ9acmn/N3kRC4S7m0m8zApO14 iT5j3TY7HRJ55NRC+RmdC35zEqy8AhP+ryBW4EdMJB5HL3zyXN4lDwaB7lXZYmY4IsyVrcYP9cCJ nSbfVr1oQeRpyDHXyb2fOOPVStZyTuBdMAS8zbmvSqjyDx3yNQ9tYeXAw5I9XgBH3vmtF9qXH1cH 4KXTWXVRkn0yUyuJoLJUMVBpHw9PRg51d57QC9zjdsY/hh6goa9sjf2ngAK6fFj8M/tKLwq52jM7 5+xPmKiRYVIKbq9Y+y5bU3c8Zza0dMzMUnNmK3zdXzEf/JA7kStZoWCi8/cyBj1W7BpV8icxTUdZ WEnCfAQEm54gUSx1Q53pgwBcNJQ1QeDJ3fKjyaWQebry+4ARxKUEKpNUJsHBU7NPM9TX1RjFIDlj prxSgEUVRopNTF8NHpwOxXIs1fFKUSVH/hskqOIGMaC9IZWgTOoiBD8fUY2blPhbxqd1BKM/yq5O BURl+ycRVXmrJ2f6xvmjTPBeUKAsAaj6EeJUECuhrl6SAGUnbHFUpbQsw9XAIdvCBd0IJ9T8DP/d MiYryTWavGsgkONIXb0164jY4wn7zFyPFmTPdAsNMyW6OfqIEmenFsjZojOp4HVUMCbQouhqIoVD FuXQeQfdQCuDKsAwQVH5nBl+nEjetO5vWv1FyY0x7r+jJqYHubWYanTVyGzz1p7AoNU9r0uSPr+w AlhR6N/6pgz8lcM216U7g8aZop19gPz3NtcqXdH0IVCNC7VN4XGMVCqupIC1aNPr8CASe6ITxSm+ XJJBy3g7Oz62rAVp4ZuPf6DT0K+O2i1ZyGOvbyMKuY3V/teErZCFyAEptswG/tUrZm2posWqOCJy 6nK0PlGg9IwW7B4lX2BfQjOjgmPPdP2nBvK++J4gVy2iJVSDj5x2RXNH2Znn+6In4VHq4TzMi4/S LLvMCMYX7pvt27dx8Dx5m+6b8j7BSD8s5MIkXwOwrwpFUFg2w5enHES0JSdtb3aQzxuHvd5cD9qN IEOSXSpZRneTQckLIgpxdabbr+HMGPOgisRZBJQt0WJrpd+9LcsIwqnZj9hot7jhl8sstyXZAFIz xJLhYqtqMz6I86SY3IHV8GR0M4W2DCgP5XXtvD/oLpkPgQz7rsHlS6lCxC3HycdRDSxkuDCws197 BpebvvJuqqjBKbWiLLjxXg5MIcCHWrVtxFNSo2DiCo/bqAPrFBir3cNR+Zb/dESzNPHwyimkwJip 48PcLM9lawv0XyoSUitxcT39b7AQw7LALj4sNo8Rce8ttR7n97UL9zxbyVHlvl8PfR4rxzB6cLV7 abTJ7G7rsviUHNsOmYVTCoPFH96ASa/06ZEmczUVX939rYNSnyQNtL9SI1LqPG6PcveR1HhS5Ufa 4TYW8k33sMgP6IpPG15eWK5xJJ+fbW+V73Edk04B3rHhpAzBoYLX7lR5PzNOPNnSvWFNtB0F+mIe /X2YUOajus0glajpfzkAzbpjxM9P/x1D0r4z+iNNoDA70aG3wLXnr3Heid46HJgiP6RYTt1oVyat i4EBeVtx0PUaK1RK2mqgla3ozeuLP7NutGAnzDOHeD49sDtmeyxs1sOcpIZOzwd5FN+wTTOdF8Io NgcSA3WRplVPrA1LbO0UQcRS71/HNY8/SDslqS48/PpgpPFoj8DfcNBtTGeKzxvtcbdvy2hXV5hH fgmZBslLiOq3G5jE8VTzGwHzzcUaBjQ9ArgvboOmBXU3WWmiqmF4i3SQ6+sY6xKmSnh6nBi0rHVq JB0atsbuxlZfZIeW2B9YBQ5MtDkBwpfr6k4Njsck5UEH5mhuzM0gZqQH3kZCs7CUk0XF3I9G8a6Q +cck+8bY4LMoJtZrVmn0ZOXaGEY6nfgmdQw/ZQ6V27oRB72OQr58oZ+HFSfR0z3bRROedsCNjw2X w6/9P8yI+IxDTOUPay00RKhSluUI5dKj8j1VA0WHJXygjMJLy+qYr+RPWu7xrE68kBoC5TEHEumk ixqU3dw8qUD9kxz6nBxUiNbn49ZFlpaEg81j12lbaMWzjyj0IMaJ892C2kwhlkmN0zvv4qft9TS5 x4mo0mPGn4ZUcTf0DxPChlgFWZZ7TbHAMn7/xYMO40Pnqo+rwbp/UFVdFt+PdW0YnZAmkpD21Nga MixE4IO+VLaEmaLduci/zgJdPioSh502m4c58NX7+rr8SV3OOGp4kaFiZ/jOiqhJJFVu8cmMuS3E sJoQZBki+ehYvkPe/t7jiKfeFcd7VYJR5tGNpuvE6x8g+awsO79FPpHyF9CcYsy6nEeVAfYLbJeN 4zN5BJZTLrdXuXjILl/tPLryfB5Qqr7JAaB7wM6apT3R4DZ/+sAczjsTfpN5aryyp1gpvSWcXh1r FzxS7qRA6RL0vspotHX8YwLqpe049R4epubuLmsQR3SBNvPH6wuAkRp3akeQHGk9xfeeeVCrPw+p MgQRgHJ2OA9GOWEh3XUwP3G01wcj//YIcRnUzN7ozWO+Kt7lnmCX/Tdgoa3TXwwlGq2XfSvmN3r9 piOGVtwR92mhuHqF3B0a73xlyfdS+wbF8a+ePHuQDNxgtwj9lq08nhjuET8KJ069m3zYm1cF3NOt bi0INtSlubwCy/LLqVv68bXvZE2aK4XcArE6IBZIFry9Wof7CDrkK4IpGHXzYpwswqXfSgHxkScN XxkBHCnu1j3HhY8ybRHqfaRIerLwXDi8p3aFPUD4dv8xGq+lnsds/s8D9S1cXAPl20OmBHPC3laL lyqt7sn1cRv2ZITDK/NGWNTmosrR8mMNfBWoDViARqjnoRWUAFn7b6Mtv143HknIlMtBWYy2eZv4 3go8DfViI4ZkyKXgEuiGh56TS+k4FJP7X2J23pZcamPMur/jcS3a24ne7N6a46UTIUq5WLmYJAb2 +P0Xyr0vz/7ZcfOK2gTPPnzON2+PYVAmeghX4n0TD2nO/nBdG2Txu/y3oam4c5YPxxSlNiklTK8V gLlTeHQgE0G8HCDSuiQzmn1T7NOi/+5kbevLXbpzl1iwHBq1GpVg6XxMRQl7d1o9m/U8UYfv8H7s BBLBq+PnpXqUbLQ8Am8SDfKqMgmy7wR4mypj6jqKwF5DbC2bm0Pdwa3fyJpcL92k62swpx1228iV x4Fun262L1+9xiA60tcg7DKL+vaD699FwSMpcflq64/pWS9i87rvt2JeAD5ZxzwpP8Bxafz4juX4 JMsOHWiMqa28osuU7BbGbUY0AKO2jyZMidKWsf8Mhd1d/GddDBGEDwfIIYM96qFJjia7k26BU1Bl PBYgDyAGKgk8epJDucU/QME4OKL/It4g/f09uAaiTGlEd3xbyryp4A5Bjg3Qo0zviuQxAE83QWKY FjrbQ906+Vq83Fw9SwIfAJ5mebr6xnhGspfSO6RVGrZrh9LmnSthC9ZICJNa+WEsov5VWYIdXKAR dwq041xjmahV/K8LZ1BlU59kQvdH2LjclQn7tbtg1GcA4ElqcJSXpFEAyfrR2EJE+zc+RWEO+OIU bCx5fYnuKBFbul5P77JdD29sxd3uCY2Y5/J/ddOLotKWp7CF/byS1ZzUM7U9MdI0vuSbcWy6CA+O bcqGLhHs29gLqRJCTNcPzY/73BeE4VX1AvFMvWhysCotYte5FaThD/Un08lyBw+pizJDEfN/Ot/F 68+FldpujExXh2Od5u6NmZzR7mduZFMPbTNSgGY9oEEcprMTVS86eRAC048Z4AkrDvNU4dblCJ64 aWH1AFdM/A8/SoLMX62lzsXDLW6tsynw2XjBqm6JWWBP9DJYDFbPdFOHkbYR1Ak4cLVCO276d8Le d9alK+SpYx0nsXTRz0GRT2u/4lRnFvJ5qbjhGVD0w2paOD+Na8yY+LepTDZuzUclH9pI276VRgVf 1dVoLJatr1KHFy8ZOCPE8yHS7cryYKIEWR4GFwBqFcLnim8ro/yxFVNKiU6ZTXIHRYNpJZJkzrDL dCwyY5jWRyfeF9wHj0E3A3U+d/feiCMrJqCfFV5VQnGEKbyCqOVdtG2c3yqtosIsNBSLXBvC5gq/ 8J4vE0AN7dM+BhjkGKkJWOs2O5E41veYlkWklMESm17pg7WvqFGjIkr6StQZeG4YW05wcZGt92Vt M00Pi22VqCkVX9lz0dy3uyJbftTu9wIaOME6lAgqqUuXWT7rPFgwNI6VTIRW8Xpds4zN92VTDd05 /FObB1JboTAGmMT97YmSAhOD4eWxmnSNsNyl02W4pHg1OL0lXgfj6uJftA3TL5Ic8Vnj9rpVAoAZ 6l2P7qTMU/tsT+GpnaahQT+T5OGPluarcfNTUCIqpjTPUovgLQMCkWLHCFZzHFLVMJtwpczc3tii wUbpU+lOTc1AlEtZVHVxGfCIxnfjvvmDXtotTtw+jNvnYNppAcYtMhH+pprwXv2oHpTdR0ram1Dn vuKgesvWWHrdgpSiSBMPHBI5/8lNkBjVyYttrRxsKykqop7aGNkTLVvn1GxBMwNI5k0MGtJZ4jg6 oTVqH9HMo8RF9UvlPKTGr10dNYNFAMDq3zDmsXnaGklc2Oqme9hPnuxOBkxgG5rowbKmFf+vo1rA EzjkSpX9dhTRw/6L6XFQAQTiCJ46YGbKWjFEa5kzuU0e1HAMS5hlaHo8ND25UHUb2k/s+xwtQFaB T+3oRWIETsJZpXZVDofYFsf6XBUhAcEdrIwyIM8You+Y0BghNe0pzZgMitUnDM2TdZCWW53IW1iI 0ZjUpkepxTWXaX7MmnyGQon8T+sJ1Q9DCee0pXk087vD/3AzSsQ7wkQyfQmSpD+FgDVzWsneSvOS 3s+TZTqm8uMXUucEncnUhAH/qeNFBzJUyOQNLtxHbgJbA5MGAZP1aH6cgT7SzdiffaR5fehjcxua /t6NfZNWMFJeoDwP1Z/GwH2l/oiZbUKya3xMBlXN8f4o/TEMb1qzGqDVzgzVRaygEwimHuajooLO p8y/VHiEKqq0+wbYlvIFUlHc8w3zNXy4X0LIlaAIPDi24xkFe5QmDJacAnRDPUheblzVT/CMrzPr TMGypgivcuPcbewqPuzDZnCksSCp9ChUiYb8fd2cAAPUI/gUdyQPqsJB/bJqHZg3mWtEiY5aHxHp MdfCqqi++mpDh/I0PeQbLNDlHUQxv1FSGIvWjMEhuxGx77j6kwZXwRdsSuCn6hZtiwUlR4pG0cE4 j2dWKwSoBByo8kSyjHzpIP8GyXhm5XOqi5uISEpFySMcIoR/YWIo3RIL5xMXMi31iZ42IZmofrOw pvOCdaOOYa558ZFooFH11NCs4VIAqA7uYJ8TS0LPwutTsftkatI2OZgYO/7/MU+PJicns4Bvv6u/ flUhJ6n9E/PuboTfzUlNeKWTPpxzn2EK9cwLJu6qpD5eUFGKXP/lWmyszKxoG/1wkUK6DoVa2NTa nr0mvrdtZbkn4sGjIl3K/FKKvDsy9xYz9Vy27mDguL2M0tWW8ows5Wt4gZFKxnte7kje3zdUlTEs qhGYC0Pw9xMm2K5ByZpiVly3RsPxD9lq9H6L2jR90Q8VFTv+Gev8iSDDgGLYVctYMVNIDqPN45Ng 62Lx42zR3cy6akfGswLJXfUqSgN0ojc2JRx1ljbGG7zUbe3MEvIbkenE0EF48q983Ia2VAdpJw26 Jn2oxO9EvW/kFPGBYJQIoamWQADjaZoVABSEEl+HUytG3TiBn2YpDKUGW+aVeTdiEF1fRi8DpNJz gVusb/8hBJWBRdHxTvS+ZsaG5PSDsJ3eqCgGIl1dmF5GdZYrUHAdD8GC/BG6dnLeOd7+YF7JkBz7 IHKpwgM9siOS8tzDUXHXypkD1ia9il83B1fl+Gc9jo0rJnQVQRANscZmhYdOusrLftCTDgG7Wfr1 ZX1clceEUmpUa2JDFai3ZR7blZ/9DXIul2jSF+SPNKfI8Ytr7vOUKad0NRcEp8hnCwbI8nj8rm/N rjp84tRfMslGmgZeJYzdYks2THhsi8K7xNq/OSXYC9tyBwf9pnkpdq0UyvcL3xKOgTwN4tmoh0L6 ZyRuESaxvdiwl5zlU8bSE/cwlkJ2yTtoQhrOt9TnDnx9z0cRMdMDi6OzRwSSa+SG7tQ9d9k0um5W g7zWnJu3TdunOMJgwrsEHJvgVvwMn4g9PfPL91ZBDFffLGXqGbS7XPgR+PQwHgBTNdTS/LUvpkpm AVeJDVpHjRCzhnQUci+fGDG9WyXbcGy0R1COLLCdhsZ1uUaMy5b2G1gN0oHawitobKFMaE7N98Mz h/K6wZRsEUbvK78XwTPTBV/pLdy9Tim+fmTBpEG3qKOhomHeqFG66Y8wUM1G7ic0MCRHfQsDIBa1 Y2MZBAysaR+FEwzqRqWQTI30jZ/8lNZrQREX0XlKlQTAKtcfJs1Ng/+1XfOecZcZLyDq3jX/s/HT NMUci0lcjwWET4H4DOJalvNFtmpsjJeQayFFX23ftRQUha072BcvWREFiKPvvas9gaAEJeOaGghn ohjk1z/nrqL5YKMGtfa1SBwqFW3ror/NgFQCccDLUbKGE1O7lkR2nB7h1gzr4AQoTnpmJ5oJ46cg tUi7YP1hWqsH6qJm/V7TE7PcXe+BCh/gJgTS/3FK/hahi8AY2cfaQvf+jCo2GrAT53Rf7Q7MW7iq i2Fm0cnQ0Y+qs8Fee6bCe+O0HNF9I2OqUZ5n4HkP0lTTdX8NvteB5Vao9no4S038gmvLyZW9fZuT 4qvZ0x4mb60ccSWRZTkxN0AUKGyFQmh2viRb4qc4lGmmgY9lC6l3XgzUJ66kktpLcv/6ncSmG0Xk 43cLKUjK7jhSmnTgEU0a3bgQtiZme40aymJh17qZepdXmIjVTV6sLeoenk1z8tQw9tcsYO9SQ4rq LbDxlE5DyZQfrE6Adfcyw2OAJ978Onb92QjTyXqgkM6mUggeMUwV2BBYZebLhdVpfA3dhR9na2DU cmfFQ1X6lV7tVx1DLXxSgfuq5gsOl+2H2BG/O0HE8UQt2icsfURtkfVjk6G5AwJ8mvFYjw7Ok9iM vh/4Qli5BFgUoNt1wC1KiynzMrQtlc9S2XlTMEau2YAZFSxhPNlUghidn2QvS+Hn9RPN3pj0+uzz YDoIEurkdfb1ewjCIz2uE3FAIOS6wyMl3IVxff2YZnA8WU6uzpHBEEDJv25cavA7HWZGD6HOFc4F wuvirxbkCzg6QC7TN/j/smkrkrc+oXV6Egw7d/zIhXPJaaSL8yuQPMIHdKbuF2/IwJPB6iQY14cX 2hZvIptU4cpcKwGbtVDENIKbSrkYu0ttEU1fCCFYCBYD2hFWvBlIcJjCb5tD2YnH21Yhh8ASIEcn X4SXHKQgZVb7aIRJpD76R6vhUxIZmfB2iLjVDdyf86VdkeVJIWKSobWT20S7Mbs3qT59fmZqTm8y KhYrBi1Wmj1CtKxllS7DPCM1wYxlVhs45ySVxaP6c8IC6zFhULf2LK4LbSMkL7Qhe3XFXLBGuYKm UoQLqZSqyzGZX/tjx5swQCxrCzwK7Nsc3HJ91QbGos0pbf2eGzyUOxsL2p2pfgVfJNyv0ZC0WOIg f768LxXqaVzJoQYHt5WwJm/7la6K39roMlp+rEwugN777SoJsFMeC6baMUTzw2tVGPd6S1jqrwDa iY/ffpKpxLO8anD+fOGe3yGG7jGLXyLjtJyDURRXG+LuoS4Q3wCV5FtmHx5BKvQTSFI3JUYdP9h5 RQ9FZ1Lk7tQSrBGyO6Evl1y4MnMCGQHBSTFgb143j04WMI76lrAiuUp/SgMCWK9+cQcH3lbv02Da ns3re6VYAT98zQfN3C71OkFMrDSUYUu4GtY/qT6Od20axLO4MOhK0kB+OAyyTl0r34ussHOgKhy8 mr33+OdoWJ6jc8ZKo3W6aZDzy1r8v3P/Wk850pZ2cXeZHiY47wPTXxegajdYRvjHdW0SbipvUHn6 oOPtk3vlhoD6wxviRZboRlM0oXyu7TtTSsbCp9KUHFKeKrS7o5uVibwFIf2etR2Qmf2154a/d3oN PybXWoBr1MWtv1NOqtqxJ2+B4KNkClnWHG6WQWAmi08X/29+9wPudOchX9I/CZxNoT2mpcYCErUQ wQ7Qmpwb4w5ls0ZW74I5Tptqn36zhuGLT4j1Q8O0TJ/rvsD5ESEWPW/XucxjjQf99tu9uXGANMYH xRcyHAiIICNdOcvZXeYLDQqALX7ZWhHJQp4RRIe3HblJfShaX5Zmy9LPbXlQRRwuYe/6H9ZcoGuH MnW5D4qeQHUMkSRfSOIjCY5y8ANiQQqMWVXbmS7RbDp03zCO6gi2NRWvAQZGvsH/OQRKKLjOGFdX kQjz2DfWtakkONWZYpLWy/shwCKG99XQO4naaNRowiwJ1SHbK21o9PVY4DDu2pWLkgLhJAzYUuCI ud1g4Avd04qlf+/kJ9LTqrWHLpcrhDsGZA+81Tr7bpTGEfw12MBLIY/iLard5cP22QxprnDe/Yt8 1MyNMhq8REuXl+dpCIN6BlOF2WFyWyJMI/4QqU0DIVn8QQV3uBAc6hJr5tB0XQXb9VdfX7pAW5V3 WjOvw3/IB8bhJLUfhNxJdWFYwuhLMh8b8FDr7UE8YMuX/2rcSCdZ4KgbKSH819gGE/SwZkq1Y6Sa AZ4hGjfpITA06ACJX8nAVUjbfVJW1eF9cwhXkVyVQCIGc4xm/tfq2/L73no0uLxSHO9Xzi/GsDED 2nedVf5u7fLGElnmXdOZQ81YlZNiQCGbB6kc4aUqdSlSGIPRBAstp4HNCUIrm+t5NrY8XEcahQZ1 kf6MBynKngcjo5XpfujmjCLwTLD9JyMTm0sBntw4SMNECfV1/YhkNzDudvUKMqjRIkMMW51YLg76 xKI6SsbwQTmL3fvVxVesTZkh0yZxbvdpwHQ20W7rQ3btahHBeBZj4EdAz2WjkN+c23xJXZVv7xQO OeYxbgERZyeymRd7xElDwsOBKIphqFE6QvDBCEavZBYMtguZght5mu8yD58FNspQf8fPaf6LpGT6 ZT5UtoJ3E1u2Oz2O1flRz6Qk334BMqsGlRonnzVNTseSBvkGvCsfNW5+eQKdXV3Uwqja6Zm+GzhO 5gjY7Br9fcMho8YqxSyA822oQcavncsRCIDRnCYXZBr8zrVX9lc1YQlh+SMr7VXVPtVPrxvVgVF6 XI3X2fzcyAiPJRh6R8tKgcccUElkxTebY0u/RHlHtmqHvFyzFzqv0c/+JKgmBgdJgyD63hilfNgL BhYdUVZLhSwi+BaugpAiz5fBrDXeuqbzsbTt/uvf6WLjNCz9cQ4yf10zD7uqrvtLoxTXEhci/ZhJ cKbPpSz6Q+D9RA7OYINAUiS71HBw/4H8BQuX+rqm8jo8yK9J2AiGTBMslBRnlt8ZKK7pxmkYJzVj fADJsfdG9s008a05oS0Z1w7SDQieLHiL8tIXN5e/6DwcP1uxYsgmPvQjqFt7jBjAgFHcSAozJb4u QCjvtbrFs4hh33juDnsIeqr7eYqd+kBNTyEev8bxxYIZUkHPAyx23RsGckpXh3PpMvSUU0E2vAWZ ZSDpn8dtrKzhLl+ac1vZoA4gL5yAU/rGdH8bCwFCg9e4+9tjN7h9FU6+SLTOG4YczHEG0zpD3okp etJROH1+ho73e2j4JjKZsIeyM6v77cyYEEVnP1suXlOnTNpcVZHbaUR2IjQ2PbX+KsnbFlDgrcz8 uc0IgPwDXFsPHn1oad1HWpDUDhrlPRhhiD2nzJetPN4g43VERmgDr0RESr1BKSY8YUVMsfp7xYvV C7LuoxbzNjR0CxYvE3F3tgGMkUF9SXks+Sr5uuZFRhBsBKnQiXW/YsxvKOMXt537gSOac70eHNIk AyvdZX+/ucZcwxizpFV7dzYCl8WVmTD1WI4ywlfFG9Ntqfxs7BrT62ekZcmQGlo7XlvVIjUhozu+ KVVF6R248BHjY0OQmgK7dKKxicHXYiA95EmKRQAjzK8HLwyyeUZAQch3pgP2DNGzmvVhdFoqRGIi gOfWuXL3j/vNhhKRzObQ10sLznxA71vaji/wlZtfUi8ynoDPj0bqgdNUGNxeYs9KJX07/XAZEW/g AXFeKu6/x/yJTTrWb1J11GYViRwkI4+2d4gHZfAJiJUt0z/llXq1q5LxoBAgeU7anrNaDbuMSxg4 EBtrpDQXqTwZz57/9MNSpWUId2OzNEaMMNcNxSlZiG4HtK7Tp2YIOXZq0Z59fe+ekQlqgQ4wXWq2 zfRj87s7XgB9F/wmW/OHyncDj/fOgilg5RgCQfPBdI77f2YQLZ4uv4L0BFGiEAVMKiZ3ME9k+fRe wLbmLBgp/WD2OD/ZQB+GSdEgf/CyPufGqK5YLGDVjfIf5qRua9MNApioOJj1/azbnHTVTvp8dV/Q Ek3Uzy9tztmUiuqjkcCOlUzWxW1yDXtfarp+VDAbgSAlKZX0eykrB7ldKBQMWCqh9vD531r4g1Dc tyKHCnHyjVkNAp5THtEDgEkX1OhSuPg0aS4MbiIjjUoxd1QSuTg5PtAJ2AMrWZbGY9rUR6XDmtAB 4rsLdOBfTVT3R9F910F9bvxDT/UQxIoHl0imGx6bwdlDUL9WvHWvd+9iGDVLzfwpLfQHm4nvDK6r IyKson1OEJSK1RWEB4e95h4A6la1eYMHNMQyFplFBKCUtBcQgjOXKDWac5OXLn1Gnt+VxglRZjL/ Nc+xG/bRNcVlTNdlXZokhXhSNONqWfo/a/6GkYLdefQM9YmtrBsQFqiRR/dwvk481aZfQp2u9sug AFPliD1taRAr5W4COnTPJLzVKZ4yhj6+ipvE5+mo2UfGkOftxixy0EfJwUcgGOSbRoLHrPmMDSH2 XBA7Tld3oG1WILNwWgY9ixuNgRiqqhwYFYrgS2ZAvgTqmi+fKeyNb5wIJ9VIBYyrkWrNk9sp1GL9 N/5LVLeTux5eYRj+mdtanxYPKi/Hoi+ZFqj0AaWARTryt3lJ+e4snr9P5NSr67msubjPYDtC218g Phc52zNDiavdVP1EnH23tDOJtjFXBJ6kbEygDAM9OaRDmN0/5iFOoSDcrIkie30D6pFo7832KMWe x8d6r3NSlhZdPetH047QOD/SwnR3loxeQUdGpZRZpVStln5SUz+B6KEdWnEPPLxOTF8FAhaYJPZj BAlms+1Q9UraBByvsERFkL3rI+JTF86HUXMqc3OCuvpy2CJgT8KYLHOhUNh2g0UCmKrhmfk2FvRX aLZhrFybHpBGCSFODS9o6ynSYY7sXukFaZZacxOM6DWKd942rixIpxzya5LVO0apaWk8DauakIbl gt/wQb/mmaE/KGokN0fbNbtZT1fh8RyaO+QAcOlgJr+mEs0hHYSYa0us9zrKcCMhSTAUzzUMhkqa aVYrPH/OgJspJ9wNhkkCssvjFYMOnfYGyUU+KcFZFahh418Sae/RwhtT4sKoFqKz9bQDvLH1ESKu a7px9Zmm+X7iLFIQG8oXNNhlgDcuO4VinbFAjJ7qJpRJYwJlx4KIIzWxxlxLCklce2Tde0uaEI6c 7W8l6AUMZAEqygEu0HAHNlNSWOnSy2Ul0L7Tbbj44IwT58EInLOBU75MffirKL2eEDD3Q1yn9A7T LRcnX3auVUdNQsoxaIj3nl4oki6wf7emKg2YWnxfGKT8xKpTWWq+3tzliryMyGQA06XhDisanmu3 j0vXQqEP4ZB+hVIKFpfGDjwA+Q4EZemgpC0ajjsjKpd2ONDQIkne4r/xlvYcGZmf9tT81VaV+YFm YSQ3oy+NnoQdU0zByvKG2RjbyYafNQ6jmIoMpHofWucBikIDrI+8//91LP2IhWKUhd7JMmG5sT+h l07ocf5asmNs1a3WsugaCv0wsaaiY7wVvp0nOjhxaRWfpxE3ki4uZLrvYi+2tgj0H1BMy/MH/2fI ty8+h/Vua+8x95VDf/D+E/qIovPjjfS33TDoyukFdDWUojjOYLOeOZ+nVv4yFZVPCgR0QKb0W6oB R+J+hgNQi0vX37m8aA2xszg8mcjVvSVzHZUgrQPPBfhyXooNwWH51sDTD6RbL4Uz0IURifB4dI2V Ebnw6H4fHmjX1MQy/Pfowog5054P7G6abo50rBndhrm7FeMy76nIsVtx5TGduJZVqEdor02SgC8E 6I8NaELrRIZD3OF6oY6JRWWjusxfPitc1Iiwvzv0CYEK9higPg7K9x5EAVwUEWoE8U4Cs50AkZBg AlxKndSgJ5K0gQWLHTN9EfComBRiCX/JK/eAZqTlXqCRgAGM3nODVFNkf4HkmsfwmkGN3nrEV2y/ 4RCiExmvGifRHZAEIFDLY3S8rH0meXzTFyFAWW7GmgASot5DFmjL7R6Kd+da+bbc5baJZAXy7+c9 KkmlG+texnmqRnbRL9n/mS2odswHvstpiXkxW+DOBEzBT7a8QMrom39KuYdZSgaUxdqE7upBzmZb rl1vubNLY1MOiouUArAHYQFU38upIFfkDWxI1naYG+kBu7naxVDSHvv7rV8DxhXv7QLnZmM+m/KW 1S+eSN771vFtNegAXMbO/U4HvSSfkWvRCsiONna6ROGAi8X2+wVwhUFt0iqWO/JQX5AnsT0++nu2 KJCOP2anlmUr+nU8pV/I+WcgFH53whjcq4Lbf5oeePJXR7/Q5TNvbb6DRMGum4uTVRPNhRf8yJ+9 gOjoUJvgzeN8PSN5pClA5vXVw/XuIXS2QoCJAGRMCcRCweTROVhJlDE8kWikUcSF4TWUzBYoCjGn DOzl8PVcdCqSAgJcJKq4oEE3u1ibsaZFLLbwTxdu8GKIu4SiT4j3Gz0npVKBlvc+wFX/zfZNGoHF BvnTZc8aLGBZUoCymMOcSnsOT3n4EbV8XMHd6T6BeYs4kwiA6jB9VLW/N14uQ56SoztO3O5y4WNy 8NKHbQi+sBH/W6M/8M71ET4NA20RyilKnvDdRMuYhzpo6srJmC7A/HE3+XdH/fgHxW7MWXAQi1L8 viBH0TpHbbZz3PjY6I1GE4WBovxbagoEGjJ2BirOuKIlJ/nkpb7EeS1Bgh6U8BEwvMO8ukdUxym8 CMK2wEfpQR7YH12rSwS82Q9I7tEW8fS+ALrnxJ+DmbF1MCoakFXVUFR7y2GWXIHZxlu7i24gDQ7D oqht20U3iUhFcYm5j0h0F/16TnXgAUoZhEgUzEKpnufwqZ3V92AdlJbIRhJ5JiBzAbCsPQLo6qit V2ZTA4d3Ii6oozwNNwTCSFEhjj5XgxNh8KSAA+jULciJaz+zpnWWDA8FAxExbGPxQbGbKyVksxKM KFc2Pdg+raUdpuYHxD0nBQWeVpCBnpw0M7qfNXklow4FWDs1wOdi51gp4deQjalL59iPfgBUyqOy VdfWIo+Zjpz+WBvl0YTnOKRYbK1fdB6vPKERiGgl/vQsj3fKgU/elhFeWcy7D5aOqAQypZmR6LiE +PzpBGcvJ627XXEWCo3rQ2rCc9Qd23usuimRyn5wN6v9UmeRNQkd29HUsG53dHaRZVmyCk/ZRbzD F/TX4OWosRuNAPgtVoGR3G6uRfom3Yxx3rv1uf7xCdAhwsjXmBHOIJP6fp7VVwQkLM7Mr1lJ3GzU SRcDOAI9Bvg8o7masdSMFrI1qLLcrV6RTLOtDdnJGC068BZyefJL89RjvGDgQD681rZmLaK8GRd1 qHHpz4g/LrQRJd1tpWXCdFtzOHjOWM2oOoI3sbsHEXK0RsP5WufuRqw3OBzbCRoB3vXlIQfhgNpV rU1+M5V2McEllQtaEuOd0JTP2KmjWgAGSXZBvjqE1IrTobjVbl8qWPKdeZj1a82lvb5kgEjiu19y vAyfDNyMyfmSm3Gu3YK2agZk8WEFxx6AITV1iLpbEEzzal1I+qlIRenu2cxAtYjoRIB7dXd/errl myf0KbaizgQSqtfh3k5Q7wEJIoOlp9qts0BGUk9/jN9yRKF1YjG18gKhqMXoACvvpGQPuScem0O9 Yf3BcuwDiGm9lFlLrCLLR6p/jd0aEExg/UYI9/cj7WK7VrMoEpp4GaFR/ib9lRXZU9H3j8L7iDxH ZJ5N9iHELbV3+bVxVJqNljVrmlSTw6dEqaGpMMgIDlorhXGLVeEpEyi0yV7+Nkzxu4QypW9nsKMK p+ctcmCcOrOQybrsH4kKJJuqNmIHvzgwNytx5YnTo81mDriiDb9VsqY13SigvHWR0NPFSSzxmRMS ArYekfa9J4PaFeEGbNLE+ZHm37OTdtoIXkzcWv1jrxdX81P6sPLFn2+SHSlYeWyIUHd/nNwm/vHb UPQrqFlQL/4/K18qfa3j03HGvI3+M2VncUA9FFg1XEOS45zjPhc1Guonn3iBugI66adyv/3S6GPO 9y4d8w+9WUJCkyGfCK2UdHWEGHLXYgqoI5dw1gNHSrNe/MY5Lagp2ZBdjNuvT8KDGhRWuG4eT81m U+OUSzxTucFlLI/255T1MMD4LLf+UCN6RoqtTOY7GHs/TmXXQtVmhmqb7Ofw3q0Ca7n5qubft3R+ DrKRU9LUXD4i5rC9FBtNwp1pAjIAEb8drW//o7gb98SYQEmJUtOnnt/T7/+LuA2O6epdSgSTqVSr PzivBozbJ2ghgWkPR9MOe+Xu0wzPqN6MPYD4Uk/6zKcTPFjYzEjKKI+SIMzf1HjFmjkJ1clXleBK VFfXtuegl0d+q7yzXrH69wQx/rM+vtU2VL07vQytdiOXw6pHZOGXGakpFp1Lpl1BeMCrnlD8vr3U G96N1LhHLhNGR7Xl2BQqU06Sd8fKYqTdSZ9AXlkzOSAc3A5z0JR6dascaqQVG0N8XhM/p8jbqsii oEmGsN52JiVZumzN2P7go5MBXdFYCsXzivkN2ZOSsZEI0l+zH7X0Ptd6P0bnpK8i7jG7UJ4Ihnsr DgkmXKD1zPTRmPDTq7TRYOqBubGjWu1luSBu3PJPaA5XTlTlxWptiCML2OLiLkCfXOI14wi9aXm+ IBRkfnWTL1VXTc9iYQYXta04i3KMPhJ3POhs1wbVdfzomqr3UGgLASyEhccJneZPl/hSyWUtEnn7 jH0SEKEkvllD1G9lsI3QVgiWRnfmN543E/qo6Zj2SQ45ks6cMUKgNaVYclL0nSgzaEMYMlcHJrb9 RppS1jZYIBXVOKZel0vVcAKNRh/6nLMeSp7Kt1UhWdJilYbzixgQ9h+CW7FETbD1BGffnfmirRFO PQcX0uoTcHbC9+sojGe1pCHGbYL7aLFB7QQgjAibohJSRfM/SNAIfsNMvN4yrsyMf1LlEHwVYIrz Qe3by7dliHOB2TlqVvUq09mXcrKqfHCCqa2PM+tevBAUUBqLrJBnctn1tG0HVOTldy/RoyvO+jSS EuLIfsHoflQWCYpBxzJJfFPjgozJRJflsSTsVOSMym1qOTqJ8uMUXMVxdOxVKQC6gIRyk/oJMw+A EJDV9nVEcANJKeVRDlapgFySDyH/ia7Xnj98+mPi13bqElCD8x5R1LdwH6zapkLe9uzfvRKx8cis eynAJeuiPNYaWs+m7g9K3+zj2Gh1M4QDB+gdNfd94Yp13hz9N0Ocg0m0d1k+aeIFKw4lC8bbyzUJ r9i/0EI2on7MdXKwXIt5aORfGhibQMUEUEtJvMHniJEdXj9tDht61aNo5Wc9eRGGglBPzm+Kzqi4 K19zFGay/B1ghqNFpArrTGyTgXkoWm3Zdb6LZ29UHT3h2Mm/3xNJxn5oXSq3ADbR544jssoinutc +3dwHUZP30eFDlcUyPJ7aB/UJpo4V/ZOR+thaxqPau5lLnx1MzU862m18914xhxDMMhcale5QRD5 GgIh2ho2KxOV67bG2kWOLryuW85A/jEJt+tHyLR203RZNUXPWFrRwwU2SUmOAcDvfIWrRsjlv/ta VUJ3AOD15xgAdQWs39I/CQ97cqoUxC77D8q7Uz7iFDJERz6O2SdEyOT8Oj5oy4mm/kTTBPTIiKKB 897zy77lGoJ2ZJmfsOysVDB3o47RxtPg3VPoqVbOqXe7dPPUkTSfXJHfS6gkEXOzY8iG+yF73QRH My/IrSFcEfMr7l+VJObNEBN0gZ2yAOoFpQCbGCpnXH6mXcJaC3FX3fFYoJDXmBQ9cUsuR0d6YDc0 peJX+T+uUtzhJACqW8U0YBTo6iAbTTYkV9ovInbzJ+QAHxYnSdgRGO2uG5n3FSRqeWsyEPrZbQro jLN+Ucu0+jhso6isED7aOkX6bI1qvxTW61Lu2/7ebs5OMfnA0nGL0oE7I7GNWHR1pHJWKFE+LLZQ sfErOETYl187MV6PDl49aEDgeq2tHeZJK7XGPD35k6QLPrHgBWAgSd0JZp+WgOZUjs/PL3+jnpUb rkojAOiamodGT/EHk8LBPHOszVB+HBfISXTbhego0CAqJUeE/nIxMtbzKCP8pMqChpYsvAf9C5wq 8AYr4/RuOXjCflA3pGfpXQ0HS+e4l6L5M6CuLuCyILAcjOsYp+nPp+IAvOAOeXaUzyjj6LN2FWHM BF86ztAdI+rSrx0xJk92iGqrAEW1cNGbf8JVzkq3H/0GzxrfhBLKv2fMx6l/ruWPV9kFV8vKZc/Y m/XE2Tyg+0tO1TkdF4AiE1AXCTLISnc1EyF0m1EsFnAs00Vxxt9DD91crYqsm3XhFw2zaycYI+Ep zQ4X91FIBr5c3cxC5fqwWywmcRm7I/FQhPKg4bCNS3mXNi1FRIr9zZHxHH7CCscgGs8aThfVwGHi AGuGIGdSPWv2HMKLi2RVupP3AMoF2AEoC5XsZ88nwCtfv/vjBv8GmqOe55Wn1uaYSLAlXK/A/NIT bQlSwuQN+PlobOphC9FzE6DplNBDMWhbLviFH2VxCVW9DLqnrWn1rMFUoO0mQrJc9rlwJvy5fja4 X9sA5U8J9ibtOLHuGiaVjnenK1ga6GjyL9lZEdb93YLFn809WMvHCgwR0fbwy0lxQfCT0ByMD2Gj K0CCCFPD9jO9SwUqb/5H1UUrD/13soIfExW+PiHDQ1ePYF1eCq5/cu3JE0vexlRmCu0D6cRwQXCB 4VaCg7Msr3h3URR7bbQ6DHKNQfuLkx7BVopgFyjN2laDuG6/7SFoALTE/V9Xvym5rQI8JPSB7DyP 6HgxZJTkD2sWe1Mh79OWrlQjitlYfm1hINxlnHwZ0WFU/44gtXfLi693VQmm3sx41dnyFTk6hMds Ny4fScj3Ibf5MO6G/MzYhiFIC4yI+yFYRHocPrX24WSq28VgL4n4Du9hwvG2hxi8HOuHf7T/ZBjf xi392h4h6/AZRfNyg/l70SybPL6T6pZjWzpYoC3JToFK2gY2MGY0KOL2CAO94maOYLK3DrLAkViW 6RlR6abC/K7pDyhv4oHwJ9lOaaIlVvuIMm7DjhBuVufRpUnnJCY/wJ1MESbHWOev9e8Y6shalviS SuI05Gqy2230qXJaGsmad43JUrEq0+4hN6+VYpd9CPqGzSBk52PIzMuAyiSfU9qTfA8svXKgGHCH 8FwefCtgzqH/BJy/0TOSlHelf262QH7y/P/yOXNZbafKTSRBUMhDXklDJGGhtXWBT4eHaMHgijgG ZKC8t6qXTHhxFagYhzXkkGQWajY+cRAvjO1kmhFWuRkCWk60wfzLwsxu/m/0zoIyYSn6Lf7marGP sYQnViWUtHQU0jEL++96bMJ45xj/6mhLYN1y475c7kHF0x1IRR8/ahvkcJxQh7gORaF/TpBHjIlK cd5fWpzBwah0HXR4C/MVCuNb8NoZyC5R2m+B8idj8f+sr/Vedp0xGk5z23dtRDrXxbH3OLC+YaXf lz40Y4O73JdZ0oLdKy4sbtkpfErpL5rFOcwGgYpdeZyezS4+NLQsTmg6T+w4in6W5tQIbyJXvVBx u/2y8nZQlqkwUTKp9oBW6YO5LM+tCYSFQMYLzzubJur7nCdgwl3Md6A6saSRFxLcaIa7eRNNXZNk 3Yz7N5uwPxYTIJxKbrH3QsKhPVcxVNUWQxKyV//eNGVhasl+UE9L78OBgT/ozqNKtj9KGzZiPyg5 eJvKrGtlTiaACR+hoQcpqlWs59Y0ZAhx4r+MVszcK35/VxltDimQ9zerMITGpOnvpNktWV5t+sv/ ST32fZvXwYt1FqpiZoFGyg5t8wrVCj4M8av4uPNbA/QSafuGB7tHjQ5mNZg9v1GeFEHSFckJKM8y xZJVToBtjED739r3WSLwRy2mBZCQHASnx32hFzO6mxpcJyzXFUOIcqoNKNdaIk78BzQLTvRBs4B7 qp//W385d6jGXbyfyIVJpSZoVVqag+CIm47bOUQC6FdaDuCy88SE2oVuwOMDYAY+P/286ScX4V5b Te0P+iJYVjsaap8qN3xucJYc6jYjssj8GBEGLDrZWZyU28WtVlNNF3cWcqma3LuOhBE0qfVJrgpY PRuVO55YGF6zLMcJSBZSa8mTYCLdH/ftdOFV4kzeSGPkH754z3lZqdVv6yAKnYdom2oCk7bjCuHQ 9pmReJs6IAy3xqTZNcBOw13Vov2K+L3HFqkZhQ/yiu9S3rxe0sp4hDrANIk7SXMoxAA898SV8cf2 50mIQ5b9a1JXU6Ns9Jloz5AqsqZlYQdZl5wtI4LMfgzQat/FlaSminvT1ePfJneF7krxfwmefKEq 1syyEklHwfXLINKEOv6WooAbUA2c6S9WylhSGXD7qbZVkLJN4+W/NwN07up9K1QVWU19olN6CbGD y0g3LACxRNdc2d0+VLp/jx2aCnQlRDQxcaJrN0bh/7Sh+CReRuOgrTZ+xRazRx3PqELWgn0oeNvH um8aZhg9HayTmi2dd1T2euakunS2sow5B359q/dxegI6+pef1Ue5CQT0qnlxcZdGlWCRxpB6kPye 0iCPD7gdqxo+MCMJziCL2yEP75as7s9/UJBs0keKWWtz4lb4E8+9uq5nZWoexME6U8YlGTGgo+UU xQQpEGCgu0OsLUJ4aKa5F3t4SNCi5Tto/CVKf7pFaTWgyWy2KulXBvDEe1CwM23k5Nj0TZ4Xmo38 VbXTVJbHUoY5s3vZktUpJUXfbJAU9Av7YbwHxgsaE3Ur0ljoXCySNzV6R1RPx/prm9EpFh5TFGx3 HSZLYtutfInwTQZzf0xyvgVjIlEZZZMxBr6KVt5lFUTP489S93VmuZhUdqSOwCd0KuSOY7hxScRj Ogs7V8H+HmDPEpA7ud3znslxwPLRlkjA94H/QgWLiPjhxupQoehW1KBJtvNTW3eG2YpZerURNHaz TRXi0SeFxfRyv9g1KzZ1hh5g58Ews9I4F2EjbJf9r4sU8ZFiXBlEZJpeXcTN2xdjvg1DqbpjwV4z 6Jj0RZBit777qLPvvB5A8Bnu05vaIQAKSc1dXtJmsb2JOB7SqyL8om8jpM9xNVo2lCjTvM5d4dwX s6awHajDVxyyHOQlbwOvXTnLThSI6Vj49VxFt0k06PLtOIr2BnLnydh9bcS8UMClKRGaP0IJXcA6 Yv7yTKg/wgzVBjjxubyYtyC7PGUHy9j98BcoeGrKOmnU8erBwnpSlSsz/oG5wOKgHGi+m6WPh7va Rpy/1eIjvlhfV1wJV3EvXwgKqnJQTsFgQCahB5+++Cm3kw5QUO1pKqN9QhLnONihfzd6DPtYJBL0 lmkHEB4K8IdQcZ68UvFM8B1EQRnERw3Nyf4yYf8lJok5dITraQi/HE2A/a4rxkwejVHZm1cFBRmc kuMIUavuJb1l63NjVrBIORvsX5tC6HmNbgiHFKu+zL/yCBUcdi+JpKahCDM5CbkVlznR1yZaeBQh loR8O1JAfIkUT6UFzcKs8T9zWak7H1LUlvbOuypLQqCEPeN/rDKo3IyEfy/VxKlaPOK/YLACPySs hcB8mZaFyDSAul039ECv2+MITq7FOzr8sCq/2Yex8/xCcDprakMMPgkYB5X2x1wvbdFVFHUfZRUv 9B33G5s4JE0ou+/MJmoJFNKkwYmxFVs5nfC9hKhawvl0rQnuUJnNqRqQo3UuY6sou4iMj6EI11O/ nmS9Dj6OV33/i1OJyFZ9Iaqgt+K/uoqn82SJquQs/+OvGUzs6QsyLcxGUia9F/0oO8LBlECE58x1 NaFHExc1vwBdXw/aqnjddO4mdV2+1SMHwLE3wZf3uGkFWOV7l3vWIB0ZPGJDcfdKEA/T+0RD7z4R 6aWKX/3bcZaBrUVji1260g51p3piOJJWI0GIB+p3FLwPGLxwwEPBbsOxC89XgiaPhv5qDEG4Ik2Y +eIqnnCaRyaGzvehbdODKtf0Zih33xPqx0Gozx141BbvfzKLv1xLweOoxJOSStzPRSnD7zH/CWIR TCvfF/OAjnNE4N9XG4RvNAi6RfO8lcE0zjkLELw+qyTHBhZeHqxUurCy0PVvKISvMc/A1gxm6gXE EfTz+naAG6d6OIx+1a+LCOc0tnI+C3k8D1VtNObCOTSIVsfM6K/jAgSNkFCVBjggqiptcbxmpZAi YcO+Gyw5zF7YAi+euJ1D03+zr4ebI6wJcTw+0OZEyksuPhkUX0pCmNYTDMnkSz1LT9ZAvE5xWNRt rYlMFhz7n9FMA6wNAuE2xTdVOIpCFo7fd3DAy0t4uMPkx3jC6gkllv6z1wDL9HVDFdd/wWNM4gJV K8ojMelzQ8ZTRu+bNtuOZ5bFP/l78urZEIPTfOuxKYhX8c/IJ4Zq7lNRo9vuTJ2gTBzMRJzdVW5z JMG5B/dAySQoJW/0aV+74FqxebBg7wkKwLVlbnDb5t724pAfual/gH3CIZXc4KolKNxfQVY0BXn4 94W2W2/FII1Sb+blmU7Sj8axKSoaS51AwRWUiMOEd9bpyKef+FiDtbuiAEZGhiLGEK/8rljHz7ii KdqwFi7Ba61z6gmjo2qaVkRoa3I2mmA/Rzjar0XGYp/2wtaObdN6/zylCkYeka47W8cc59kq337S zhBysJE6sYGEIxa2DrOqznXjQt4yiTqI+yDN+CSBSvzreuCtYzXp+h8rCsFAIypE27QV0FjQwcg8 7CmFcbia0ejhvTjxzO1eGwFPXepGD7lpTx6KLOiSbZN+X3tnYkQ2hWhuODRWSXqfh0bwGqAvQ8Mi wW/RL0p+n2Cj50w9cv71Ymmy5n45OwLq1lsNtV6yOmGh0G2SKmDufE+x0VSZXxjU93CfwfU+R4/k xQqF2opAHbKurRDoUt6jw4KiFT8CdcnvKcgD6yHSwuhMNMl5rApQxfeO50rjBf88JU81C+DpYW7K ui74wyTdUrk7mY8d1jBZftHOcwLpRbr2k/YWHlj0K7LAXShfmzR3klSsDP44cQl8FEZMyY9/i9nu a2c5RYbtyl7MI7FEC7O63nXIcVpvtroTTwuNgBOIA9+t3DlqHLfWPFmXjq/Os1lM1apwUMMGnhXl KQsjW2J1nGXe3wTv0aL3F4zSy0hgTKeBMNdjUMyjJIWb9eFdyTY/CuVOEJOK6rIQjL9dct0AT3f5 FgOcS0ZnDAd8fbHHp9HWvQJlguBasX004lJAkR3IT/rp16NadXiAZSdzCu8g+/CFAmmVW1iSwA2r 5Ir5VBfJBqpl08ovMp0z3v42HxlRVrNoQcS/uB7Ew93wUVdBTFx+5biPm1w0NyerO9SHB1voUJOJ gynkQ7U4LnHOxbO5x2vWHmmyhDBX/TCB3rTmuwGV1++auurC1sd3fbetEK58fJSr3y627kjWXcRf TihTZfk0iqjmwAutUFzWXWNOPkw0GZZuNuBn3cMp9s0Bmf0jfL7+G3+CTtVCIduDURW28epoFxMp QX8TiROSqgmeKHuyacik4zN9dW3vSKbsay2nVLc5r6Cmbd0p4vkLqGmE2ytjTewrrg+5Fr0AVgrj ++6pVgnCv3ypyZW2rG1mZ4qRYfSwWZvxZwMm/2j/zpK0hsVH3iSJKeMs996fa9JbeVfT6x00mZMK FuA9Eia2alxWu1TdBa7gi8WEA1BNxZSCT+NnjI8oGnr55FXr6cqOqsZGhCq9TuBWcyNAHdlMxqHC LwY2juKbZzkn8zUhXZPraE/sKuK0+Rv+rlrDOyCXz3AtrrjdKeOV/8vY0nFzRnixzPnj25rgA5Ln zYxOxeFRgHiuLt3nElHxUPBQ5s4gl6I80n0vUz9evLtCGHjLVIgRLxsgwllYDQxcEwxjHYKNEaZg bVYkb74sM1J4ILYnE/R1AapEe54CMUh0Hvz8+rEAK+UZi+mgtIfvfOUDAeZpwHd3IuJBUayMRXtr vERZjhEaK7HBw4rbvj2pr2rYTn5R+s3FTM9V7MJXMa0MPleIjdc7YoMSge4GZvBffFJr9bmcw6Iv c7uU+Qm50X6ljDEoOOAW791ItKZTGp2qhNJjsbKJYLm14aTmc/nxOzC70vJqzv9wQYk7oPOPuA5+ 0d065q342OO2hdm6B8G59A3AaEV8wRIUmAO7lCANHDV54G9NjJAd5dcGeYDMpw5bAWc76/7++H/X a1YI/P5Lzuk4pIgb+SNTPFSmq/tX4K/Fqd1fJZJPPel5f+kA9XrWd0WuG92UthnMAqKiPU5Hwi/6 sVtne3ulyPmBugmrhTR7w7aD59M9zRIOQQN0R/So9pZkudkrnzxPZ6uRuSF7Jv8yWF7vkKLLn1Qp FRamhtUlSgZp7wsy248MPWFQFvTJlSG6ejyXYAC+xrYDLeikOFtorRU3N7Guqf6osMulcKMBBhO3 3dkNY0TBA6MW1A7DLmFrad6/Z30F6uhxNMhxdXiL6OxEQjnBGuiO90xVxoA6Fth/pNO2AgN3Kbyl WqT92XMWyTEsKvhWkl06mpgIXfnSuFax5RaiyBp1/zfd4j/BOGS2xL8YM5Sdt01Lya3xVlmL9sUV Mmayw9FNIAsvPf3l6HmB82dujc7+REKAn9JDP8lgUUDBxatbqp/Hwa4xCT0j5lwi6O5EXDhFrlzy pPQ7TthaZUDwC6jMg2IPXI1QgDDFq2pAMU/9vmGz1UgRP1wStEYBsn7Ls8slFK0G9lJyvpi05clD zYBSpmrNN2MRPa0SGPWRJnZ70TB2l8Y029XiyoEzoAn5aZKo75/VLxqweYk7cbkwDPy2LRq8MDQg IfLQbElQMYXhsh9rI2PJhEJkbHW4mEkpCUbZZ/OrGk/B+J2K88SDSj1Du+/257ceipHjZwDpp82z 44GMphXltMIOM3eZDvNzMvSEU/LsnwGLXJNZqgWqbrsCUzDO/tYcxk6z149LLjs14DzyxQr0aDag w8vFa+FO1kVIKLN/U72VwjVfXbQNzyGhQkEOhKk7PJ04sT2IVpViukN/Eu76vVG7vIPebCIMvnav D6ALMD917EGcO+ulYgPUrisoikb/GlK+USvt26Ba6egsWoc6SPRctWUSyT49lH9spJ2AMhg4LDKd aYglz/iwzt7hEJgfUDQI7Ma1x5N205VnwnrqZMkA6mveJ4HtvnZngk5yvoqu7senPbf3c5xbQh29 xks/8gMtPEuPsEmgC8x8K6L8vhCmp+C/kBkVhav+gTNX8W9MB27vlpVkqVCWTXKS9zopTKTJgByx ydpOtvEnrhz+3kQ0ACSiW0C2V7ACI5M2Qm/XaZOIJI9DsAbpn6MqnR1fMHpQRKfBAoxU9vHJZXLe rf+igxSGaVdeKUIjko+EJwdcVo9/7AoQyNw1YEAZ673M7arnXerh5rM4o+VswvRQ5skOh6XF4CWQ OswVmcO14gTWlU4iTEizsKnmllAzW/FJPiv/57zD6I9B3unza3UIriVJfdpB41n7MVidg6Is4Oa1 FuXmkPktviQBJPERc76a7/FtZd3ED52lEUp56Dute6HeUGm8EXmJuIJFtoZZt4/2fU9cvYC4nC5p dqwSfoIAT6FkbO5b7zUIBO52CzfbycOj+WIit3bK8iufnyarO43EfoBc7nFGWpwkrFESTl+kSYCr oeWQ+8INQMiuklq6mFmMuRiUZ9Ty5T0r8mC2ltYu2mu1/aB7aklcSTTGGvdqF83DsRq+kjWzjFNo o1U6fXzn61DgTeLnVJXq91O1Hrw1AGjuwuUwGiRqSWKYn4jfb8y87XBeJtc90RMyjnZBylsVqgil /gUruyLR3WWHN5JIY+Jhx8xc/R0izIAga7EX6io8kzd25rjppafyhzw00xgSWRYo88QCXoCsLM3L Yu8zbBZFjzD6avXlcY4hxky3ApGKmsA+6Fv8DePR3DgNWDYoUVkNJcJUx8rn3fU/rimZX64CV7TT ahjxkgZ6oSHyF8NuZOm/NT2Zb+cMnfIZ9Y2n3RuJDwLpENqGCaDulnvsTp+DHbZK6GZ1FP0PMbzD XSJOtFNUZPHzDkRORbfmIzH0w36biNbhBmHMX+Ku/vPwVG8swEPfk7Z6rb/jE/JqBtz8CjdnZJqu cH9UZGLwH4i37i2OmDWvt+loa/fjm46JaVVQG69udNlzoKvIQIY1jvvyNP1GmlIT8/WrT/181yOA Sh0NzJMjqSRxaaNhhzQDST35WTOXtfjK2UsXPuAxyEMSrDSVqfu3KPIQHz2AoGZOsdqZvUFGEg7+ Qtyl0t3yNRndE/zpyWxxf19UE2APjZQBZGjZqVscXeOdRvL04ERktOJ9kkG93A9kFVi5RPLjvcGE FhMlJkFMF0UjfUC9RfZzJzPMntwl3hrjHpvWJd7EXRXR4dDVRQeTZrv1eR/d4cU5Hd0gYChT235X T6nVqhw774EbWhujC5WJxzFs2t/H/ZoWgbkdh9p/eP4dSo2RFd896akZojVy46R7vUBN85ipJ7pY dnXcj/NChCo+G6mOQxGbMXa66TFvacL7lT/BEGvARNAs3EBezjQ4gjQh7t4JQY2zidiUfH4/kbgG +He+XiSiRsBKWFa32mT5lhrAmNPsMBa01IesNLeZSxCpEJfHtXff7YUgdcGVsppvtMmh64nimlvh csSQ2GjAJQ5CoqCRpuftlR4jTPGgfREMGo5pBr0mOKPPCooLTypGJAYP9QUv2Enx4qsiXlfXKlpp QFNqo1Iz5fqCH9Q30N+d0Wl8Rf3nk4aSse4qFC12DMYHszQt5zSMLID+432Ghw8Do5UE8CrulSky H6Av10Yixh3xCHBbXxLyDfYQx99rf4HdEnMxpzhzsWNsp5g9MYVcD0DjWo8BTmA4Mxn+IWsTNnIp /aiTJPJjbiPt/PxkCtzioDaZaB1FXCFOCM+x6s2U7lRLUWvkbebBSlChCr2ytcM4PeDoLxQg9LEF zcsfOcQesa6pD6W4zKMZbgqSUuJuiFE7lgrXGAAh16e8Y8IoLaF+icMEwNIDMAw11eQsLbl7v3F6 87zSrlv3fWGXSt5vwvOH+eLaQjnZMIAZHsOD5QQJ6cu3k1D5Gfhb3VY9NiVzGlrvEDxhTr68tNsY Yjuvkiyj1Y8OVl5+mwehHVjBL25ivN5Kq1FhOBhaDAahJZOEnZ/g+GyJ96PBk+QMfkO8DflR8EjW YWIKJj+2Fgg5J9B6xjNc5X38ecpYfAoogxS4BEYBqxuKaoGkxBeEPgtyenAaBLVdaAisnzsoHDMi yzjcnatldAhZcDDoFaaAwsi898QNKuSu+AnLT/B0JR7cSX+L/ZwiteJX2A9i3GkwuNteJXl6sUn3 uyGTfIqHbTyZVNypUUYBrGgENB+ZXiTozKI5nPFdHvD1jp9wOnS+SWYVQIsjh9LYeQT0cgeQPBu5 maN1eowRrYDPTShp2+Jh5AZLeowbB/lJYcDvNSCxYOoqLAsRzD4uWUwuJJM0zGRFrrnrS0FCHnsW SXboNcqEOk7H7IAszeXqt9LMzLWvUWOiaHSCZLvZYz7vq5b580D9q4g/ZyRCyNd+Y/LUja+YeQ1I tq/ZLK9ds000pLgqbGjluJo6dnnByXyCF9SWXeMK4LmUvmwJr6w1Y4Spe6nMzr2GGYnjVudRIxqT CKniGbx1rXrFIAuIz2RaDdGKmOGYliMl/kPtYyS5DIbpAa9CsAA5JGVEnz1lhpTFKJT5yCZ3Vxpp JGwMvu+jiCC7pYk5wXiNBaZVOajyKSzHubGQ6HXEk07ei44WPrr6Z7N77R2aEIPOzwmnN/uT0QFu /SN91w6qRZrYUUvxRO2nmtHWy9T1MDa/yWnnMUGwz2XiRQhOfMvPYWZVj4qrUHffX+nPZRRSa3ZW 5sr55P+t8m88awGZ0L313DTOhEf1bqIwsIIqIDEw8dSel2GYUUEpvCT6und9ZIhZGHPVdL17ki3J JeWiVNGrUKXv8cklhKkDXpv4hbKMUJzh+gzYHEW/oLJLQbHsjN1iiaQE0kCdCpvSwhCvMGKBoBZx fbxoSVrC/rCewcKeRQvhgeRT1G7b9ItIXNJr0yj7U5rER8w8xUZUJ+J22MBDnjNtfDNNwH9pJj3v 1yCQVa7/CNnh1+3Qp9fWAJqcwdLnKscBvJCe19n98mNCOdkITjhkSRSW2pD8NrVGX/wWzc2zpMlM yIvVa4cGzQthfM6ZbagETTef57D8U5oxdMKk0PdvkDsmkv5RB38XPiz8b3WBuuYjvLurEWhnPDal jsJ3Vcgam1LNz6iRLjByhJuDxM5EZEtyEJnSW01/FNMniIAkG+vQo+lSNeleUdxKXTXdidcKkQ0v EilkRKgdgiGLvrBHYWUgIFRib+FeHltP4rqaJHm4JYVMfpTqw4cZaJyZOIj9c+ca8Yolsi3FxDNG CRRG1puI301jMZJJO08T41CXWYf3CLe5uTG0izlUSqXLnnD5fYsWfU96YPN5uzTFpfE+MWZQqXgZ yirlxkdXrWqulwuCdb4kwB0u+QlMT7uKFSJ3EplLGlUGaCyaMPZne1XB1AvZU/n4c7lgtUlFj0jU 4Tik7+mQXmZx0U5qYltDYmRlwjfCupzaRhftkbWqgBUKkxpLunaa+w3tMLYb7nwRnqB+Mz4RMYFs XKUHFyk0nYEIKBBxyBcrBsmNfY1iyFQM54gjKHqWK27DctROrMDAK3nxl5Foq/8rMgR7iEyTxTvz 9ZWVy3qN9BQqxFmZYQWc33vv0YTBVfOy2jNFdLWdklVYfenNqm2avUKQc9K6G8ePT7CqyN259k2Z HpEgof1OfdZ1UhELDpVTzzbqsv0jFXZjwqUJL3G7I8uwd6jaTfZ2dwt4eTzg/l4abGeaIo1zzVCS gJZ0MgGHTWzcVhsQjBWBXf/86PC8/iKthEpkuq6wQjutBjpQyujTMoRKAZSMrbBAWWqMFuBq+RFy ZzqPNPSfcHhOTqec17lexwFeH2+qw7vuay5pAe5/fy/m5hDqSqSxioGgM+ehsr3lj4fPk/HOHzxV u8CyseXni0pbjroumlryKAfA2zewDyUwbALgABljzv5HyzRt/1HUE+g85PEczh8psNbG2bQSbL47 5wKt868O4i+IGmgzZC5nJscdbAYreVgA0LGrg13K2j0a3vVXnanNEvxVidTbzjLGVC2nxrYWIcCS biDG2qsa640o3RjVM4a0JlTguqmOY2gihJeq/G8Aq6uUE8haxkGuNcnlrGS0OqcwbWd/nrkwJycP U9z+IsTUUhDf5RZ6t3DS1LEqW3fw1TYswH1bhg9xiSkel9lscMfGw/1nCceq2l7+h8b7pU7BC0nZ hTm6H4LNCIOWtKNQ61/HCWIKJ28k8mCEQjugUKvj+zDZLL2M6flpki6MkLIwrkLVjfRuWNr5i0uY 9JmztSbktP+zlC5C6QNCkkwsLvKGHJ3y/KkVBBW5vJcDgPRDlpW6iwADxnHjRIOzThxOKNqBo13V mZgd/Uehxub2JjAP/5BmfdOOof5yI2AdTUwIgEHKGs6lVnTvkBygEzF8nu2moh5ev87btyJLZQy2 lxIUuNz6V+joXI7W1W1bEVM1ckHT9561XzXZx9h+klTIZzdoGQrV3FiUfvb46C8ZeUAOgtZo/OFt xssThMTtoa15UmK9csDXSarXsCL16rv6Mw3lUQ31vvGDUsJnm2TmnJ5VpkgCsofjTLvbiqnrvyec ngC6UAmEjuBXvsYzzse2t8TE4q1z7yOWWQiyPbSCOGMaMRZOmg6iZxZAbm4uZbmxfGf+Tt1CAKaQ 8wbht6Y/qCcVkkzsYO3A2tWR/XQ/mrxBoBF6pqGowRtqXcOqIG6ohFtS2jZUkGzibu8BnZW1EREQ GxeP86iz1PBwUewX6pDyQhmDkOpTQACtjUKbCdInlg3rxP0XMTpn2f/1dHPaRLuR03ceHcfQs8Nv b27/XmlixnKaidC9AeNVqGwaxxHjlPWMCBHhboqFFTO1GC+g6H1Tm0t6qYAeG/gAtL6n3Pr57yfw jTEkX+YlRAGT5IqgnOgYOkamkNJ4IhulLQiSEQvvT+cWUzjAQMjNRRIvLmkephhdbvwVJMCuh+GB oYbMbxw61m0+TwiTwxCLiLA+/XWZypC5+x1XUuo2IEXVDlaXrNnm2Lx4Kfd1S434oIAUOVKGo25u +kgi5hiigtrMGBUd1q1K94JykI883FZOkGL2TsNIYW74qL6tcJwdhW0LaMiFXuefEe6iAJWc3FlH htKZ3KFo+MaLX5NCFj5eeMLBw/unv92YkAJcw8TvmvoN8V2fqAYW3W8oA6wfmThE6KvZEGgb9cTo jG36TSOHq112OEsqzRI4Zhob4OBUfis0Fmld/aWuy1ILyazdNQpI3r0zp+bjfpHFezMuVoOSwcXn iJC5G0e+Y0woliNQhrZ0oCWCxmafsDuS79YV6PVQGh7aT1HoJemEoBcLFm65nY3WgcMpz+zFybuF dAcmU8ZAb4OW/NzBxadLArA8n5KM4yVRv0tAeDX4mrBj75aaWLbGJbZGFpActEtB42IIKfgbT2FK n5xc5F3NfMYxXLc5VS6sWlMYCXmiA8mArKhAVB8rvP74sSOqkzuacZq5/JeWh+Xl+UnrE0JBWicv gz+b0E+5a8j+CeurAJ5vdosb3F3Lv+EDdeiGk9Jsp46rGPUc/th4Zg9ZtGebNHZREIb0uKmK+Kjt BXBprTbaBa0UYGFA8AwZRsmfvroalvdGZhzXVvWmGfCdyfiocLU3g2ZzdqEkILbJccXkVUMFjyfC SbhA1K0n5NAzGFWkrlnWOksy/SxBeQaSSx0ccQJwwpiqAhsaVhoCuRMWdFoD9aP+zCgA9BDiT6B1 8JBI+V3vRNvGxfioPzXQwT94xxtsQKAMwdk5o5yjY3rbkr/+39ZAcUMf+i+hEU6uSztcao5ixFNb 9s8IEvcFUQsk5Yer3GgkHeiFaExcW/di9iM+uU0hPy0HBTYSjilqxbmCF6HnFJxjZi/DQjNLMcur xwPB9K2v3Liie31A4verwl+yzFsidlpTWDyU1hWliGNYb0z0dxuGT71IdWtzaDuMRSexvENYQNFw JHm+heTl61LJyMMUPHAuludLBtQV+6gNDyNBBWPQgaxG9QBDa5AyKcFCy+pJJnXzy7gFRcFxKoTP DOqnULIOPg+kFbsncYLfdbqm15BHOIT1Mw73I7GVBABlletTLdH7yp7eGd62NgOV1ccmNUmfj7a6 E1H2dICpKPsHp1DSJEnv/6zmsMtvhkCzTrRjrsyJ9dvwpPDU0RvT1k6n9s9a9UonhOD0a9TTjzlo DWG9igiIZSbJfpbt7lz72LTrt7WtTH+ei0LVf0krd0hBSe+U8OsGl7Guc3PhL2e88BDLMF2KKxLD ToyWyOx1lTfC9PD+o7cPNfj8FMJ5uTs+dV8Jz06E43HWmpTcbKDFLu/bZYlD/1GOqvoCZt+oSF5R rcJ88pfAmHdhoUHobRYpCzPC4Ari4BiLcNb8yAZFiHjuzekIhz+f5VA2J2n9B7eqyY8UJP6NnCkO E3jegArSTFkS5U+NE7dgikWYhUWxIXAx89BMsB63ogPC9ayCCqyfVfEcXt4g98CGB7JYTWrTE/c/ PCK2LKMG5v8vUVZGjcI8Ujavwg7Qu2xkQstIZx1Vj1HK2Et8szM7/Lp58880SZPjsYF0Pj1ncprc lkL8f3p48DRKfwdAqYj2HohvmZP09PW18FcfJmzhGgsO93XjTSwyaULHRZBPY1lnXGps7qHcnhvV Xuy12POr5CAV0xtzze/qBmQHsTyuF7jvR+ujx5AHPbVdQZStJCgRvs7/SVVzy+B+IL6axK7CgD6n LaI6WcIP4r1QBnwJdvbSS8t/wXNS0zaLlysnHqg/soGlLNtmZysItLRh1R5VXf6OgOqk+Hlxnvvu 1YkMwfPIJdKxZh2CU2RdG9PiC+Hdt3hDNNJzrg7C68XxAH0/jD6b+rlsE2ikXVCd9rWGQFxD0rlH xZSjk2v8R47uOM5s2OCRDrAONQynIxW/8XU8CPOhIWX0J+pNfS9FlajU38xXLbpHHz275ggnnMSQ 0YP5ZVWRMjqyOF2Ih+TbYkLXgiBlDmc2aO+0j8lyXWubNnRRKr3H0zUuU8s58HCsZtnVPngi6+jD IXfELdaIMtI921QOrW9ncF7TlZ0hk3gV+70pERdJdQFOzgEu14Vg1HgY+cAiXuh11pceP09s5t3o +VhMEHG21Ubt0sleAFIWWM9jJDcVSgwcdKldQcdg2iIyLyf1WX/C0P/5dwMKrEu8C/J4RwBg66Az pgO13Dg1//94W0jKTSxodnMF29NIGrebS+i8scyTSLv5GqpQJQLh4MX6LlVsaXoUJB70zbnOUT0H PvHElRj6pBGCdJsEse2Ci0nmUYY7I/6x34N6lole7TwzWjoSLpxip32cWIxBIgOqz+z1uCU/neku H8xv/jat5WyREfnftKATSYY9h5MHetUZyCmbuTvwaZlnPs62nli0KHQvJXKido+tiBEIiJEs42GP QOMGH+4963jOKmqxezo3HQ10mBfuBRHitxEgYMkSxkt7DbghQmPFSvhS/LXqAU3ZSXN7h6cyIRIt XzraaiQRG5IB49zIIezENsSgM3c/4apf9YZQIQxbe/t8hbnoftM25RKsMv9w8Ha9CwRpMzuElyM9 c2Y0WJ+iZEZcaVyagG9Vy2vZjc3hQeDgl+7OTNsAJ1AoT611iruRVmkAGpKdE9M2rQcMcDeXXAls fe0mVFmbZTx/ACsSFruz8KwHmXQ6LaQH+SmSb59hsCoDDEuwDCmmAn6YaEVVDA7jJGNpdCq/nM1v ChOQP+PZIJRl4rDVyzfwrcB13a5PZaYV3/GXpSqjKfNYsrsCqGODB8Oax3upH4xCsZsP/UwS95Wn q7lZK/qdU3Fsjh1J6CAdOeIr7t8vFIedCEWBtxpNXtXcVrhMePSne2rDM//GXxqNcPwp2iQqRMwe 5leCeG+3i/wkq/4U3pc6nztvt3fq3BaKa1GFNGkGjzZPsbLqHgLnlIYHmlBPwvUT4gNwPIGb8ee9 56jLORWai2uiSzmckDxl+zFNK21y9dklzshuOEesd/h7hYSkwy8PBeHBXl84zz3/8aU+qZPR4AE9 qrMGI4N8anrjmvx+DsjN7qsrtNfIYap5OYyy6RcEGnGglX6NuJTNbah0o0kOnpAQ8FLROhQ4xEic ARScpDTtwnAWTaUgY74NKcsXonO2TvwvhzFNzZDGX4cbSXfvq/TN1GAqnaRu3ZrJuBwGgWCoKnlc Yeb/Qeqd+3dy+poFeYNv4msA1IMVKPqDZXw7VNOBsZyr3NJx6Rqf9njmadi6goygVHL9VIabrdMz trOv5m1yQQzCOZy1lT8Ted1SPIJX1tqUWvsXAcpe5oxgxlyw7zMcT0Xq2/fghqHwN9Yea3c9pMds A5OIgGZWrQZLIN1lBrYyR5osd0ABVXBq8xlqOwZHBY76e9UISxiTghOreQeZt7bXPhK2KTDJ3+Jt rKr5k4mBkhhyBugIPV3tavr/l3ernST+O/5olYm+SK+xU0zZtemNSUN6WvRPa+IDJxmCo06vq7Yg zoqAKPSkY6twTFpQrDs7GbzwpTMaUYBq5FeOlP5Vw2yLvMHZ0mSlYN8Ma4bnvWriLyzuJwWH65gs RUAjtPSM5QFy9a8DM3H42jpo3KhmFl7z0rElLErfIso4Crf5hy8qzPEinrjcbm+QWAI66DjiojE9 D1PumIWn0cvF0UfOv4GmcTxSDRZwxRKP7RKJsAAb6FU1XqRhZzPGQ+j1hmfDkqGTlfh8rOiEh1J/ WGIE5pkziTU3eSWKKEwRregMdR0uW1xlqXOzSbVvYNdKkVG5G/vrNyu+4sMsx4y/VIV0VBdGIV9R mnINtfaAIyP5ejoErJuvOhDHM4AvTtWEoU+m+a0S9A0Qz2Ff/qH6wKqL/F/26IwnxwBS3Wu44DuX Vr+CAAUIaPNp1/BVBHB1XrmRMiS4RdX4o6FtYW76u7VljMdApXIL8O/dRc/RbrPkEOcZ9i3d4Mjg nsqz7ke2mP727cALaPCrvvxsEKWldmfybo73qR6iMzRoYfe3eNlWkwI+b/pJTSIUjqOIulbupLjp F9QBWdFhuiHix4FaGTWC6Pve/zOJ0hUgCZzIbWrLFQohBjL3ARiHyBJ5v54bTq+yuTjop7LQCxNQ ec+Xt3F8JVmXLgpEjHblmuoCdDN1WkRfoB3zsKvsH1azuCNBpwVJWW4hb3Ny3V+7762VqksQb32X yBxIvUw+cYmhN16r7ANpvDuVvvIjR48xq2IjVD5dyMhLikE/cM8XRjK0tcxBVNx2jRncwsyImtfd WloJYw6AJP2ltDAc2R0gObJncTZ++J4kzYFZJrOiKHLSS4ir/+UBtpOuvwKtxG5T6mhbDeGYQfw3 DbzpKMZj80kTHOx5tqiGJx8HQlFZqtKmA12nxZEZiFBW93mTdNSTiI2O9ysgWnrt1RyS1l0sSO7D kN8m2Oxva8ez9eWxaiTXAx/4p4KW3tD1nXE8syoic6dtP0ld+ZJEVnt5tcu7zo+r4vX4FLz5Mogc g7URi7ZHTfPcyzP+EcotdiYxZtm8+XB8fDYHE5LQ+tqrEncosf7b4N84GarLCPFijPYvxE0GX3dE ui7y6rKNHzh1LYU3X3zEz+UTM5kLaFkZmizG6U2QviohQiAiNGasIkC+GotBJTxqmHGbCMLgxNug S2vb3YkoVT/TwMuMU5ucTeiGUdvU2cNutAqJhIKgCB290O/R1xrrDMjgxPjf9VpfKgSF3BOus96E OZdV2Ta5LT7ZZRbdfDzB6nGoMJo1iuV3jfvUYjiVv6flfb8QVIyqg0wz1oFZ/lgTxZ0rIFG2M8PD wKC31/+979b3xoh4yYXtpdq3F8Ffzv8FXq0QnB8grnQzTzIDZu875h62p9yYtasu8q4PMeEVDlce KyIWZ5X2qKvgaZFg5oglulmLJDMIq7pwu/i+3tOKqV+J/bcA1X4sGeWwPkR5e1FMvTv2nmBjZnoB LKDVoasHVbgPKYiUm4UAHdpEJ4kpfjFzJ8ar+rBNPfv2SBDX4OX526je+jwU60kxUNF7xBt4OSgi x/tMkdnkBrrtAdhfWE25gGCrdpLYRk2cBlCuuIxzGenw8EP6NSG+wibrQr05toY8xf4quNBPAUom jhYWnqOhXucNv18YjCCXeG4sBLfsV5bTksomhEmLKIVk25/h64YF5n4n9JXpMDGj8DBIBxHuNbp0 FwQHVM65l9L6YWa33+wkVQEUSrjPKFROBeJxFoMx+PpO7yz2e+7y2yg4g30ImdS9g/eNUUwLW0GT Fm+rg05aemWcVcWhCe6m7f2k8/0Fxm6YEqcy4WPi/ITV8kIpP7NIS6Kyt1JmwLLbLAQUDGp8nXXs mRoPs3RwAZpoygg6KBaYX7JPMOPpsZ69cOfEEyzw+uH6iOi8YfcOQhKRBPmEpb0ga8ltvZbMXSrk HF6MyhwucUVEdD51oF2SMh0gBEmpPSjKt9rYW7BXM6AmfujhfZbg5M4t1HY84IKF6ugLVazShnGp MsejLnDjQiCl8NeYdDVaGmv9x7MI3nd3gbH4hrLnyUKFSXHDL9Ke2IniRkFOh8DFUYdzVqYhJP1W cFA7KUaroumUbEeQ89SR54gC+FUeOPdWKSU8H4hBmHw0JKEj5Qqy/gZd4aM3ORFbTUGtWhmzFVPf YAnGupt7PC7Az1r3V+rLiHfVOnnBu3WNliBce2y53i4kIZV7sClV9IuII5KDmNXbm7k2j3ZcPXdp 2lllDc/zYa16yHQiKU33yrAIS3fnq3Tg5li0R8yn5BdCEKPMzYL0o2pZkDv4vfq3xBVrmp5PITiI 37R3lLK2ZaAN1ZCuhZhSO+Xh3fjlKn2KliRKvYgzY104WijLBEXss1UlxXxIZ/hFZoabNRxBh1TF cU72YIloUQhbTL+fNq48Qj8kp2FvyWQaqxMY2TrhJ7z5ciVClAwZPAhoQZ7EcrsRY/cEWNtSvoE8 AXC4JUmHGtnzSgcIiBv/0atni5yUB//De2escTLLLszxKoWknj13Wluw60xy4pfCt2HmXVoAhlzk slfy3RxLlCvl6b1W70lTMy+nmLv8qWc/ooK9HJYzmqVWKuvQ1jFQAkuhlMHmx0yPMG9XP9CFLvHF j3x6FaIWDVfPZGDuk2xdNdXwxoFgD9j5goqkTrsiLK8EXrhpn7PWcL9mOaHuj97HUsMEEu70yW1e XQGARgjp+NB+Wu3PXKPDwIg98UbHdQlugKUWrZB3l+5CCkkX50jQ9uAPAV3Hnaeht7QGwy7QHGjL ztotS78pby2On4zkBh7lz4y3mH5X3LUzq9GgyThhoP0OeaRTjtfwM+E2ReDZWCbaqMNT4XcxZa2S /1mde7tjs6FNscgDmEGGQaMmdNN6xz8oBx+AtrcZXT0eJ0RRyQNtRJmO884iFFKHSyQKCC+N/5eR A2xxYy8lzlQ2CtMSDtmdT2OdkKeetudzmyFH3uPFuh/6gX1AqyU6eZdQ/pgCZnk22t8S4l3/hJB0 WFSImd60pCR1bmaElW83/4nhal9Og/hBLgqnLDSXtiL1LVbUaRLfl3FPQdtI5vnA1SLQ2zV2/3jo OPnQ2PbkSs+WhP+Cla3Y5aE/GN8OVRuZ+8hOqRy55n/yARl6n1fuk7MjkayTez1/FUdb3VYI6FNo 7s+4JfB+OA5LprhFawkqv7S3xpik+nvGz1Lt7dVqXXd2O83Z0Ls9HsvFXS4INb+DE4iZxzP02nUQ cc20B7F1Q+NgNEB/DlK1X7mzILRg3QdUjARMSXqQ2y6kIP9tJcstMi98YNFuorvBEfKvxdxk41tH V8z5/kYe/iYnAB3OLSNZd+GADCPKCaysJx7Yrp+LZSLE6FwaBslC/FWdqHcAB5gcgVCuEaCdJdR6 XdxNysc/w3jTPLqWZBsJjldS2F/4Z18dQLUKLR6OiN/V2x2fcwLLVLRJuiRO3M6Zmq2DDbCaSOJI Qp+8+Z/HVHHnbfkVAJfVqNExU/KplEWIfnlTy+aWSR2pd6hZ+qvdsFnLXuVR0+1ueS1NCKFlEUBd aC+x/3fX/gc1O59hgucUE/9mpznP/WyqVv9rZ35tyybmAu8sHtDVcCLGIFXiG6JiuXv8030I7t22 tLYNT3SI64BBco9k9VSSElDjaMhhbdGnpISJDd05XD9F4BXXP6KxkZ6jKX1DkO9uIjYj+oq3w0fS 8WrJEv8TKpANBPnSMUVtxJlLZXNmzjT20GUyCJQpWpNdnoRZyRBnqG6IGA0ilCDswU906bDqrbRz yZJvUt4+CR8+zMbdfQORDo+nwDjtURfm/yAZQCOT93EiQphwJsv2T9/JUH6bJDVvTxpGoV/5mzs/ JvyE0mcPwAv6fejo31vKY7j5TEEMNdgJbi6Y3Ju28wYAT+DBDJD07g3SyuRYXzGQgazy7Rj1E6YH DB+cEIYXERlQIZ4N2K8vrJ6QANIYQ4jD1z9zcPv0DqvhSJbrNouoZrb7CPwCbovodAkEXUHr9MpD DDXlyclzF+yWBZk8Oywj/B4S8Y8+qKjrq9kz+Yw3NCui5sbCKPSRyJb5U/+r2rLvw96x0oAHVApg JW8xrqoIuAcTTfncS2/3F5vuSbdw+NqwMHNLw6LwN3wvDV5nenCv1YYKAHO8aCKZqXl55Pq99t3P burZYWEI8oYUf20nYNlO+DTo3Cs5eghDnwzKLs98NUOAdB1NInZAY7tH33tcIlEp24waqGmNputv dSn0lT2A7vM1gNTOq2jZZQdGwhheBpTCeTDF/13vD4xBi/OIBKZ3fGxbP1h4wnJx5RdaXiCVcEh/ /ZkkIdFqaQ/ZMj0cGKM4eGcmiAGhRXJ7g84cgZkM9ViDw8ExWhSlJll3ZspZqPxJ9gYEnE3Lawlo LlO0p1dOAk5h3Rfto94iPxOHZaTog5YvJ/zCTxEgb6iduFR3UIRxDVOF/BNvoWndQ3ZWSr5A3/cy z28KZ9HF7PheUndNzPGr8qaSY6Ot+z52hq6IJdw55YZeOsZ4tsVlE7wBq/qUo3d6mAM/m+zWf7Pg ol5nbXc14h7kW+CM4Cmpf/Bp/n1E2b83PPKFxSlnohGR9IkBJWky7fP4RtJHBMk//HvZbEvXoG/g ItKiACFhsI10N++LAPEQMp/wGbmV7chXeqJVrplFMvDKh9RiYuME63LbdjKaaQjWLHHAt7PVE98z Lq4sZB4Or0aclQ+jWILRB5nL6IZ+EvxF4i0ppG9O0lbZ4Xs0npwL0oB/X+XbCo0wJuzOqfWv4h0/ TxnT7o570e49j40IOLiC5CX7nSrfp/A5JCK9tDs5v9bipf41pwKHLLzfJVIu4mLf56u+kS3u8+Bt jRRWMR/X2QTh3WKfNmdYDN+FAT5h42Qt+xYrgqUx506tp5aSCug/Ld6qrBLeXTzQhe8UraD2og06 vXPcYdkHed4llUdaqtAyeaf37pm4/oOSFe139p0oOl80zJO3pLKjyUbMKoveMqqqFuLapZW7Qcvh c4VKSQK8Rz9I3UIFqA58ZthtTdQ5pOR2iPTYCPhTfO6hMOGMYsWwQ1vYja3te+Bq4c0/g3ix/9cl 4vvqxy9+Zq1+jGB7M35PI1JxpmuK6O92USipiMBTx2fTH8i23STJe2No1oMlw+bRWyXYsWDkp3n6 /kPEpEhEeUEEui8WntUwkWcStzLRufn7zjv/bJ2mr70EpqCt8xkXV8XNi75kj45QNn/yqJv7l9sF BS+y3xsAHKzmncPqZoDr++j2ZVcBLM/Fsxe0UEdUlJ0gfDb5MDEOFm2dMLzkshXh2Jznc1lAF/gH vqthNeYGareyisBPPQ8FNFpNzvevAWQuWkBn9BW5uPAk2SnMhQZiQRCMbpxPnuy3Q9qTT9VEuyC2 2eoozEFzrC0PnvvsLcASzquF0cK+HvXcbnSAGCADJ3Zl+lW/+gHUzKhFPAOH0xT0X5mqIknpWKSa Qj3Mj4gqpGun6casnBTqKyvWfIVIrmS01T5GMKtcZCRT/miCCBjaexWuS12A9FyXfiee3IV5VJ37 rVM77Jmq5Ixh7ZF6AQ/yQFIl1A2FjeOSE4mAVwTL4J0RmJgSFAhOhnWpp1rbKsuqVt47AkpivPnC K8qDqzcmdX/lG28s+6gKpXJjJ9RN8dFoViMMki1tBRsVByisd31E/CTIyEmD5JyjY7scbubvwIKJ fI3PJn24DpNXZej2q5BJN5xZ18XMNlq+7RXx8IMmVm+FMQp5cV6IpqAt4Z4Azfckw0MBtR+RKJ1c T1Laj8OGaByOVxIrcohOZQnogLvUML0sjpgyF1ho4FXbnVvieiqBieS1+Imng9+f1tHDEtWy+TUB 3WcP6Sio5aNURwute0TjlNYI4CdAS1bfUJ2bLEmTkQehXYky6f4LMQrp92MxTnmvDY9XUmsKkGZZ z9nv7fUljp3d/93uxjryP0gmMVeRq+5cysGzqg4704VPqecmx3iyUdADJSVa3ivT5jRBtm1o2/My Y9hGJUBCs+PxPB6f57LXVeNBp3J4yq701LEM9TSu3nBPH/ggqWvPPxPfHtewhPppAf7WW3FRoT/P pMx8v5I58MzyDoj1YK3fabVscAvPQy9w/Zal6RyGdDXNiG3S4lAlyJIrci0KaG6Qh+aS6zgd1c/+ MjkaL9HwE0fkERmtJsCmIcbEk/KRZwDF662K0D18aaJvigJu/VSY9hqFGQn+jv2hBRtUp5S5u1UD edhLnZVC0ieeFr938Uig7w08Exe7CqyEo4gBzEvYcfEx8KucbpS417a/2zh4p8rwW7/sZZ2FSYR3 Gt0MwUG8sBD3jee2ATLcQ719lMShgBxALDr4EeChgDNbZIrKTP47yM45jaRu/HhbQl//tJqcmO8o dDHV/MalF9yUxxvYbykb1B3t7Ipy0/LEBYyvVk32fY0cBahyIfjBeDefkCGvOjNjRJXbhIWX7Bz3 P40GGqnn0Ss/6wLY2KNICztzFqKxqLZfY2Jo2PxUyDRgxOoHPwhkuiDXUjAxu1yObXiCuyguGRHW alVRBVT53lCjZ4R06RcYZRPMwp/KaHtGbP4xf/Efh6onW1VaumKc1/IgFZ+GIsDZlWX+SqFxS9u2 +uKw2xWQNqShcIuus2/bMQGNAE2QIv/9d6/XVp0e7LhCA+aRW2KUgYlhLI0Kl1Iqfm5bys35dN19 WHqtcEbBGG2jeHc/PG8H4C5Z5CLqzgFd5/83fRh2BfqVo2tyCBGN4Y4HC0b5qyZAMN+/wIaCc0vy B2IvNN/H150Yc9rxWJqAx7VnL70NWxIlvUmhOPqk72+PegeEG3GCvW9QGzzf+y5BAiEtFgUyU/ZY KfEIPGUTGp+FAEKL4Kv+YMhJNe0k1Cl8d2BDn3aJ77M5k6PhNZebdrFDFbjQNI0o/UVBmor/+wmm yPMNd0XX/E7FnSUxfZAOaS/wg8B3F8MaCssCv0l0FDlnGwplOJ6oYTMgCUoaOiixaHxoqoOFRC92 LL25DOkvoDzjVkLEjGvi4VMs3v3TqOGqzhuv3kbZh83+GwohIfburxL99ozuYwSoJUv9eNqCPTPE ZZR9NxKQ4caEDGkzCJfG0cDdg61OyO+VY+ctLNmDQMlbCxYn9SK2U0BP6uvjCezLfPLnccQIF1i7 FWe6wo7TXHeGF+1vPcEcX1K+fX/sqlEMoWNn7OSSDf0+kmparEJVqFf4SOv8s4TSN60/Ux018NrK oohvZUZuRArDtCoZAjPv5a2DVpx+PvF8ni7SWy8FP2ZwKHBeU3zY/O0DtBp8+SLEavMYsvYQJqTh b7Iu6bWhSQRIR3IzwEr3EXNZzsnljcGnQtkNFuP9hyimme7+ubIspnXucufIedLU3+hpEPCNT5G7 t1Fl6AXX8h1DslEmuTLaq7gDT8sgEP1wgk3F6xiMysRXvS39lPwhEFcODgN8QsVnwXoRRM9ZeLNG 7GBZ7n/7L8LjWBf0qCjYVibGGuBolQU7zZoG0ERZ3unAg3/02oChOpNKLfDkVvIxd59fj4/dNqSt xqt7J8rxWuCfO1ZnnYRmJm99nNOZCMHdv47oq1MuZ3thV8FRpWV4N2JoTvsJ3Gdo7NomMPFsHUW3 H1MthdCiPxEIVHiayRLmP3VnWKWRuCkeWvPagn4VCmXA3zidsB8mbTavr62+3VU46MDwLAR0Wqml 7ymDaQnhRw6a+pA7nGUwMkgPJ8G8QgAv44QTalWfeH7QvgBnvemVTbPxODbrVvtWduOqI0xUzjsu QDfxLdo7vJYn32kLbJhyuzN3wD1ON520I+JFiSdJvWe4/X/PFYy5iEal9FimELCGRrRcLTFjMGkO ori1SY+vI6cjMsZ9m8Ai9eUsoj/MM/HDMlgCMcUBASiOkCkQZMF8pKoJ1Qu9D5FLvqRNl0pyCH1b PpAisxWL1gAA4kgWAMEIKFe6ypdPpLV61kXFkT8kJu5f3o+ZsOipTE6OZdjTJT2EQnbL2PQJV7CP SO6VfN8cFMR+hsh+jp4N1+zZFWWmwH/NjXolqPigcd37RRET1HrPbqJGK5veW6iwn9vKcUctejaR sO/tAVVBAJfSD9X+7aY+pLlIOyumzKuzydLsJXgHejVeMJ5zbyj4nzcXvYokrH1tTMJDh70r3vq5 cY8mscu5rYJ0tsxdUBGAeGfwqTYPVQX7f18zyNGYbBsL6m60OhVuvqbIIMRRd1AzIpdMr0srzVRK bmDi/SajLkpwfNKU9YOyY4tI4wldjeQOMWyBKbyxLiooCU8DOuZ54vMc2Bp2e8RJdVmCDU/VwPgk iFIC7tPQU7fKcTATw+dSlBRMPNrcmXLanEIBv0A60HoNYIHJ/uCJolPIvOmYLfbEVngYyG/eGne2 OUXMaB7ZjFtD7RO9q1izvAtHSZzctOIinG9cqo/H2qCb7RljpHI40uZ+L88nkZvUN6h5l5HF7TLO vmJakUpt8XQoQORP5MwQ/N14vettHLSOxp6y7cV3d3IzFr36Jd0umFJ2oV8UjvAOQs0RUy8Xldrx DQoepCIs8UZqNjQlYr9bD+B5xHZQ/VGkYj4gMhVpdakCigd0aHJ0FZGq8k4yWVMrRIfJE8N2cw9S c2xr7s4vWZBPAPWOHKCVmJO20OQiI1tmfu0diMBN0XFe+cHaM9CJ9zaAOCTOzHElNS63xSfczuXr h32+FgPN0hcgTttHf+bPdyLkH6XqGOyUzXisLbSCAuva9Q555J9gL7W/bMVBPRJRVknoemeIdkoE l8oV9iT4+TtHriNLAyuBKJZD6WCODe2/bUS0NpQO9odg4hS6VPUaDHQcyGJr+r+jnhTdsqLYDDaM Y+yj+2oFlQ9PSFOZAdn7Ohk4/Fy7sVN72VUXEH6apbvHYgaF9+3HxG4Dn+rZ9uYqOaPhMwEGjm4d BC5RQ5/BZrACSFNzfQMLT3RmL5f0BUpA3wVkKNkYhnMenUelhMJYvEpPmlFZOAGzRQYVyTdCB/xH b45RBAGQLMxq14xkqOXw+JPjGGhQAo3hL4EAuCudUzz6J/P2c0fhqureQSdODPfHZeQSf8D0qrYj 9NfiSq77k4d8KJ04663ye3QMOKwAHlUGc4nqYzARgXB7PUcAOYqrqI6Dz4oCKi8o5amQhGP20paP rKNDLJdVH7cGVTz10brapT7AqwvtZR+HTDb3mAuJcX5hU1Qp4Kj3QkNzu6C/Acrdxa/3F5SlHaj5 aYXisUgbblStLw7TpR0DHYi6lQg7/20OcZ2rFt8v8vICICHDDocJ1RyIOZYxEfoJ28h1nhsmYQwJ smqLhcEnEKKG1LxbjemvpXa/Yjye5pMztdHN5Fu35zOD8y9ym5zRSnF35vLgw2OiAgnSe96Xtl0/ aws4K8Ugx+2cG6hO8qotSlmgKzNuOllwLSWUvUd4FPeo1/ZEz1RgXsHOOOpt9ZmEp/+pkmVEJ6o3 K6tDjtLS40ZfFgaLQawI3WqUKxmFqp5Nw70yxUpTsiKx02jXEnrjg68fx0X5wd261QQCEA2yszV5 v+02n3EDm2A6ifSmuXmp2lPDnUgtixau5iV4G6UD+oB50ZKH1FWrY8AW2hGOwF2SJXUI87257go+ n7LTaTZSCbr0I9HOBM/P3TN6dVl7Z/FFWmNOXv/qkOwvfDzPauAKLqWkPjUK0vq8owGzZykD+uzP WDcQGIygLQQzhb+5t//VPC/uex53jUkbMczka8uqvABy6U3aIJIcD/5/va99yklccDWm8uhB3JJ4 JfPeu8tHTKSCDvBJK8O6q6G5+Y+a5r+SlQL9BJag5+ti6az1ToO/KDbrazrpmULOxMedsghoeRwk 1bQuZzaTDgCKYdzgnypZY6QfLhcNaabM/Lo8w1XjlytkajPe9stVnZY0lMR9PUZbZyAgF3+0PCg2 2dWCg5Zovo4V6hz4E0IeEQjql33tcxJF3WTOuvxsdHYLl0oXu9wSJqUYil5Z7jzUR85U4n+WG17C RoFlx6Wh+MuX18bDoNMhGYXMOGnIXYvFoNiNvEtfIRjARy2MNkzf683akiodBnfaKJTqqEjaIRXN qP+foBErWtF7n2iqpwqlArSDl17wAcCAgSzrheyMNNBgsuLiNFlkSWihWxni3BatKv8vmmPl3UAQ DoCn1XxmwCvDBCIjPAjG+tY7TFhZzTuAEmmY6l+SHKSyxFJmFBK4mDrssllrg20XMfxG9YtKkfVE nc+J7d+8uQXFrgOFDk8XKj2uzYkGhIBPTSM9kygsPPi5hVJmTa08ky/eAC3fUZ75BwLbqQZqUeoZ XtxKHPvubufaYH/l8u1saltAgDlKMlkl7VJx4/Or/JALSvaSBmZkXVYmjOfujeFg7C9cTtu5sYNy ZQU3/KM0O+lYJWH6cWhy85PBY2Jpwv0hB0fvDlGQ+mserfCm34iT2QLyjY8wE8cgEpriFPV28Xmz iEmH2qwx42BUZeCZ+acpW+Vwunll6aFj09RibySNP//YlZR3yiXvPL0cePWFwfWERa77JBxgShfj Yh+teu60GkrSiu9UdHZsq0eDkU9iXBLCgJVN98Lo4XCWPhQ4HArsxXGB9Zkx5AlZfuEubpD31qxa <KEY> OBJt/a3oT65uX1qX6UqjG+iyGHp5if4j+nlsshBTjhf1e4i11r8Rx95shuikdXbtXjMIZKj4z7OA EvcQKOTMVaVp0AGsaOUvVAGq1pF9V8Iag720Rmaexs0hUzHmNJ2JIaNL2E3xuqYQKSCj0DwNZ4xU 8YEDW0L/u3RbF/AtmA0S9truwTYbYoQW9Xy7UweSGDDMm7GOrwMaxjrXxD7iL1KVYcTqnEBrO1DK izpaFG/3E9tV+kev94rO97HNyMoxDUx2sImoaLJSeOcHMP/XsIXH3LSXUl/EYOIkgUl0vk5f60Cu iG7+wjeKBVsdR70pW9WqUNwyESx7UQGvCM4vU4iVPJ/DRXWZiI+m1H3rYaixy0uqGlj83jK4mCIy JzOTxJIkLo6mB6dJuK7odgMC16W8j7bIGqCI+3GSBjlF9gzvFrpt1YYlwHf7NHGO0uddqlZGy3cc XhopiUMDQtisKUgiZjDCiVwpad2tDdpuvJG1r/aek3H367UYQafIFjJjVc5SGfpfYhyptoqX5YuS Jz1Uf7q7d7jq/FWEytaJ9fFlZ5Tq6EqrAvstwMJJem07Wb1UPDA2tqFyiZg0mI+OY5xCwNVc3Eef APkg5D2ePpWOMHN29pZPrr/DH6hITuKdctYZWepBuvYm7OxYog4tSe0pKDzTEuDPXhOLLuoTvXbi pRjmv2uM6KeHVb2JWfIvuTzCSSEm9egdIKUiAEYkN0MZH78RQmxjM6bKEX49+ZQ81vYe5gUGOH4M lIzL1dDhaJGxPb/AssyPYUtbATZfQRlzdEcjnR0dHTBFYQ7B7s2CvLYY9RjaCj29CFMVKUQoY0mO zTrG3s0OBa2t7r6HJvG6lw3mvrJNeSuPe2p0STC96aHqCUNu0XQjRQ7iN5S+7rCz3MQ1EKLP1u4p gMx5YsMHnPJblq6hnW7UB9lDSPYiLGOBscAvjsBJ9wGd17MNCpjV7sNzTnfyQg8d802U7Go4HLoR JdwCP4BNDHIUrdtSw4UlYhzJm4fvnOIcmioIkK/CCia+pV0DoizmssaI3ZL5LXCnTJXNgItoyaSN rB18/2I3zDTsUlZcq6Sk7QuALSFar2GeqAyoPSJRrPalPpWEnoB7Wdd2zJbbT2bUJRyVoMqPpLVn tWLdXvlRXRM5/HkhtXEauCS65FPCx+XmqWMrXsbR/TxZbqrMhfCtHNt0EliVnQH24+k4AQ6vdcWA /WGBOgss1Vi//Ffh6MEA1o/FlOPjdsvNxWYF6L6UgtVSC/M8gP2ghSnVko/Mcfv3R6pESKGOl8fc qTD2jI07Z2RYgKXbrsOzOHd+NvHk8Qn5llyXsKAWZ94EEA3HigfxL6nDlnEFR0horIi4fEGH20fx Cws/UJ0ZIu//CF2rhjtytjC/WOh8yX4EDzKysJN0lw6fInA8xKfaPBJySgOCGhdIaJuDCwcCYZhg f5yNb6SdkNbTnIJg2bMNHgIf6m/+Sm9BUEqOkCyW8UDLmIVhrecoHUPhHgWlmtKkxLIfzpuXZmqD ghmlndiDGb3UvOYN/YzYi9nj2EiD4Ejs7tzBQ7afhtMPPCNBaKuCf1Anc6wFYzHycQ7pM73ng5t7 HQ+au2ohboeJ4FKa7kE8EOouiHYFKaNVXDdNa/qSG9sCfwm2N9yJ1WEsdu/xH+iSrL8AZR6Y4RJN 0QJJtMCJNBTJx7nYuRosfn+TOXd0Gi+saujfwZQQ5S5muOQJmZgaSpLWgq/0sI5WPBXMCAw/CBPR 2Omrkx1/UJEhlkRpjsilcIgaPUGrolBFgio5CTYM+mIwRwBQAA7Jght4RMDJMtACIiyVHV18wo7j JK7Bbid5h0vN8PALKjaCNaYjXo/22t690NI7vmXLSRdtlAsYbhKBYrii+hCmfiNBo7o5DIdv27DH p0341MmerVCng17WErG1oJ2cHxuC6SVjnTi5x5lwHXQ6tkDCQHmChc9RPlHLZ5ht1I3gnPKAEzab BQ4DNcDrzeq59SELYV/5yKVzU40aOPptAlhKiplWvuFzx3R/2aUuf+6InET3v+YYAbUk4bSwQPkd eCWWXeCrnTCRBPppUBbzj3WLb/vkqTzKSW6OaEsxTzji4u5qBnLu0WpuAAsVApIT3cSfZBdqfvdM 8LNiAXTHu53QtByR+r3wmGO/Uhtl2pJ98rVFPldas5yZP9ZT4xZ+MadZxaq4e9O/VEWi9aQOCmp2 EMTKxaTodweJciDE2NEM7mg2gPO0ifelZ1NQYPBrlQBUvV1DL1y89/DQkyh+YLZw5lZkyH2T8i7c 9P5vrqNWcYizIhegXxXeS5YS3/i6SGob4lajAlcqGCE316z4sAOOyadFHfL1G4i7HtD/8EL1TmfM gogouaiqeOj0UtOVxOIk2XaMGNAPn3Qc3Iv+FrKv/l88EQAvx/g952gdgJrL6lFFV5FL9CAKx4rZ cckFyjg/O96yPXS5BG18NJujmdEietqzJ60ArhtVSt18ZB/6FpSFiVaBIQ/5zGxuBQCNztCy6kOt 0Or/3WivXmTpCpZ2O7PHG8kdxct6aiYjSr2ftlk2rrDBuEEosc9h/icxFEcQp2xdufDGoQq86dW/ P4UL+zHB1NopmbpTclEjvbbYbxA8Io9GB4LACwBg36aEyGYQoMrGolLeRLL7ewm1nzIjK5nWPLx3 0FOwFtgdPPYqTWu7WoukL39jLolFC1M8YfCz0lPqLt7DpRArxclpdOhcjeqJV0UnU33zW9uoTOr7 a7IjLXB4wQp9fwQAAE0vvmBPYRxOo560PjB8xwy7MyW3rVYfI+eR4jhjkYXmtkdSZpPjMrpHj5Ry mQcTof2H4DI6oETgCE5byWJRqBDXpFbzH5qOo+o1Ji4Lm9v4KB6xeW15Ux04mQ//QPjbhMgEtE8h QjE4IZpPASNIiewbDRqolChSBztdNTjLJD++NUb4erWkYUEx0UnVFRiprqRU/YUEqS2Xn4ngylt4 Mc2czuwa3u5RDTC48mUJFFgd79gIpDwjkQC5u7z+R78vusWnJOnpUEyZVNEn4mrzFLLPm/eb+gye a5jv3OHiVQzlSBNskztMEjvn35GvtXPLPOGEAzd7OifhsXkyhv6a94Pf8bdw+ZWWNGSkp1OKzPeK X372XfJh5Of5yjjU86jYaP2fIH+Jem4cDUjHn7zCexkEB3ksD5McY1jN00/uoN0sZcw9ULpIGyAc OjtMdEj4ltOri+MNy8M7t/j8hLqjheHQ9+x4Guf7zG/xVlyW1D9mw7wZcbFPsnvXdagYpXoNrtpm 0tS6HAd+DVz+EbiB4VKu7ouy7zFP5tgewOR5ZZccM6FFVKktjjuJYhgthiMhRsql2KnorsBh57Tk 70MTM9gDcMQy4Zy7F/0bPuC4wbD/eMCJx8zD6znNZiNxQLpv/+Awc1iIbZ6kCNkDAPfC0uYYtm6T 7rcDj/OgmkSR6AiaylhXPHUivPGPIlfLM7b9veUQBIShRKhhsMqJqtpFQASLvm7MIt4mbz37OiAp LhPnX6tJX4jb4057cpuD+qudiI025OJxBaoKViVLoG84MQ/H9SXFGPmUPIQo0ctsUivz/5vbP8DO sjaJOhKLsu/FHedY5fl/qpxGGEL9KOmrzZF9g9Sb/NFEdPWmaqYg5YNUf791MgU+kkYAjAsossVa nqDkA1vb5wT8Uk5RQliVeCvHv6PNA012NlR+WEWc7RgNduizsEDvIPwhEUVAkqgRT0JIFktmcOVs M9qH8nXJpbewCnI0icuxnvO6SWzyJkldjyZEHjRxsAhV5DHM4p+jdeOO7Al3/WbnaDqPGnEVn22c 55juoAT+zoIGa5SAOu8Su5AZPl/SVYdKeU6PFMk9FlFfkekmaXvQkY+tnxB/PQHTt4c8gHcysyZy YhLKtKDAZsrHmREGsij6P1BQ7t+nsbRsgaqmT3CmWw/T/pnJTV5ZX6SyRfljx4jvaXDD8Sb+5HqJ UpbVXr+884rh4TSHXlohcxS1eYiurAgJbvytu2je+Apf2HNCULossVt/e4yZaXA1XBz00nRtLThE UuaHOTVU0cqD00vmLzwa4iwq9olRfpTjWSJTl6TbhfVB1MVH4sJ430/04kPpkxQRICleRDu6kJRi zm3gg/O+SY1/fcjnapU/H/YTdXmuIAmAUubtOFU7CrcNtcKS0h1k96+HyMrXs6fNYeo6hc+ZFIuY jVUMJnKij49vn7k/oax2hyAbsz5CjxOg73sdxq07di00Rnr5EMQcz/TGi+91cgo/NtUVvjWZ6Kip c5QtgKjg1gCPbQDGZIM66mVI7KR7hxOIL5qel3SSJLZR6dTKS5Ohn1lX7AzLpDgrJ03wG+480TS9 BEXEdsjpSZKMfO6u1ZZCQOqqel8dL7EhKNmCp0odLlm/FDyUp7T03lxXNPm5P4YokSt5a4Q8alzp Loqyi9KnqjGPntVOXgPJaL2mzNg8Hf2nXgClYe06P4oL9zdbBdv1VaubTSDfiO4EviYh8ZPBYF1K ZuutbD9zt4nfnCt3pcej2syzsuhVxpcPSnkk5Q4j310uPifd3eQGFEY26bQcBD0dc69hyRfiyqjw RrzMW+69igncnbroUmb5XTmXuqjGWEO3Nw2091fKhCopps2x9ds7vdy3MkJO0RrA5dx6q1Z+LMEo AUemYlWEOlPpXL1qsPaUqGUJwDpoc/PE8ZuX6NfjQvulOF6Nu80stkQO1USElruEZdCV8JLPJUOX ymDHURhxJif09rPnEEp8tXO4RrdPsXQ90ytlDxPOtGL03KwgQuhDZJbwETeBxDSRHYv7Qjd9JZpq XVt50CgEaL7RWFvEbes0IofZroUo8si8SzheAoLO7LHNYQzaUPMTarb4m3soNlEvyZX+zKeHH5jF 1PO+XrBx2pOmdIzP/RE3wKSL5mLguhfCOJzlr1Zfg6mBWTftwtBKsV5Ia/i9SywErUK4snMit7gM 2oz3XyaoiAgUSoDkIZpEp5ufcknHjy8Djq7J1lJ0A24SbfynDJ/xvtNnZo/s/YeIZpKBj9qYEG+R vxksCMvKfmwq32+eDh2xIV4tzwCmeza2WnZ2dsRMStchtJZYjzW/C3nflEeDLsQqM0Z6Ux8gHZN3 HRo1aZQ9jQ73La2dMcALEea5oixLz0Afw47yRc6d7LuyTRJcrU6dYcX5oCn10ZXllcE77Jzh7uX3 ajGpr7j8vJEgxeDBp78N1qktE+bqdkQZm9f8FSHebmE8EqWrJXhpCoyV0l6Tv7eVGlhwTK76u37k 8h0+CE+RaSOvamNma/IWMUSBeT2Du9Urd0cdpYX23wGBYrUbem9rNFkA/QutBYRV3M68ColLjw2v sWJxD/LOH8oA9rxSv0MuJVauD61PKrBpzurcKZbFOXPIMgvEKU0OLtmBTxKjnl/65w2oZ8YQ0HSp H1wVBow+ThWGJWyndTU0fdSOS5nC0OBsyx4D2fU5qIvncyfj3b77QGZYEba0JxCXF8LB+uW7yV1A jEcnyayo90NQysrgPr3zUpyWynzbhFN3BZi68H1g8b0YepJSEz+YlYjpxjHfnI4uWiQHS5AxByU9 b/HTiikxMaFCCVTqxvosXRjch1usDQltHz1d8066SmCX20+nOReB0dKjtELab/QcDGnx7lHXUEvH kvV5zGIivBM2ul55m+88JawE1HEmoSz1pSoMVcBboGZLSTAobXVsLLEekUD97UCXQ2yntnmTWjmh +9Y6LgACtLD4/WfWsPhDwPQhNCgexLiv7WTqiyF5WF4IjxOqcGlTUIgVKbDpU1ilgYXv0dLi3i0V GPNJqkuPx+McDJSB0JlMCPIq0ywEvo5BeiiQWCp+YdCx29sOw/tGGg4BdBeQHUxY/7OXgQWnfr0q giyg6T6UItF5Ki5uBFoTpEE4oK/oywrDdw8HceCZi4/OsJ4TMSgwGt+zOdwCM23b9t5DBz/nSSwE nomVtxTXqkLTHP1idtBMsNRS3VpnT1kkCml8RHZlhzXMOfRk4nSql2ZG5LyFD6jLHNma2byQwE6d Ki86ow0Q7Q1VXIS3yECfOvU6Tp3c0JQOQR+yEKGFDBKkTc6sKz1whFswRapxRadf6wDi+MWqKRhz yAQIu/kM6pwQZYgZ2rVMvcFs2FdsTA837MHEQv5e8mt+yWQN6BkQdCgDdRIa0DBwcFFeylT9A7jd thwfGxnL7NTCf9ZaKB0pilsmFePJLY0r9/O5EOyhWKmeBLsB514JtV3FcuyqN0CjN61nA25FRH2h Ao20jvRcSsQCsARjCv9FuzoeuSr2JD2v91t79EWQHcgbeHUn7UszYw4gBh8A2xEPw6vd3WDInyDX MfhhH6kHMUZKlV/nfxncj+uEI/+tn7LJ7fgJqqoICB+tXsiq3OjXR/sJbsWHbiaQLB/jnUjGx12h 4i9TdGds9IZeE3X1wB+KFlKr4fMRGsQCutkHA75Jk+DZIk9YyjTW+M1r7f7ne3DcuYPxlHCLbY0Z ffGRdMdomL+Qkorh5IjfMBHiVzoZ5OcFvgkErK6Hkk33nAvtbBKwEAbqSVVRrJuuDxIseON9BvMx jAb9bfTgyPfvPJvIppNW3VbHSwAgS/vetoEOf1UtE1u6GSANiqgkcy5RCc8etpVrZ9EZA9QV+Jpz nfMRsKhZZhH1olt4eUcIYoHWZTksHWi5+Wp7KDBxQVU91fL08Y+hecEGurhZzxp6ny7vcqm2oSZ3 JlVrrnIvmR5REDCkSxQM1054+/y/K0mZXquI10Rl1+WTiil58VMXlhsUmw+nukTXa7gCawN9jnUn XT7oOKT/WN2KMoQPo4xZfFVC3FxLP/brI+ZbPnVLnHGxJmH1K6BnBnJIutZPofSAPDeLB1h6RQin E1QGc9t7NK2wUBv8JD6Nj2HEYcJuWe5ewmzrSjalMyf0aSBAje2/K0rh8RSWbkiULlumA7hOhRsN Gr/fHqsv71hc7IyOG7m15dv9/qGiV6ddFJ39Xw9M89Naxb5K4r+nVshfzG5on+PC03czJj4zzCVU 1aXf3xNgSQxlTHwVksQz+mEUlv5laKaF32vGx25qNeY/z4Vap+8fAP1sZJOu4G5Vax8T04FR6EFY PAkAjQkBdvdWS5M47wSNKDAY5JzqUt46zwG1NpdxagVme3z4AAhTgPPlGmHO6JDB8gC8mAA6n09i OzZ9zpB5z+lMBlFSRJTIVAmh9z4hhcel2IXF9BQHL9bqXXHuUduA4bTJXgyP9GBnzBTC0SsD1JLO SNgmnZPjA3CvtWfC4dK0FbbfniFfHDMLdR+8eOC+7cYiM9rWjfqU09Zz16GNFKDlICK1m+NIU+bW N1bc05Hi0N4ny7W2BUr5Sd7zFJIE0ke8tLSwnHMSeTUx3bVDjTUb+XPZv/M4oqyk1FXLoMIGkopN xe2FbeesPIXDEEUtyGnldqmFOgy/4Kcb+7CJxwJvzL8dkEqeGCXoCrdwV9q2g9hdxWRey7yo4Nal cb+ZVE6rsosBSfCH67bU07pa1Ql9xeWz6SgGPanDAHc6r/Csi8ft/SLoMz5iCutmGrcKIll8uuYJ UqwmbwO3hFM85k+x4JnEHFCfP/OEHfLAdLqyLhfwdqjvNPbC33LRwqbrfsdmF2pPPVOsufj4CBB6 lx4IgPa4j5vcbwYeh2nbN92aEotKYdRh4bDKhXOwy7x0ryVXSbTHHTRO493mrmEw+kCMZMpxhaft 58CQMeDBbPofvtosCqsMIHM4sGe8usQB6nTbo2R4xXuidGP2iPROUATeiqTSCrzj/ypfEIgbiZVO H1Eo2iEqSAe4E4NozWS237CA3iFG2OV0sHlYg83nWCaDrkSVf1kUOoSeDrlC36dI6C079c5BSaWK bY1rMAB8LJyh0Mc6c3XZID4apzAs8YewOojLeNsEA9+hVOfi9X+GKzyh5+YXJFwgQEJCeyq88u8R DhotAtmjBA5LV2xP9x1V2YzmReCA4EkxGsZxGw6lunF/+E8G5QG18HbrEDRrf2BF6fD67KSXKqZo QEoGgMG+rAqxqwNXZ3JTTNapILc9aw73QEdIAkJLt6MBoxbGAPhJObMnIPw17lVyLthC81aJtwwG tkRk8FJVZ5n+bytOv5T6mSHBxljKPE1LPz0iGLW8Zw7ndoLNwlM9dyOIg8qR/IEKAiyMfqY0nFSX eheek0qMUjaZWl366lBPNtrtMiBUFIHIXgm5X+Eg/xrxTJd/e351otctX2K3jTzBltpvHbKN5Kai 2DtJEHpk7RPf09dMkn2EnPNGE2NXmLKomEuhz26lbfjjibhhXTcv743Jc8WIsGHxMAFW0GaJ0Ozl /E8L7BQrp5UAociZYTLq3alk+ofqxnP/LtYfAHATcTxLQCxUrX+OeOuVjVAKSzPSQOGp5KaIj+hC XP9JCdoTDLeuHgm3CUIVTwvRKZMsUq6ZpKhULR3C2VkfKhnXGdOjryQBIfk1jCO7ixrFaIX7njIO PSjgrkK9SQLVbDEIgqXdHoiYOxv8BmaeCl+cokFd6xfM8Fd5yhn0BROb+qoV6cJrA/0iErUs4A4t zCKmnw51JK4f31xSytzdjeYzm3PBuZJo/+wQBrjWfZlQ3rEPB5RdSEpr44+oJWWPYacNGQt7pX3l 34VN6ClEgigsbDaTqTlK07DAnMKqMXDJHtHqopZkEOrY4pQlDNH1o7bKQdLqHVtVBlVbNgCDGPHC /HezLwstjPCNB7m/2ilh6fh5a4N15wqn04vNybhWSican0L/+yQYB+j+hVE5thu1TUFs6u/SqKZl 241BZ7AYRYCzxDjym1esXrGHzy76vJcll6bqktW7mLUEhTRbamPMRXo7N+8aJJKZYmuuBNbkyD1J qOuWipyKPoCpT8pjFqwHeK5IxcgkGccuCXYOW4DQiVVAw5JGWAVDxiwoQ/s1xZUxrXJCdvXDw/tK 0+s6LHpA9pRwYTAx3vswop4/lCvYquTBSMySQnE/A+8Vk2X7k6Dq9MEbDMs6fAbdMqsqiAgrCBp0 r4EWqmvsO+DXA4oRPtX3oIMapW0iDzziXFMf5l3TJhHiB9P7Tu9KTziTIM/Z3nSeCPAAUNk7giga YmHXc+4IcKGWCEp0PUUiEe/b8O0Z1QfQ0hu/8+xMvaZhATObGAaIWyNCQLZWcPaFX+JEhR7AVLK5 EovWQHiHCSerhFXTO5Yhj1AonrnVfohisWSDlCESd7xK3TxgGVUtOUumBKLVt3OuwIns6LrfD/zU fa9tq5PSTKO5mrLrhYs7acclbpHuIzutlZhaTnmfYSGuTsEssjgduUvysoa6UU/FfcCh1F0gl6/z 0bbM74Ffv+lhGj5UuQPJkl+Fi7Ff5FKkwqSsKvcx5jmgzWLo9EdRXK4OPBmGp78MVldZGZ80s3W/ SKoOtjNOtBYXCvn5b8S7WsOst1z8iZxj1F054X19eAsYqwVd3kJ3CdWTV4eZxGSl6+ObPxhq8vFQ hL0YXTCq8Ww3C+Xt4bw1egO1b4/lUV1ivLsn81ihc5iIIVEyyxWPNzBT7v/y/OA/5mPa8nC+5H6O ARRZpwjvQgTA8w0R0vJfZe9fpvAuW5ArKFfDHA1Qdrwop5azE2+3Q52fYWNDrPHVfIiVUaZ0wRsv doIzDnUlxXei6wvrNeYT7gFwllh49rRLsZBOykMPiG8G1M5+osFiHbknwRbO6vKFOVpqDAuNwZYv b+Dq/2qdBfMnh7Tt4r4wiFsQr9vC6ah8gNqUpwOeekYiB/2oZM7+R7BiK1XKGt/DRWGRwwQyJFXs Grh2I6PniseimgKrTwI08p9e2sJW2J5DoA+tP7xYaj2W2wHDAhEKwFdbv82/ICFQe0LoJLJjwwKi Cx+c4sGV5fSn61lLfKNWrKtvtYUSfL9IuEdQg99gWAVAOaI/iHX2driqSw+um3teAwhLFqdmjT3g dazTvnfMrFcROnVp5aCrieSp0UM5FnLBvg7RVRWMxJhuCKdn9/ue7erYsc8Oc5PBMGmxtF2bgZuM xCAn7DQtUS/6cEwYy7B/79gMA2ITl2S/3drdcPWSPWSijZf9BCPfej9SOoOYWcSe90K8uSkAqU1B 2sS28K0eOfcWALUy511X11wOsiT4GDMe19GLMtZ5f5nnIOXdxjOM15gon22HNVOpILyWQIhStEVe y4+V7Qqz09z7kH8g4u7uS6vqQHqBzNNy/Zq0uFTXAfameeo87lakxMm2TzgsK6Umw85wLm9qRj5d fgSon8GOEWIv99Rr7prBhoLH7TnzCE8/2ziWrn+4gEWeCCNN1ir6BOm5msl/NVyynfFYgg9VyFKr vUpt9DMxrj6tGkkoCWi084FiDWzezKO35rU1S6NzASr0INLhS7L1EWrtFncDNyWSmUq4yZ6w8dHw l32CLyHePRxAk5zOfyPsD4DwD+ES3+PTwuxzL3WMExzu9W3OF/f1zuJAKlbOtiO+/ANfITdZc7Ur 1OLyyGD3B63pBUUtXXrBUQtu8MCJmJQlUcqJyn6Jc8JgmbrWDvY431y+arqZFbsf54kINm+zIpct n8w0cUf/q0RMCTiwwt1Or9Gn7WbD1i02qbnbdJG+0Jc/qz76ciFfxeceMJwNG/5keI5H1B+suqNz agGEuansxk+v5kqeLcypPhhgtRu0khTkWAT16qfx1grSKdENis4yp1aqIqee9Tf9gHP1oYy1USWT JaHONYUw1QrHm/9T972V0xJQs0YjMwnCjVgBvtzi0wAZyKy1jCQrJ7hA+2ohs0Em6fK34WW3/hzY AB4NPO2HEFr9CGFi8UFRiuaDoCoOvSVOgLkF2veuMEgmiBg6/IfKbpcI4f6jxTAqPg4Lm14Mxq90 B5DQYcH54uJePxT5gGYgIG7LWL6BxCiE0sEDjVXku5j3l65GUGfe6kevPIL/8usEmp1Y7WvgqF3D NxON3szNqLcUkYyuXFwnBi9K7izsx2jrq7euEmRFHA9ouYKxQNfH69eywSM0CY75UNZv4srV5l2k NFEkr1NiDEXAeLklCVk01AHimEp6N8oj7Vs/jsIHLeALNMH7ks4KsajEo7xvW8v8Z/QZPQcatHxf LtzZmx9/mvcJ4eiyxHfmQEZMfxQNht/s7fauLUb0b01hTAA+eQoqY4CxfcxsNTe/FzhFJDUreoez wArIM6trzm8z7bOTKBxQNOk0iiSqD2K2CoEOycQL7pW0MtqtsAqnHIzd/yxWJI3Sr6qFZIOSz+zx 2EnHzo3gqHVQdL7IAKsqnuVNGb0MqZq3UvddrTfXSqU+/0hdY30jQZYq3KQSRB/RI8EbQz/JHN5G uI6EgAoznN+RZohwdUiiUX4MzwPlNSyKRilUakByZfOuFn5cS7+A3uKh/wohZd0lKWgO0xDop1/5 3zCJXmGfKsjEJU6uGhSoq1iOLtkHGpp0Gctpc0RZoPuKwSQKCQRE6pUk5CiCY+UrnO3hS04N0foc 9GqNsjQS3fUUUpFgkzRj+R4/UcfYXZ7G8GMPyc5zTYRHLP3g8YGdxKg6DfeJNQa8L6533i+wSI09 Nel3/qp+9pZZsKntBbvDfdZohCHtZBvQfVVdEUopmfWNrGsFhOhfCEwQC/SgaGktDZqZVeKVfub9 GAcYEkStTGrRsTmVb7ftEcCwaBDEfZUd6es5GG8jO45csiFVFr/OU1A9RGEWQE06dBpk4t1cIfzK KDgT4+vEwROagwEtwbgNvdaW/uUAsM5A9tFrJcGi+yaiAmA9evmMfBkq7OIafXp9rwh+b49EViQ7 gdsv2XgUzBwGBodjMD8ljN/70fSJ+rLP1URadvrtqXLuAuBrJv2FvziF1YJH2s5y8V/KPjAAXNbM uvIW1BKVLKqB5CuJVNU2ltZz2nulGgdDbiPjRa8NTeW0WfvuWqow0cTVXmx9fE3K2OKgQAUYc1u3 Uskik7VdW/Q0GNlrdpMk8razPSphUocYAJBjGqFHP6VvRf406RYBdKbci3Q71A8/fo6dFZ/4JzZJ kVLQVUt0PdsevWrswKc2peAOC3HuEkYVV61kM2+QZPSM0MmFkOsDULgbuRyzL8h9/SRgedaVL7Uc i6UShZxpGosX6V8uaoCjMbvJK5qt+vkAQIkCh/0MR+Q0Fvqg+muegeSZggJy/cs+SiwTbAGrCYdK EGZ75y15oaf49QuWV+CUwsBg6LT9FpVDh/4vBDuvytau9MgtDNkBcq0OyB54aX0C+KzExyS+xrNZ UyyiQEeWiAifGayapi7V9xvy6vn42XiEXlLANTdZ9BELMNHncLXXFT/+V/NOrF+j3lScF4VxCT4L BZiL44S2s0Te0rjU2jdxdB+jafqeryrm1LZNFs8itNHCwM50PfNE8sqS4pXflSbZ0UqUDie4h/+l 3dDHEiXTh4aR+QC/tI+Ni4z51AiBfoY9zua22E9v7iFn3WF90b/K0k3eiO8HhYqu4eSGBKEsy+60 qplS/AF0DWzlhEfCeiK1gsXdGQtoXQfvLeUicK2PWJR12Uk8ZXG1YIwqpzo2cZJwgPbZulkHNsHH +FARIqoxd26hJ9Bn/f3uX6jZ6yF77G1HN2aXqv6/d42cMzINNk1WxN2bisKsO7fD3tare2QNEgIn nY8ukZGWd8raC5dXgCIYOWm1LRNs0CD60PH7KZ0/vj5hiaiKOvyOXLVYZHBwLRwxtfrdqGrJ0+n6 QzlwE5DPDvG3cQpHyBasB9xsA6dVZ2bxNO6j1ZX7HtJtP+5xTX3zo1Kb29gB52F53gwmccp9OIvb hebj+IUuIuGjRuWTS2Gj2DgFe2hWKFw5Ypiy8mpsPVwEtJYRbwYbzc8LCVoKwM8ICugx+fAWJ3pe m2f3thMS2VPjw7oG07jxVJJ2KZGd+StXmkSmT/mypo4XlBfVNeaWo4kbBrojfisZLyiHZAHGpV3N J++YD+hjwCANUlfJ0HuzWA8uT8m5WB7hV4VYMvk8gvLRKh9LGle2LoXkgwVjJAjGj7FAeTaCEQZQ Zyw3Am/H+CAOeXBeiTOsgp//rgkiJ0alWIYIfcWgPVImQ7Nej5z0ksGGHt7lT1mdKruz4vfUJuwe l/mFI1CGqb2lfLbiFAqmzpLRHinK6CqVzqDv+xvDYPOqQnG/SkWHMyk7Yeo1XzbnGH0ZgqBuFxga zJQW7cJt29/F7dnvn/62gyVwophrrd2Gq6yOpGCYeMWavcWp7p6GPpLt8dZRPN0ovH9TigX404OC hNh3AzWd5fEPOyeFtqFixtK7/jI3AQEEH1DXuw9pU9ppOUa+ETwLyZ/hXa8KYYVVHASYfFoOsLWl buzjhbz5AnqKYDv0wfgkZYxuO/SWdmJU5km+TMNOvW4zagA2/vMJBd6yxPqqtef+mlJSLGrHVVIm 5TA582K8ctDrMNeLJCX7BI32h1nFiW4TP3Y0pl+YnzXLQllMPvQ0WuUjmf/wWM1om7ArOsLtY+Ix 6CSkfymSNJdjRyNO25GCOybz2tBxRGstruGYb3AGWOFHTL/V/hZioVouygb16BC751bQtpEFXEHq 1JUrkJsfVG4TVhhrfmSAv/diuxJ/rLlPmIK2dFhoHKD9Ib4KdqD/zXjmJK+kLoMH5q+GPj12m9NQ iZGWgjJLC14zPUUeXLy+JkIjenBAlqLpmTuZTVPejILIOO8W0QWd5O3YOf3GbLn8C0sRC/9Eeo+x fz4g49+sjkHdIQcsmP3wDy3u6Ca//M+g1tj4NjX9T4gnzRT9+Fd4NNM2vpH/akU2R779gZVuFqFl TKQQS/kizSVLtxVp3ACPFjX6wlluRMeC/NM9VUUC/3nnqxRYFA84+KbcedX2CAuWQmPhDowzBLOD WjMPyecUytat9NsEG+UFRKA8SVuPK6LAGThb3HDbNAi1HpTP2nFdjl2AFhyrUA7RwDPaKZq6OSim nFE107uO0zvuZ4yrrpn9kHnx1bxkroCld7p+JNQ+F1QEcLZB/n0G5rMDQzOuHNUcRSiR+DknD/aN aKdOPtDkhTEnJO1+B7aQx2jj000mJ5Oqv4HeZ11lOYpzQDuinRuW1nsVYNWy6xvc3CqB2+tTBsfU U5YMUq37oO7OjfPqPyBejodGGzIhL6KRbFyjy0GQlKmoaDqzCBtbBQqSw7fR2VEv2KKrQY5kw2O/ G8ZeTLXmBx3AD/ohDmhmYxUsOrng/R+Ee3PAEVH7ncz5dsuf/HVhcDIj5qrRLDyvvGtKcEHcZocw iHuIgoPrnmjlS571aTBsS15m/fg+Pm9u/rBTNM7PUszEHTLmPNWAqOgCx+ygxLil2URAKlkNUgn6 mi0pVxVHGob4H2hRZBbkWEVHoW9hM48Q7Fg6nYw+p/aranjdtOtuGtIiUNp8aGNJ0G9x0+r3zD1J 2xEix/bXZ3HQFEKXk6xmIYg6zjBwEvjFXYpE29fSjLMURctl7Hdz7PEsA/1OhxuR8uMbruj5pMKl rtVFmM87sNQwtVfjyed70OwRpFdk0QSw3UX6wRI8nPRNfKpA2/HeH1SThIo8zlO73w83CigjTbFj ACbRgsWUcXgyTiTPjeKHlTw7F4ld4qFdHgBxoLWq1O2E8e80KcxCWThXTwoaEyvan/JJrpZaWe14 DrYQuIeFtYY3p9CGtNiiFgeFqT2UNIxRgfihRXXkNsgBMjqgf3Da66WwCe7Aq6v01mRMUVxUD/TF ts5jqQs4slvWY3MufXCtb/7SrpXy48NMMWhZCMXeJ65T3q+vASgPWQZhmaBj+N+a7zsMVMFtIDWX RGRmM2bA9WUMPjh1M2LAt/o1pPc96Wbhzu1M3BGubwdubcpnlufXc4I1lUt4R4FnwoXYm0ubrClY 3bxFDIN+aWGchSxONlf3eSkz/0uI+vqx3GipCtI3mOGJIegGQGgNpsUzDVLTP9X//qQsc+R4ckpZ 0Q5sSqzqGT9KsbXpDM0G7zrngTKx3ddO/QLZFEFmQAyj2vyziVqOKde8Fs2UNtIq84tY+5g6c3fl sDsGmGK6FsEoT4+ZrA5XFncj1Aw4tckhvZEcwnEIbcQXYuh8fqGetXYFfngHQ28XCxf5CRcg1DbP B1S02kmbgwmGn4dzMjpWC2hFiOfXrkagXHzy1X/o0SzA9D7wo9WPRZF0GeQimq5aaTn87sAcuyNQ pjLw68Vbna2YE2cisbJwzrdmSDTyXdHXAC2VTK25+u4wWsbGaE57TAbZ4FUhR+w3WWyFwyGDV67W EMIR1EEaNaJ1e/p/Rg4qkf7A5BdcL9E1h+Fr4rSAEoeIyPZDNb1UElVQZ+6vJ1w9dHL4wa6pDCzJ hNGcuyeyHPNOAtjtI6dzonWBll9CbwvzzrYo3P2xsN7NnkvLQNz/ASsZL7Kfur5GHy64sSyzGQZN Lz5iclSae1Jkyzh+tuQIw/gl2Cbt6GVXwW9mxv2HCMfutj3rLQqYEeO5SMNJEp/mNhysGcoebT2l 1CCk05L4ZUzBGkVnn8auUNnjv6bO+8X83gog/G2CsNAIIUkOf4kv06wQQuuypNY+kYD0wuVxlAcD IMuPrClnb0GxKaAhusPoYHbs13DY+G3F8J2Dl5koEWAZgj/R/RiyQZ36zQRHIQwzJ4X3DmKNz67T O070fVJUBooRO4uSAALqaFMXELm1HEBEgFVVxKB8NUY4JGr+7CjdlweNROZv4JLy7dP0oa1GX6xQ FDe8w8v/GamuiKWcbSi+T3jR9duERAaYN26Yqhn03vQ/G5GiU6ffZ8y+x2S7HnkRhyaVH5HzqlFt Oqg5r+DajeEKtOPHR82xE+PNg5CdeYpQdeMMrkha7GIN2J265+SggABYApPZ6JenuRJISj5J8PCh f+MaA1mHtawVwnjDLejAEaaLsSpElLnQbgIIltoaJ0gCLcIPpN85ClzV7V5Yc/GPPI+tmXYrd72P 1WSK1u6/o2PEQ5XS/EO8/Md9g+1aqODR1lLHE6aihlkAyqkJYLA2QOedJ05uacXEQYBnTOUTVZ16 IyclYnQUMxRucyVeUNrPol688bq6+8l74eh51TxdRAe11WQB1Lc5IIYiApIk83RttAzLTIdD5IH2 0aAilSo2tdAvEHLbwhPhQNlDFWTpumevUCaiAYcqL6qnVV9zYn0ogBjgqIgcplAx23Hx/vWhxvpL vcv8tpw1jTsEour/iTaPA79dFOGaqJ/6IgVKMUStlpsjTNvKa60nS7rwL5deIayO6y+Kzc85uS0Z HnjJiOWAE1ZkHNi2I16j6LIhWyq+JfH76wzMXzbvl1BEx9sjKRse6JgxeWsV7mgogVmrTvePa4h2 fPLpD3K6pz4SXw/mdGFVfthUqofoEnmxaQBjbE+VSJvaYLEH2DKWIYLhzhba1xYWAtYx7qgO98uT zItnApjUhY4WVb1NPzMRgRjUnTeY8uweq0HXgsfNFTS1SjVM3nvA71uuNmCCsRS9vYGMRqFangE8 keHa329CkPX8cEnAqx8Uoh5adgsCAVtwVmI2ySB5DbQCQDp0eXII6pFnS+kUbQPCYkR4Ife6m9zy wQ2zb2cXMy7fjDB4NzqPCz2cbARbhPoFxBdYZv9euObYcqD6GetTudpuZaXLkPNrdtXBjfbCOwG8 6ENGq7nE8MQNCU4+lgRFbsHvWZGefxeYXaYOucCLdSUIV9DXz94xNji12i0GSW31R2Rspfa/JH2r iQAlhXWnskz1y0Ij8e6bAdkwbwNkfmAmoZzCsmmyNX42KzZxnypYKXBE5+iwT3zZbFaoL4Lw1uFx 6u5Z6zpKAwCvXeKFnn4ivkSUH4NGsUNAGHaGZvBbb6zuiqMtGKx8w2pdF51vnfZcScBFbgD9TFPV 0OryQf5h8emyjUwWROh3G6qlnH/IYJ6Fu7Qfd8H8N7LBK7EZRaOtt2uhv+qGxYlH3qDvpaezt4Ri uHuzbNL0WnHA782pr7t4tN18ijjkSYKhTSq09K6ttusTStNBzS80y99bWMcx5rZsQ7v+E4CvKgSL wGHUH7mIaY05Xiz5Ra+zKey7BLqx/VRnBvvtwK0jEaYMnHcNA3/EK5bXp2EFtMfza/0bS8SdtpW4 ApSQeu8xvNkLMx+anUTf8P1rwZkpzZZlvxXAAEWCEKbC5nBdp3WLIHR/v7PMgYoSqx9DUbJaCecm JpGs4HqZuQNRcJpZmxLGKMhHg3rOQGoKK2oQB1LHcpti57gDCAwufaYgGsQzXj4VoPM4sInPn/Y1 7hVnJskhLnf0OiZ7fdr1aNm1vecVC7J7xdi0ySdu7wFGMxdm7rwddjNp0oyqd9f+4JNTAP3kGtP4 oalOGi9XLuZklL9WMQjBXoQne64sRklb3njlu+zihSblmc8/E0fflFfCtswvz+gLN63TPLvzHqUF LxhEg2Fxs3bcAbARR7bd/lCRF5FQBzlKAc6kfRKdJQSmxF9zAn2NmpqIstOVII7/AiilpaWWESfQ ojnWDUUhcCey+gxqLPQzqGs6gW3+xEMIDL3NcIRSCN1aqvWZqTDUyHaKkunO77Efl19lEZh1Eusr QYjiUQmrdHuYdIlZDjC+N7wMeGimxF+0yRcA38hjgpJs/8tUzY2VIlU0ROqXkK3RONSqHJmPiXXA I8r169pISub65vQ4F2R9WiexV64I5zMUiYmls2Mya33U78ZgzxSNNBcDkk+SLfdNOgEbLgQK0u/y 3tM31Zu/BTMjeMcjTi1a1BSG0UaPQg2+4bi60/1ZW7xL8cejz+YAv/OYac2wgqT04NcjtMMNmXAf 1ltIRs5/UT3WsoeGs9djW4hQ3OD96zYtalIuyLuAaFaJnmDZrwpQPWIqr7WHWkk1gcWGJeJWwsKf B+zLQLNTvMh9NLBvbFOxuC0d/Xt1U9UcQGWdfFAz5IrNUzWrALNWPCJptFj4JaQroEuys+Bn+Z2b PKgBp6tFitgLitweRbYJgeSbuTSnhHT5GHi3ZgkX9dUh2iL4uY1NKdhqkbmCM/UZBxxBP3CTkJ0S lVq7cs03kTA7CufFqWrhjhVM8uQfkIdkaT2INFgn+EoIXcaujqsSgqMr+3V3IQUoYL75jsqGUEhH Ls+LTA7fAv8nH3OWD4crOJlmLMCJwtJmoIkg1fdPXYdLI7vzgw6tE0ilOY3syla0bFt4W5W7ySUu i3LRNcncO0/1+ctQp21M8ipqfDlPjNMNRK/77ytEMvCMcTKdZyXoSFbXJ35OZmuk2jEDzr7YgHPL kmfLUU4le/yqWfPKP1iKI4G0gK0Esac/2EFdqmDOwsiu6aZlGhRdpmKrc9UftMx8x9KB2txWxs3E 79l0bbVLNV+43K9vJ4fZ+rDWIK5gYR5Tc0G/jUVPdushbwzSs6AYR/QGBCJrXky32XWRjk7XTSfm GfvtEv9LP7pH9wBANmm7ajPdhiUdt5bDlf2+qY3BEg2nCysagKBHfoLF8H4m4ApnBj0V1X5HUjYe Fu18N/O6ukBXG/kY56/BvwAf//DB5HcW/12a9NVxDpboremdPTa+P5Elj2N08j5OgLTyK7CmBH/9 HuuNXye3bc+WY90/h0EpuHJQq4IpeBH7tQFqD1srTJ7SYdJV7m1oS+MK6j4QABaJaexBiWPfXgZn eIANkxDDx4/nWT0EtKBKk5VpQlGHXqZQQoc24vS5Q3zLozUbK7FwBprfusWkcBNNPya+I3dBBHjc xrFHjmOWwbAkoUdeKaCQjfkuqPKuDxIea8kxsS8Q7m+Z0OhYpGQF0ZrZix7/soZRJC6Bms4RqTFv Z+LAYrAixW7KPcKXzcllCiHGonQKdZuZwkSLNGPu1Zh8lXhZMIP/Dol0Xm1N7GK7JyPISXFUgJt1 WRTquC8atbzS7AU/22a+e9Ltx3NkG4kIdpdNDMV4O+KqvkIyiTW998EcaVNIAehAz0+1WmAzIik4 4qX9PmyWRa5dS3tdsQCz2jJn3+gnkSIXrRl7JChd4H431edqEfK66qOfnGjxgXSDA7+UQUKIAKW5 LEbtWiwScwxqGP0gZM6YxZUkZNIEecWpjrwBSgjeSDVC9SNoJUbCQI+gjqg4MqHv3MaRqAUmx0k9 n0HIw60AXIu7HbCkYWAQ9iHXT7nJIU+VcTRzXzddpqZaKgzILL3hqV9mPjS+5rr75vHuDrE4RD6A C14kA8Lybk+BQ/T/DzKYOPGRV5LK8rH4xB2Pr3avExUdZqmwQ5402e8SZooEL/wWzAo8XUpiacQ7 ZztB2wGTsOQ+za0NlKHf92klgSzj0kCv46EzDap7cfZJloADslpCfx4sN7c1qLjVKMb7wKMotcRl 5btfDYfHLxy1xb70xbyC6DDbyaFsHZaoj38KhTMiMXKaRsT51eAfcc6SMsW1AePcLOn95RWHdwXz uez+JMx/buQDTerfI6KxOmy3+EzWc0AZ0Rs3D+Rv3FFvGhzk3/SQELdC48R0DJkAAVWVdRQnTmbd JGgSZtNCmga7fSdmpX8v1f99ZqRwJI4y7WYSxZbhwSqYpRhwey9qpYBD7VXtJLHAiM83qypNCWJu t5fiUOoCk2d7o6f+V5bWUUE0hciStXHjxYdt+S22vtBac2B8v38ebAc58pjakZutUmmsTGTtCLwI d8GjD4bOiKmlcqfsbuScJKEsr7cqBWFH4N8jFmMFI4tbu+7uJDpS5iMy9aCFEpzhL+1B0b8LBHFt PevpCzyxIC0j5+fshqulDZP72/sw5FnpIUFdwteegz/gXdd/qh2Q0Ol4l+14dVRr3mWgUIw4tjrv lvReEHQzWW2GHIouXZLmuObknhm+18a8SAo7Zxo4EOpMwSO4VyKwx5giankFm2jpibUSbFVOxNE0 SOjL6O6Cg1CFw2m+qvMfZyhoXejfEFKsOVjQrsNrR6P1XcqQ1FQZ8YxvfaxyBR0o6gdihHPRc7MF WpfoivRJKV9ClrYn778IQP384ghanZ5JbeX+u3RrhMuEmVQyPloV6AFJGuSYDAI+V4FOPrdj7mK9 F+Ak5Yzr1M+dD3BRcOJ+SrOMBDtN962/Ir9mUfrJnBhdAi0FqnkUtZNbSYcFvaHa3yDiiYbZmo+R 6ILPugq8IdofWJ0gbcf8OqoHz4CVz38hNMw+obV5L2AlxYG5faYQUQG6S+8xURaR1eMOWq7eMiJB QpejIEfvV2Rplfq0789KIQCluetDQp7FCo4QQ96TsYya/usMqMhl2ktTDaMbFoiufw+f+tBMWqxd Gi8IXPWW6PzN9BXNmwmhC6fd7T7MDHCV8Ujfa7xp9ntlmfpFY9AV+lXAjjuv3NCagWjE7ti+llH5 ajEpTifGCqSQ9m1dndZ2LNIP5OYy39LcnSTtG1GOr1jV73l2LXskJFT+Avu0yIwkF+kCcnmXDcIM pwAnbQIUV0IDvG9SlpFCPAabI9F0k3FhOTZOImj6Enj+hW7wgrq6cekBLtrk8Q8PvjC/VLKRzJeD dDqD9k5mnENJ0+uiE5QejplrXWNjJ+z7dWe3g+WTOVxqVzAexGQs4tX+wtI7iDY2IQMGs6nhEX91 +VwSjccrAuyeAMlF6QPOM+6F9Qn8gzuhSwJX/OJ01fDStVCygq6aJi+i+jpYeEwobDJy2zXbektF ZbMT0FMxaTs8YAtXMgsFJQAI6xSqzVdsaAbSiZx9waFCQ/bZmktJmLayrWTb2BLrxxkBfAHMmKpz fMpHRt1Dexj4//3DqiVOZ6m+oqy2pdSliBAAXK3NvQ48kWWtnTMl8m5x6MYMTG9tmnmtdT40ZCpw GwC5UN9vxygm3v9ANgQwB3mvB6t5A1FhMF18Lxj2/1JINnNbXZM+MTBDjpj/2RfHQGPCthKJfyjJ Hpv82x36UhaqwpLIuVa+iyHeAmzk63lXa5XxpDsah0AxCkCP+B+OXV4XHwqSThhiF4jSmHOF2NMX 62nzeuExZ3ZL/59MhHQsTZ1jYfJFjeSez2Y0FhjD9TBTHJZRS+wtQ/wUibOINEKFJNPHbbzTh+lI QEsn6W6odqjbZSTEUBTKnfVawZ3e0r4rZ75IQrS61Pm6A8T6HOtOlnjDDmGKxT8m9bdNFE46Yld0 90pyYZ7JTnjz/+nw3CoU9MHdKl88lF+HpkKejs5i/oXN0Gv4n/B/pZ9UDdJz4WcqIiIHoET5IMz1 OguIuJ93ok3J2HVkstIgC5NL27rK+QYzTylAaEFuwZQHKwpt2OeSSuC7OoEkArk+IS4KfoAlbjA9 LmcQOSlvkRkMeJ+hBNjNCEC/Yccq396TsR9wQcUddBtIWWGnyCjky4IxVrJ1UXOfAQxXDbQHsk7m LU5VaIcj25B9H2VM11jVQ6FTlOynXffzhnzQDhePeA6xJcVGmblcusJ7yVLrgYtXqgZ21OP/uJqp 86TDu3G5feNzFkj54LRk3CQN7WbRXPHOTMmHLFDeXPPpATpFbXrRJ5tXShpR10EPLGwhNX8NDLA0 hAvWs4+qa0XKTD1d9Xu3deTQFY6HG69CCI40V7y4zaLHxdXytAPvQe1vrLqUfcnAE4n1qnwQxO1T 0xEZs+yOO/g1b9mwT7mJHPN2JBOX/pL5jxeWBEb0n/jNwOaMe2ZUuTUX8+atVyQy36Ht4Ivgox6g ghJYz/DQL6qO/Vu8jEA0W1aRMkCff0E8RPTKlQzfqWA/uP+kzVx702WLNJqIN9mQHMWek122cPCz A4QsC+LIWEeowmjpUU0dACeOjDJEVuMgshY2VbgxNMl0zHZgXJd1wPjhbVBTmR3lQ6iR0QokcgGO 7kZoRTvDSYvNL88ZySi3/IVGRpOrcpbWDNn07JHAjv/teKDREAgvD3QAYntCjCHqsELDP/qIicoY p1FRNRuND/SF5C2bFEM+AuNSk7f1HBNTKliDUsNn57d8YHpYilztvVMGEiPVOqdJpTDNgE9XBvgA UoVKoHpoFqaS5Zw3kMePS7QOJfK/0Me1X2K4W4CEfpY9liSbofKOghBi3kDVSRLmTLQ94GOq1iJs FCi/pj4nFoGv/Q2/moLfB3b+yJo7I8av61UXnG28h5Wt+z/ZrJWi3BZ5qVbjIp6xfIk1mrtyV1+T tPbYsjn0wjjAkMsC8vK5WSz+E96xXjG7LjM8HpoKUk79jmygilgOaomIqB5OrQZQi2ud+eIQvQL+ wEtnxUlW2vHW5KMwdMhF0MCH/F4XKoZBk14RoeV17pErBP123HrTfPw43ia/AefsclUabvhuN53c RdvC+w6n/S3VcFbGfmxlf6maE7sYK/1M72IohS4P9Mrg0AxXdcVONM+RAKnKZTBirJngY4AO0hpa ZOiJy8JwOmZWJo70YsjzfkI1B2n8wxTe8V1lVsxu/i5Z2Xd1wsvotuji7H2wrHbgEVvuqzZTIscP jXDsOcRJnfzrm1ung7nTO1uA/F3LGUDpvnWWoqClbDLA4B+nrdFiw+Us5m1gTVHoqix3/Bi8M6gT MxhR2jWPaodzjK83nRrQ42CoMeyFPX1WoG3llDT4sagJAq7ZNaYrx4+7Xs3CJBLLB5AagnJdZKBU VuNz1V8ThjDc70QYgfTea2HrGLQoJcAWH4h9cn1peKYH2RBm1MsucVNXejigq0nfIBpb5YGK/TpC PFGsk7afBmfPLhJ1kEcjjtnpHQJ/Q5QkYbWJf/NrySuw81qIny27ZWuaFTztYXHUfHe3d+7BqXTc OQdiQb9XAWTk2YrfJ+M31hzigQ2za97iF2NqlgmUOXx62+JzUXgAXvxw1tduoDV3+wycrTSzInef HjbalafLH+1PDuwVhZ9oCnSRwlg2Wb/4WRfuVpL475JICBTwNvqSa23LcCNMGyP/fkSXwjgg1Ed0 KgCl8bz/I4HC5bN53cDOiAM1xb5A+FwiphnfyfA+lPO9ucKBFwKeJSN71xICCdCgqxx4gHOXBH+E YRCKtHpFIS+ejjLP3cSUXLqxJbGnpa0QeSRcs+SYNe7is/cTCbgv+yyVlYGYiDIRUgQI5qC88O7y H9DWJkeTvAR2d9CNC4/CyvejJG/JD6VJGPXKNMIDPIZZ01NBEYBsFVc4jg/ODHWAIpQun2PGmZeN KcJ+HWHTmRm7dOa/F6Sv91KW7xk7M/8wdXWBoHDEdw7QUw5Kp+hlpl+Aoom3zShj9GhQBAq7KSQR 4kY5h0HykIAH46dU/KznfTy7pAyjX5XX5gbYkLr4QqLujPdoYlhHE/OT7JopISJOgKJuB9CRgh/j k3KtyC67CFBTM04hoECYDNfWV/7Omt1qxzCCsSFd3Lc3fRXxSwATmr3v8+C4YNkrUA9Yleu6ithy m5uOj1mOcd+vocly+u0u8byRMaGneusE5Y+5Qvib80lNECWaMnYWISNJZGUbbFRhpze/dDonrZ4F LfsQ30ZhGW5vTXmI8hVTzg1lDloPeNsdn4UBlvcNccfPRlyHv5zCFCuIlopJQfHvCRvE7RaAFSBV wuttpy1R2ZPlLyiLuscKLTvj/AyUy23Rt6xkulkzMBXR0MZXjZqj9qTeID+tMJNj+JKA6OusXOBF sFuwE3MQc8xgF2THrhf+8hRyt3hjNfCpGaA9x+pdTzVtAFpAHhUJXgGc1GFc4/HMSMafRM/DO2TF 8y9aYEei/t7C25iy/nBpdw8Otps1aSh7wD5QpxGVwdXSd0Pbj2WbN3ouiiPWdGtpzlUQgONsoCY9 QxRMKSYeZ13KRG/VjGcWv/h2aunoB4fpzveERJ8O9LSZE2Mc2R02hOLqmYYbR1phcO08VNG05jp/ k4A/eFzHtaeEzbfDQZ82Yf94PTbmOkqdwO44ldqObzAEYmRCMkYOX0+52UqsgrdHRO1wrrlaLnQQ V15247s7sPNFQtFVthmldWRYDxwkVLX3obj8zijKP4a3mkgPiG8LF4gMMhenQibkt6K4ME04tVFF Ny0A9SFU1OW4uT101WmRbxRJjhu4OOS4Ny/QXZnaXGXx/6rJ37hBbtBmMEAoOdh9CeyPT4/sSo9G ohRW+8WAbqiIKX39w3wDrbBtEH/Z5kwklsD4mExGfD3m9adMCo0Qaj83NcgAS5bp7zkHm11F+btp vXeTb4IQRFEdTaj3Ydu9eJS2djLA4/lFjO6YZzmmhg/89TdxhxTz5Awt2My2K8ColBFVPehT0FFL EIir7MqYB0dR2ix5sI2qlrrRP2DXAfPbGBKa6QhPllft6u6wTeMh074SON+FUxvnKv7tFRl+5Lpd 2CkbaQaUfqQLGlcC1eFeXK97hc7TG5a0P9Uip+ot6ewUw7eLVoLz8+Fnq4/IGlkFb8yC2eFDwNWQ xBHKDDoiN9gHhH+P9lww3YUTVBbXUo/hX+80fBpOdOirFZaKGk2/zovM5eOh5KUoBmiSJf/2AKBg SBgZ+x+FLlb7vC3w87aAtH99IDNR4bEGVj1ifT1B0gkcKomNlIg6kQCTWgkJMNcAhFOaVViLz++i GTmzyXgXJZTuw20dYRaZUT116egaWZISLA1JMoKIhon05ewNNN02O/yjkRlW85n7ptK0qQZZGqX5 LNaL9yzZ4xr1QzrDhhOHWUQGIr5kIkeOIKq23kSHbt75NFEdBGC8ShwTCZ5e2h/adfw/Fzjfkr1q GZHgeQUp73LjsRr4/Pu5RO4CTfPqp5cVUnMT04JYf1h6Lvvti6bxmJEs4YRA/9M+WanywlcQQ/3f Fc/xg1sjvubv7eKuESJKfOeslyob65aEMmkTTIkffz8k6ZHvJFyJKm6rNyKdKNZVoahtRfg8v1W5 lRpQyGWGxUl3P4jEThGa0IzgrF+HlCGkL4dxq0hkWBPcvfp78OLVIj5Ie6TLyFa1pqcssnUQ7BMF yckoewJ2GRVVaBgdd4aNxD0vF4KBIZWShRQJDyazc2sGUF3Kbzqy63iEJLHcJ8lDdjcrkWBs1U0W BiyRxutT/um/oMtJBCZp0R7PnyGWLvG3GIJN7kNhKNjaXtG/ZASHZR8q8ClVBTlxET1qS13iZ6nW 1z2YAHOEFlhyAtMcywQAXDO3gcUk9BgLSla9Sf9/80aguVzOLLTHgeVevPlMeSGYFciAs7VeTiTQ al9/EbgmKEbY08WAIdEmh3zcexzHi2zI34LYGJ5EuqTEgd87I3MzVtB6a0da//GMT/diy7l3mWtl QzFUeYqxqVzM9dP/xRjoBW4aYan2D6+ARFeHGg2kM1e9ufsOikRFGw5ZHk4m9pHMvBstI+lsh6mk jO+WorxbCBXsEm4MJM9IKkkH6CZrRzt1DvI3OJqS6C5UO1JGBj62CwujWjP5ElRvCNG/r56qC1ju qAZXZbieSEom4hFj6K5s3GjYBFN5U8Qm8KE/HMa/4bw2an1yoTTx9AxCcMPtnsQSG6bQq91B0GIJ 3Sha+wGNVC2CPTzE6JdqC9pYZt/JqBeu9gdDn3k54oOepYVl7tfCFTlYcGoxPzBFKg4r9qEgx6T3 0MrefFcGGM0xzV7a33YxCNEJFgLP1Odo0Krxe5CG2S5tqrFXurmADcivHo7mX3iBc0ma8Zm0ekP2 C6YlxIgNHHVUDHXPdZkY1ytScZPEbXHYqTlvmDt+YPWLay8DpSOzhoA85+dL+B3ikVBq4WjHnABA NjiZ8m19poolZ5NFvtUwXuSyuermiTiMZWv2DI6Rwr5QCLLAV+CoS948+zIHjhdmzcKvQJ98wgFJ LgVK5mNxLhsSwetpsRXtBhrjP9jxNaCMyPWSBqwtXnijBd/LVH8sCkLs+5uHe6hinxeEUL7rNTT5 SNW9PcU8Hyxjuq6/Z8tFeCCKAVM94KHAAoxZdHvV6hMbWmDnE8UyTetVuj9NimfnBkUJOAiCe+Ce SKLAFqv+SQ+CxhSVl3/Z28EBkr0fEGHg5OXkzqEsbD5AnhPcnIDjyekCIwQE8WHAfNQhIBTdSQEW C9xc1gSK5ZivqqYarihBknDbGHvHkUERGw6QcaOzGQMv5RFOHHn31wPj9wEAcbrtHr+JV3Bzlp6V CVhMfVJKltMO+9ShaeRoBpmA2VKDPZIi/ZI17SIsQl66IVSmL16HmflF2fsyG1K5VNKdDMaULoH8 5nWKpjh63nXu9L08dVIygUsP3Aiqpp+R9p1nDvoJ8AYkpd6OB13Mbc+HKaL67jVIE/39A99xRDkM OcyQebiRoyFSEtJ79yfb4xrmc1J6B8UAjq0NG82O0ADo95vhX6TICOoG98L+ZPCxupd7gRvYFhzd WcbITwXxUZBxvx7/jcyMTODHZCgFNgBZ0nwSh8wuodbHs/pZf4bVq46JtbinoUPdruVlNJmJyDt7 WaFPBsivtXm8oE3EkYdkmuSlZWIVy1TKGspQUgFQZmP7FxnxfeaWqa9xODq/QrntPybrY1Q5oNlG m9ad2ovw5TEwbuxmBJdFBTEtA3h3/9yBFcsVv3iZNR2ENeCarPaMA4dEjn8E6Bjhf95wzwNFpX3v gKvVBUGNjAHvISZGPuwCF6bL9BYFtYQY3yBrcRUesKFbtvHtNh7gvf7i1f+LYmfF2WA3iS+IwXZM 7z7CjeE4At3rX5ON7EXktpQMkj64VvJpxLffufHB++sOYgbeRqWIIRL81RCJXoOrv/Qg4q8bmciH 4UH5Z24eS/HuPNqbtiitYqTtevIvGQIZBLuer4XphaRZwTJ11jx2KQ73qluB+BoUMHD7uxcOkI0f UQxTyQ582stwwTQIFNgFcTfFmxAdTpSMvSOdnqC1NbdbBM/EEB01AAVi2OIWanvDXGUWj4uZoE6u 6k9hwRVykxcCm5mAYSE0TOKOTRW5SF6aYXYdBoo1J9B8pHuXllE8hTcrP0/MDlS3ZnmEPvwU+SlD siE32rIWVFej9tgr52dut71S23ENNSDlT9Bw8zpXM+iM4iGJUfCYjdQZsLhRfCOlCn499QxoDKyu zM7DxgEZDHlxPRg8ScbWjbn6pJMURvldpp0mqqashDBRKxL+MZmah9/z0cIITJ0qhgeU5LBxHNJx Xs8QA2o3HFUE4NEJAyOCS6eHnGuUAb2s7cDA3VC+wSW99bdCybCOByGXSDA7o9Rf0BGgV5A6oBBD vAA8PgKoecye6EtNF0GP/flWB8wt50kmdjMxcKY0X5hXHy889YcH3iIkys6vQyNqXuFMyGjoSPVB JvHz5rvqyFR5+wBWc+DcPhBmTzMXwpGNy8d/UmlMprJInpx78ZvdxT/PHsbK4DUAfm5Fa+hW/dzk ELBsp65d6jg2gnBOhoSF8eBV4n9UHx+dBsVKYtJZShyaoFB7x2qb8wxF9fgjeUk7BpVkXbGmq3LR FoyujwGXSBH2waamW5DMs+yArJRwDNhlGRGMXx70eg34hNG71Y9jpdGPjF7LbFabax2Fdm0J9Nxj +etnTG9AaPH6CoDRZVO//yhCA+4xiDH/L2AGtys5jguCgCNiHua60aMQwEv5Y8eSK+Ma++XbCN1J PU6yZzCCTQG4jxYCvT6LF9EY1CH+zH0pfh/+CLR+O5xFWpQRxS9IOcQussqeCrCZsfodo9srkXJ9 iKIIk1I2aMxccc6d7kHs1vzzAo+KPvzB9u3o4oIM4UTPe2HzDJ85qzcy3A1FSLXCX6i6jjL3FvQ5 JSa+eqDMtxBMlMiImJT080gv+5nrYE/FQdL4RzUm+8So8DnUh/r/wJB2HoKPGXkpbAOqjDbMfZQu JZnqOmUscdwfvQvX5T/9xoiyCN7YdYEmULrlKifktMp46jAkobWP2I2WRbVzI/HDIczzzMA5EnHd A3Frb39FCHYkC3n8guGPXvExdYbBApb9cJZdbqbHJgvpAI5ftMtCej7Y16Crn3AVtE10tj623O+o E5y/DSdHjnss4Zu81hPIMmvLfbcRZrrpOCMRKcCQIfdzo/q8DaRECuMbSpmIneGCgXIQvlf+GZ9c ZVpyhx0qvxLkNgPgeynCcq6Q7lFanNnctdnWE1ZSFfdifdl6ZNAAGOaga8cBGD8pYoZI29qq+t+J o6N0gwqhyh4G/Wq7e7YrbwkiraWKpWqbwpXLdWO+GnCJ2hAVsT5iGtj1j+zIKCT8FV4yvR+momoe 8rx0c3vI8eAaU2Stxf3NA5/YWWWBv2eBac7fHO2F9zepDhXhe8aARIUC0klDAoaqHvmlxAI1LrVz EogLxjS9z/U5umW4kNKyfJWSfuMgd2ScDnGy2wuqUGWcZo29a/7t6/ZCYBQbbPLrllMCAmxNq7H4 9b6+SSnD37QbMvhbd9016yD6nbeUH+HuahqOb2SWY98I86YKVfla8fhIVu+vBw9+InC5TOHzn9st HbEM6/dPgyhomDv1TAwjWtWvmNrKoqVBXGah355NXZcIysjwPotem1uRk13XoKeUkH1KHguKpiBv 4XIJUdrEI5eG+1ydJ/o81Le2/HQlWYCi7OSv42sfD0rEyvVPwhbsLTCZlvAwHPiVCS3dw3OWB+wL aHfENk30QHUqyz/tUOpXYMvo5xT/7ww+T0B3LBHrep9vUMqkO6UF1Akx4Bj60XZl+s2759crZR0I TGRHeius25f8/U3IebI+SpjJhJdpzi0NoFkZyDoR2gh1BfxVxxAfWMeBq620aP7xc30ki4tu1V/P 6mOU/psHY9+2sSd2hpFVy/FAA6UFpTS4B9fUJrTV0rFyL8UClWPX1zZaDEDdcMnYjrRZVVyXM+eX eQWAv6g34Ok5w4jt1oGI8LVNxRKR2mWuw1pUzCKOPLBqksJf4akMtXIAaFe7BMZQLqRsolCDbVFL nUVGMc2EBTyDVk1wDEaw4pljV4N0WSQDuwJZjHmxlOglIQ+2HhpX7Y6WjpUL3lAxMt7ZNl2X49Al Ht7rA5wLcjoaJqCGVdG2d2QQR8C/ZYZtLMnCGOlCgkLnIuZfZjwSzV186JXTgwNWUoxsvwl/MZbl Y4RbjWuqpNl9/6V2pm7xXBonK3+DZ72XD1kkPqo8p/Rx/X/HLWf9Sr5+nWf29/cY4LAcWkREMpv0 saSx3jhvmzeqtc0DDOt/XpdKk1F89iLyvg41Hp7/sfDkaEudfkXKHTVZzYYS46neF9KeA1fpPQ/V BgB2qqImA8Jzn+B3xDa5u5EytZm8AQkeVJPrxEi5ZxIU/z2FpKsuUkbM1O2TDAG9cOnYfZKV+c/5 wMutHtVGf2dHxz96pw274O2iMgZQCQa1rvYU0sn71ggOTl46v0KU1jJZbfp50ekK53MuvWXsV3Ht PZIK3KF10rmNDKIkuJDJw+Axc8hqhjR3OxV7G8+8Fr29oG61vWBpSJtYoEk+wIqKDrXW+gVhdJ3V CFEq6/1haqRANidQclzxSjTBP1vK4SnbCFLIjKt7eT47d4/j4fCu27zDR4gzUlq6dDz8T5XDjYbt PqJMVI2euYTVntWyNPv3IHaKuJ4mo6H6YeXrun+pfilZ7SBf4YTfUu99g9fvepKSXlawiK3zPgF6 1TgDJjtM/VRc5kF/y5a+WqAN1r75DdbSvj88DNWxR18V2CfiGOOESLqz7AhQvd9CbXm/ZXf15OII KIkADA4aHTwS2NyMMJMVJjBl4QlMRC9Yc86qovixyv/PurriHRu/Nt+kaqK2ZYPPQl1AlLKTtJ28 4GL/YBMmhrKzyM8oTkIcoEeJLGtWMTucUyBx3CqM/52r9xMdA7UISQgJzZbLxXMdSM/dWpTwOQH5 5ERP+VrzKAlUoTCRq3DYqQJC3rG1j7IASSSZBtHpYtfD1L4kOcxoeTfW0WjWxYEj5G7E2J90MjB9 +AtNGKU8ESlyegF9USK9Eei4/GZobOvKD77m4K9U3zcAntYwU8yCirzIsYfYpQCd5NUqXF6XSdKF YmPosUMQI9LB/XC1oLAhY9abzKgXY0D7YIPj8kgI/HP7rFIReAqhmjnRUC8KOawJJiNZdbaiW/mM OMl1TRPFIRh4uFRh2UxRAemBU8x6MVujRYJYjCBf5GkGMJilotuJWdD50TW8NBD8kHcoiADwz+mi VkQXZc9qI+ty4UgRivtzURxD8jlDEOPjelhHQgAUCHz7C1uxx9qA2lWq+sXQlr8XYn4EweTynlLi IEOaYBscSgR4G2Fj0pgt7LGREGaq4ikb15+fxdUn9XgcpaDWjTweQVrwXfddo69kk9ddFmuyzQ9O A8fIe07rcMAeadZqQ3xXM58E4PRs8Z+jKov6Rwh2T7LiyYZWEl0Bj5jAlZXB2EBf9I6sgb5BMykx FEaLslCel5x4VtgY1pzDleawHpEpKeHg1kyuqeCwP7416RM3tPFpDdIFJkv12ChAdkiKWenDAq7Q C+yxQGE5/zBaXdX7r01YnDXGNxfd8WryOuAjd6ni2xNFSr8sgRve3LdiebVj2mxswsTpWkQ4E4Ej /h6hYmpJ4SoU5HvwyNmHpr9CgnCIYzWSnEBaJKg4sjcL2gWwO/l8B1K3b7xlJbRsXyBIgFcYhAAT o5CARseBccg/XpqRzyjbVYwNHUg8UmaHLypddwvvfLOLFF2wiGTKsm8Idt9RPKbdtF8gaATKDNc0 QVjTapS65Wg+iumQxpInVBi9XVhEWp8JjnkuD7ohL3mR2bVHILNvr5MnLe/z1AZKc/jEMBkkPWVV aGrxHEXDMWxv288MfQS/HGFeJqFLwRpuR5QVcI6Dq4wh4DA9RBzsXemSimbSIE35Ek7K/jal+uVn n1CcFeWv0z7oR1siMQ88DjT2OmJq2ZGLPGdIUR+NxRxkw/gDDDrVx9eTAn/y98Ri0BSxBmKu552n N4udImeB23dBC9wOjXG2z9GPiFmr2v7rdXtsXmV05fAsOMsRq0yt78ULbdHzlK9yjDN23aLoupKO pTkLymHqQIXqWIEvX1yqCaudnqiYNpo/RDT0l9J3J+CFCPEoxBpyyNaL1Wh1jdaj6w3X5DikKgEX coErOKDkW57OTiFgB7vDvSnp9Xiv6GMsw98Mp4UoqZiE/S7Fk5TVmjax00pCKi61g6xKKUsw0DIS TNtNGfRH296KTw9paG2daf87keP9U74fFzdp7o+569BejymEiI+ML3/2+MWDlPjYh/2xhScnsSp5 HvNGin7tv4CPuEZJ66UotEue1jBTJbHtQyU+mjiHrPniMNMoNwlhEPUn+qF6zWHgdDT+0QSMtNWF Kj3stC/VPMxwZLKEhAh+3IyW5EEVt9/d6uv1O7miAWAVxtd9nnhKiis5rWhMALFyJU6e+Z/bHhao v1D+M9fErAWGwcW0GWeersVV56VcVh+xFKvNvZikdhrJqvBPZqh6S0lhQU7ooigc1w2Un9IlNTQx SnokkKEGCxxLJzAMfQmMma0XNphAhtBEcgkHPwQEaFju+w9GnazMxN/k3QvcvJ5zG1baDmKRIK8l dQhJxXiCAsZ9KatsFKDEwmiqWDd65lMF4jp71c81Ul8j+0FiBXKwdAUkhqhJZe0XTkidZNFJUmEv AERzc4nWsbtNn7Z+rZYbPCtVJvKGum5B6kje38Khlta0Z8OJUhdEblkF/emS1I/sQSuwADc2sUBV 8HMDTatk6yHrsSjj6hkoOK32pT29ljaRelroEiZHErn0CzicLsIoxRT2J5Qf3UHVeaoNCpi1tsCC 3UoBLHwIxkrgxZEZYRZX//y7q/4Qo/25C/71u8/6XZwB1TXPW0KQnXcBxJRRpcworauqFJa7fQna QIoZnjoPsTRibtEtbvPgBkdg0htssZ90xMp0e9ZzsgMp1xbH3JvVhkqK++LpvziJApXnkg8eqnca LR4fagTxjz0XfbgEsx3WIyrLNh1rhL1DTt23Awc2NNnzvbqlEoN4qHWiVf8mcnYP3lQkzyZgXFM7 q14QA8Qm4KKR/xAgWDjnuQ7xFKJC2Dv4DHpmORceNB8YloLaSkICh/Yo/aFrq4SudiYiZ+JfvrzB 4VLL5q0fDhJK9PRwFli6qTrHR41XdFcyM4UnxVrDB6qt+kcmbviN7LlGIlyEk2OZYnwp2SlKEQgg qcCHW8uEGvL1b7gJVizBOK6slHbTzzmGH8IN6GVLOvCmEtDiIENhUepiJ6jxcu9s9IZPhvc+X2bC 7j9JByyRTKOgQY/wQwRD+z/k/iCIRIlGry+TKFctbPF25w5jYHvwtiolTzpypm82JOHppsui8edZ rXoy8ODSp3MviILoVC6OnD56Ynsv7wujrCkqGdeVuf72f9scwrLg3ZT8EX/5SWYWnUk6/NMd9auZ vS8vhIqP5b/FOyruTKU380MjOly4NO1y3p5KaOKEP3LeCf68ef1tvfehAd1bfKZmRd227TNz/0/f TUTDP9oJauj7lxWymUGJvaHQGDfwCBn2jOALqEoTMOjN+iTOCOSVnzbnleb+5c+HEsKhPmxluf0u qyMrL+Wk17Zaay2JUZe2Freb80s93x02XQXi5C2pxEScV1YMbXKGrYmFoJDsvyKk4TvaNNmfjb6q 7QnoMB5wyTjorpuN3qRTN3hiZ2FcMpifMEA0PXlK39Ov5syeneD/CVlhbiuZy3InJ8AKFP+koedy Tgu8AnaHl/VTA8JdhRY0LF+30qR79x8qv7FKF0r840YzKBbi0QLg81AoltV5G42YRLd1Tu5Saqvm xFyTqgPAnkXhGpgvLpD51M77O4FGb+Z/LJrtzjokhxr8bT+TrjJRj0ZPxNlkoeFPmgiuuP0x9Wa1 0tUNH4R3Rk45yT5FI78hjYtWdvFOTZEzFmhwsjtIc9/yjBHu05eHSKvekvVdKyB+cCv7mrGk9z4P sN2rUyRFkQdrvHrvZAaP5MmDdf1/+bO1K3vAg9/i9fhuyjn0Tt6yu6zEXKpnA0UczFeLJRW8PuOR YA13klYVf9y7kguDB9GEar67tJUN3RLa+anE0POdJ6AX8kE7hjrCk/7j5QppKxnKQc+PTbCMagG6 H7jhOH9DK1paChVTfvSN5IZNyyH6jh13HxPV29trts3O5UITNTbhz56Lu5Qm9a1izpeNHrwJTxXn VIbQ4gzyxAPyIFk1P7EGJiAC/L431yrfhSp8v09JA+3y03O0mUlhu5vWj+ZR3KUacZYwTM1uArmH 6FNn+EcEKLCrju/oR21g9RhYst2RMkLPen6l7Woqjn6FxQBsKS0St8es0y79nasWtCCScnC9lBOI DYUr66EBC6W40BYNKvm8r0WCqVYrRViIu46XO+gFqf3881Y6ubxIRiLsJcVN7+BT10IWblw/ftSC JUYWqO7Bs6mK1T5JXh68EMNPZer14ftMNx3IpEDczc61XMSao1yzhT7l5I2HNePw27CmodFVadTf xEHRhbU7+KVay7ZSJSBdP89uhkWrAG10E+98mWIMDk9CGd7EIlvGsshMsszyzWX0NQ9bAR2TToHH fL/c5JXpSLklRNj/ktHXh9UYbuvIOrTVa4eW1nIDhIPPZMklfAOyVqT6kTeov7i2+ROiQTm8CBpO Qu9q0CEkMz3aJcAwmpboUAKy5C6M25fKWaMWJCnyaGKzB6vJlDJ2BWqKAu4ojyN6uwwbbseYr1y+ Znf2ZSrq+bZQcBQF6TbzyzNzILnBY5O0TfVrD3IeHmb7B2pLUZ1CrNNZ9kVQvS9AS4TTrPTN/LQG tJTPqeDG+RP2XgNKZnyAAaoSEgA0QV6bfkwxB2H0CC3RBL9kF5s4IhdOR/B7LO0I6zl/YIiyZDum w8yDSSBYjac+274bdHsNEoMF1lt4qFQusidCxRGs7JVkpA1Glu1BKCQeMs4z9LhXCq3eHYw80uZY VLuvSmuH9RvGQBjj3DBzrtNL9zgQo14Ye27S76Erhq4lvf3HPFtgl5QPgGLRM8p3fD6Zl8zmtyKS QDZ4LNQBMWlKOLBEFtyZ4Zg+PlLD8dpU4eZredc4IX/HNzidwZxsh20qtf5Q7CYid6zjI6grFFU4 KyPtywYAp9PMcXXGy688F/e42oaL7Md1YH0V3dF/QAQrYHdEF26qKdZaA0msZYl1rfHLdzPLnA8m DEVEltp9hD9AfmBu+P87cognu/1DiTv4FgIuOzRfA/f+lhOpwkA85sgdLdmzaPNCz1lm4OnxxXHW yXbgxoWhVsIWDkN22NbJpMXg6anNjCbqrWaes11A+UMibuOHJG2btwnPd+som4UoLreg86lb54xB jGFX96llOq2dc075rpi6H/DHp4bwf+GRik/p+ZFztB3p3M2w4t30wnSgZ8fyh8Ng6YptjFeQ4Kmu NvY3iPjWjjEnbotRHGpwO3Rom1NhZH7EiP0eACxUsHM0YbBdiHZYuludAVYJxtfgmWt24qRXNbKz 3WUBciUO2jrGv5bPJHITnDIVvSRzW9tKyH1F79yN29UNYrNdWxE8TO3cdHFOiUkyFq5vA8z6zUBl VSQVPfePV3i/IAdLVvjPRfTBbAwnJEb+rFJsmBh3ZCV48CT9TNARs0SGo/qqSxnQmCAona/K0KJi X+J96BRu/9G0qG7xJZubj4RWek5YgyU8bF/Yif0mJhslxCdKXxb+2gl9oh5zebYuF6EhPgL9+9nE xf2IG6la7a92Y1VEN52e5JbFA6Gi23t4OXKbkxnr/vAFCJ5Ejb7qWEIxdMKeiq4Rdl0/0kcleDth 5bf9V4lDd7VUrEhFJ0QFfff7HA1yQR/wD6I816srJqpj762ujGeEBMPOOq37pRlhspH+zfrWKBSR MPuES9HbhJ7VqgPPQaesXIDZtjCJgD1Q9T7xiAslNs+P63/+h29XL0tFlTeb+IsoWXIHu6DQLtXh R/i4R99aNTkccQk52GDXB9szabibrf7rMtDFNpt3v/F634AXMJPPe+zptLsNdUinS0HET3Vkw44B Sf7yUtPu4o+Q3hSfRXr9Rp/WaTl522JH/L0nDkyBNVIlXAWgZXLNPDF71wqWwudIfc7nnwI9kI6s nUkE+Pm8Ib9CnVLSeO8Ruj4AHPEol/TN+yuI+s/VWhR9Pvc+A4lHz6i+xTy1VvKctUDkrndD6niT 0fCVQubY7rngMdGFJAxf3D+qM+4JnS7DxIeD/CKe4HsP3Ub76HPiE9nQrgVkX8iKkjsTStZamLKF <KEY> M1+<KEY> CUQoo+4GhLtTxsRxLwsyA1UwU0A8Hj0j1DJsXlnzaAUX+5ikaM5Jt6TrIyWl7ffIFEKwWNgPIgzY YS25MrNa3InwCSenfmUcqjb35VBa4J99ZNJxdLdUOKiByJun+cc1pzZWa+kRID2xbMiWAHFUj3cr JX7ZalEe0+6YYUsJxyGNlDTGRs0qNohnqurQlYQMGNYE6d8ATj4Ce7oXFjluX/6hT5MCwNBZNB8w fa7gNAb9Kfsn6hdb5qDtnA+tdXFLqlBR4Yk71P4+UplDoH++KDT/8V7r587ZV2mtYeWuUyToFlTE EBY/detwt+9hOybbX7XAhQtP6UKbiVaOE6Ih27sLQ0xl8MYGpgRXB5PZu9gKyhVFp+nSQh+aLc6c cU/FK3HBMtgBd1tm0SvedgO/KkTrOn+hpi3ZcCY7km6t8163dqUy2rEeUjiZfOqFi/rfdr/KVWWv nSwN83Tg4BCoT+YUL3areHsD8vscTHZeO/5rRCR9oXxCVbRMKcnp/RACihA92QIRY5DlE8g6+xoI JUq/zeqP6/vlBF/lDQSoV46L7iBV39FbJpBggLYa+68MHoBA4RuJqaluSTZa2W+GnlxIAw9tmv3j j1rbOCwY/GYeRhNenpMxaF+r8o+b+D5vl8IrBEIh50mJsbi0SdOBmnWwhlRiin4ZIM4Cgq9PkB46 ti1I0FZG9TyQo3Kgpg5oevCqSByVrAiZhe6D6/PAUy6MFUkZ9TgkQG6o45cGhRufkq9q9yvVFYtQ 9sC1GCGohPucvQumgdz8lOLQyBW1q4whzv/wkVWlJc9olJooa9snVXZDuFmI+/m6cR3v1kngf0qr l8WLS5K6c9cVum8GCPoi/mluQzxE52F6N3/UaZrPOfPbIkXbl8h9laolxCUBkYjMYtRdZ38sv9Kz gC1G2bvd+okBxH+1jm3ZLzMklTvxdtzjswT6QuADnn8O5f57QT1201LOb9Q/Kt/49XRXrciAfv8T p6bkkgQH58BlRJykgvLrROESUwrzx4YobaeS2oMqNH6Ybj4ciygx54yXieZcg/8Y/pIqFcYYC4yd TAyHzuLuH5MZonY35wrwK4jpkmYg7WBOvKY1uj4hL1ctCFSUIcPYYXJhMWJTRFlsOevWbCRGWhWx ISnqG0I+ZTisHwVxv5P8lhkRv6lfMD1pYcmrOOBIWbXUM+KWzsV4DUUDuDaS9c0ICRAU/0gm/4+Q uGk8hbJCWKSPk1P0/SYDWwGcezdHOotDDP5dmGlkh4901kJ6dovfN/aPBAqdNrL4q6Ie7gPRVBQT hdvpZH9xa9VO8JeqwkNvg/XxNh+jhrSliJnwhngDbTlAJolKeXpK6P6Ukz8BelBVlEmfzSMJwLj9 XLdyU+DMaqXA6efd1I279706KAOwAZitd69Ju82i2ycrbFQASQ2kiZkbt04O5gY+A2ibM0UWVOCw q8PrIMOCmjzqcLB5taYvMqN13Q/75qGhEjtLYXLIRxrRFVY+Q5xXGQlnLvt/z8NGUoNBIkYkXVhR eVNNsWDdbxWmA3YBKIMnmTWr3+nCyGAkNrhSUcAJhPAXPn9l321Cd+npd3q2FHnZA5FsLYAWFave 5ZZXV+PGdZQ1JFO0/4zpnma204S268QXiGhgGrQnYpYNUDuvNTwxaqyfT3TjUiDg+C0DBlK8DZ8B zFvxOR0WNhZr4puNR6MByec3WszGGFaYDQB0ryypolOpRilsUoDSXbRXU9cXirrMj7My5z6ZcPm7 LS3E5fcbLBH/o/cSjuzGy4t5ewZgn9aigfNJXyE0UAEe8VMyZT3H3KUGvj3zCm2OLly7ogHmVsaE ZURWyrl89MnxaCf3XBiFIFMEH4pMNhByQufAAaxBpsE1cad5k3+Ip7/vnJtH0rYsWaphNuQhoM88 OmEepOYHpUtIFgkdO20+AdoX9bRZmtzS3QxoljPEfWwui0a725HAHAtHA0A2+7rQ/SiaBdHbsT5G x0RDYS46HRzh3/NW4NaWwHFWdWKP/snED6ioQOCyr1dHGjY4HC/0NX0iNCkvdExlcRhSzq1UIOpp 0MQOuWuywycgkg0NaC9HZyzDkUBBr3C5ijX4rhvMGKRFh3ZBdHTilbqZTdv9Cp0FY/LUbzr2GhcL mLUKLqU5SAlK3SbC/CWEK1a2R30y1NelnECYZ2jHN00XOYmNWWSKfUQFYtaWmd712tv5eXmnIJbO dtsuZ9p35Rvbxd4Ym8G8ZfpdBVIKYtbNNDwipYmm8h4E38gPVDHCPo3vfT1hAobsDPCdMbpsZhD4 TiqowmiZe5az0ArBfZn2DUwkZjt+iDgmSDX+4gHojG+zOn2PETmd2HdQnzzvfg/fQpTpamDlzvqc 9FktW/gC7hytlsRB6HVKGjnx8B3HFun5Gjb/5GxIWOQDfAVq7n0rlEpTHdCjbhRJChHfdsVXj2kj LTf/EgZsJn811o6SyswHZsmfeciqmojRQuejbWNi79n/WwYS3mrkQCoYbpPHEYFbbXJR192tzXxH 6c8VfC/tXhfNfQ8LJYoi8GhwhzzKuHM/sNLBAkY24qM7H8KnBuQLGl0MC/7by7wSFrMlwkDvzkQ6 PagUBbayQdvsSLxsuC1tOOufWYrOcig27XSl+l49hF3+KRxEfHwNM9QMNCJiT8MTbNHaZ05dS8ty VjaNAo9v7NvAYEIfGwDDsrXjxI0KkD2TM/SeRZMPvvdLOG87hIgr0VeaxBtd7W8CiPDJNmTEX4RY UXFQt7uy5stJYACWr8XOqIMqkDOSlFSTajyTBpnZCGO5QSJezOjd+tS886GGLzg0N/ONxTll7Gpx iLYu/cFCLo5SY1C2P3gN92IsEuS64W2QODCrHBL7vX5yWIDLcE487X5qt3T+6ylLHlJJvqYNi7UD RL81Sjv3VzUXMCk2mEJQU9lQiSfKTmXON9yirDLoKHpBoHgCH4YtjPLRoElIsVRkt48Atu/3kddR zID8mR1zebxZZ1UgUfxiOIBIS8W+1Lcs01i5DZ5wQy/AHGwuz4H7eL37HASYJ+ogl//ljI76t1/f 8faAObecUD8XRMPYUasa4t+ur+Lrfu/ER4P6iKR2dfI8jvwGZS9HKDtbb3SHW3JcSwMHJvdwgqBL h3lRaX3fQ1a6MPULXaxC6MWuGvo/fMod6DvTu9aXj+otnvDFI+Q4X8zlCjCp8jGltfuyZJKtHMyj eMyumDWrXqTJfq7uNfChzbSa1XpWXHOxJrTmoqbifvPpNWIU/U/0P7wf0/8af4Kst7yBBxQDcw1q UAg7Kbx/8vDjV0QNW++AgLyk2qyKM13oKwUQ0mCTL7FGCxxgUaeObLOYgC4y4lBbfsWg+xQcBcUo POEXttXVwQPQg30qMsyU9QFcWWlKBTuf5DNjO6mPpCN5X+pRTi9N5Dhf3rH15R97sZMM5czt5MII vUsw8Wnm40weWcmCFnnIY9wNH6ZFtpK90bxTarWOx3AWM/1se8Vp4KWQn1cj7Z78OmJWPeg2lkXI UPQsPGw6+46rNmW6A6L6xqIO1F1dSDSG2H+HoxvZRG472tAo1YB6i5PXeTx44TXwt+9El0rnd9e1 jVG1j8jjcJkD3+C98KXL29TOURV0TnXfIX4Suarb2cwJBti8t7GOnaZFwWPIZFGLNRIZd4ld8YeI AClBwuFePz1sZrjvBESGc4KHoxS0MNInKGP/doIhvKyZFbXTncc3BnlLk40hw2kFJnDcrTOsF9Wz CnqrnFn8Mpnvmt2zUV+/NaKnVvelKRzK3u8jHxbCzyvYmNg7LB5f7GXsxHjGTGLW2ycpI+znbBOH dpNaeDGrafadUD9EmzxtIdaP62GkYOJ6ibzMdBXDkmCi2WJRm3l6/r631Fr7vU8utKhMlsxytG5f +kR2Y78BFE1XvrxkEPIDmqsAlUXmqKBY+Y0wJ7PNKo+Ih5DaHQG2MSfMvdjRm/a7pDsWxhFjn0E/ 2JYE1RMA2CoRfBO5YFMbdKGtzIl9q/Y2vwRWOkdPmMJnF6Zc7lXx4HuA+Gg3eoeZjanFArlpCHiV 7pIhYF673pUuArfq8IMI7NvmKdqRaeZPVE//9VOIBiELvKXSCNOEOAH091+FpcTwdrrY6DTpZtcR 2Jc9bchmyLtGAEVAqVw2rJjh/nipDRMJhA1TNkzXKbBwxtbx12Io1WvoT9t/WafWGUTJiJR4F9wV +B019jtlC4OdnSu+QBG7UAg21c8pM6jI59D77FW5d7H4y07LKhhyssap5XBpfcIVgNxAXmn510CA aBOdySMjgFcHhvyYDA5hFomkHhv1eDwoLgmppGRJL/vnhGc8dV8zXtg8ZVlzy4VHWGQXbnZw6eUv trzOIg7JmzR6tZIhA48LWw6WWxaGL9Q904PwVN2voSqjj0Eu4AGC0fREW0+PzeCkwRRwmmCPCa7m v+p62/MSbU+ziR1kN54/OEZXRvCmXR5WdBqdxDx2tFToPs3IeUfUar4GqruhEbqjvqsYBQSaxUzs oQGQW+lh2kLMCf3H8GsGwnxKoT7dvkux6LVaA73FH1QrVdT5/6SNelb3aq5PLJSM67TTZJgPxQVr YDIuiwdUNbKKApqL7UEtIkl+1cUHgXi1+V7wPcSA7PMXaWp6oKXCpDx1R/wzAND8xBgTzk0Lc08r NHiDlSp2BhuGF9Ct2rZkAelUsENSXXJH/vDTB6W3SaezQgivDrzRu3MBuIKQcrSv3kPLRH2V+dov PMOEh10wiuuoao+lTbQ9+8Z8tu8UeQOtDDukamVLygA8yBEc22ad0ccmH/CJs5Fw8PKbhbarkZxo aj9P/anh1+8puI5IvLq72bqPUq3xTcF8RYWW0KdRbxXqAypD6b55uzeeKT0rmrtzHWBALPBQT6dK 3nO3XnaPX0Q91mQrtG4TGLYofF1fjtkSxLUBwmYYSfzjLRVlb4OFnSPbrE0n4rPlkTuYrHArT08l 5yGkkj0tIIIZKfYIMIKXCoCo5kqLZHT++oeacOkWAayN86G7/MZ1y+By4oWLS2N6fOgkOJLGg+pP BTSlNePWAX7e+9vYYOA24hsiCbDOeTF6VbHzqr4fKykNQPmH0rFhdnIPH1AD1Clx3yJRrRxlegD5 MCN+MGPLEHxLpQpOKxAGftxxZMvzUaG4uMW6a4qDmd95RdGIWolstkzLeOpWPnukUGgeZ3LCoQBl ugRDdJBV1xm/0BsIujvqQSdTgfvTlQVBl7nDuPfADy+B665jPZd0OOxzgDx+01Fc82YVAopFXYxX 6uFwMLUerzmLFa8Ym+//7VeyOrUOoEF6tGnREu/vSy4jG3tyj4g/3y8XVuzY2rGPLRIAj6Ubn7E1 vro/ZOV1+f2flkiEN6Y4GnfWlNq9VK74iKU3CeQoSW/xUvnt3fbh4eplKGIzf6CqCACWYwBDtEXK gIQLBiA1BXf5M+AHMy5xKVGcBaoFBbGUdDygqo5x35XJkoHnToq1+aDe3Ine2yjrt7xQvilOskxv UmVHo0P7A4+wCEWc8vxoTuO4EcHfIEgthGL1Fn781uKHv0cmzdBUrlfEUcb6WDXoI+U8MFCpHCCW DB1/EVBmC2LxmbCq4GG2xcAiDr+GU94xjKgIQTfmG3kapnru568SZsl0po5qH5CC1lLLvLjGF+1k 5PffWWp4fB6ZMFgX3PhwSFCOIowA2ID0ZOJGZU61vvp/R057bBfI+ZblnACQHEZn8jGii/2KhGxg yEHHfDTaduLKmeewz1ZxhVJwpq3Sbr7NoqB6xtRqXkLjk7chOA5Bx39LSYKjrdIis0fZxbr92vVI fxoOFdoYsVyOVSCga9H4E2MEJ8EwDuOilx5fmevCoz4E+C6eMvmHvd6UEOpVjZydrPkwcSJ6i/FM qxjJcVc93mzxIbGUKGfj77WgYBayOhF54QwD2JqmANoEKuTKlZtuOFNaEJEzLp12PzrJSKBxvBQt dAW2eaBY496KltvB1fRYHY1kVScVArEqe73gi3bCW2XFWr9qkw7etHUYpo02MgvR7mhmK3QIqbfE cJpLZeaguyE6PRESrav32a8ArJM6b26EiYbwmD9gSJIk+NXIyNs0VTlge3A6DKL4Hnsr1krFre/u urYQEKbsdh5diDJ5ygO+cpardOpHxkYI6IZXCRM4Ya8Rks+EdUJVHrqjQH6gKglhpDAd+K5B5+5u 2zE/+BE64snE8zWzdbKxz/mlj4opcTrdVWG30gWxvxYxoCD69h3dG56V4OtnQfv9B+NRYpOGaHdn myR0Rivb4LPovqQqmzBPbWUGIW25QnFo0GS5X51cVB9PVnBQ0U6TPBOx5wewTvqV4lQzESiaAvS+ J0i2uqsTKK9BQSurDnAcIzizNV2OFr5DMDKwiUBD6cdttaykar2NMlpSEc7H19GrN+3dNynQ2E9j lQ3ef5SX/VioK0A278fcHi+yZc/tQ7KxmcAYeXu/uHa/U9CyCMkE24II12XEQKAOINVCuH7xuU/r FmA5qfYAsOH/VeC6Ns/SLE4NnYMAYKw5WrGwP5EWXa7qWF8orEkwybsbFNUzzHNQTiK2/eS8etJq zx2huu4LvK4TCjK+gwtX2k8+i4sRjjbf3vODAJMckorwuQ8nmHgY5eeKlOIsiWkPGZEYhTbbXjIn thXUavpODAYy7r/2um84OqYjguYSvunIGCu112YXi39vUQV47iMzc40SD/nO6n/xpMx8p+j8Rrpk 3AWeQ2xZEqCMO56T8voh9k3A4fq6qJOTDzj8CH2rFK7igKC96pkGQlNzp9AGEfIuyZsDpfqZk3JH 2KiTS0jyhTFLdNui8XW7T8kh7wjkrqr95x8vc02IVsZtsQpD2BCLROqEuxxBIpe/gF2uECQk+MjV BT/8T/vpUWedyaSDTVYOjlbAbpqWpQpHQYiXOC2Ng4KBALGykz7gZSqkRGcQ3vnzyr1NG2rdlSLi oTkjHmmyJatqaDUBB2+d0kYkisLL+ahZrxlc/TN6aRajMZliXWocKS7wnOns1/4tXEZUSoUTI4/z 48UKMlJmepKZpP7kssR3UpCaK96b+oCpbUA9F31MARocitx1xMGwYy8f3wFobXRbduD9h/juWSzy 5AAVcSzWgdDKDnPan0+Os2Rn0+G+VnGOy40WL+On/WgQofsC8hmpx3D34jprlkWKbaLA8nqkTnLd aJ7Z6lYrfjbxv28ErT8l0yDJDXxjkVM4BNkWaiodjb4Vc9n5gr+nlP3GPKUGWvnjfy5HBHwqYkjS C/qJ1LCf1aHbLheLYfpAsDq1LXvKtZgr7AvUU+Iitx26gCDbYBRAJw0UrqSmzRouQUkytFVnSiAt Z2yohWIfL8/pqQp8KbtIJK3lS7TIwrYgdBUo+t6RhmsjLSCjs6wF+zNtvhcICZSS3tL+a5YFSG3l AEll3ySqBneUMy61/T6WOiUsDil5G5LWGl3xwl7ljqVs/8MNaBkNceHUxeStLqD+CdIHk15yvFx4 331AXMdmR5oJJGqiOfAXZcXaw0lc1Xi/7sZrkl2NOsXuqkbsMhrtAH0TUZnYvyFPYqZub6gHi7zp DOsD0zT7eo1s0HNXJBaZdTStiwaCxY2tDsJWfRtF9x0zDPk8DlKHQdi6ro30ak6UnZhwQJUuCtQ1 /OEPdZTzqna0VSGcv8+k4A2EZtBor3MdGN2yR4lkjLQiJ3QOkRG+pLUbshPGRBzUo8YmWaCBSZTS 6wrxkMZFaCJi0Rc7nXqqjm64yT9eMkX5U4QLvYjmeT4HwIrSvo+A/5k9bRgrxMwXjqwQaH3uO+jr pahjE24H3f5CjrUc8sOTIHLFYPC3BCjk/f6cGnFn6Wm/U34IfyTLXb1+V+TMLkxkruPCs2ZqyAJQ i8rYV7ql8+SN2T/o0fqxwD8lUL9ENAwQGbb/4GVTcUEcZ22UR/zae3rIS2AR/Xmb+BDTJMOIPc2X spi+gydevuTLEwulk/ckG2sB7+DfI7+p5Pc2kAW85sMqRSO8BG/GwyTtq4S1xHE7PmOrTg06+wrB l07IHNL+9j8f5LKs8f3OYpIKkM38wAxeMB3Dzfy72eneLB1J1A30qexuWBg3GK3mD4zk0khJbddi picgEGJN5qJQVnaYyk0TQgiGyykIoZ/NbZR5/olrqXeo4moBT3/GnWz+ePFjxKmTg6obfUpx2sdr gC7rBxLzajx8tmr2BawaFJNAekoH2Pd/mARtM00SFNWsBZdg9A2z7/GexTQ89qskXPoTxdVIIXpS hZOxfvTzfphWmSx4mritshk4LLJ+7EQZfa9Ec3rirK15FYUJTRTMb5Fh6hbrkkxoy46b4NCWvUKn Vl20D5xjYM/MQ7UEsMoJ8Q/ZpLrfDYP/QgSLbdUPdGUBxACjZQ3LTgTbsY2jN6kQnRSFlipUGNth +A1nH3qPI/HFaN3DjgTUev5vT+LPRqMGUJ8+WqZom1/EzoLdVTpfbq+PMXxMmJKE2drDLsK/ofPu jo2HnpaD4W4WDeSoZYjZ88JTeT3a1Npq7ND8Wj+vTSceErlQkY8uqrC0zoAWWfRp/V6Zg9dO06HK fc4YwekQ56tZpbSjX+HaaW+AGLfeyGsEoqZCYQff0SwPJlAFEuZ+w97FdbfmByaRItCZjZEVy6sw 344UiJKnCT9BIsL0SazPJ/0SEuVb+KX6anFmKxgTOCq6we9jn6i0IXYzGG2JJ6YRJGYj2uM8eUCL TBn6QK2GyR/b/zAxiv69ipJEpddBHQ722t/2MBPzubaYMbiWWojoJ1T02/0k2Btsg9snzxonx8O/ b1zFH34OhaUPU8TXgeEFHf+Wo2J4+nMrHkFesh54hUAqbEgNYpjLDkeKsPhYZ6q8vYCW6sJIrl6a BXzvdsTHlRe8PVlMqr2jBpz7wii1C7khRj+UcqygpiY3JG6YFHNhKG9U7Km4DNjYGWV/uCCPAwRr DkNmZApPYCWmMbdMV1fQ54igXTkIwudwE5vebwa/Tr0e9eFPaxrtfJj3RR19ufE8Dr2CbIrQ5Nnz e3tUedo77fnRjHRqVbqxsMJS7onfYRpb+/H076Lj/ngrrxnd9EbJ1K+aq9yQLIYm469ZvYwGtmn5 Mrtu/3HX2FfeQHgKnyyD6mI0RAJ1Dk2O+dBn+4RWGJkow0AhzKyi5t++8ZpNBDdBbAgDPLGM+YaE yQZ5mVjVztD4Y4axqcNgS7FermwSmTnMCpo4VGr2vCeuC2TXIwcGNdPgF/xft1PiLgaSY0xfL5MU gDSGK+26pBZSlqEb44Lp5m/9kq6l3DfHSXc80OXn84FIPeCTbqFBtdoKHPUD83Zgjy0FYsnhedJ9 7gs0DhJ0kJIDcot3Xsv3mbz2u7S9P3TMB+tuTRuLhLYOZVrGhbXaEhOvaS1sl9uDABNrnHgX1M7x RUIxy3VH7/r57tbbZTnwzQMcNHchFmVUGqYoYJAH/ZhqAGZohMTtckZTdNpROD9bxpgvklfN6v1S /P6V+2SEpHh4rLS4+6lSoIgYHLEZt8BYlkB3txbgCQU0Q4K5bJJGrk+hmbBUJyhOCUDI7uh+8Sb6 qVDSCpMm/QDIypDuBUQmEZoZckgdA1omospjtZUsmz6K7Aq4QcbP1LsrELbsJ9HVWNXtqlR8zsl9 EqX0hIZ1K7I4d/xMdY2X7b3VkVhOODjc1GsS7mbU1JJjM1PBlNEZBJj0GDUrUayUBgviW/4Zhdza ImCdhhJu3XcupwpGQmpOBtgyhNkM+NsxvOIj6rAqM/N2hvzTEtOs7KksqqbhKZ/n4OCRJNj3Bdio /OvRpN7Mvh/aZa7A9giC4tYilFd96fSOBRL/ZZ0tGd3vCdy9d5sjYJF22WbwTNBE6ZQ9LfdUSywB ujWtvopJydFzO8IfmQR08pemDsmcihKU6esdoQ42Z/esoqaqLuQ40h5OhiNJKaDxo6QIbB0VJgdG 4M/Lw26lDeY23BRZT7uAlMpg+av6pdRHKk8iwfWe6jKuRpJ9HZ61MlB5a+6xLj1afGPs71bnBQAa 26k9FTGH2qBB0dLGgTjm1liy+8bIg94kyF2qCpfTIxd85ysvmEge4MzcQdvbPoP6EOh4h7KTZO5J aE1199XOhutkmSWqItxdG7YNx/gd4/3mjFf3JC/vIzNgDBNyQ2qBCrkTxZ2X63wa6j9am3vJzsie evqTatQVaihEB2mL3yeFnnlmNLNEEndSfb1PM6rcVrY1Hk3XYavKr4Pmp/T0+B6D1nH9qCncunyM kQDSN9SS1oRSOSzl+OifK73LU6TxiYF+BhkkrmwPNPOE1DDzcy2BfBU42tYLMpEa98QL526yyGN+ 92CAzpi+UIV6rga2HPz+W299SI51Kx13g2J/lSeBEv3xs7WjtMPHtkOUfadB2/pZppqRPFnoLow2 9kljA0SbtgCMxxPf42HgBDVaPJLVkW6zJ4VegNLZ+wprkZtp3JsjNZ7Dnqrn7Fr6xeoBmUuzpRtY ke5XFtXd8nhLGvWC1B9zxBjAy0eWm4itmQAAjBhNGS5ZAq8hlZR5a2QrEHVcJ8/8XdxTzhslhUJY h7apo5xVSjYISKMOT+AxNRJOTtG0Uux/ssPCN+uADxA0v1H/P0Hidn0XxHpHlxBwl5rAVwF+2X29 B6je5c9V6X8rqB8lZ/u7g3Lu23Dj+GMlvxlaD0ZLgEjeJaMyIEuf5Tq96LTTx5Z/2CDwv4HQagwD cr/lxz8dmnsZ0wwj6pyU6GB0jgqPPmHwiksdr5pVpqSA1coMlbpaBJ4fMPSCI8qBZg6/WXQ0R7ot 8FyZP9VxhHVaWe3xbIRyfkvvaSiitbkvc6LnIskCcF+mZISoQTERPuVC+aTToFB8q3KdQiTKa+fz IjbQXbWWT+F4TxqrsRG6QkCL69pZDDNm5z5gBP3hmk6Pwq4SV7vUJnGi6DQ+3PGZ50KoXsPMHG2Z JxtW/mCDOWaYc8KdzBuUlbdlrDtYXQ1+SYAJxJIdJziyXtAv9QXdgdnPMwFe9FMw7rA9MASAfP5H G/U52Ib+Zz0sGn6MMGQiAV6AYZgihLnK9v55ehOiMty2+Zr4DQ6LsiJ5K0OFDxn8cKKOTDZx4XNZ Tj+p03LQLu+baN8y/JQQ+Jiq4cYhwiDi0sxKE3AsuSuGMiGlEoZas3yRIn1kbmNWfMBT2KgExWSY a7Jlp+Hyolr0Ug+7FsPWB9G6Oj8T6YQ2sAgXQuWYPlRHNbSY7J5XLkE/pUJtFpOufBnK24lHN8Ho 5QrTY6oFpQ+bXWMb7n54qcUwlW+EnGCpHfxtFxeHt+wmS/oXIkpLWD0AmNsX0ZQSRWQw5Zo6vdOW AL5SkOGDLDjT9fuSzn5B5N8yW1v4S8raUTqp+NgbYl2IyF3Jw7boZl5QvAY9T0MzpLJA+f/4Ctvb pr9WZ0i81XJcAkGbkqknaWDoZDc4eDABfhKwepRzM4ZdtZNC/t2oqzEi4o1gPy7IFpaXY+VVWsmG w/k7qJkrf/LGaMbMjtpv+mtsoB05pNCGzewWpgGrMXYMPUiYcbjbxFoEgmAH/ZgWJ063I/GvQ1Tm UP4p20MhlcT0lQeDhPirRisfFdehk5rIemJdsNMmrP2ZGpT1bIOiW6N2MvxGJmcwxGOGc5P6/N5Y 7Ka50dfbjYiqrlDv2wYQDXBPhFs94xF8WC8pzhjHvKdnXx1hbI28FERRZ8Jk5S7VmgOrDbWy3574 JZZ8pyHvjUf/Lsi7bEEAHLCKhZQqBjRwcgqj0Qj/XdrcJ6zH08Mkk9L0xCpAdVM/ZZvxVEtXMH6i WB/AwzHVLibW4z3siGIbRKsbM2JRtx0BsDKK7+rl1btoZkVx8xLYuC9x+xWOX6UtiMelVOnH7O9w rN3+MIJZa0KAxJsztymcLaM4blXPvLrMF/CFpwoSnKiql6mw+dvvVzVWlI2LqC2XSxFLEd3i/fLd MiV1Af51Jx/+rKgxo63g77drqOUZcv9wAPvBM7gweptg8ADXRy9bNK0FRc+9ziVt56OMmt+BgwPp BBKzqNKNo3rKe2ZFmuLbeLevrWRR6L7QWT/JBvemOxMO2JqiG7hgTita7bQFBALZgpESPRWx5/x5 olbHc+3NeeaEAz1BtMiLktHiuFjII2VYENwzC53DE+2bC7Ni1qtqAoP6APcStqQ56AakEfcCCmsj 4Wmuh47HD6HSeqARnyTczEDpA6pMZtLhSw083trkHy3RUtia/BjJ11ph8GCNmUrFFwsKSFsKh0qZ kujHjYB0BVTWucVyRoo31Wg4BLpGjijz+vRUfMc/lqPWtGYPTQWzvAPIEHazjeW/gvX5IbcXXxLe p18Ez6859d1wOIilJGKVpL7DPwxuWfAqFPJCd51QAxG4/e6KghhZHaYyCjLXolEuPF3OcvnDYdLu +a6nTiDy+6yf6oWimhXsc2ll4YCmQr9sY6ExvObugADuP2bYJ7Hpmp3A749hzE7bwpjYNz2x7YxE 7Rlv0W0spI6BQsbq8IJHJHXWED2R93EU2OnxfjrF8sX2loVqp6R4wl98EZTOExJO30g3TcZzlp9h crLQ4jU58PWht3P6yGE2uQCKRPRew6ia9s6McVxvj3A9ZiL6EdP+MvktHnsoGD3WNUaWvjp3U2ke Dtn/9ltXTmyYs2nutxaDGzDy1ipeKR5eCeuQB+jTBHXCZJLRSyP7YZi2u39LWIU5silHdCq5Alt/ Y3wzoVLLvomQyHEWEGSbbQw+biAqnqgVkFxY/09jyBKPn3EyEIJvqCLBBpacro8YofFdPj/o4+Nf DMPa78mwtbcAE5bkhKWDKxGw3+Ch3LuplPx4Joz9wuFMAl6WPIJpo2LVtXhX0+ON/FkLCpyG+ZdW f0ur/Fgotz26VCQqQCJS5oQcgt3h+Niebv5+sP0/zlBYOPzZEK9/kueLHbHQ406iRYGAub/2yiYa /5Bge31wL8phB+Nfm1+mkSQa33AKotLWp+emNr8zTlXpyLBl4jraAB+tziKm5PBb5nKjDaOuEyZW Uqu0DchnnG/CzGObKdxMEAq4Nfoj0RZhmroEYEXACUEH/C1oqf43UPjC8d3K79TRfuhSs+KVjv1B x05hpaOWOffHP5oGlQhBNMFJD4QHz6DO0g8YQi0irB8E4ekdZOAEjdu7I1D9DYj0suULWNkImpRO piyovENPbl2baPBiIizErYdOJJRKYlQOPZxj3g59CdNt+W4sZCBjivN/n+Yn2609gn+PTczX0XM5 2cxNkp0IolYv44RhqYqAQmyCZneaTIKY3nRKqtY0rMOnYZDeWEtsSb2qSfv+R0NmGFsj3FYqI7Nl rQaYrd7GKda9o+mWzWWqbyxxH3DwE4XLbES0otH7gAONomUbOCG4dsUDFBWM2N4ouXEuF+QV9HXG xI04gYueTIz/Z7YRyGY1H9+OS9a+to6YmflsSHFhZLyF4x5IsjjLBce7rv/vsLgu05k8p3evDECG rI4DofJnfL2qafsfLbI17j1RmB8LCx87JE3hSl0zfo3PIL0TYziomu0+Pq2cPMOcLP7XZMPz/zif imzudkEXKo9jt4ame6ykh2RJaleSoTjUuskiqyFS13tHr6o/bZw+LHnLcWBs3NH6455cMC7/HGto hPovXQXZKjdCT1EnOF5/iFZYGFMviqD30QRqctXYt/y1lJoEEmJKBCVY+VGMZ5nLuPa+bag4b5NG 1URw9U1TvugFK8kY+WqhWrFSUQU3/0C4WGZc7JD0GCWINjFg+6oCaHtCtJXtePDzZlyWi2Iicb2V A+zAitcrTCP2AchzTHBHZZ+p6G7niUM3tf/Om/O6N9PkFcU8tf44/q5ypJae3+ejWwlgA7LKjWK3 lvy0GXW2cp2uWZdr1Tqw80GKbwS0vATK+zchwE0POpKjOWINTF5P7hN9vFkfZNNE0RDtRb16Kfak 52PUcwUQ07bYwZa7wgGG6w4UzyH6BSa+iLmNz8L58kHWG+t4YBBm4C4rVqAj+U1MuL9KtJLlCXDw m/aLBg0dXcVZbsFLMByncSNd/tlpT3bxULFogOoqaBTUWnnKo3K+6O+xX0eNSAyKpDjgU1FDXRZG dReRfYp1HKgq3LX8kA9SUDHJIXAoMUsiv4Z5y4ZvvJDIhfmjTbANClI3WefqUL+EipZrgwPhY7Bg CxWPuC4PxFRvfX+cy0on2uIz+ppweVAvFEEv41A8r+QxDjqDIRqchkPEThcJi66jbDP4pPUN5fD8 Xupkh7D2NdDdaBxOU/nc0soqLMWJKn7k4SpuQKy0TWgTP5WShXK95m67knpCyhi83oeNkVGwd/yi R5jvGmtIS3YogaXnvHfxFiB1bR5+w7eH6BsYRQxG0oe7X8hRYc7OHsXpzdWVvE52/YbLbxFnR9W8 g9x/8ookWUtaQ+fc+O52/qp6IZCHbumu4MzpY7/Eb1yAyGKtFjaJoPG/KxF3i/X5kPtg7x6yOL0c xn0l/p2lA63jZ+oNju8WZGAYnamuBNPuR3wCI62Nf+g51yoIhVXIskHJCJJgOGaSt+xTzXqqEKNw rV3N2FyEH5ZLZXWU0G3u9iB/S+bXDY/nmeZkV5/TKj/9fdYf1XItMJx6grIkW/PMQ/yABIc4/wOT RiZa4AJFvVv02p4hDCDTpfvBNNJsviwOxHRqnzLdMoTANJ0zbkDej581yltikvt1lTPkvBnCPtUZ Hr2vJG3zju8JqwzMyBvLdWSwnMbBC/y2CzzMTfPay4tdpAx6MpEDqA4w+gQawJu0nsRiMU+mRXcL fuFtO+AzrVd+Hi8mtTl4H/z8Rf+iASpSmBQNVTAap7x8lXgYsvvtLWeuS1/LPCjc52GsoHD7gofp LAYteFoFGgFtIAZTG7yTOm0zCziO1aC4TLkPH7h/MqUfY/+0izKpnbEnuCrU000WzA1ZgqWEYyIg mzeXg8fSNCZzW1AlOV3co/cdSNoAWJNnkdp9WLyuabOJi9UJMS7o76vY0xHF6iJC6izwpXzAi2EA vjUOJkgSSIikILFCuS5pmA0Y/g/x2QRbbEGJv3ORDCq9JMJry8iic36K32PnOMu39SbUjWM9slW6 EoMb/oaKLjjthXKfHXsLwytBeNtrdzcssCUeLWtbr4VGg2F/NtvJWTlbI/Dmy6UE1AzjH+zwuxLS dB7xlDgQlppyGrXITtk1qaeGdwDIe2we/w3+bufu/2QJhSEMqb3F88ou0YuZNlzFGW60Ht73TVtE RC89um1H6ep2GHd7iMX7P7fB7yQBTLdnh2ceENSRpszKxiQOHHElhwKeeaYTIg4qHgK2z1I5drmj ipISxEwAiIvsYwjSaytEJEqvGK0emXVZbyRhIDywykk76l7B+0L3evfXbiu9CaACL+NDuwsSxnIh xm8HKpHof265h7dqQoySsai5biUuncQ1GLqclyZa127m+voj0cWCSg7icc5nB9HtnU2t71XB+icX POsWHY1sZ6yGWTzf9fSSTTxuyZe8rpABfb6TmxyINDsOLFVN3W9ZHTBP4oRCC7hI5YrhCheZvg1G +leUxYKsvLrNmO7jOC3XjA2K+x61J/BY6ucHwEsZohDYoOlCbkzZZ9CKrAPu/4YaVFWTUSFvd3PU 6cvbKeWMSpck6iWp0ohRBaNujVGx6RawOnp90y9oPGx6M+NhozInDZbwlF5ehux8DHuoJmCa2smX m0vrpbHDJiTV8TCRMZOuGffjPgZ1abNIkq4YkIZeIUEunNFXASZt1q/pQUJDeB7gltVBE8pwLEWF 0jMSSyIgtVhsdg9WFwxqFGr4ryTc/wOvBKaRS+iYTnIVNogPFrhLaE/Icr/l84hYJ2xUQWRnmIyH OKL1dP4PAVx1JRKV2n9RMBvl79jcp4OUJspuRTLddgqarqvc2fCQ87MYmJFjM04ksTJIn0/y8g93 q+Fu/mCklang+AcNkPrWCb9vWkr9RNjjxKT/E3ItD7APZYk5yaDdji8Dp8Mq9dKmQ6dm5HRQQwZs 6chEVaC4tvX6Ibo0ypHwpWWJdbgQy8a4ETyGCFmURD5PWA2PZqsoXuehJ+aqxbZFmL7AEtqgvMdr Xa2JAd16+1GdjsUjmxiEuzzUeWYdQPQqvf0erh7MfOjZol2T2rLliRtbDD41OtTN9KbAPsKhbnn/ +xrAokIGvvz+9Yx9ttY+1Grs/YzptOaVB0+R3o0GU0F2pxx1jPMKfSRTXZapl3x1lPamJgUtNRrd sgynwI7fs7y67UouTHVtGF3GdhLlVYT2x2mp4LkJJ2fsqqr/fh/7NdTFlevUmG8iK8r3uf9G3snv WGnQVLeXymywTLqsEyxA8R0Gld77jbVlmb8k76QVIcTad+nAkGZYLd/OWZMZUUnItH2GMyl5SWAo zCfNp+HFzJK4u8OtSw4FQul0ZtY12LDT6NE8q7JD3b9l8A4LpX4iv/qWYctjm81/IMV5TXPILOqM PUJPoEV/VoSzEQ+A4Ze1HimcNRncn5zncLSjZzBILXuwYlb2pwVjK5Lt/9QdITnSEgYIh0W2nXnP 9PsKOXAayrRADdNNLQsmpLFC274GtAJxKUwp0cjERC1TdP3ZY6VPXbJC/rp5/Fcm+mrM/bQe2mK2 xVsvkj7RnWUZOvAp9JKEWEpAUN6nbo/pqokc+chBWbqdD51ocyR5r5npFSIJRMug5ocxHRhZz8ku b4n/vYG8MMnCB14QOIKFqjwKx72bvmVXA1qMebhMijdCCkpVY4FkaWR60nAPCXQ9qOcFP9Zoufz7 XED+82c4t8XGYHNKIgENduH4tkfPLLunpg5GyDGtgMD3DAS8yKp0xUeIscCck8rJPib7Pj8gX4Az bf/Jc3blEbg4LXfZliYY7RWO2h0mbMfl+QWdQKKNUTduUkCCeQ5SkHVix9Tx/LUH9sTc79TZVlny 4Wt9+ZME45TG2Bz4KCusbSfwU0n26ImjBJRcqrIa0xAtDIqfdZ6Tn3ef2iS/MFBk5dVP8Jy6bASw TVBNp7O4vNa00OpxdDepzK4BR504VCn8ACO/MRaLwG0ISP/IWPXkVB/tzA0Ow4nW9pCFIk81hTCz AsQny/Epa/XrzhR64SYjTc2CUDNNkXwuz+hRlyioUTtAI35+XaBaYZAOj9w+kN9W65euqJ4wP6+Y pKsWkbCXjlBd3l2jTd5/5Y4ZOQqc8xfXEeWJ3JpJ74cm594mSFq1JLwP44sQsNQ39aaY3SBuiC4d ATCChqXhdDlm4KVrYohr138BEeeuPQNVFY24qWyPBbb1wBo5lECugSRcx6S0jtBcnMJviJg5A9wG w7COrOQ8okVVp/9abjrcqdIODZVJU/RT03Ir4MKl+nX1D2bPVpbCPFZPW60BOMWD1KjR/H4KGVri xTz6D0NKDxt5/01VF9dIlmnQ77D6uq8LVBnlDeQdNk4FsTP7S6vMm2Y8ZPHgKgqG0a1VQLbDQcY7 YYDddpeZd89zlXjWp9Xv11E7M+8WXAq5k57IpB9uRHWGXCoiSDyFNWOZpxJhc9FKBpaQaF2Pw1ez QW8E3bvVBu25U8IJ1wHTCp8l4+Fcoq1WjNjOld5uLcMYswsh2w9+w4moTeQmknkRFeDcoZp3o0s7 fHnD0fPy+GRBQ2yIby652spOOn5+H4GuzI/wczIaT6EtMZbZMkWRBZRbX4FgJWNUvzEPOxus173R pvRHb53vuN5PLMROeUUvHUXLuunon9Le4AtQK18cZM89qGgP8RnslbV9k1SmkykNs87sBKtxoWOp wtneCgtMYnxaB/ihMMEWpTg1i8FUaVhAc5zO055O0NygatDa6nOcL02ovBZGun8bXc36WEkcaicA UF9d0zu2WmL8jRGWAoV1CEUdFkRLWptaL17okghu3DCRDNHNGYz6xGQ/LE1nnJ1Jl63XtXODOJy7 YaKwH4+cgf5gh+N3+LOrowmJKR8aP+NuY1QiWg88aTXu98WGL72WRTxNHAnkD7w+gDQNaZRW402M mQrfaR0ZJUq34aZrxdrniD/BKa9JCO9bSSDCaMxkRVPZIDqHWF3lVt/9Suw0lJLsvs4Kkj3THoZc iU4ac+UX7Jksk5UA2LD2KukcrvkXV6LbJV+8CfJIEYSpCaLut9/LUjTADP8v3yX5E4zCsGmDE5cv jVOnl37E9SuJPe4MW3hCQiM5AdtT7W5vm2IGwCLBCib9SdV8FdKlRIF7Mq4NsQKqsP1Ajip1LTU8 ndnFQVtIotcbdOlhJy+g1f22jaNinvSEvS4rCN0NRmLUjcSeRH8oOa2oRzpO4iVs48Q5pyYZT1YG hkAbAWcS6+veOtR8NOz2omNh7ndVEesUAr4e07noz5V8CO8YjxyAxOXlykz+Yo718Opv3Vz9Z4+H 1AeOOE6oIjTIAJnELam+4CoQPlZJUihYVWMgW/v4SiUE5F84zDRXTUMKKhIJz9vdmRp7LFEMI2iM 7yILooIDjsV2DrGgqs+fc2Qvw1TYA3V0Bf5j3m92XRW1rMObXEMaf8CH7uBf86W0RyTGSxk/dR/p 5Zr0MNpVvbQrfBDLCK5n9mvMu1vp5Hb2JGb8J3g6Nx1W1iqqau3k1zoSJj61sR++LLQ2SZdbHV+m 08lny1lxSzamoK5N3kwOT3OLRMfruCoCbiWOHJTCNFMTFAd8axQ2ZFORZWckXxAC6sDspNS275HJ 3UDbLri/OKm5JIWVTcSyaywX/JvgvZy9ChyuoLlxcDTFgkjFFTTXY27Er/ivG0ABMLF/x/nsLHz5 QNsNjoyJVBlNKGwPeuzkX/SnlK64x4gNfJvql+/W+zp2H+e/QlpjJu8QRxwrm/mmrDF6GsUu6Ies 4UZjAybQ4y74BWoXksZuvjqFJ30/w1cjbeA/koC4Zap2WHh+AaVkZRqO2mFDuRXJCyCqrQELSE9I PNegQpf9V+RiUD46TlQOishSi9iFLl1ndcCi5ascZym4Az3DXHVosTaaXifvQG3z1tYh4leJGyo8 nq0gBp90XZGtDrX0KcJKxy0VLHw+/vZWc1H4e+v/2RBo4s7YgOyVNq+kcedOsL4c3zi16YBBe+Ka jfd117X7xK8jytScbYGWFBOHRDQFJGzvSdt8xUvqTfKzY2Gi8Vo+eEvWXM6wTiv6v2z4t4PGFDh9 suPSLw0892BvCmVBlx5Vkq3hiceTcq8KhblsNy6Z2V6yId6kfdcWANAvyjuLoATfDjr/S9mbOxrQ pZMY4vT+84lJi91mXkTjFNlD78jk2KqfGjur7VxuilT3O5HNdtkMw/hKUd6HgiEAJRUqTZlbFv2P hYnAm7fs3EdOPGqMfm88Mtz1NErkv2AfvvsmHbSVedS+GusJxysyrr+tJUAuKdQqHu8x5rSSHGNB n9Zl7jACseWN8Uk2nJ0yTza9pjcJ1/YI8PQnkv5iL5HpScy75NYE0sLa9CihS0eCptPl4/3peLb5 gPjJ5Jx3kVakJ2aT2vV1MRRHFexhEa6iOWS4cW4dU1/LhHNgtlNDMF84aFyF0F3jUJviVScHowhJ Fvw7FPbUQKOzTx2gpI974+5LUxbZ9tsgAhL0rqTWBqfk/KAS1JQxnuiRG2eh/OTPdW1waXcFlOUD jYtSIrQr+LWmdUd11ptdyFrqp2d03opbc9G+IZ80WQxZm2rqOi5i/qjYwOJBfsdu8fv2wRR+yWfB Z0InlKRz1y+HrI8T6pxqN0iGf/EbaPDtaOWasLN72gAlDddt+5C3l1kDqHEOpk5+F/CEBwGAx3v0 eqb2qQsUvxSSx+CW4Nz3/AmfvW+nbB3hAuwT0teTzx0/a09djDDU0zW1/3FtR8jDE+eMLwY8m3Ba 4WOxeEmGjqIE9j42KL7xSiL0t81MUyvVZ2jYA/LmGwBVRBl46LpoSnE39KprdIQny6dzdVShimkl 2JxhCGdhGIXJA04t9E62Gw11oUEiEVdk3PyDfw+YmZg/tf6L84c5dVhbIDV49eSshq+YbGjiQ3PC sHoWfjazi93EUbPn85vFlk+j9rUEm6YqQ92vgrXbJe2Zoqh8aR+hHdT6goBsWNxlFgDW7cAECsu+ C/qEoFufRKX1skWZUGl1YdXLtVZzbj6lJxudQWF5yLZ5sjWBeVSYHNmkBJhZwi71lmZCOBMtfuM4 oPvixLs8+PZEH5bUAFD2oPiuHL2zpStNBo3kqfUizgYDL3gRdzNr8VWJkITqi4aSEqL7jGvUQgGf sIbC37DL8ylxAvA7Wfsbt/2sB+RBdmV4aNoFoLcClKdXsO/heJzNhqIKVUynhCIQvVH6CM1mQWa4 0HZ9yhYynp/+ULeEaSn7uzypLMA1pLixQejpNKHdBvy1XstnnI0zMMJwf6pHMUwKVbYxSrooMAHS gI0IVkskwR+ZI1qdHvs+aqCRXCYHqgKYzX7hlZCz3agtupFaUKLZsJGJBlgAbjUyIVoGinsBwpuX jhc+klgmvGOx19s0sV/aKTMw64NHkLDpWM7PI2VUlsOPjVh1MX20mlwI+EXIRQTVCQNgqZm2GFfb Zrh+yhEawMCJ3TRKd3dCMbTi2CynBWTYNzNiLNQ9dLhRkUjy1+EYckzIYKxm7ji7rmm2U2H5XJHh 4ix22blRXGsDvCoNZTE4VVCvq3XUbPrJrxtMZTcDwAc0/EhHdywvm+5sMr2DHc7P/+GDJQkKZbFK qtZrT7Uz/z/C+1wx21QTkF3/uTuXQ9xShcf5ytEZS/kkJoeBYmY2oD/78GqgigBrbyjRlRmBgE6b ydYcbM8oeI7XSGYDaTb9zan3aTlezaSw026Eg6QRF9L5ph1gpoQQsAfoR+AyFWtm7Y9vudOoTWmM zH2nYuyjf6oIubTW5N1VG4Ye7rIfM26zVRzMTJe8LwwDfC2F8HEbrIXK2yk2vVj7dSQmpkqm60OB IwrAD+gzdiTxPsTMDB3oWLMVewpcA2nWs28jdamQrD+jr95VVp9CLiA6zQbD1DY4xXQXyz0W8afP cldyALkCUkXjM/We9Gy9mcBRvcB+ZzwHQkvRSHa5mkonhsijFLQW0MocFYGIMzdYhND5gYBBqPb6 M5FoEI0yUxuS18zTM3MoKbun+hZGK5R5cEFqibeNS/6c21rdAAby/CFgcW6GMn/z+18QYwuxq43I PqxJloaP1LAQqZAjdxlPiYngBYi4pTSjHdpp+gfJZ/2TBQRZmBfLy1qeZCIu7eAB5fWYJePAVg7L tzjYi6EeR2afAxJcE2HuWt+vyT6jUXKClV8yBSH3ZFWLw9NIwwl5wd9tXwbSrNPMijmDg3b+31Y4 Gq49zYIAbRP2VRgY+2xOFZ3vagUD8B2ZAmZpgQEB0WVAaCfQNU7R0bOFVAHMokTibTtjvduyIZgl pSUAFRlOz0g8U8wuRCKepQSY1Qf0nE7xTC4/7/JIWy4G0JlGYbIqaK6BHXIlPQH6yOhE6DG1NwcR Lx/9bIpHNjP+wRtfFsqJYfC2hN9vrdax8eoDvMNqZBOn4qlDBrqat9AKWZA7jttWeb9/D8rDwvGr dlpCyPb+G7b9/O/IuXGuogO4WYKVgLpyN1y5y4TvKzcmhNZdG6qPbPTqDP3K97j8Ekhbd9fCqkO2 8ZmqjDshaNgHoX40BSc+SFd86n4GfHFBLXpXqn1rJ+1S79LMY43GvDMpUva5Z2nqpK6uec7DjF3U nyJfFe/ceI8/twIVsrT5Kr9/Rgks9sJ5A/LDx+Fkd+bkXZ0ywMwfyCM0RUp2Gqo8NJ0ZJ7liu3Jw Nwrbkl119wG7ENPPT/6SWHiOtbMJu0Wm7c1HemsORH/Tg6TB4DegRbECs8c4f8IuofoyR/bEuvdd FPVRfgMywE5pmI66tl9t/CALS0v/iJm9wSvhZ/izX8MQsBA11ScE1AqcRZmNqg9psErjQid2CCjM JrGZZu9QY+ww7OhhlN942+IE/7R6ZEqqh54NKX6D9V2kZ5dJ2TxVqxN5dk7If8ENQMf20uj9B6ar xJp9Bfed69Gzu9+trpmoCaJY47oxVEL+NCcP8l0X6X0D3KqKe/vCdydUUXa55o0Bi+96Nz9AK2qL M0sKIgwfTJBP3WkYIj05yMHDZs2w9TcPhIl10bf89z1ySl8ALEixaJyo0gqRkWoZ2t1cruxT9UQ1 kGFJ5wtZtrf6WDF6ItagTV96N9iGidI1Xte+vrppkqVaE3ItRxVCbydnBypnmg2X0sPkojLbcyPJ skMJ7XMEnM9AiZm7HOd8V+BoiCcmHOH2v82wMmpWqiuCZP/B1pEhq95o3e+cAdTiYuRaJLzc2F36 l/YscGiwocRybMtuo+mk8UCq3t7DfsOTrm2kcP9rdZpxxrZKJXqujD26feZ9M1nPZJM6Hr58aw== `protect end_protected
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all ; entity down_count is generic ( modulus : integer ); -- for example 11 port ( Clock : in std_logic; EC : in std_logic; LC : in std_logic; Count : out integer ); end down_count; architecture Behavioral of down_count is signal count_signal : integer ; begin process(clock, EC) begin if EC = '0' then count_signal <= modulus - 1; elsif rising_edge(clock) then -- if LC = '1' then -- count_signal <= modulus - 1; if LC = '1' then -- EC = '0' reset! count_signal <= modulus - 1; else count_signal <= count_signal - 1; end if; end if; end process; count <= count_signal; end Behavioral;
<filename>hdl/can/sim/can_wb_tb.vhd library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity can_wb_tb is end can_wb_tb; architecture behavior of can_wb_tb is signal test_running : std_logic := '1'; signal clk : std_logic; signal can0_phy_tx : std_logic; signal can0_phy_tx_en : std_logic; signal can0_phy_rx : std_logic; constant clk_period : time := 10 ns; signal wishbone_in : std_logic_vector (100 downto 0) := (others => '0'); signal wishbone_out : std_logic_vector (100 downto 0) := (others => '0'); signal wb_clk_i: std_logic; -- Wishbone clock signal wb_rst_i: std_logic; -- Wishbone reset (synchronous) signal wb_dat_i: std_logic_vector(31 downto 0); -- Wishbone data input (32 bits) signal wb_adr_i: std_logic_vector(31 downto 0); -- Wishbone address input (32 bits) signal wb_we_i: std_logic; -- Wishbone write enable signal signal wb_cyc_i: std_logic; -- Wishbone cycle signal signal wb_stb_i: std_logic; -- Wishbone strobe signal signal wb_dat_o: std_logic_vector(31 downto 0); -- Wishbone data output (32 bits) signal wb_ack_o: std_logic; -- Wishbone acknowledge out signal signal wb_inta_o: std_logic; begin -- Unpack the wishbone array into signals so the modules code is not confusing. - Don't touch. wishbone_in(61) <= wb_clk_i; -- clock wishbone_in(60) <= wb_rst_i; -- reset signal wishbone_in(59 downto 28) <= wb_dat_i ;-- the date the master wishes to write wishbone_in(27 downto 3) <= wb_adr_i(24 downto 0); -- contains the address of the request wishbone_in(2) <= wb_we_i; -- true for any write requests wishbone_in(1) <= wb_cyc_i; -- is true any time a wishbone transaction is taking place wishbone_in(0) <= wb_stb_i; -- is true for any bus transaction request. wb_dat_o <= wishbone_out(33 downto 2); wb_ack_o <= wishbone_out(1); wb_inta_o <= wishbone_out(0); -- End unpacking Wishbone signals -- Unpack the wishbone array into signals so the modules code is not confusing. - Don't touch. -- End unpacking Wishbone signals uut0: entity work.can_wb port map( wishbone_in => wishbone_in, wishbone_out => wishbone_out, tx => can0_phy_tx, tx_en => can0_phy_tx_en, rx => can0_phy_rx ); clk_process : process begin clk <= '0'; wb_clk_i <= '0'; wait for clk_period/2; clk <= '1'; wb_clk_i <= '1'; wait for clk_period/2; if test_running = '0' then wait; end if; end process; can0_test : process begin wait for clk_period * 40; wait until rising_edge(clk); wait until falling_edge(clk); -- try to get the version wb_cyc_i <= '1'; wb_stb_i <= '1'; wb_we_i <= '0'; wb_adr_i <= (31 downto 8 =>'0' ) & x"00"; wait until rising_edge(clk); wait until falling_edge(clk); wb_cyc_i <= '0'; --can0_version assert wb_dat_o = x"13371337" report "DATA unexpected version " & to_hstring(wb_dat_o) severity failure; -- try to get the initial config wb_adr_i <= (31 downto 8 =>'0' ) & x"02"; wb_cyc_i <= '1'; wb_stb_i <= '1'; wb_we_i <= '0'; wait until rising_edge(clk); wait until falling_edge(clk); wb_cyc_i <= '0'; assert wb_dat_o = x"00000000" report "Config test expected empty but got " & to_hstring(wb_dat_o) severity failure; wait until rising_edge(clk); wait until falling_edge(clk); -- write config wb_adr_i <= (31 downto 8 =>'0' ) & x"02"; wb_dat_i <= x"00000001"; wb_cyc_i <= '1'; wb_stb_i <= '1'; wb_we_i <= '1'; wait until rising_edge(clk); wait until falling_edge(clk); wb_cyc_i <= '0'; -- read back now (and expect 1 as value) wb_adr_i <= (31 downto 8 =>'0' ) & x"02"; wb_cyc_i <= '1'; wb_stb_i <= '1'; wb_we_i <= '0'; wait until rising_edge(clk); wait until falling_edge(clk); wb_cyc_i <= '0'; assert wb_dat_o = x"00000001" report "Config test expected 1 but got " & to_hstring(wb_dat_o) severity failure; -- try to get the version wb_adr_i <= (31 downto 8 =>'0' ) & x"00"; wb_cyc_i <= '1'; wb_stb_i <= '1'; wb_we_i <= '0'; wait until rising_edge(clk); wait until falling_edge(clk); wb_cyc_i <= '0'; --can0_version assert wb_dat_o = x"13371337" report "DATA unexpected version " & to_hstring(wb_dat_o) severity failure; test_running <= '0'; report "DONE"; wait; --set sample rate end process; end;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity tb_Binarization_example is end entity; architecture rtl of tb_Binarization_example is component tb_Binarization end component; begin tb_Binarization_instance : component tb_Binarization port map(); end architecture rtl;
<reponame>Muhanad23/PDP-11-processor LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE ieee.numeric_std.ALL; ENTITY M_INS_DEC IS PORT(IR,FR: IN STD_LOGIC_VECTOR(15 DOWNTO 0); FLAG_OUT: OUT STD_LOGIC_VECTOR(1 DOWNTO 0); CLK,RESET:IN STD_LOGIC; OUTPUT: INOUT STD_LOGIC_VECTOR(28 DOWNTO 0)); END ENTITY; ARCHITECTURE M_INS OF M_INS_DEC IS COMPONENT CONTROL_UNIT IS PORT(IR,FR: IN STD_LOGIC_VECTOR(15 DOWNTO 0); FLAG_OUT: OUT STD_LOGIC_VECTOR(1 DOWNTO 0); CLK,RESET:IN STD_LOGIC; MICRO_IR:INOUT STD_LOGIC_VECTOR(17 DOWNTO 0); M_AR_IN,M_AR_OUT: OUT STD_LOGIC_VECTOR(4 DOWNTO 0)); END COMPONENT; COMPONENT TRANSPARENT_REG IS GENERIC (N : INTEGER := 5); PORT (D: IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); RST,CLK: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0)); END COMPONENT; COMPONENT DECODER IS GENERIC(N: INTEGER := 3); PORT (S: IN INTEGER RANGE 0 TO 2**N-1; O: OUT STD_LOGIC_VECTOR(2**N-1 DOWNTO 0)); END COMPONENT; SIGNAL MIR_IN,MIR_OUT: STD_LOGIC_VECTOR(17 DOWNTO 0); SIGNAL F1,F2,F3,F4,F5: INTEGER range 0 to 31; SIGNAL MICRO_AR_IN,MICRO_AR_OUT: STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN F1<=TO_INTEGER(UNSIGNED(MIR_OUT(12 DOWNTO 10))); F2<=TO_INTEGER(UNSIGNED(MIR_OUT(9 DOWNTO 8))); F3<=TO_INTEGER(UNSIGNED(MIR_OUT(7 DOWNTO 6))); F4<=TO_INTEGER(UNSIGNED(MIR_OUT(5 DOWNTO 3))); F5<=TO_INTEGER(UNSIGNED(MIR_OUT(2 DOWNTO 1))); U0: CONTROL_UNIT PORT MAP(IR,FR,FLAG_OUT,CLK,RESET,MIR_IN,MICRO_AR_IN,MICRO_AR_OUT); U2: TRANSPARENT_REG GENERIC MAP(18) PORT MAP(MIR_IN,RESET,CLK,MIR_OUT); FF1: DECODER GENERIC MAP(3) PORT MAP(F1,OUTPUT(28 DOWNTO 21)); FF2: DECODER GENERIC MAP(2) PORT MAP(F2,OUTPUT(20 DOWNTO 17)); FF3: DECODER GENERIC MAP(2) PORT MAP(F3,OUTPUT(16 DOWNTO 13)); FF4: DECODER GENERIC MAP(3) PORT MAP(F4,OUTPUT(12 DOWNTO 5)); FF5: DECODER GENERIC MAP(2) PORT MAP(F5,OUTPUT(4 DOWNTO 1)); FF6: OUTPUT(0)<=MIR_OUT(0); END ARCHITECTURE;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity rotator_3d_tb is end entity rotator_3d_tb; architecture rotator_3d_tb_arq of rotator_3d_tb is constant N_TB : natural := 16; signal clk_aux : std_logic := '0'; signal rst_aux : std_logic := '1'; signal start_aux : std_logic := '1'; signal done_aux : std_logic; -- x: 1 signal x_in_aux : std_logic_vector(N_TB - 1 downto 0) := std_logic_vector(to_unsigned(16384, N_TB)); -- y: 0 signal y_in_aux : std_logic_vector(N_TB - 1 downto 0) := std_logic_vector(to_unsigned(0, N_TB)); -- z: 0 signal z_in_aux : std_logic_vector(N_TB - 1 downto 0) := std_logic_vector(to_unsigned(0, N_TB)); -- ang: 10 signal alpha_aux : std_logic_vector(N_TB downto 0) := std_logic_vector(to_unsigned(3641, N_TB + 1)); -- ang: 10 signal beta_aux : std_logic_vector(N_TB downto 0) := std_logic_vector(to_unsigned(3641, N_TB + 1)); -- ang: 10 signal gamma_aux : std_logic_vector(N_TB downto 0) := std_logic_vector(to_unsigned(3641, N_TB + 1)); signal x_out_aux : std_logic_vector(N_TB - 1 downto 0); signal y_out_aux : std_logic_vector(N_TB - 1 downto 0); signal z_out_aux : std_logic_vector(N_TB - 1 downto 0); begin rst_aux <= '0' after 10 ns; start_aux <= '0' after 40 ns; clk_aux <= not clk_aux after 20 ns; DUT: entity work.rotator_3d port map ( clk => clk_aux, rst => rst_aux, start => start_aux, x_in => x_in_aux, y_in => y_in_aux, z_in => z_in_aux, alpha => alpha_aux, beta => beta_aux, gamma => gamma_aux, x_out => x_out_aux, y_out => y_out_aux, z_out => z_out_aux, done => done_aux ); end architecture rotator_3d_tb_arq;
<gh_stars>1-10 -- This Source Code Form is subject to the terms of the Mozilla Public -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- -- Copyright (c) 2014-2015, <NAME> <EMAIL> library vunit_lib; use vunit_lib.run_pkg.all; use vunit_lib.run_base_pkg.all; use vunit_lib.run_types_pkg.all; use vunit_lib.check_pkg.all; use vunit_lib.log_types_pkg.all; use vunit_lib.path.all; entity tb_path is generic ( runner_cfg : runner_cfg_t := runner_cfg_default); end entity tb_path; architecture test_fixture of tb_path is begin test_runner: process is procedure check_equal ( constant got : in string; constant expected : in string) is begin check(got = expected, "Expected """ & expected & """ but got """ & got & """."); end procedure check_equal; begin test_runner_setup(runner, runner_cfg); while test_suite loop if run("Verify that joining a single path returns that path") then check_equal(join("some_path"), "some_path"); elsif run("Verify that joining an empty path with a second path returns the second path") then check_equal(join("", "some_path"), "some_path"); elsif run("Verify the joining of two paths") then check_equal(join("foo", "bar"), "foo/bar"); elsif run("Verify that a separator ending the first path is ignored") then check_equal(join("foo/", "bar"), "foo/bar"); end if; end loop; test_runner_cleanup(runner); end process test_runner; test_runner_watchdog(runner, 1 us); end test_fixture;
------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: Wrapper for Xilinx XPM FIFO module ------------------------------------------------------------------------------- -- This file is part of 'SLAC Firmware Standard Library'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'SLAC Firmware Standard Library', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library surf; use surf.StdRtlPkg.all; library altera_mf; use altera_mf_altera_mf_components.all; entity FifoAlteraMf is generic ( TPD_G : time := 1 ns; RST_POLARITY_G : sl := '1'; -- '1' for active high rst, '0' for active low FWFT_EN_G : boolean := false; GEN_SYNC_FIFO_G : boolean := false; MEMORY_TYPE_G : string := "auto"; SYNC_STAGES_G : positive := 3; PIPE_STAGES_G : natural := 0; DATA_WIDTH_G : positive := 18; ADDR_WIDTH_G : positive := 10; FULL_THRES_G : positive := 16; EMPTY_THRES_G : positive := 16); port ( -- Asynchronous Reset rst : in sl; -- Write Ports (wr_clk domain) wr_clk : in sl; wr_en : in sl; din : in slv(DATA_WIDTH_G-1 downto 0); wr_data_count : out slv(ADDR_WIDTH_G-1 downto 0); wr_ack : out sl; overflow : out sl; prog_full : out sl; almost_full : out sl; full : out sl; not_full : out sl; -- Read Ports (rd_clk domain) rd_clk : in sl; rd_en : in sl; dout : out slv(DATA_WIDTH_G-1 downto 0); rd_data_count : out slv(ADDR_WIDTH_G-1 downto 0); valid : out sl; underflow : out sl; prog_empty : out sl; almost_empty : out sl; empty : out sl); end FifoAlteraMf; architecture mapping of FifoAlteraMf is constant FWFT_EN_C : string := ite(FWFT_EN_G, "ON", "OFF"); signal reset : sl; signal sRdEn : sl; signal sValid : sl; signal dataOut : slv(DATA_WIDTH_G-1 downto 0); signal fifoFull : sl; signal fifoEmpty : sl; signal wrCount : slv(ADDR_WIDTH_G-1 downto 0); signal rdCount : slv(ADDR_WIDTH_G-1 downto 0); begin GEN_ASYNC : if (GEN_SYNC_FIFO_G = false) generate U_dcfifo : dcfifo generic map ( ram_block_type => MEMORY_TYPE_G, lpm_numwords => (2**ADDR_WIDTH_G), lpm_showahead => FWFT_EN_C, lpm_type => "dcfifo", lpm_width => DATA_WIDTH_G, lpm_widthu => ADDR_WIDTH_G, overflow_checking => "ON", underflow_checking => "ON") port map ( aclr => reset, -- Write Ports wrclk => wr_clk, wrreq => wr_en, data => din, wrfull => fifoFull, wrusedw => wrCount, -- Read Ports rdclk => rd_clk, rdreq => sRdEn, q => dout, rdempty => fifoEmpty, rdusedw => rdCount); end generate; GEN_SYNC : if (GEN_SYNC_FIFO_G = true) generate U_scfifo : scfifo generic map ( ram_block_type => MEMORY_TYPE_G, lpm_numwords => (2**ADDR_WIDTH_G), lpm_showahead => FWFT_EN_C, lpm_type => "scfifo", lpm_width => DATA_WIDTH_G, lpm_widthu => ADDR_WIDTH_G, overflow_checking => "ON", underflow_checking => "ON") port map ( sclr => reset, aclr => '0', clock => wr_clk, -- Write Ports wrreq => wr_en, data => din, full => fifoFull, usedw => wrCount, -- Read Ports rdreq => sRdEn, q => dout, empty => fifoEmpty); rdCount <= wrCount; end generate; reset <= rst when(RST_POLARITY_G = '1') else not(rst); full <= fifoFull; not_full <= not(fifoFull); wr_ack <= wr_en and not fifoFull; overflow <= wr_en and fifoFull; wr_data_count <= wrCount; rd_data_count <= rdCount; process(fifoEmpty, fifoFull, rdCount, wrCount) begin -------------------------------------------- if fifoFull = '1' then prog_full <= '1'; almost_full <= '1'; else if wrCount >= FULL_THRES_G then prog_full <= '1'; else prog_full <= '0'; end if; if wrCount >= ((2**ADDR_WIDTH_G)-2) then almost_full <= '1'; else almost_full <= '0'; end if; end if; -------------------------------------------- if fifoEmpty = '1' then prog_empty <= '1'; almost_empty <= '1'; else if rdCount <= EMPTY_THRES_G then prog_empty <= '1'; else prog_empty <= '0'; end if; if rdCount <= 1 then almost_empty <= '1'; else almost_empty <= '0'; end if; end if; -------------------------------------------- end process; empty <= fifoEmpty; underflow <= sRdEn and fifoEmpty; sValid <= not(fifoEmpty); BYPASS_PIPE : if ((FWFT_EN_G = false) or (PIPE_STAGES_G = 0)) generate sRdEn <= rd_en; valid <= sValid; dout <= dataOut; end generate; GEN_PIPE : if ((FWFT_EN_G = true) and (PIPE_STAGES_G /= 0)) generate U_Pipeline : entity surf.FifoOutputPipeline generic map ( TPD_G => TPD_G, RST_POLARITY_G => RST_POLARITY_G, RST_ASYNC_G => false, DATA_WIDTH_G => DATA_WIDTH_G, PIPE_STAGES_G => PIPE_STAGES_G) port map ( -- Slave Port sData => dataOut, sValid => sValid, sRdEn => sRdEn, -- Master Port mData => dout, mValid => valid, mRdEn => rd_en, -- Clock and Reset clk => rd_clk, rst => rst); end generate; end mapping;
-- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -- Date : Tue Oct 13 21:06:43 2020 -- Host : MACBOOK-CRT running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mult_gen_0_sim_netlist.vhdl -- Design : mult_gen_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( A : in STD_LOGIC_VECTOR ( 15 downto 0 ); P : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_0,mult_gen_v12_0_16,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "mult_gen_v12_0_16,Vivado 2019.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal \<const0>\ : STD_LOGIC; signal \^a\ : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute x_interface_info : string; attribute x_interface_info of A : signal is "xilinx.com:signal:data:1.0 a_intf DATA"; attribute x_interface_parameter : string; attribute x_interface_parameter of A : signal is "XIL_INTERFACENAME a_intf, LAYERED_METADATA undef"; attribute x_interface_info of P : signal is "xilinx.com:signal:data:1.0 p_intf DATA"; attribute x_interface_parameter of P : signal is "XIL_INTERFACENAME p_intf, LAYERED_METADATA undef"; begin P(15 downto 2) <= \^a\(13 downto 0); P(1) <= \<const0>\; P(0) <= \<const0>\; \^a\(13 downto 0) <= A(13 downto 0); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE;
-- Main file for running the CORDIC implementation optimised for hardware usage library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; -- All computations are handled in 2's complement fixed point using 1 sign bit, 1 integer bit and 14 fractional bits -- A scaling factor of 2^14 is therefore used between the actual values and the internally handled values entity cordic_area is GENERIC( ADDR_LENGTH : natural := 4; DATA_WIDTH : natural := 16); Port ( i_x : IN STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); -- Input x i_y : IN STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); -- Input y o_z : OUT STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); -- Output z i_enable_cordic : IN STD_LOGIC := '0'; o_done : OUT STD_LOGIC := '0' ; clk_in : IN STD_LOGIC := '0'; state_LED : OUT STD_LOGIC_VECTOR(3 downto 0):=(others => '0'); LUT_TEST : OUT STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0') ); end cordic_area; architecture behave of cordic_area is ------------- Control signals ---------- signal var_done : STD_LOGIC:= '0'; signal var_zero : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0 ):= (others => '0'); signal var_debounce : STD_LOGIC:='0'; ------------- \Control signals ---------- ------------- Intermediate signales ------- signal r_xn : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal r_yn : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal r_zn : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal r_zd : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); ------------- \Intermediate signales ------- -----------bit_shift signals-------- signal i_bitshift : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal o_bitshift : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); signal c_shiftlength : STD_LOGIC_VECTOR((ADDR_LENGTH-1) downto 0):= (others => '0'); -----------/bit_shift signals-------- -----------sign_inverter signals-------- signal i_SI : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal o_SI : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); signal i_SI_control : STD_LOGIC_VECTOR(1 downto 0) := "00"; -----------/sign_inverter signals-------- -----------LUT signals-------- signal i_LUT_addr : STD_LOGIC_VECTOR((ADDR_LENGTH-1) downto 0):= (others => '0'); signal i_mem_enable : STD_LOGIC:= '0'; signal o_LUT : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); signal i_LUT_addr_X : STD_LOGIC_VECTOR((ADDR_LENGTH-1) downto 0):= (others => '0'); signal i_mem_enable_X : STD_LOGIC:= '0'; signal o_LUT_X : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); signal i_LUT_addr_Y : STD_LOGIC_VECTOR((ADDR_LENGTH-1) downto 0):= (others => '0'); signal i_mem_enable_Y : STD_LOGIC:= '0'; signal o_LUT_Y : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); signal LUT_COUNTER : integer:=0; -----------/LUT signals-------- -----------signed_adder signals-------- signal i_adder_a : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal i_adder_b : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0):= (others => '0'); signal o_adder : STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); -----------/signed_adder signals-------- --------Signals for iteration_counter--- signal clk : std_logic := '0'; signal o_iteration_count : integer range 0 to DATA_WIDTH-1 := 0; signal i_reset : std_logic := '0'; signal i_enable : std_logic := '0'; -------/Signals for iteration_counter--- -------Signals for clock divider--- --Inputs --signal clk : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal clock_out : std_logic; -------/Signals for clock divider--- -------States--------- type state_type is (K1, K2, K3, K4, K5, idle, done); signal state : state_type := idle; -------/States--------- --------- Components----------- COMPONENT Clock_Divider is PORT( clk : IN std_logic; reset : IN std_logic; clock_out : OUT std_logic ); END COMPONENT Clock_Divider; component bit_shift is generic( DATA_WIDTH : natural; ADDR_LENGTH : natural ); Port ( i_bitshift : IN std_logic_vector((DATA_WIDTH-1) downto 0); o_bitshift : OUT std_logic_vector((DATA_WIDTH-1) downto 0); c_shiftlength : IN STD_LOGIC_VECTOR((ADDR_LENGTH-1) downto 0) -- 2^4 = 16, så man har mulighed for at bifshifte up til 16 gange ); end component bit_shift; component sign_inverter is generic( DATA_WIDTH : natural ); Port ( i_SI : IN STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); o_SI : OUT STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); i_SI_control : IN STD_LOGIC_VECTOR(1 downto 0) ); end component sign_inverter; component LUT_16 is Port ( i_LUT_addr : IN STD_LOGIC_VECTOR (3 DOWNTO 0); i_mem_enable : IN STD_LOGIC; o_LUT : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); end component LUT_16; component LUT_X is Port ( i_LUT_addr_X : IN STD_LOGIC_VECTOR (3 DOWNTO 0); i_mem_enable_X : IN STD_LOGIC; o_LUT_X : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); end component LUT_X; component LUT_Y is Port ( i_LUT_addr_Y : IN STD_LOGIC_VECTOR (3 DOWNTO 0); i_mem_enable_Y : IN STD_LOGIC; o_LUT_Y : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); end component LUT_Y; component signed_adder is generic( DATA_WIDTH : natural ); port( i_adder_a : in STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); i_adder_b : in STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0); o_adder : out STD_LOGIC_VECTOR((DATA_WIDTH-1) downto 0) ); end component signed_adder; component iteration_counter is Port ( clk : in std_logic; i_reset : in std_logic; i_enable : in std_logic; o_iteration_count : out integer range 0 to 31 ); end component iteration_counter; component Debounce is Port ( DATA : in std_logic; CLK : in std_logic; OP_DATA : out std_logic ); end component Debounce; --------- /Components---------- begin ----------- Map---------- -----------clock divider-------- clock_divider_x : Clock_Divider port map ( clk => clk, reset => reset, clock_out => clock_out ); -----------/clock divider-------- -----------bit_shift signals-------- bit_shift_INST : bit_shift generic map (DATA_WIDTH => DATA_WIDTH, ADDR_LENGTH => ADDR_LENGTH) port map ( i_bitshift => i_bitshift, o_bitshift => o_bitshift, c_shiftlength => c_shiftlength ); -----------/bit_shift signals-------- -----------sign_inverter signals-------- sign_inverter_INST : sign_inverter generic map (DATA_WIDTH => DATA_WIDTH) port map ( i_SI => i_SI, o_SI => o_SI, i_SI_control => i_SI_control ); -----------/sign_inverter signals-------- -----------LUT signals-------- LUT_16_INST : LUT_16 port map ( i_LUT_addr => i_LUT_addr, i_mem_enable => i_mem_enable, o_LUT => o_LUT ); LUT_X_INST : LUT_X port map ( i_LUT_addr_X => i_LUT_addr_X, i_mem_enable_X => i_mem_enable_X, o_LUT_X => o_LUT_X ); LUT_Y_INST : LUT_Y port map ( i_LUT_addr_Y => i_LUT_addr_Y, i_mem_enable_Y => i_mem_enable_Y, o_LUT_Y => o_LUT_Y ); -----------/LUT signals-------- -----------signed_adder signals-------- Adder_INST : signed_adder generic map (DATA_WIDTH => DATA_WIDTH) port map ( i_adder_a => i_adder_a, i_adder_b => i_adder_b, o_adder => o_adder ); -----------/signed_adder signals-------- -----------Iteration counter-------- iteration_counter_INST : iteration_counter port map ( clk => clock_out, i_reset => i_reset, i_enable => i_enable, o_iteration_count => o_iteration_count ); -----------\Iteration counter-------- ----------- Debounce ----------- Debounce_INST : Debounce port map ( DATA => i_enable_cordic, CLK => clk, OP_DATA => var_debounce); ----------- \Debounce ---------- -----------/Map---------- -- Process for calculating arctangent using CORDIC process(clock_out) is begin if(rising_edge(clock_out)) then case state is when idle => -- This case is responsible for initializing the signals used -------- SHOW STATES -------- state_LED(0) <= '1'; state_LED(1) <= '0'; state_LED(2) <= '0'; state_LED(3) <= '0'; -------- \SHOW STATES -------- ------- LUT inputs ------ i_mem_enable_X <= '1'; -- Reads the current input from LUT X and Y i_mem_enable_Y <= '1'; ------- \LUT inputs ---------- ---- Done ---- var_done <= '0'; -- Tells that the computation is not finished ---- \Done ---- ----- Counter ----- i_reset <= '1'; -- Once this signal goes high, the iteration count is reset ----- \Counter ----- ------ Next State ----- if var_debounce = '0' then -- Once this signal goes high, the CORDIC algorithm starts state <= K1; end if; ------ \Next State ----- ------------ Assign -------- r_yn <= O_LUT_Y; r_xn <= O_LUT_X; r_zn <= var_zero; ------------ \Assign -------- when K1 => -------- SHOW STATES -------- state_LED(0) <= '0'; state_LED(1) <= '1'; state_LED(2) <= '0'; state_LED(3) <= '0'; -------- \SHOW STATES -------- ----- LUT Output ----- LUT_TEST <= O_LUT_Y; -- Sends the current output of the LUT_Y to the LED's on the FPGA board ----- \LUT output ----- ------- LUT inputs ------ i_mem_enable_X <= '0'; -- Reads the current input from LUT X and Y i_mem_enable_Y <= '0'; ------- \LUT inputs ---------- ----- Counter ----- i_reset <= '0'; -- Set reset low so it can begin counting ----- \Counter ----- ----- Next State ---- state <= K2; -- Next state ----- \Next State ---- ------------ Bitshift -------- i_bitshift <= r_xn; ------------ \Bitshift -------- if o_iteration_count > 0 then r_zn <= o_adder; end if; ------------ -sign ------------ if r_yn = var_zero then i_SI_control(1) <= '1'; -- If input is zero else i_SI_control(1) <= '0'; -- If input is not zero end if; i_SI_control(0) <= not r_yn(DATA_WIDTH-1); -- equal to the inverted sign bit. ------------ \-sign ------------ when K2 => ----- Next State ---- state <= K3; -- Next state ----- \Next State ---- ------------ Bitshift -------- i_bitshift <= r_yn; ------------ \Bitshift -------- ------------ Simple Multiplier -------- i_SI <= o_bitshift; -- xb ----------- \Simple Multiplier -------- when K3 => ----- Counter ----- i_enable <= '1'; -- Set high to count one up ----- \Counter ----- ---- LUT ----- i_mem_enable <= '1'; -- Set high to get new values ---- \LUT ---- ----- Next State ---- state <= K4; -- Next state ----- \Next State ---- ------------ Adder ----------- i_adder_a <= r_yn; i_adder_b <= o_SI; -- xb ------------ \Adder ---------- ------------ Simple Multiplier -------- i_SI <= o_bitshift; -- yb ----------- \Simple Multiplier -------- when K4 => -------- SHOW STATES -------- state_LED(0) <= '0'; state_LED(1) <= '0'; state_LED(2) <= '0'; state_LED(3) <= '1'; -------- \SHOW STATES -------- ----- Counter ----- i_enable <= '0'; -- Set low to be ready for next count. ----- \Counter ----- ---- LUT ----- i_mem_enable <= '0'; -- Set low to be ready for next value ---- \LUT ---- ----- Next State ---- state <= K5; -- Next state ----- \Next State ---- ------------ Adder ----------- i_adder_a <= r_xn; i_adder_b <= std_logic_vector(-signed(o_SI)); -- yb r_yn <= o_adder; ------------ \Adder ---------- ------------ Simple Multiplier -------- i_SI <= o_LUT; ----------- \Simple Multiplier -------- when K5 => ----- Next State ---- if o_iteration_count = DATA_WIDTH - 1 then state <= done; -- Next state done else state <= K1; -- Run another iteration end if; ----- \Next State ---- ------------ Adder ----------- i_adder_a <= r_zn; i_adder_b <= std_logic_vector(-signed(o_SI)); -- zb r_xn <= o_adder; ------------ \Adder ---------- when done => -- Go back to the setup state and wait for a new input ----- LUT Counter for inputs ---- if LUT_COUNTER > 15 then -- Make sure the LUT-address do not overflow LUT_COUNTER <= 0; else LUT_COUNTER <= LUT_COUNTER+1; end if; ----- \LUT Counter for inputs ---- ----- Done ---- var_done <= '1'; -- Outputs a signal showing that the computations has finished -- Also sets the final values to the output ports ----- \Done ---- ----- Counter ---- i_reset <= '1'; -- Once this signal goes high, the iteration count is reset ----- \Coutner ---- ------------ Assign -------- r_zd <= o_adder; ------------ \Assign ------- -------- SHOW STATES -------- state_LED(0) <= '0'; state_LED(1) <= '0'; state_LED(2) <= '1'; state_LED(3) <= '0'; -------- \SHOW STATES -------- ---- Next State ----- state <= idle; ---- \Next State ----- when others => state <= idle; end case; end if; end process; ------------ Bitshift -------- c_shiftlength <= std_logic_vector(to_unsigned(o_iteration_count,ADDR_LENGTH)); ------------ \Bitshift ------- ----- LUT ADDR ----- i_LUT_addr <= std_logic_vector(to_unsigned(o_iteration_count,ADDR_LENGTH)); ----- \LUT ADDR ---- ----------- INPUT LUTS ------- i_LUT_addr_X <= std_logic_vector(to_unsigned(LUT_COUNTER,ADDR_LENGTH)); i_LUT_addr_Y <= std_logic_vector(to_unsigned(LUT_COUNTER,ADDR_LENGTH)); ----------- \INPUT LUTS ------- ------------ Set the output --------- o_z <= r_zd; o_done <= var_done; ------------ \Set the output --------- ------------ Set the clock --------- clk <= clk_in; ------------ \Set the clock --------- end behave;
<reponame>kaircx/phoenix-firmware<filename>FPGA/App/controller/synthesis/submodules/FPSqrt/FPSqrt_safe_path.vhd -- (C) 2001-2020 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files from any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Intel Program License Subscription -- Agreement, Intel FPGA IP License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Intel and sold by -- Intel or its authorized distributors. Please refer to the applicable -- agreement for further details. -- safe_path for FPSqrt given rtl dir is . (quartus) LIBRARY ieee; USE ieee.std_logic_1164.all; PACKAGE FPSqrt_safe_path is FUNCTION safe_path( path: string ) RETURN string; END FPSqrt_safe_path; PACKAGE body FPSqrt_safe_path IS FUNCTION safe_path( path: string ) RETURN string IS BEGIN return string'("./") & path; END FUNCTION safe_path; END FPSqrt_safe_path;
----------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package user_types is type slv_array is array (natural range <>) of std_logic_vector; end package;
---------------------------------------------------------------------------------- -- Company: ITESM -- Engineer: <NAME> A01203712 -- -- Create Date: 14:11:49 09/08/2015 -- Design Name: -- Module Name: Priority_Encoder - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Priority Decoder using when -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Priority_Encoder is Port ( input : in STD_LOGIC_VECTOR (6 downto 0); output : out STD_LOGIC_VECTOR (2 downto 0)); end Priority_Encoder; architecture Behavioral of Priority_Encoder is begin output <= "111" when input(6)='1' else "110" when input(5)='1' else "101" when input(4)='1' else "100" when input(3)='1' else "011" when input(2)='1' else "010" when input(1)='1' else "001" when input(0)='1' else "000"; end Behavioral;
<reponame>oshears/Designing-a-Custom-AXI-Slave-Peripheral<filename>axi_rc_servo_controller_v2_00_a/axi_rc_servo_controller_v2_00_a.srcs/sim_1/imports/testbench_files/AXI_WRITE_DATA_CHANNEL_model.vhd<gh_stars>10-100 library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity AXI_WRITE_DATA_CHANNEL_model is PORT ( -- User signals clk : in STD_LOGIC; resetn : in STD_LOGIC; data : in STD_LOGIC_VECTOR(31 downto 0); go : in STD_LOGIC; done : out STD_LOGIC; -- AXI write data channel signals WDATA : out STD_LOGIC_VECTOR(31 downto 0); WSTRB : out STD_LOGIC_VECTOR(3 downto 0); WVALID : out STD_LOGIC; WREADY : in STD_LOGIC ); end AXI_WRITE_DATA_CHANNEL_model; architecture Behavioral of AXI_WRITE_DATA_CHANNEL_model is type main_fsm_type is (reset, idle, running, complete); signal current_state, next_state : main_fsm_type := reset; signal output_data : std_logic; begin state_machine_update : process (clk) begin if clk'event and clk = '1' then if resetn = '0' then current_state <= reset; else current_state <= next_state; end if; end if; end process; WDATA <= data when output_data = '1' else X"00000000"; state_machine_decisions : process (current_state, WREADY, go) begin WSTRB <= "0000"; WVALID <= '0'; output_data <= '0'; done <= '0'; case current_state is when reset => next_state <= idle; when idle => next_state <= idle; if go = '1' then next_state <= running; end if; when running => output_data <= '1'; WSTRB <= "1111"; WVALID <= '1'; if WREADY = '1' then next_state <= complete; else next_state <= running; end if; when complete => done <= '1'; if go = '0' then next_state <= idle; else next_state <= complete; end if; when others => next_state <= reset; end case; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; entity decode is port ( clk: in std_logic; rst: in std_logic; -- Input for previous stages fui: in fetch_output_type; -- Output for next stages duo: out decode_output_type; busy: out std_logic; freeze: in std_logic; flush: in std_logic; jump: in std_logic; dual: out std_logic; jumpmsb: in std_logic ); end entity decode; architecture behave of decode is signal dr: decode_regs_type; signal dec: opdec_type; signal opcode_high: std_logic_vector(15 downto 0); signal opcode_low: std_logic_vector(15 downto 0); -- signal pc_lsb: boolean; begin opcode_high <= fui.opcode(31 downto 16) when fui.inverted='0' else fui.opcode(15 downto 0); opcode_low <= fui.opcode(15 downto 0) when fui.inverted='0' else fui.opcode(31 downto 16); duo.r <= dr; opdecoder: entity work.opdec port map ( opcode_high => opcode_high, opcode_low => opcode_low, priv => fui.r.priv, dec => dec ); process(fui, dr, clk, rst, dec, freeze, jump, jumpmsb, flush, opcode_high,opcode_low) variable dw: decode_regs_type; --variable op: decoded_opcode_type; variable opc1,opc2: std_logic_vector(15 downto 0); variable rd1,rd2: std_logic; variable ra1,ra2: regaddress_type; --variable src: sourcedest_type; variable dreg0, dreg1: regaddress_type; variable alu_op: alu_op_type; variable imm16: std_logic_vector(15 downto 0); variable imm8: std_logic_vector(7 downto 0); variable imm_fill: std_logic_vector(31 downto 0); variable sr: std_logic_vector(2 downto 0); variable opcdelta: std_logic_vector(2 downto 0); variable can_issue_both: boolean; --variable is_pc_lsb: boolean; variable reg_source0, reg_source1: reg_source_type; variable regwe :std_logic; variable sprwe: std_logic; variable prepost: std_logic; variable macc: memory_access_type; variable memory_access: std_logic; variable memory_write: std_logic; variable modify_flags: boolean; --variable compositeloadimm: compositeloadimmtype; variable jump: std_logic_vector(1 downto 0); variable condition_clause: condition_type; variable alu2_imreg: std_logic; variable alu2_samereg: std_logic; --variable no_reg_conflict: boolean; --variable flags_source: flagssource_type; variable pc: word_type; variable imflag: std_logic; variable invert_alu: boolean; variable except_return: boolean; variable blocks1: std_logic; variable blocks2: std_logic; variable is_jump: boolean; begin dw := dr; busy <= '0'; dual <= '0'; rd1 := '0'; rd2 := '0'; --can_issue_both := false; modify_flags:=false; jump := (others => 'X'); --jump_clause := JUMP_NONE; --no_reg_conflict := true; imflag := '0'; -- TODO: save power, only enable RB that need.. rd1 := dec.rd1; rd2 := dec.rd2; ra1 := dec.sreg1; ra2 := dec.sreg2; -- Preload DREG for some insns imm16 := dec.imm8h & dec.imm8l; imm8 := dec.imm8l; pc := fui.r.pc; sr := dec.sr; jump := dec.jump; --condition_clause := dec.condition; except_return:=dec.except_return; dw.cop_id := dec.cop_id; dw.cop_reg := dec.cop_reg; alu_op := dec.alu_op; reg_source0 := dec.reg_source; reg_source1 := dec.reg_source; dreg0 := dec.dreg; dreg1 := dec.dreg; blocks1 := dec.blocks; blocks2 := dec.blocks; macc := dec.macc; memory_access := dec.memory_access; memory_write := dec.memory_write; modify_flags := dec.modify_flags; if dec.modify_gpr then regwe:='1'; else regwe:='0'; end if; if dec.modify_spr then sprwe :='1'; else sprwe :='0'; end if; imflag := dec.imflag; if freeze='0' then dw.valid := fui.valid; end if; if fui.valid='1' and freeze='0' then dw.rd1 := rd1; dw.rd2 := rd2; dw.sra1 := ra1; dw.sra2 := ra2; dw.sprwe := sprwe; dw.pc := pc; dw.npc := fui.npc; dw.fpc := fui.npc + 2; if dr.imflag='0' then dw.imreg := (others => '0'); dw.tpc := pc; end if; case dec.loadimm is when LOAD8 => if dr.imflag='1' then -- Shift. dw.imreg(31 downto 8) := dr.imreg(23 downto 0); dw.imreg(7 downto 0) := unsigned(imm8); else dw.imreg(31 downto 8) := (others => imm8(7)); dw.imreg(7 downto 0) := unsigned(imm8); end if; when LOAD16 => if dr.imflag='0' then dw.imreg(31 downto 15) := (others => imm16(15)); dw.imreg(14 downto 0) := unsigned(imm16(14 downto 0)); else dw.imreg(31 downto 16) := dr.imreg(15 downto 0); dw.imreg(15 downto 0) := unsigned(imm16(15 downto 0)); end if; when LOAD24 => dw.imreg(31 downto 24) := (others => dec.imm24(23)); dw.imreg(23 downto 0) := unsigned(dec.imm24); when LOAD0 => -- Keep imm when others => --dw.imreg := (others => '0'); end case; dw.imflag := imflag; dw.enable_alu := dec.enable_alu; dw.ismult := dec.ismult; dw.alu_op := alu_op; dw.alu_source := dec.alu_source; dw.wb_is_data_address := '0'; dw.cop_en := dec.cop_en; dw.cop_wr := dec.cop_wr; dw.macc := macc; dw.sr := sr; dw.memory_access := memory_access; dw.memory_write := memory_write; dw.modify_flags := modify_flags; dw.blocks := blocks1 or blocks2; dw.dreg := dreg0; dw.reg_source := reg_source0; dw.regwe := regwe; dw.priv := dec.priv; dw.jump := jump; dw.except_return:= except_return; dw.use_carry := dec.use_carry; -- Preserve condition from E24 extension (imm) if dr.imflag='0' then dw.condition_clause := dec.condition; end if; dw.opcode := opcode_high; dw.opcode_low := opcode_low; dw.dual := dec.extended; dw.decoded := dec.op; dw.is_jump := dec.is_jump; else busy <= freeze; end if; if rst='1' or flush='1' then dw.valid := '0'; --dw.delay_slot := false; dw.imflag := '0'; dw.regwe := '0'; dw.rd1 := '0'; dw.rd2 := '0'; dw.ismult:= '0'; dw.blocks := '0'; dw.cop_en := '0'; dw.cop_wr := '0'; dw.priv := '0'; dw.sprwe := '0'; dw.is_jump := false; dw.memory_access := '0'; dw.memory_write := '0'; dw.enable_alu := '0'; dw.use_carry := '0'; dw.modify_flags := false; end if; if dec.extended then dual <= '1'; end if; -- fast-forward register access duo.rd1 <= rd1; duo.rd2 <= rd2; duo.sra1 <= ra1; duo.sra2 <= ra2; if rising_edge(clk) then dr <= dw; end if; -- synthesis translate_off --dbg_can_issue_both <= can_issue_both; --dbg_compositeloadimm <= compositeloadimm; -- synthesis translate_on end process; end behave;
library verilog; use verilog.vl_types.all; entity alt3pram is generic( width : integer := 1; widthad : integer := 1; numwords : integer := 0; lpm_file : string := "UNUSED"; lpm_hint : string := "USE_EAB=ON"; indata_reg : string := "UNREGISTERED"; indata_aclr : string := "ON"; write_reg : string := "UNREGISTERED"; write_aclr : string := "ON"; rdaddress_reg_a : string := "UNREGISTERED"; rdaddress_aclr_a: string := "ON"; rdcontrol_reg_a : string := "UNREGISTERED"; rdcontrol_aclr_a: string := "ON"; rdaddress_reg_b : string := "UNREGISTERED"; rdaddress_aclr_b: string := "ON"; rdcontrol_reg_b : string := "UNREGISTERED"; rdcontrol_aclr_b: string := "ON"; outdata_reg_a : string := "UNREGISTERED"; outdata_aclr_a : string := "ON"; outdata_reg_b : string := "UNREGISTERED"; outdata_aclr_b : string := "ON"; intended_device_family: string := "Stratix"; ram_block_type : string := "AUTO"; maximum_depth : integer := 0; lpm_type : string := "alt3pram" ); port( wren : in vl_logic; data : in vl_logic_vector; wraddress : in vl_logic_vector; inclock : in vl_logic; inclocken : in vl_logic; rden_a : in vl_logic; rden_b : in vl_logic; rdaddress_a : in vl_logic_vector; rdaddress_b : in vl_logic_vector; outclock : in vl_logic; outclocken : in vl_logic; aclr : in vl_logic; qa : out vl_logic_vector; qb : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width : constant is 1; attribute mti_svvh_generic_type of widthad : constant is 1; attribute mti_svvh_generic_type of numwords : constant is 1; attribute mti_svvh_generic_type of lpm_file : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of indata_reg : constant is 1; attribute mti_svvh_generic_type of indata_aclr : constant is 1; attribute mti_svvh_generic_type of write_reg : constant is 1; attribute mti_svvh_generic_type of write_aclr : constant is 1; attribute mti_svvh_generic_type of rdaddress_reg_a : constant is 1; attribute mti_svvh_generic_type of rdaddress_aclr_a : constant is 1; attribute mti_svvh_generic_type of rdcontrol_reg_a : constant is 1; attribute mti_svvh_generic_type of rdcontrol_aclr_a : constant is 1; attribute mti_svvh_generic_type of rdaddress_reg_b : constant is 1; attribute mti_svvh_generic_type of rdaddress_aclr_b : constant is 1; attribute mti_svvh_generic_type of rdcontrol_reg_b : constant is 1; attribute mti_svvh_generic_type of rdcontrol_aclr_b : constant is 1; attribute mti_svvh_generic_type of outdata_reg_a : constant is 1; attribute mti_svvh_generic_type of outdata_aclr_a : constant is 1; attribute mti_svvh_generic_type of outdata_reg_b : constant is 1; attribute mti_svvh_generic_type of outdata_aclr_b : constant is 1; attribute mti_svvh_generic_type of intended_device_family : constant is 1; attribute mti_svvh_generic_type of ram_block_type : constant is 1; attribute mti_svvh_generic_type of maximum_depth : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; end alt3pram;
<filename>bps/SymbolSubQuad364QAM.vhd ------------------------------------------------------------------- -- Authors: <NAME> @ 2019 -- ------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; use ieee.math_real.all; library work; USE work.constDef_pkg.all; --------------------------------------------------------- ENTITY SymbolSubQuad364QAM IS GENERIC( Input_int : integer := 2; Input_frac : integer := 16; QuadNbits : integer := 3; AdrrWidth : integer := 3 ); PORT( clk : IN std_logic; clk_en : IN std_logic; Input_I : IN std_logic_vector ((Input_int+Input_frac)-1 DOWNTO 0); Input_R : IN std_logic_vector ((Input_int+Input_frac)-1 DOWNTO 0); QuadNumber : IN std_logic_vector (QuadNbits-1 DOWNTO 0); SymbolAdrr : OUT std_logic_vector (AdrrWidth-1 DOWNTO 0) ); END SymbolSubQuad364QAM; ARCHITECTURE Struct OF SymbolSubQuad364QAM IS signal aux : std_logic_vector(AdrrWidth-1 downto 0) := (others=>'0'); BEGIN process(QuadNumber,Input_I,Input_R) begin C0: case QuadNumber is when "00" => if (Input_I < dcI10) and (Input_R < dcR00) then aux <= "110110"; elsif (Input_I < dcI10) and (Input_R > dcR00) then aux <= "110111"; elsif (Input_I > dcI10) and (Input_R < dcR00) then aux <= "110010"; else aux <= "110011"; end if; when "01" => if (Input_I < dcI10) and (Input_R < dcR01) then aux <= "110101"; elsif (Input_I < dcI10) and (Input_R > dcR01) then aux <= "110100"; elsif (Input_I > dcI10) and (Input_R < dcR01) then aux <= "110001"; else aux <= "110000"; end if; when "10" => if (Input_I < dcI11) and (Input_R < dcR00) then aux <= "111010"; elsif (Input_I < dcI11) and (Input_R > dcR00) then aux <= "111011"; elsif (Input_I > dcI11) and (Input_R < dcR00) then aux <= "111110"; else aux <= "111111"; end if; when "11" => if (Input_I < dcI11) and (Input_R < dcR01) then aux <= "111001"; elsif (Input_I < dcI11) and (Input_R > dcR01) then aux <= "111000"; elsif (Input_I > dcI11) and (Input_R < dcR01) then aux <= "111101"; else aux <= "111100"; end if; WHEN OTHERS => aux <= "000000"; end case C0; end process; SymbolAdrr <= aux; END Struct;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; Use ieee.std_logic_unsigned.all; entity diviseur_programmable is Generic(Nbits : integer := 32); Port ( rst : in STD_LOGIC; clk : in STD_LOGIC; division : in STD_LOGIC_VECTOR(Nbits-1 downto 0); tc : out STD_LOGIC); end diviseur_programmable; architecture architecture_diviseur of diviseur_programmable is signal cpt : std_logic_vector(Nbits-1 downto 0); begin -- compteur 0 a division comptage: process(clk,rst,division) begin if rst = '1' then cpt <= (others => '0'); elsif rising_edge(clk) then if cpt < division then cpt <= cpt + 1; else cpt <= (others => '0'); end if; end if; end process comptage; -- impulsion de sortie a division retenue: process(cpt,division) begin if cpt=division then tc <= '1'; else tc <= '0'; end if; end process retenue; end architecture_diviseur;
-- -- Virtual board example -- -- SoC for Virtual simple board -- -- Only tested for these configurations: -- -- agneta -- -- 4/2015 <NAME> <<EMAIL>> -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- For some MACHXO2 specific entities: library work; use work.stdtap.all; use work.global_config.all; entity virtual_top is generic ( SIMULATION : boolean := true ); port ( mclk : in std_logic; pclk : in std_logic; global_reset : in std_logic; --clk_xtal_in : in std_logic; spi_clk : out std_logic; spi_miso : in std_logic; spi_mosi : out std_logic; spi_cs : out std_logic; i2c_sda : inout std_logic; i2c_scl : inout std_logic; uart_rx : in std_logic; uart_tx : out std_logic; reset_n : in std_logic ); end entity virtual_top; architecture behaviour of virtual_top is attribute NOM_FREQ : string; -- attribute NOM_FREQ of osc_inst : label is "22.17"; signal tap2core : tap_out_rec; signal core2tap : tap_in_rec; signal irq_in : std_logic := '0'; signal osc_clk : std_logic; signal osc_stdby : std_logic := '0'; -- signal count : unsigned(23 downto 0) := x"aaaaaa"; signal reset_counter : unsigned(15 downto 0) := x"00ff"; signal nreset : std_logic; signal cpu_reset : std_logic := '0'; -- signal glob_rst : std_logic := '1'; -- GPIOs: -- Set to defined state for simulation: signal gpio : unsigned(31 downto 0); signal pwm : std_logic_vector(7 downto 0); -- Debugging: signal uart_loopback : std_logic; begin nreset <= reset_n; ---------------------------------------------------------------------------- -- SoC CPU maybe_swtap: if SIMULATION generate swtap: VirtualTAP_DIRECT generic map ( IDCODE => CONFIG_TAP_ID, TCLK_PERIOD => CONFIG_TAPCLK_PERIOD, INS_NOP => x"00000013" ) port map ( -- Core <-> TAP signals: tin => core2tap, tout => tap2core ); end generate; cpu_reset <= tap2core.core_reset or not nreset; soc: entity work.SoC port map ( clk => mclk, nmi_i => '0', irq0 => irq_in, perio_rst => '0', -- gpio => gpio, -- pwm => pwm(CONFIG_NUM_TMR-1 downto 0), -- Emulation pins: tin => tap2core, tout => core2tap, tap_reset => global_reset, -- Requires CONFIG_UART and CONFIG_SPI enabled: uart_tx => uart_tx, uart_rx => uart_rx, spi_sclk => spi_clk, spi_cs => spi_cs, spi_mosi => spi_mosi, spi_miso => spi_miso, reset => cpu_reset ); rev_simulation: if SIMULATION generate gpio(15 downto 0) <= "HLLLLHLLLLHLLLLH"; end generate; end behaviour;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2015.2 -- Copyright (C) 2015 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity dct_dct_1d is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; src_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src_ce0 : OUT STD_LOGIC; src_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src1_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src1_ce0 : OUT STD_LOGIC; src1_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src2_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src2_ce0 : OUT STD_LOGIC; src2_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src3_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src3_ce0 : OUT STD_LOGIC; src3_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src4_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src4_ce0 : OUT STD_LOGIC; src4_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src5_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src5_ce0 : OUT STD_LOGIC; src5_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src6_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src6_ce0 : OUT STD_LOGIC; src6_q0 : IN STD_LOGIC_VECTOR (15 downto 0); src7_address0 : OUT STD_LOGIC_VECTOR (2 downto 0); src7_ce0 : OUT STD_LOGIC; src7_q0 : IN STD_LOGIC_VECTOR (15 downto 0); tmp_1 : IN STD_LOGIC_VECTOR (3 downto 0); dst_address0 : OUT STD_LOGIC_VECTOR (5 downto 0); dst_ce0 : OUT STD_LOGIC; dst_we0 : OUT STD_LOGIC; dst_d0 : OUT STD_LOGIC_VECTOR (15 downto 0); tmp_11 : IN STD_LOGIC_VECTOR (3 downto 0) ); end; architecture behav of dct_dct_1d is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_ST_pp0_stg0_fsm_1 : STD_LOGIC_VECTOR (2 downto 0) := "010"; constant ap_ST_st7_fsm_2 : STD_LOGIC_VECTOR (2 downto 0) := "100"; constant ap_true : BOOLEAN := true; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv4_8 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_lv4_1 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv29_1000 : STD_LOGIC_VECTOR (28 downto 0) := "00000000000000001000000000000"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; signal ap_CS_fsm : STD_LOGIC_VECTOR (2 downto 0) := "001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC; signal ap_sig_bdd_21 : BOOLEAN; signal dct_coeff_table_0_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_0_ce0 : STD_LOGIC; signal dct_coeff_table_0_q0 : STD_LOGIC_VECTOR (13 downto 0); signal dct_coeff_table_1_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_1_ce0 : STD_LOGIC; signal dct_coeff_table_1_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_2_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_2_ce0 : STD_LOGIC; signal dct_coeff_table_2_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_3_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_3_ce0 : STD_LOGIC; signal dct_coeff_table_3_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_4_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_4_ce0 : STD_LOGIC; signal dct_coeff_table_4_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_5_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_5_ce0 : STD_LOGIC; signal dct_coeff_table_5_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_6_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_6_ce0 : STD_LOGIC; signal dct_coeff_table_6_q0 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_7_address0 : STD_LOGIC_VECTOR (2 downto 0); signal dct_coeff_table_7_ce0 : STD_LOGIC; signal dct_coeff_table_7_q0 : STD_LOGIC_VECTOR (14 downto 0); signal k_reg_288 : STD_LOGIC_VECTOR (3 downto 0); signal src_addr_reg_490 : STD_LOGIC_VECTOR (2 downto 0); signal src1_addr_reg_495 : STD_LOGIC_VECTOR (2 downto 0); signal src2_addr_reg_500 : STD_LOGIC_VECTOR (2 downto 0); signal src3_addr_reg_505 : STD_LOGIC_VECTOR (2 downto 0); signal src4_addr_reg_510 : STD_LOGIC_VECTOR (2 downto 0); signal src5_addr_reg_515 : STD_LOGIC_VECTOR (2 downto 0); signal src6_addr_reg_520 : STD_LOGIC_VECTOR (2 downto 0); signal src7_addr_reg_525 : STD_LOGIC_VECTOR (2 downto 0); signal p_addr_cast_fu_319_p1 : STD_LOGIC_VECTOR (7 downto 0); signal p_addr_cast_reg_530 : STD_LOGIC_VECTOR (7 downto 0); signal exitcond1_fu_323_p2 : STD_LOGIC_VECTOR (0 downto 0); signal exitcond1_reg_535 : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_cseq_ST_pp0_stg0_fsm_1 : STD_LOGIC; signal ap_sig_bdd_169 : BOOLEAN; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it4 : STD_LOGIC := '0'; signal ap_reg_ppstg_exitcond1_reg_535_pp0_it1 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond1_reg_535_pp0_it2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_ppstg_exitcond1_reg_535_pp0_it3 : STD_LOGIC_VECTOR (0 downto 0); signal k_1_fu_329_p2 : STD_LOGIC_VECTOR (3 downto 0); signal p_addr1_fu_351_p2 : STD_LOGIC_VECTOR (7 downto 0); signal p_addr1_reg_584 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_p_addr1_reg_584_pp0_it1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_p_addr1_reg_584_pp0_it2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_reg_ppstg_p_addr1_reg_584_pp0_it3 : STD_LOGIC_VECTOR (7 downto 0); signal dct_coeff_table_0_load_reg_589 : STD_LOGIC_VECTOR (13 downto 0); signal ap_reg_ppstg_dct_coeff_table_0_load_reg_589_pp0_it2 : STD_LOGIC_VECTOR (13 downto 0); signal dct_coeff_table_1_load_reg_594 : STD_LOGIC_VECTOR (14 downto 0); signal src1_load_reg_599 : STD_LOGIC_VECTOR (15 downto 0); signal dct_coeff_table_2_load_reg_604 : STD_LOGIC_VECTOR (14 downto 0); signal ap_reg_ppstg_dct_coeff_table_2_load_reg_604_pp0_it2 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_3_load_reg_609 : STD_LOGIC_VECTOR (14 downto 0); signal src3_load_reg_614 : STD_LOGIC_VECTOR (15 downto 0); signal dct_coeff_table_4_load_reg_619 : STD_LOGIC_VECTOR (14 downto 0); signal ap_reg_ppstg_dct_coeff_table_4_load_reg_619_pp0_it2 : STD_LOGIC_VECTOR (14 downto 0); signal dct_coeff_table_5_load_reg_624 : STD_LOGIC_VECTOR (14 downto 0); signal src5_load_reg_629 : STD_LOGIC_VECTOR (15 downto 0); signal dct_coeff_table_6_load_reg_634 : STD_LOGIC_VECTOR (14 downto 0); signal src6_load_reg_639 : STD_LOGIC_VECTOR (15 downto 0); signal dct_coeff_table_7_load_reg_644 : STD_LOGIC_VECTOR (14 downto 0); signal src7_load_reg_649 : STD_LOGIC_VECTOR (15 downto 0); signal src_load_reg_654 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_1_fu_362_p2 : STD_LOGIC_VECTOR (28 downto 0); signal tmp_8_1_reg_659 : STD_LOGIC_VECTOR (28 downto 0); signal src2_load_reg_664 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_3_fu_374_p2 : STD_LOGIC_VECTOR (28 downto 0); signal tmp_8_3_reg_669 : STD_LOGIC_VECTOR (28 downto 0); signal src4_load_reg_674 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_5_fu_386_p2 : STD_LOGIC_VECTOR (28 downto 0); signal tmp_8_5_reg_679 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_466_p3 : STD_LOGIC_VECTOR (28 downto 0); signal tmp6_reg_684 : STD_LOGIC_VECTOR (28 downto 0); signal tmp_4_reg_689 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_1_cast_fu_299_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_fu_335_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_5_fu_446_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_3_fu_311_p3 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_trn_cast_fu_347_p1 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_8_1_fu_362_p0 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_1_fu_362_p1 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_8_3_fu_374_p0 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_3_fu_374_p1 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_8_5_fu_386_p0 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_8_5_fu_386_p1 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_482_p3 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_474_p3 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_450_p3 : STD_LOGIC_VECTOR (28 downto 0); signal tmp1_fu_422_p2 : STD_LOGIC_VECTOR (28 downto 0); attribute use_dsp48 : string; attribute use_dsp48 of tmp1_fu_422_p2 : signal is "no"; signal tmp4_fu_426_p2 : STD_LOGIC_VECTOR (28 downto 0); attribute use_dsp48 of tmp4_fu_426_p2 : signal is "no"; signal tmp_2_fu_430_p2 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_450_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_450_p1 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_450_p2 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_458_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_458_p1 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_458_p2 : STD_LOGIC_VECTOR (13 downto 0); signal grp_fu_466_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_466_p1 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_466_p2 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_458_p3 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_474_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_474_p1 : STD_LOGIC_VECTOR (14 downto 0); signal grp_fu_474_p2 : STD_LOGIC_VECTOR (28 downto 0); signal grp_fu_482_p0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_fu_482_p1 : STD_LOGIC_VECTOR (13 downto 0); signal grp_fu_482_p2 : STD_LOGIC_VECTOR (28 downto 0); signal ap_sig_cseq_ST_st7_fsm_2 : STD_LOGIC; signal ap_sig_bdd_402 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (2 downto 0); signal grp_fu_482_p10 : STD_LOGIC_VECTOR (28 downto 0); component dct_mac_muladd_16s_15s_29s_29_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; din2_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (14 downto 0); din2 : IN STD_LOGIC_VECTOR (28 downto 0); dout : OUT STD_LOGIC_VECTOR (28 downto 0) ); end component; component dct_mac_muladd_16s_15s_14ns_29_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; din2_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (14 downto 0); din2 : IN STD_LOGIC_VECTOR (13 downto 0); dout : OUT STD_LOGIC_VECTOR (28 downto 0) ); end component; component dct_mac_muladd_16s_14ns_29s_29_1 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; din2_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( din0 : IN STD_LOGIC_VECTOR (15 downto 0); din1 : IN STD_LOGIC_VECTOR (13 downto 0); din2 : IN STD_LOGIC_VECTOR (28 downto 0); dout : OUT STD_LOGIC_VECTOR (28 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_0 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (13 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_1 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_2 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_3 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_4 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_5 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_6 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; component dct_dct_1d_dct_coeff_table_7 IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (2 downto 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR (14 downto 0) ); end component; begin dct_coeff_table_0_U : component dct_dct_1d_dct_coeff_table_0 generic map ( DataWidth => 14, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_0_address0, ce0 => dct_coeff_table_0_ce0, q0 => dct_coeff_table_0_q0); dct_coeff_table_1_U : component dct_dct_1d_dct_coeff_table_1 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_1_address0, ce0 => dct_coeff_table_1_ce0, q0 => dct_coeff_table_1_q0); dct_coeff_table_2_U : component dct_dct_1d_dct_coeff_table_2 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_2_address0, ce0 => dct_coeff_table_2_ce0, q0 => dct_coeff_table_2_q0); dct_coeff_table_3_U : component dct_dct_1d_dct_coeff_table_3 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_3_address0, ce0 => dct_coeff_table_3_ce0, q0 => dct_coeff_table_3_q0); dct_coeff_table_4_U : component dct_dct_1d_dct_coeff_table_4 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_4_address0, ce0 => dct_coeff_table_4_ce0, q0 => dct_coeff_table_4_q0); dct_coeff_table_5_U : component dct_dct_1d_dct_coeff_table_5 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_5_address0, ce0 => dct_coeff_table_5_ce0, q0 => dct_coeff_table_5_q0); dct_coeff_table_6_U : component dct_dct_1d_dct_coeff_table_6 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_6_address0, ce0 => dct_coeff_table_6_ce0, q0 => dct_coeff_table_6_q0); dct_coeff_table_7_U : component dct_dct_1d_dct_coeff_table_7 generic map ( DataWidth => 15, AddressRange => 8, AddressWidth => 3) port map ( clk => ap_clk, reset => ap_rst, address0 => dct_coeff_table_7_address0, ce0 => dct_coeff_table_7_ce0, q0 => dct_coeff_table_7_q0); dct_mac_muladd_16s_15s_29s_29_1_U9 : component dct_mac_muladd_16s_15s_29s_29_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 16, din1_WIDTH => 15, din2_WIDTH => 29, dout_WIDTH => 29) port map ( din0 => grp_fu_450_p0, din1 => grp_fu_450_p1, din2 => grp_fu_450_p2, dout => grp_fu_450_p3); dct_mac_muladd_16s_15s_14ns_29_1_U10 : component dct_mac_muladd_16s_15s_14ns_29_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 16, din1_WIDTH => 15, din2_WIDTH => 14, dout_WIDTH => 29) port map ( din0 => grp_fu_458_p0, din1 => grp_fu_458_p1, din2 => grp_fu_458_p2, dout => grp_fu_458_p3); dct_mac_muladd_16s_15s_29s_29_1_U11 : component dct_mac_muladd_16s_15s_29s_29_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 16, din1_WIDTH => 15, din2_WIDTH => 29, dout_WIDTH => 29) port map ( din0 => grp_fu_466_p0, din1 => grp_fu_466_p1, din2 => grp_fu_466_p2, dout => grp_fu_466_p3); dct_mac_muladd_16s_15s_29s_29_1_U12 : component dct_mac_muladd_16s_15s_29s_29_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 16, din1_WIDTH => 15, din2_WIDTH => 29, dout_WIDTH => 29) port map ( din0 => grp_fu_474_p0, din1 => grp_fu_474_p1, din2 => grp_fu_474_p2, dout => grp_fu_474_p3); dct_mac_muladd_16s_14ns_29s_29_1_U13 : component dct_mac_muladd_16s_14ns_29s_29_1 generic map ( ID => 1, NUM_STAGE => 1, din0_WIDTH => 16, din1_WIDTH => 14, din2_WIDTH => 29, dout_WIDTH => 29) port map ( din0 => grp_fu_482_p0, din1 => grp_fu_482_p1, din2 => grp_fu_482_p2, dout => grp_fu_482_p3); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and not((exitcond1_fu_323_p2 = ap_const_lv1_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then ap_reg_ppiten_pp0_it0 <= ap_const_logic_1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (exitcond1_fu_323_p2 = ap_const_lv1_0))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_1; elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and not((exitcond1_fu_323_p2 = ap_const_lv1_0))))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end process; -- ap_reg_ppiten_pp0_it4 assign process. -- ap_reg_ppiten_pp0_it4_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it4 <= ap_const_logic_0; else ap_reg_ppiten_pp0_it4 <= ap_reg_ppiten_pp0_it3; end if; end if; end process; -- k_reg_288 assign process. -- k_reg_288_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (exitcond1_fu_323_p2 = ap_const_lv1_0))) then k_reg_288 <= k_1_fu_329_p2; elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then k_reg_288 <= ap_const_lv4_0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_true = ap_true)) then ap_reg_ppstg_dct_coeff_table_0_load_reg_589_pp0_it2 <= dct_coeff_table_0_load_reg_589; ap_reg_ppstg_dct_coeff_table_2_load_reg_604_pp0_it2 <= dct_coeff_table_2_load_reg_604; ap_reg_ppstg_dct_coeff_table_4_load_reg_619_pp0_it2 <= dct_coeff_table_4_load_reg_619; ap_reg_ppstg_exitcond1_reg_535_pp0_it2 <= ap_reg_ppstg_exitcond1_reg_535_pp0_it1; ap_reg_ppstg_exitcond1_reg_535_pp0_it3 <= ap_reg_ppstg_exitcond1_reg_535_pp0_it2; ap_reg_ppstg_p_addr1_reg_584_pp0_it2 <= ap_reg_ppstg_p_addr1_reg_584_pp0_it1; ap_reg_ppstg_p_addr1_reg_584_pp0_it3 <= ap_reg_ppstg_p_addr1_reg_584_pp0_it2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1)) then ap_reg_ppstg_exitcond1_reg_535_pp0_it1 <= exitcond1_reg_535; ap_reg_ppstg_p_addr1_reg_584_pp0_it1 <= p_addr1_reg_584; exitcond1_reg_535 <= exitcond1_fu_323_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (exitcond1_reg_535 = ap_const_lv1_0))) then dct_coeff_table_0_load_reg_589 <= dct_coeff_table_0_q0; dct_coeff_table_1_load_reg_594 <= dct_coeff_table_1_q0; dct_coeff_table_2_load_reg_604 <= dct_coeff_table_2_q0; dct_coeff_table_3_load_reg_609 <= dct_coeff_table_3_q0; dct_coeff_table_4_load_reg_619 <= dct_coeff_table_4_q0; dct_coeff_table_5_load_reg_624 <= dct_coeff_table_5_q0; dct_coeff_table_6_load_reg_634 <= dct_coeff_table_6_q0; dct_coeff_table_7_load_reg_644 <= dct_coeff_table_7_q0; src1_load_reg_599 <= src1_q0; src3_load_reg_614 <= src3_q0; src5_load_reg_629 <= src5_q0; src6_load_reg_639 <= src6_q0; src7_load_reg_649 <= src7_q0; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (exitcond1_fu_323_p2 = ap_const_lv1_0))) then p_addr1_reg_584 <= p_addr1_fu_351_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then p_addr_cast_reg_530(6 downto 3) <= p_addr_cast_fu_319_p1(6 downto 3); src1_addr_reg_495 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src2_addr_reg_500 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src3_addr_reg_505 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src4_addr_reg_510 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src5_addr_reg_515 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src6_addr_reg_520 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src7_addr_reg_525 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); src_addr_reg_490 <= tmp_1_cast_fu_299_p1(3 - 1 downto 0); end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond1_reg_535_pp0_it1 = ap_const_lv1_0)) then src2_load_reg_664 <= src2_q0; src4_load_reg_674 <= src4_q0; src_load_reg_654 <= src_q0; tmp6_reg_684 <= grp_fu_466_p3; tmp_8_1_reg_659 <= tmp_8_1_fu_362_p2; tmp_8_3_reg_669 <= tmp_8_3_fu_374_p2; tmp_8_5_reg_679 <= tmp_8_5_fu_386_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_reg_ppstg_exitcond1_reg_535_pp0_it2 = ap_const_lv1_0)) then tmp_4_reg_689 <= tmp_2_fu_430_p2(28 downto 13); end if; end if; end process; p_addr_cast_reg_530(2 downto 0) <= "000"; p_addr_cast_reg_530(7) <= '0'; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, exitcond1_fu_323_p2, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it3, ap_reg_ppiten_pp0_it4) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ap_start = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_pp0_stg0_fsm_1 => if ((not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it4) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it3)))) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((exitcond1_fu_323_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_1; elsif (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((exitcond1_fu_323_p2 = ap_const_lv1_0)) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it1)))) then ap_NS_fsm <= ap_ST_st7_fsm_2; else ap_NS_fsm <= ap_ST_st7_fsm_2; end if; when ap_ST_st7_fsm_2 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "XXX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, ap_sig_cseq_ST_st7_fsm_2) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) or (ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_sig_cseq_ST_st7_fsm_2) begin if ((ap_const_logic_1 = ap_sig_cseq_ST_st7_fsm_2)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_sig_bdd_169 assign process. -- ap_sig_bdd_169_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_169 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1)); end process; -- ap_sig_bdd_21 assign process. -- ap_sig_bdd_21_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_21 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1); end process; -- ap_sig_bdd_402 assign process. -- ap_sig_bdd_402_assign_proc : process(ap_CS_fsm) begin ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2)); end process; -- ap_sig_cseq_ST_pp0_stg0_fsm_1 assign process. -- ap_sig_cseq_ST_pp0_stg0_fsm_1_assign_proc : process(ap_sig_bdd_169) begin if (ap_sig_bdd_169) then ap_sig_cseq_ST_pp0_stg0_fsm_1 <= ap_const_logic_1; else ap_sig_cseq_ST_pp0_stg0_fsm_1 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st1_fsm_0 assign process. -- ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_21) begin if (ap_sig_bdd_21) then ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1; else ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0; end if; end process; -- ap_sig_cseq_ST_st7_fsm_2 assign process. -- ap_sig_cseq_ST_st7_fsm_2_assign_proc : process(ap_sig_bdd_402) begin if (ap_sig_bdd_402) then ap_sig_cseq_ST_st7_fsm_2 <= ap_const_logic_1; else ap_sig_cseq_ST_st7_fsm_2 <= ap_const_logic_0; end if; end process; dct_coeff_table_0_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_0_ce0 assign process. -- dct_coeff_table_0_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_0_ce0 <= ap_const_logic_1; else dct_coeff_table_0_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_1_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_1_ce0 assign process. -- dct_coeff_table_1_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_1_ce0 <= ap_const_logic_1; else dct_coeff_table_1_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_2_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_2_ce0 assign process. -- dct_coeff_table_2_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_2_ce0 <= ap_const_logic_1; else dct_coeff_table_2_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_3_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_3_ce0 assign process. -- dct_coeff_table_3_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_3_ce0 <= ap_const_logic_1; else dct_coeff_table_3_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_4_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_4_ce0 assign process. -- dct_coeff_table_4_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_4_ce0 <= ap_const_logic_1; else dct_coeff_table_4_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_5_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_5_ce0 assign process. -- dct_coeff_table_5_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_5_ce0 <= ap_const_logic_1; else dct_coeff_table_5_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_6_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_6_ce0 assign process. -- dct_coeff_table_6_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_6_ce0 <= ap_const_logic_1; else dct_coeff_table_6_ce0 <= ap_const_logic_0; end if; end process; dct_coeff_table_7_address0 <= tmp_fu_335_p1(3 - 1 downto 0); -- dct_coeff_table_7_ce0 assign process. -- dct_coeff_table_7_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then dct_coeff_table_7_ce0 <= ap_const_logic_1; else dct_coeff_table_7_ce0 <= ap_const_logic_0; end if; end process; dst_address0 <= tmp_5_fu_446_p1(6 - 1 downto 0); -- dst_ce0 assign process. -- dst_ce0_assign_proc : process(ap_reg_ppiten_pp0_it4) begin if ((ap_const_logic_1 = ap_reg_ppiten_pp0_it4)) then dst_ce0 <= ap_const_logic_1; else dst_ce0 <= ap_const_logic_0; end if; end process; dst_d0 <= tmp_4_reg_689; -- dst_we0 assign process. -- dst_we0_assign_proc : process(ap_reg_ppiten_pp0_it4, ap_reg_ppstg_exitcond1_reg_535_pp0_it3) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it4) and (ap_reg_ppstg_exitcond1_reg_535_pp0_it3 = ap_const_lv1_0)))) then dst_we0 <= ap_const_logic_1; else dst_we0 <= ap_const_logic_0; end if; end process; exitcond1_fu_323_p2 <= "1" when (k_reg_288 = ap_const_lv4_8) else "0"; grp_fu_450_p0 <= src4_load_reg_674; grp_fu_450_p1 <= ap_reg_ppstg_dct_coeff_table_4_load_reg_619_pp0_it2; grp_fu_450_p2 <= tmp_8_5_reg_679; grp_fu_458_p0 <= src7_load_reg_649; grp_fu_458_p1 <= dct_coeff_table_7_load_reg_644; grp_fu_458_p2 <= ap_const_lv29_1000(14 - 1 downto 0); grp_fu_466_p0 <= src6_load_reg_639; grp_fu_466_p1 <= dct_coeff_table_6_load_reg_634; grp_fu_466_p2 <= grp_fu_458_p3; grp_fu_474_p0 <= src2_load_reg_664; grp_fu_474_p1 <= ap_reg_ppstg_dct_coeff_table_2_load_reg_604_pp0_it2; grp_fu_474_p2 <= tmp_8_3_reg_669; grp_fu_482_p0 <= src_load_reg_654; grp_fu_482_p1 <= grp_fu_482_p10(14 - 1 downto 0); grp_fu_482_p10 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_dct_coeff_table_0_load_reg_589_pp0_it2),29)); grp_fu_482_p2 <= tmp_8_1_reg_659; k_1_fu_329_p2 <= std_logic_vector(unsigned(k_reg_288) + unsigned(ap_const_lv4_1)); p_addr1_fu_351_p2 <= std_logic_vector(unsigned(p_addr_cast_reg_530) + unsigned(tmp_trn_cast_fu_347_p1)); p_addr_cast_fu_319_p1 <= std_logic_vector(resize(unsigned(tmp_3_fu_311_p3),8)); src1_address0 <= src1_addr_reg_495; -- src1_ce0 assign process. -- src1_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then src1_ce0 <= ap_const_logic_1; else src1_ce0 <= ap_const_logic_0; end if; end process; src2_address0 <= src2_addr_reg_500; -- src2_ce0 assign process. -- src2_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) then src2_ce0 <= ap_const_logic_1; else src2_ce0 <= ap_const_logic_0; end if; end process; src3_address0 <= src3_addr_reg_505; -- src3_ce0 assign process. -- src3_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then src3_ce0 <= ap_const_logic_1; else src3_ce0 <= ap_const_logic_0; end if; end process; src4_address0 <= src4_addr_reg_510; -- src4_ce0 assign process. -- src4_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) then src4_ce0 <= ap_const_logic_1; else src4_ce0 <= ap_const_logic_0; end if; end process; src5_address0 <= src5_addr_reg_515; -- src5_ce0 assign process. -- src5_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then src5_ce0 <= ap_const_logic_1; else src5_ce0 <= ap_const_logic_0; end if; end process; src6_address0 <= src6_addr_reg_520; -- src6_ce0 assign process. -- src6_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then src6_ce0 <= ap_const_logic_1; else src6_ce0 <= ap_const_logic_0; end if; end process; src7_address0 <= src7_addr_reg_525; -- src7_ce0 assign process. -- src7_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0))) then src7_ce0 <= ap_const_logic_1; else src7_ce0 <= ap_const_logic_0; end if; end process; src_address0 <= src_addr_reg_490; -- src_ce0 assign process. -- src_ce0_assign_proc : process(ap_sig_cseq_ST_pp0_stg0_fsm_1, ap_reg_ppiten_pp0_it1) begin if (((ap_const_logic_1 = ap_sig_cseq_ST_pp0_stg0_fsm_1) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it1))) then src_ce0 <= ap_const_logic_1; else src_ce0 <= ap_const_logic_0; end if; end process; tmp1_fu_422_p2 <= std_logic_vector(signed(grp_fu_482_p3) + signed(grp_fu_474_p3)); tmp4_fu_426_p2 <= std_logic_vector(signed(grp_fu_450_p3) + signed(tmp6_reg_684)); tmp_1_cast_fu_299_p1 <= std_logic_vector(resize(unsigned(tmp_1),64)); tmp_2_fu_430_p2 <= std_logic_vector(signed(tmp1_fu_422_p2) + signed(tmp4_fu_426_p2)); tmp_3_fu_311_p3 <= (tmp_11 & ap_const_lv3_0); tmp_5_fu_446_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_p_addr1_reg_584_pp0_it3),64)); tmp_8_1_fu_362_p0 <= src1_load_reg_599; tmp_8_1_fu_362_p1 <= dct_coeff_table_1_load_reg_594; tmp_8_1_fu_362_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed(tmp_8_1_fu_362_p0) * signed(tmp_8_1_fu_362_p1))), 29)); tmp_8_3_fu_374_p0 <= src3_load_reg_614; tmp_8_3_fu_374_p1 <= dct_coeff_table_3_load_reg_609; tmp_8_3_fu_374_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed(tmp_8_3_fu_374_p0) * signed(tmp_8_3_fu_374_p1))), 29)); tmp_8_5_fu_386_p0 <= src5_load_reg_629; tmp_8_5_fu_386_p1 <= dct_coeff_table_5_load_reg_624; tmp_8_5_fu_386_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed(tmp_8_5_fu_386_p0) * signed(tmp_8_5_fu_386_p1))), 29)); tmp_fu_335_p1 <= std_logic_vector(resize(unsigned(k_reg_288),64)); tmp_trn_cast_fu_347_p1 <= std_logic_vector(resize(unsigned(k_reg_288),8)); end behav;
<filename>boards/ip/iprepo/SpectrumAnalyser_v1_1/hdl/vhdl/SpectrumAnalyser_reset_hold.vhd -- ------------------------------------------------------------- -- -- File Name: hdl_prj\hdlsrc\spectrum_analyser\SpectrumAnalyser_reset_hold.vhd -- Created: 2021-03-09 14:01:03 -- -- Generated by MATLAB 9.8 and HDL Coder 3.16 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: SpectrumAnalyser_reset_hold -- Source Path: SpectrumAnalyser/SpectrumAnalyser_axi_lite/SpectrumAnalyser_axi_lite_module/SpectrumAnalyser_reset_hold -- Hierarchy Level: 3 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY SpectrumAnalyser_reset_hold IS PORT( clk : IN std_logic; reset : IN std_logic; reset_in : IN std_logic; -- ufix1 in_burst : IN std_logic; -- ufix1 reset_out : OUT std_logic; -- ufix1 reset_pending : OUT std_logic -- ufix1 ); END SpectrumAnalyser_reset_hold; ARCHITECTURE rtl OF SpectrumAnalyser_reset_hold IS -- Signals SIGNAL enb : std_logic; SIGNAL const_1 : std_logic; -- ufix1 SIGNAL reset_hold_module_hstate : unsigned(7 DOWNTO 0); -- uint8 SIGNAL reset_hold_module_reset_out_reg : std_logic; SIGNAL reset_hold_module_reset_pending_reg : std_logic; SIGNAL reset_hold_module_hstate_next : unsigned(7 DOWNTO 0); -- uint8 SIGNAL reset_hold_module_reset_out_reg_next : std_logic; SIGNAL reset_hold_module_reset_pending_reg_next : std_logic; BEGIN const_1 <= '1'; enb <= const_1; reset_hold_module_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN reset_hold_module_hstate <= to_unsigned(16#00#, 8); reset_hold_module_reset_out_reg <= '0'; reset_hold_module_reset_pending_reg <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN reset_hold_module_hstate <= reset_hold_module_hstate_next; reset_hold_module_reset_out_reg <= reset_hold_module_reset_out_reg_next; reset_hold_module_reset_pending_reg <= reset_hold_module_reset_pending_reg_next; END IF; END IF; END PROCESS reset_hold_module_process; reset_hold_module_output : PROCESS (in_burst, reset_hold_module_hstate, reset_hold_module_reset_out_reg, reset_hold_module_reset_pending_reg, reset_in) VARIABLE reset_in1 : std_logic; VARIABLE in_burst1 : std_logic; BEGIN reset_hold_module_hstate_next <= reset_hold_module_hstate; reset_hold_module_reset_out_reg_next <= reset_hold_module_reset_out_reg; reset_hold_module_reset_pending_reg_next <= reset_hold_module_reset_pending_reg; IF reset_in /= '0' THEN reset_in1 := '1'; ELSE reset_in1 := '0'; END IF; IF in_burst /= '0' THEN in_burst1 := '1'; ELSE in_burst1 := '0'; END IF; CASE reset_hold_module_hstate IS WHEN "00000000" => IF in_burst1 = '1' THEN reset_hold_module_reset_out_reg_next <= '0'; IF reset_in1 = '1' THEN reset_hold_module_reset_pending_reg_next <= '1'; reset_hold_module_hstate_next <= to_unsigned(16#02#, 8); ELSE reset_hold_module_reset_pending_reg_next <= '0'; reset_hold_module_hstate_next <= to_unsigned(16#01#, 8); END IF; ELSE reset_hold_module_reset_out_reg_next <= reset_in1; reset_hold_module_reset_pending_reg_next <= '0'; END IF; WHEN "00000001" => IF ( NOT in_burst1) = '1' THEN reset_hold_module_reset_out_reg_next <= reset_in1; reset_hold_module_reset_pending_reg_next <= '0'; reset_hold_module_hstate_next <= to_unsigned(16#00#, 8); ELSIF reset_in1 = '1' THEN reset_hold_module_reset_out_reg_next <= '0'; reset_hold_module_reset_pending_reg_next <= '1'; reset_hold_module_hstate_next <= to_unsigned(16#02#, 8); ELSE reset_hold_module_reset_out_reg_next <= '0'; reset_hold_module_reset_pending_reg_next <= '0'; END IF; WHEN "00000010" => reset_hold_module_reset_out_reg_next <= '0'; reset_hold_module_reset_pending_reg_next <= '1'; IF ( NOT in_burst1) = '1' THEN reset_hold_module_hstate_next <= to_unsigned(16#03#, 8); END IF; WHEN "00000011" => reset_hold_module_reset_out_reg_next <= '1'; reset_hold_module_reset_pending_reg_next <= '1'; reset_hold_module_hstate_next <= to_unsigned(16#00#, 8); WHEN OTHERS => reset_hold_module_hstate_next <= to_unsigned(16#00#, 8); END CASE; reset_out <= reset_hold_module_reset_out_reg; reset_pending <= reset_hold_module_reset_pending_reg; END PROCESS reset_hold_module_output; END rtl;
library verilog; use verilog.vl_types.all; entity axis2fib_rxctrl is generic( DATA_WIDTH : integer := 64; BCNT_WIDTH : integer := 32; AR_IDLE : integer := 1; AR_WAIT : integer := 2; AR_READCNT : integer := 4; AR_RDDATA : integer := 8; AR_DONE : integer := 22 ); port( rx_mac_aclk : in vl_logic; \reset_\ : in vl_logic; rden_rf : out vl_logic; rden_rcf : out vl_logic; rdempty_rf : in vl_logic; rdempty_rcf : in vl_logic; dataout_rf : in vl_logic_vector; dataout_rcf : in vl_logic_vector; rx_axis_mac_tdata: out vl_logic_vector; rx_axis_mac_tvalid: out vl_logic; rx_axis_mac_tlast: out vl_logic; rx_axis_mac_tuser: out vl_logic; rx_axis_filter_tuser: out vl_logic; rx_axis_mac_tstrb: out vl_logic_vector(7 downto 0); rx_statistics_vector: out vl_logic_vector(27 downto 0); rx_statistics_valid: out vl_logic; rx_axis_mac_tready: in vl_logic; rx_axis_compatible_mode: in vl_logic; test : out vl_logic ); end axis2fib_rxctrl;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.ALL; /* * button 0: press for reset (during reset button press, one sample is shown, so press a few times to see the stability ADC samples) * button 1: increase * button 2: decrease * button 3: control mode selection - cycle through: * ACTUAL_V (default, return after some seconds) * FREQUENCY (trigger level should be set OK) * TIME_PER_DIV (can use button 1&2) * CONTROL_MODE_TRIGGER_LEVEL (can use button 1&2 to change trigger level, but only reset can undo user set to default * (default= avg sensed V since last reset: (min+max)/2 ). indicated by 3 horizontal lines * When set typ the user, only a minus sign is given * MIN V * MAX V * * switch 0: trigger on level rising * switch 1: trigger level enabled * * led 0: =switch 0 - on means to trigger on rising signal * led 1: on=trigger level enabled * led 2: on=waiting for trigger to occur * led 3: on=could trigger now * * input for ADC: * + top left = ground * + bottom right = VDD * + bottom left = ADC input signal */ entity DE1_oscilloscope is port( /* ADC */ ADC_CONVST: out std_logic; ADC_DIN: out std_logic; ADC_DOUT: in std_logic; ADC_SCLK: out std_logic; /* CLOCK */ CLOCK2_50: in std_logic; CLOCK3_50: in std_logic; CLOCK4_50: in std_logic; CLOCK_50: in std_logic; /* SEG7 */ HEX0: out std_logic_vector(6 downto 0); HEX1: out std_logic_vector(6 downto 0); HEX2: out std_logic_vector(6 downto 0); HEX3: out std_logic_vector(6 downto 0); HEX4: out std_logic_vector(6 downto 0); HEX5: out std_logic_vector(6 downto 0); /* KEY */ KEY: in std_logic_vector(3 downto 0); /* LED */ LEDR: out std_logic_vector(9 downto 0); /* SW */ SW: in std_logic_vector(9 downto 0); /* VGA */ VGA_BLANK_N: out std_logic; VGA_B: out std_logic_vector(7 downto 0); VGA_CLK: out std_logic; VGA_G: out std_logic_vector(7 downto 0); VGA_HS: out std_logic; VGA_R: out std_logic_vector(7 downto 0); VGA_SYNC_N: out std_logic; VGA_VS: out std_logic; /* GPIO_0, GPIO_0 connect to GPIO Default */ GPIO_0: inout std_logic_vector(35 downto 0); /* GPIO_1, GPIO_1 connect to GPIO Default */ GPIO_1: inout std_logic_vector(35 downto 0) ); end DE1_oscilloscope; architecture structure of DE1_oscilloscope is component calc_bin_to_6x7seg is port( binaryNumber : in std_logic_vector(19 downto 0); -- 2^20 > 999.999 (max on 6 segments) HEX0: out std_logic_vector(6 downto 0); HEX1: out std_logic_vector(6 downto 0); HEX2: out std_logic_vector(6 downto 0); HEX3: out std_logic_vector(6 downto 0); HEX4: out std_logic_vector(6 downto 0); HEX5: out std_logic_vector(6 downto 0) -- TODO: add enumeration for display mode -- type display_6x7seg_mode_type is {FULL, ACTUAL_VOLTAGE, ...}; -- put this in a separate package to make it available to other modules ); end component; component read_ADC port( clk_50MHz : in std_logic; -- 50 MHz clock reset : in std_logic; -- should be active at least 2 50Mhz cycles, so it is not missed by 25MHz process /* signals to/from the LTC2308 ADC */ ADC_CONVST: out std_logic; ADC_DIN: out std_logic; ADC_DOUT: in std_logic; ADC_SCLK: out std_logic; /* 12-bit data as read from ADC */ data : out std_logic_vector(11 downto 0); -- data from measurement of previous start signal data_available_now : out std_logic -- active high for 1 clock to indicate new data has arrived ); end component read_ADC; component display_via_memory port( clk_50MHz : in std_logic; -- clock for pixel input reset : in std_logic; pixel_X : in std_logic_vector(10 downto 0); pixel_Y : in std_logic_vector(9 downto 0); pixel_value_R : in std_logic_vector(7 downto 0); pixel_value_G : in std_logic_vector(7 downto 0); pixel_value_B : in std_logic_vector(7 downto 0); pixel_valid : in std_logic; -- set to 1 means X,Y and pixel_value_[RGB] are valid /* --- VGA output --- */ VGA_BLANK_N: out std_logic; VGA_B: out std_logic_vector(7 downto 0); VGA_CLK: out std_logic; VGA_G: out std_logic_vector(7 downto 0); VGA_HS: out std_logic; VGA_R: out std_logic_vector(7 downto 0); VGA_SYNC_N: out std_logic; VGA_VS: out std_logic ); end component display_via_memory; component key_detection is port( clk : in std_logic; rst : in std_logic; KEY: in std_logic_vector(3 downto 1); button_plus_pressed_once : out std_logic; button_minus_pressed_once : out std_logic; button_control_mode_pressed_once : out std_logic; any_button_being_pressed : out std_logic ); end component key_detection; component measure_frequency is port( clk : in std_logic; rst : in std_logic; one_cycle_reset : in std_logic; trigger_detected : in std_logic; measured_frequency: out integer range 0 to 64e3 -- ADC does <500 [KS/s], and need time (14 samples) to measure (hi&how) ); end component measure_frequency; component signal_generator is port( clk : in std_logic; signals : out std_logic_vector(5 downto 0) ); end component signal_generator; signal overall_reset : std_logic; signal number2convert : std_logic_vector(19 downto 0); signal pixel_X : std_logic_vector(10 downto 0); signal pixel_Y : std_logic_vector(9 downto 0); signal pixel_value_R : std_logic_vector(7 downto 0); signal pixel_value_G : std_logic_vector(7 downto 0); signal pixel_value_B : std_logic_vector(7 downto 0); signal pixel_valid : std_logic; signal pixel_X_for_measurement : integer range 0 to 1440-1; signal pixel_Y_for_measurement : integer range 0 to 900-1; signal pixel_X_countdown : integer range 0 to 1000000-1; signal pixel_X_countdown_initial_value : integer range 0 to 1000000-1 := 50000-1; -- 50K is 0.1[s]/div of 100 pixels /* pixel_X_countdown_initial_value * 12 1e6 - 2[s]/div (screen 14.4 div = 28.8[s] * 11 500e3 - 1[s]/div * 10 250e3 - 500[ms]/div * 9 100e3 - 200[ms]/div (default) up to 50 Hz (10 X samples/period) >64 * 8 50e3 - 100[ms]/div 100 Hz >128 * 7 25e3 - 50[ms]/div 200 Hz >256 * 6 10e3 - 20[ms]/div 500 Hz >512 * 5 5e3 - 10[ms]/div 1000 Hz >1024 * 4 2.5e3- 5[ms]/div 2000 Hz * 3 1e3 - 2[ms]/div 5000 Hz * 2 500 - 1[ms]/div (screen 14.4 div = 14.4[ms] * 1 250 - 500[us]/div (screen 14.4 div = 7.2[ms], but <1 measurement per pixel) * 0 100 - 200[us]/div (screen 14.4 div = [ms], but <1 measurement per pixel) */ -- type time_per_div_setting_type is (S2, S1, MS500, MS250, MS100, MS50, MS25, MS10, MS5, MS2, MS1); -- signal time_per_div_setting : time_per_div_setting_type := S1; signal time_per_div_setting : integer range 0 to 12 := 8; signal button_plus_pressed_once : std_logic; signal button_minus_pressed_once : std_logic; signal button_control_mode_pressed_once : std_logic; signal any_button_pressed : std_logic; signal reset_button_was_pressed_prev_clock_cycle : std_logic; signal reset_button_pressed_once : std_logic; -- control model to define context for keys and 7 segmented display type control_mode_type is (CONTROL_MODE_ACTUAL_V, CONTROL_MODE_FREQUENCY, CONTROL_MODE_TIME_PER_DIV, CONTROL_MODE_TRIGGER_LEVEL, CONTROL_MODE_MAX_ADC, CONTROL_MODE_MIN_ADC); signal control_mode : control_mode_type; signal control_keep_mode_countdown : integer range 0 to 200e6; -- (4[s] @ 50MHz) signal measured_frequency : integer range 0 to 64e3; -- ADC does <500 [KS/s], and need time (14 samples) to measure (hi&how) signal adc_data : std_logic_vector(11 downto 0); signal adc_data_available_now : std_logic; signal adc_data_sample_when_reset_just_pressed : std_logic_vector(11 downto 0); signal max_adc_data : std_logic_vector(11 downto 0); signal min_adc_data : std_logic_vector(11 downto 0); signal avg_adc_value : std_logic_vector(11 downto 0); signal trigger_level_set_by_user : std_logic := '0'; signal trigger_level_enabled : std_logic := '0'; signal trigger_level : std_logic_vector(11 downto 0); signal trigger_level_rising : std_logic; signal trigger_now : std_logic; -- 1 cycle active when trigger has been detected signal sample_level_counter : integer range 0 to 7 := 0; signal level_other_side_done : std_logic; -- if trigger level rising, then this indicates signal was long enough below trigger level (if trigger level falling, then above trigger level) signal currentYisADCdata : std_logic := '0'; -- calc_HEX_X is output as calculated from a number signal calc_HEX_0 : std_logic_vector(6 downto 0); signal calc_HEX_1 : std_logic_vector(6 downto 0); signal calc_HEX_2 : std_logic_vector(6 downto 0); signal calc_HEX_3 : std_logic_vector(6 downto 0); signal calc_HEX_4 : std_logic_vector(6 downto 0); signal calc_HEX_5 : std_logic_vector(6 downto 0); -- calc_HEX_X is output as calculated from a number signal time_per_div_HEX_0 : std_logic_vector(6 downto 0); signal time_per_div_HEX_1 : std_logic_vector(6 downto 0); signal time_per_div_HEX_2 : std_logic_vector(6 downto 0); signal time_per_div_HEX_3 : std_logic_vector(6 downto 0); signal time_per_div_HEX_4 : std_logic_vector(6 downto 0); signal time_per_div_HEX_5 : std_logic_vector(6 downto 0); begin overall_reset <= not KEY(0); trigger_level_rising <= SW(0); LEDR(0) <= SW(0); LEDR(1) <= trigger_level_enabled; LEDR(3) <= trigger_now; LEDR(9 downto 4) <= b"000000"; reset_key_pressed: process(CLOCK_50) begin if rising_edge(CLOCK_50) then reset_button_was_pressed_prev_clock_cycle <= overall_reset; reset_button_pressed_once <= overall_reset and not reset_button_was_pressed_prev_clock_cycle; end if; end process; -- HEX2 <= (others => '1'); -- off -- HEX3 <= (others => overall_reset); -- HEX4 <= (others => overall_reset); -- GPIO 1 is at right edge of board -- botton right on GPIO = GPIO_1_D35 = pin 40 -- botton left on GPIO = GPIO_1_D34 = pin 39 -- botton right on board, second pin = GPIO_0_D2 = pin 38 -- botton left on board, second pin = GPIO_0_D3 = pin 37 -- (ground is at 6th pin counting from top on right side = pin12 ...) -- see data sheet signal_creation : signal_generator port map(CLOCK_50, GPIO_1(35 downto 30)); GPIO_1(29) <= '1'; -- 3.3 V disp_via_mem : display_via_memory port map( clk_50MHz => CLOCK_50, reset => overall_reset, -- pixel data to set in memory pixel_X => pixel_X, pixel_Y => pixel_Y, pixel_value_R => pixel_value_R, pixel_value_G => pixel_value_G, pixel_value_B => pixel_value_B, pixel_valid => pixel_valid, /* --- VGA output --- */ VGA_BLANK_N => VGA_BLANK_N, VGA_SYNC_N => VGA_SYNC_N, VGA_HS => VGA_HS, VGA_VS => VGA_VS, VGA_R => VGA_R, VGA_G => VGA_G, VGA_B => VGA_B, VGA_CLK => VGA_CLK ); input_keys: key_detection port map( clk => CLOCK_50, rst => overall_reset, KEY => KEY(3 downto 1), button_plus_pressed_once => button_plus_pressed_once, button_minus_pressed_once => button_minus_pressed_once, button_control_mode_pressed_once => button_control_mode_pressed_once, any_button_being_pressed => any_button_pressed ); frequency_measure_process : measure_frequency port map( clk => CLOCK_50, rst => overall_reset, one_cycle_reset => reset_button_pressed_once, trigger_detected => trigger_now, measured_frequency => measured_frequency ); readADC : read_ADC port map( clk_50MHz => CLOCK_50, reset => reset_button_pressed_once, ADC_CONVST => ADC_CONVST, ADC_DIN => ADC_DIN, ADC_DOUT => ADC_DOUT, ADC_SCLK => ADC_SCLK, data => adc_data, data_available_now => adc_data_available_now ); adc_data_for_reset: process(CLOCK_50) begin if rising_edge(CLOCK_50) and (reset_button_pressed_once = '1') then adc_data_sample_when_reset_just_pressed <= adc_data; end if; end process; number2convert <= (b"00000000" & adc_data) when (control_mode = CONTROL_MODE_ACTUAL_V) and (overall_reset = '0') else (b"00000000" & adc_data_sample_when_reset_just_pressed) when (control_mode = CONTROL_MODE_ACTUAL_V) else (b"00000000" & trigger_level) when (control_mode = CONTROL_MODE_TRIGGER_LEVEL) else std_logic_vector(to_unsigned(measured_frequency, 20)) when (control_mode = CONTROL_MODE_FREQUENCY) else (b"00000000" & max_adc_data) when (control_mode = CONTROL_MODE_MAX_ADC) else (b"00000000" & min_adc_data) when (control_mode = CONTROL_MODE_MIN_ADC) else b"00000000000000000000"; -- don't care since time_per_div will be on display, but put 0's for lower power usage calc_seg : calc_bin_to_6x7seg port map( binaryNumber => number2convert, HEX0 => calc_HEX_0, HEX1 => calc_HEX_1, HEX2 => calc_HEX_2, HEX3 => calc_HEX_3, HEX4 => calc_HEX_4, -- max value of ADC is 4096, so no need for MSB display (value is 0) HEX5 => calc_HEX_5 ); -- output_to_7seg_display HEX0 <= time_per_div_HEX_0 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_0 when (control_mode = CONTROL_MODE_FREQUENCY) else (not "0111110"); -- V for voltage HEX1 <= time_per_div_HEX_1 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_1 when (control_mode = CONTROL_MODE_FREQUENCY) else (not "0000000") when (control_mode = CONTROL_MODE_ACTUAL_V) else -- empty (not "0001000") when (control_mode = CONTROL_MODE_MIN_ADC) else -- low _ (not "0000001") when (control_mode = CONTROL_MODE_MAX_ADC) else -- high _ (not "1000000") when (control_mode = CONTROL_MODE_TRIGGER_LEVEL) and (trigger_level_set_by_user = '1') else (not "1001001"); -- 3 horizontal lines when trigger level determined from min/max HEX2 <= time_per_div_HEX_2 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_2 when (control_mode = CONTROL_MODE_FREQUENCY) else calc_HEX_0; -- TRIGGER_LEVEL or ACTUAL_VOLTAGE HEX3 <= time_per_div_HEX_3 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_3 when (control_mode = CONTROL_MODE_FREQUENCY) else calc_HEX_1; -- TRIGGER_LEVEL or ACTUAL_VOLTAGE HEX4 <= time_per_div_HEX_4 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_4 when (control_mode = CONTROL_MODE_FREQUENCY) else calc_HEX_2; -- TRIGGER_LEVEL or ACTUAL_VOLTAGE HEX5 <= time_per_div_HEX_5 when (control_mode = CONTROL_MODE_TIME_PER_DIV) else calc_HEX_5 when (control_mode = CONTROL_MODE_FREQUENCY) else calc_HEX_3; -- TRIGGER_LEVEL or ACTUAL_VOLTAGE control_mode_handling: process(CLOCK_50) variable prev_control_mode_button_pressed : std_logic; variable latched_control_mode_button_pressed : std_logic; -- coupled to KEY3, note buttons are debounced, but may change during setup time begin if rising_edge(CLOCK_50) then if (overall_reset = '1') then control_mode <= CONTROL_MODE_ACTUAL_V; control_keep_mode_countdown <= 0; else if button_control_mode_pressed_once then -- ORDER: CONTROL_MODE_ACTUAL_V, CONTROL_MODE_FREQUENCY, CONTROL_MODE_TIME_PER_DIV, CONTROL_MODE_TRIGGER_LEVEL if control_mode = CONTROL_MODE_ACTUAL_V then control_mode <= CONTROL_MODE_FREQUENCY; elsif control_mode = CONTROL_MODE_FREQUENCY then control_mode <= CONTROL_MODE_TIME_PER_DIV; elsif control_mode = CONTROL_MODE_TIME_PER_DIV then control_mode <= CONTROL_MODE_TRIGGER_LEVEL; elsif control_mode = CONTROL_MODE_TRIGGER_LEVEL then control_mode <= CONTROL_MODE_MIN_ADC; elsif control_mode = CONTROL_MODE_MIN_ADC then control_mode <= CONTROL_MODE_MAX_ADC; else control_mode <= CONTROL_MODE_ACTUAL_V; end if; -- Works, but error in Quartus: control_mode <= CONTROL_MODE_TIME_PER_DIV WHEN control_mode = CONTROL_MODE_ACTUAL_V ELSE -- CONTROL_MODE_TRIGGER_LEVEL WHEN control_mode = CONTROL_MODE_TIME_PER_DIV ELSE -- CONTROL_MODE_ACTUAL_V; elsif (button_minus_pressed_once = '1' or button_plus_pressed_once = '1') and (control_mode /= CONTROL_MODE_TRIGGER_LEVEL) then control_mode <= CONTROL_MODE_TIME_PER_DIV; end if; -- after 4[s] of inactivity, return to displaying the actual voltage when busy with +/- buttons if any_button_pressed then control_keep_mode_countdown <= 200e6; elsif (control_keep_mode_countdown > 0) then control_keep_mode_countdown <= control_keep_mode_countdown - 1; elsif (control_keep_mode_countdown = 0) and (control_mode /= CONTROL_MODE_FREQUENCY) then control_mode <= CONTROL_MODE_ACTUAL_V; end if; end if; end if; end process; set_trigger_level: process(CLOCK_50) begin if rising_edge(CLOCK_50) then if (reset_button_pressed_once) then trigger_level_set_by_user <= '0'; -- only reset can undo the setting of trigger level by user elsif (control_mode = CONTROL_MODE_TRIGGER_LEVEL) then if not trigger_level_set_by_user then trigger_level <= avg_adc_value; end if; if button_plus_pressed_once then trigger_level_set_by_user <= '1'; if ( unsigned(trigger_level) < 4092) then trigger_level <= std_logic_vector(to_unsigned( (to_integer(unsigned(trigger_level)) + 1), trigger_level'length)); end if; elsif button_minus_pressed_once then trigger_level_set_by_user <= '1'; if (unsigned(trigger_level) > 4) then trigger_level <= std_logic_vector(to_unsigned( (to_integer(unsigned(trigger_level)) - 1), trigger_level'length)); end if; end if; end if; end if; end process; set_time_in_X: process(CLOCK_50) begin if rising_edge(CLOCK_50) then if (overall_reset = '1') then -- set display frequency high enough so user will not get an aliasing problem -- meaning e.g. a horizontal line for signal that is a multiple of the DISPLAYED sample frequency if measured_frequency >= 16#1000# then time_per_div_setting <= 0; elsif measured_frequency >= 16#800# then time_per_div_setting <= 1; elsif measured_frequency >= 16#400# then time_per_div_setting <= 2; elsif measured_frequency >= 16#200# then time_per_div_setting <= 3; elsif measured_frequency >= 16#100# then time_per_div_setting <= 4; elsif measured_frequency >= 16#80# then time_per_div_setting <= 5; elsif measured_frequency >= 16#40# then time_per_div_setting <= 6; elsif measured_frequency >= 16#20# then time_per_div_setting <= 7; else time_per_div_setting <= 8; -- 0.1 [s/div] end if; else if control_mode = CONTROL_MODE_TIME_PER_DIV then if button_plus_pressed_once then if (time_per_div_setting < 11) then time_per_div_setting <= time_per_div_setting + 1; end if; elsif button_minus_pressed_once then if (time_per_div_setting > 0) then time_per_div_setting <= time_per_div_setting - 1; end if; end if; end if; end if; end if; end process; -- set pixel_X_countdown_initial_value depending on set_time_per_div: process(CLOCK_50) begin if rising_edge(CLOCK_50) then -- next lines do not work in Quartus: -- with time_per_div_setting select -- pixel_X_countdown_initial_value <= 500 -1 WHEN 0, -- 1e3-1 WHEN 1, -- 2e3-1 WHEN 2, -- 5e3-1 WHEN 3, -- 10e3-1 WHEN 4, -- 20e3-1 WHEN 5, -- 50e3-1 WHEN 6, -- 100e3-1 WHEN 7, -- 200e3-1 WHEN 8, -- 500e3-1 WHEN 9, -- 1e6-1 WHEN others; if time_per_div_setting = 0 then pixel_X_countdown_initial_value <= 100-1; elsif time_per_div_setting = 1 then pixel_X_countdown_initial_value <= 250-1; elsif time_per_div_setting = 2 then pixel_X_countdown_initial_value <= 500-1; elsif time_per_div_setting = 3 then pixel_X_countdown_initial_value <=1000-1; elsif time_per_div_setting = 4 then pixel_X_countdown_initial_value <=2500-1; elsif time_per_div_setting = 5 then pixel_X_countdown_initial_value <= 5e3-1; elsif time_per_div_setting = 6 then pixel_X_countdown_initial_value <= 10e3-1; elsif time_per_div_setting = 7 then pixel_X_countdown_initial_value <= 25e3-1; elsif time_per_div_setting = 8 then pixel_X_countdown_initial_value <= 50e3-1; elsif time_per_div_setting = 9 then pixel_X_countdown_initial_value <= 100e3-1; elsif time_per_div_setting = 10 then pixel_X_countdown_initial_value <= 250e3-1; elsif time_per_div_setting = 11 then pixel_X_countdown_initial_value <= 500e3-1; else pixel_X_countdown_initial_value <= 1e6-1; end if; end if; end process; calc_hex_from_time_per_div_setting: process(time_per_div_setting) begin if (time_per_div_setting = 12) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000000"; time_per_div_HEX_3 <= not "1011011"; -- 2 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "0111111"; -- 0 time_per_div_HEX_0 <= not "0000000"; elsif (time_per_div_setting = 11) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000000"; time_per_div_HEX_3 <= not "0000110"; -- 1 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "0111111"; -- 0 time_per_div_HEX_0 <= not "0000000"; elsif (time_per_div_setting = 10) then time_per_div_HEX_5 <= not "1101101"; -- 5 time_per_div_HEX_4 <= not "0111111"; -- 0 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 9) then time_per_div_HEX_5 <= not "1011011"; -- 2 time_per_div_HEX_4 <= not "0111111"; -- 0 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 8) then time_per_div_HEX_5 <= not "0000110"; -- 1 time_per_div_HEX_4 <= not "0111111"; -- 0 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 7) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "1101101"; -- 5 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 6) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "1011011"; -- 2 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 5) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000110"; -- 1 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 4) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000000"; time_per_div_HEX_3 <= not "1101101"; -- 5 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 3) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000000"; time_per_div_HEX_3 <= not "1011011"; -- 2 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 2) then time_per_div_HEX_5 <= not "0000000"; time_per_div_HEX_4 <= not "0000000"; time_per_div_HEX_3 <= not "0000110"; -- 1 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1001111"; -- 3 elsif (time_per_div_setting = 1) then time_per_div_HEX_5 <= not "1101101"; -- 5 time_per_div_HEX_4 <= not "0111111"; -- 0 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1111101"; -- 6 elsif (time_per_div_setting = 0) then time_per_div_HEX_5 <= not "1011011"; -- 2 time_per_div_HEX_4 <= not "0111111"; -- 0 time_per_div_HEX_3 <= not "0111111"; -- 0 time_per_div_HEX_2 <= not "1111001"; -- E time_per_div_HEX_1 <= not "1000000"; -- - time_per_div_HEX_0 <= not "1111101"; -- 6 else time_per_div_HEX_5 <= not "1111001"; -- E time_per_div_HEX_4 <= not "1010000"; -- r time_per_div_HEX_3 <= not "1010000"; -- r time_per_div_HEX_2 <= not "1011100"; -- o time_per_div_HEX_1 <= not "1010000"; -- r time_per_div_HEX_0 <= not "0000000"; end if; end process; determine_avg_adc_value : process(CLOCK_50) variable count_max_in_a_row : integer range 0 to 7 := 0; variable count_min_in_a_row : integer range 0 to 7 := 0; begin if rising_edge(CLOCK_50) then if (overall_reset = '1') then min_adc_data <= 12b"111111111100"; max_adc_data <= 12b"000000000011"; avg_adc_value <= 12b"011111111111"; count_min_in_a_row := 0; count_max_in_a_row := 0; else if adc_data_available_now then if to_integer(unsigned(adc_data)) > to_integer(unsigned(max_adc_data)) then if (count_max_in_a_row = 7) then max_adc_data <= adc_data; -- was: adc_data, but spikes have too much impact count_max_in_a_row := 0; -- to prevent rising signal with 1 outlier to give wrong value else count_max_in_a_row := count_max_in_a_row + 1; end if; else count_max_in_a_row := 0; end if; if to_integer(unsigned(adc_data)) < to_integer(unsigned(min_adc_data)) then if (count_min_in_a_row = 7) then min_adc_data <= adc_data; -- was: adc_data, but spikes have too much impact count_min_in_a_row := 0; -- to prevent rising signal with 1 outlier to give wrong value else count_min_in_a_row := count_min_in_a_row + 1; end if; else count_min_in_a_row := 0; end if; end if; avg_adc_value <= std_logic_vector( to_unsigned( ( to_integer(unsigned(min_adc_data)) + to_integer(unsigned(max_adc_data)) )/2, adc_data'length) ); end if; end if; end process; manage_trigger_level : process(CLOCK_50) variable sum_min_max : integer range 0 to 4095*2; begin if rising_edge(CLOCK_50) then if reset_button_pressed_once then -- so signal trigger_now is available during reset button press (for freq. for default timescale) trigger_now <= '0'; trigger_level_enabled <= '0'; sample_level_counter <= 0; level_other_side_done <= '0'; else trigger_level_enabled <= SW(1); -- set min/max adc value since reset, and determine trigger level from that. -- note that trigger_now is active for only 1 clock cycle. trigger_now <= '0'; if adc_data_available_now then sample_level_counter <= 0; -- value may toggle between X and X+1. If X or X+1 is trigger level, reset counter if ( to_integer(unsigned(adc_data)) > to_integer(unsigned(trigger_level))+1 ) then if trigger_level_rising and level_other_side_done then if sample_level_counter = 1 then trigger_now <= '1'; level_other_side_done <= '0'; sample_level_counter <= 0; else sample_level_counter <= sample_level_counter + 1; end if; elsif trigger_level_rising and not level_other_side_done then sample_level_counter <= 0; elsif (not trigger_level_rising) and level_other_side_done then sample_level_counter <= 0; else -- (not trigger_level_rising) and (not level_other_side_done) if sample_level_counter = 1 then level_other_side_done <= '1'; sample_level_counter <= 0; else sample_level_counter <= sample_level_counter + 1; end if; end if; end if; if ( to_integer(unsigned(adc_data)) < to_integer(unsigned(trigger_level))-1 ) then if (not trigger_level_rising) and level_other_side_done then if sample_level_counter = 1 then trigger_now <= '1'; level_other_side_done <= '0'; sample_level_counter <= 0; else sample_level_counter <= sample_level_counter + 1; end if; elsif (not trigger_level_rising) and not level_other_side_done then sample_level_counter <= 0; elsif trigger_level_rising and level_other_side_done then sample_level_counter <= 0; else -- trigger_level_rising and (not level_other_side_done) if sample_level_counter = 1 then level_other_side_done <= '1'; sample_level_counter <= 0; else sample_level_counter <= sample_level_counter + 1; end if; end if; end if; end if; end if; end if; end process; set_pixels : process(CLOCK_50) begin if rising_edge(CLOCK_50) then pixel_valid <= '0'; if (overall_reset = '1') then LEDR(2) <= '0'; pixel_X_countdown <= pixel_X_countdown_initial_value; pixel_X_for_measurement <= 1; /* elsif pixel_X_countdown = 1 then -- clear 100 pixels ahead pixel_X <= std_logic_vector(to_unsigned( (pixel_X_for_measurement+100) mod 1440, 11)); pixel_Y <= 10b"1011111111"; -- 1023 means the screen Y position never matches (so not displayed on screen) pixel_value_R <= "00000000"; -- RGB are not used in display_via_memory now pixel_value_G <= "00000000"; pixel_value_B <= "00000000"; pixel_valid <= '1'; */ elsif (pixel_X_countdown = 1) then if ((trigger_level_enabled = '0') or (trigger_now = '1') or (pixel_X_for_measurement /= 0)) then -- countdown remains 1 when waiting for trigger -- prepare: first do calculations since 899-ADC/5 may be on critical timing path if pixel_X_for_measurement < 1440-1 then pixel_X_for_measurement <= pixel_X_for_measurement + 1; else pixel_X_for_measurement <= 0; -- start again from left of the screen end if; -- better: while measuring at this X pixel, set all measured values -- increment array of all possible values, stop measurements at value 255, and then show -- now: just copy value that happens to be there at the end of the countdown (so 1 measurement per X on screen) pixel_Y_for_measurement <= (to_integer(unsigned(adc_data))+2)/5; -- so value is 0..819 (=4095/5) pixel_X_countdown <= 0; LEDR(2) <= '0'; -- LED1 is off to indicate we are not waiting for a trigger else -- countdown remains 1 when waiting for trigger LEDR(2) <= '1'; -- LED1 is on to indicate we are waiting for a trigger end if; elsif pixel_X_countdown = 0 then pixel_X_countdown <= pixel_X_countdown_initial_value; pixel_X <= std_logic_vector(to_unsigned(pixel_X_for_measurement, 11)); if (pixel_X_for_measurement /= 0) then pixel_Y <= std_logic_vector(to_unsigned(pixel_Y_for_measurement, 10)); else pixel_Y <= std_logic_vector(to_unsigned( (to_integer(unsigned(trigger_level))+2)/5, 10)); end if; pixel_value_R <= "11111111"; pixel_value_G <= "11111111"; pixel_value_B <= "11111111"; pixel_valid <= '1'; else pixel_X_countdown <= pixel_X_countdown - 1; end if; end if; end process; end structure; /* Original generated system builder code below. //======================================================= // This code is generated by Terasic System Builder //======================================================= module DE1_oscilloscope( //////////// ADC ////////// output ADC_CONVST, output ADC_DIN, input ADC_DOUT, output ADC_SCLK, //////////// CLOCK ////////// input CLOCK2_50, input CLOCK3_50, input CLOCK4_50, input CLOCK_50, //////////// SEG7 ////////// output [6:0] HEX0, output [6:0] HEX1, output [6:0] HEX2, output [6:0] HEX3, output [6:0] HEX4, output [6:0] HEX5, //////////// KEY ////////// input [3:0] KEY, //////////// LED ////////// output [9:0] LEDR, //////////// SW ////////// input [9:0] SW, //////////// VGA ////////// output VGA_BLANK_N, output [7:0] VGA_B, output VGA_CLK, output [7:0] VGA_G, output VGA_HS, output [7:0] VGA_R, output VGA_SYNC_N, output VGA_VS, //////////// GPIO_0, GPIO_0 connect to GPIO Default ////////// inout [35:0] GPIO_0, //////////// GPIO_1, GPIO_1 connect to GPIO Default ////////// inout [35:0] GPIO_1 ); //======================================================= // REG/WIRE declarations //======================================================= //======================================================= // Structural coding //======================================================= endmodule */
<reponame>shivankarora/cpu-design-master --library IEEE; --use IEEE.STD_LOGIC_1164.ALL; --entity freq_divider is --Port( --clk: in std_logic; --out_clk: out std_logic --); --end freq_divider; --architecture Behavioral of freq_divider is --signal counter: std_logic_vector(19 downto 0):="00000000000000000000"; --signal temp_clk: std_logic; --begin --process(clk) --begin --if(counter="00000000000000000000") then temp_clk<='1' --end if; --end Behavioral; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Clock_Generator is Port ( clk_in : in STD_LOGIC; clk_out : out STD_LOGIC ); end Clock_Generator; architecture Behavioral of Clock_Generator is signal count: integer range 0 to 2000000 :=0; signal temp_clk: STD_LOGIC:='0'; begin process(clk_in) begin if(clk_in='1' and clk_in'EVENT)then if(count<1000000)then count<=count+1; else count<=0; temp_clk<=not(temp_clk); end if; end if; end process; clk_out<=temp_clk; end Behavioral;
-------------------------------------------------------------------------------------------------------------------------- -- Original Authors : <NAME>, <NAME>, <NAME>, <NAME> -- -- Date created: N/A -- -- -- -- Additional Authors : <NAME>, <NAME>, <NAME>, <NAME> -- -- Date edited: March 26, 2018 -- -- -- -- This program takes a value from the synthesizer.vhd file and runs it through the 12-bit ROM to find the -- -- respective sine wave value. -- -------------------------------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_SIGNED.all; use ieee.numeric_std.all; -- Needed for shifts entity PianoSin_lut is port ( clk : in std_logic; en : in std_logic; --Address input address_reg : in std_logic_vector(11 downto 0); --Sine value output sin_out : out std_logic_vector(31 downto 0) ); end entity; architecture rtl of PianoSin_lut is type rom_type is array (0 to 4095) of std_logic_vector (11 downto 0); constant SIN_ROM : rom_type := ( X"000", X"00A", X"015", X"01E", X"029", X"034", X"03E", X"048", X"052", X"05D", X"068", X"071", X"07C", X"087", X"091", X"09B", X"0A5", X"0B0", X"0BA", X"0C5", X"0CF", X"0D9", X"0E4", X"0EE", X"0F8", X"102", X"10D", X"117", X"121", X"12B", X"136", X"140", X"14B", X"154", X"15F", X"169", X"173", X"17D", X"187", X"191", X"19C", X"1A5", X"1B0", X"1BA", X"1C4", X"1CD", X"1D8", X"1E2", X"1EC", X"1F6", X"200", X"20A", X"214", X"21E", X"227", X"231", X"23B", X"245", X"24F", X"259", X"263", X"26D", X"277", X"280", X"289", X"293", X"29D", X"2A6", X"2B0", X"2BA", X"2C4", X"2CC", X"2D6", X"2E0", X"2EA", X"2F2", X"2FC", X"305", X"30F", X"319", X"321", X"32B", X"334", X"33E", X"346", X"350", X"359", X"362", X"36B", X"374", X"37D", X"386", X"390", X"398", X"3A1", X"3AA", X"3B3", X"3BB", X"3C4", X"3CD", X"3D6", X"3DE", X"3E7", X"3F0", X"3F9", X"401", X"40A", X"412", X"41B", X"424", X"42B", X"434", X"43C", X"445", X"44D", X"455", X"45D", X"466", X"46D", X"476", X"47E", X"486", X"48E", X"495", X"49E", X"4A6", X"4AE", X"4B5", X"4BD", X"4C5", X"4CD", X"4D4", X"4DB", X"4E3", X"4EB", X"4F2", X"4F9", X"501", X"508", X"510", X"517", X"51E", X"526", X"52D", X"533", X"53B", X"542", X"549", X"550", X"557", X"55E", X"565", X"56C", X"572", X"579", X"580", X"586", X"58C", X"593", X"59A", X"5A0", X"5A6", X"5AD", X"5B3", X"5BA", X"5BF", X"5C6", X"5CC", X"5D2", X"5D9", X"5DE", X"5E4", X"5EA", X"5F0", X"5F6", X"5FC", X"602", X"607", X"60D", X"612", X"618", X"61E", X"623", X"628", X"62E", X"633", X"639", X"63E", X"643", X"648", X"64E", X"652", X"657", X"65C", X"662", X"666", X"66B", X"670", X"675", X"67A", X"67E", X"683", X"687", X"68C", X"690", X"695", X"699", X"69E", X"6A2", X"6A6", X"6AA", X"6AE", X"6B3", X"6B6", X"6BB", X"6BF", X"6C3", X"6C6", X"6CA", X"6CE", X"6D2", X"6D5", X"6D9", X"6DD", X"6E0", X"6E4", X"6E7", X"6EB", X"6EE", X"6F1", X"6F5", X"6F8", X"6FB", X"6FE", X"701", X"704", X"707", X"70A", X"70D", X"710", X"713", X"716", X"719", X"71B", X"71E", X"721", X"723", X"726", X"728", X"72B", X"72D", X"72F", X"732", X"734", X"736", X"738", X"73A", X"73C", X"73F", X"741", X"742", X"744", X"746", X"748", X"74A", X"74C", X"74D", X"74F", X"750", X"752", X"754", X"755", X"757", X"758", X"759", X"75B", X"75C", X"75D", X"75E", X"760", X"761", X"762", X"763", X"764", X"765", X"766", X"767", X"767", X"768", X"769", X"76A", X"76A", X"76B", X"76C", X"76C", X"76D", X"76D", X"76E", X"76E", X"76F", X"76F", X"76F", X"76F", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"770", X"76F", X"76F", X"76F", X"76E", X"76E", X"76E", X"76D", X"76D", X"76C", X"76C", X"76B", X"76A", X"76A", X"769", X"768", X"768", X"767", X"766", X"765", X"764", X"764", X"763", X"762", X"761", X"760", X"75F", X"75E", X"75D", X"75C", X"75A", X"759", X"758", X"757", X"756", X"754", X"753", X"752", X"750", X"74F", X"74E", X"74C", X"74B", X"749", X"748", X"746", X"745", X"743", X"742", X"740", X"73E", X"73D", X"73B", X"739", X"738", X"736", X"734", X"732", X"731", X"72F", X"72D", X"72B", X"729", X"727", X"726", X"724", X"722", X"720", X"71E", X"71C", X"71A", X"718", X"716", X"714", X"711", X"70F", X"70D", X"70B", X"709", X"707", X"705", X"702", X"700", X"6FE", X"6FC", X"6FA", X"6F7", X"6F5", X"6F3", X"6F1", X"6EE", X"6EC", X"6E9", X"6E7", X"6E5", X"6E2", X"6E0", X"6DE", X"6DB", X"6D9", X"6D6", X"6D4", X"6D2", X"6CF", X"6CD", X"6CA", X"6C8", X"6C5", X"6C3", X"6C0", X"6BE", X"6BB", X"6B9", X"6B6", X"6B3", X"6B1", X"6AF", X"6AC", X"6A9", X"6A7", X"6A4", X"6A2", X"69F", X"69C", X"69A", X"697", X"695", X"692", X"690", X"68D", X"68A", X"688", X"685", X"683", X"680", X"67D", X"67B", X"678", X"675", X"673", X"670", X"66E", X"66B", X"668", X"666", X"663", X"660", X"65E", X"65B", X"659", X"656", X"653", X"651", X"64E", X"64B", X"649", X"646", X"643", X"641", X"63E", X"63C", X"639", X"637", X"634", X"631", X"62F", X"62C", X"62A", X"627", X"624", X"622", X"61F", X"61D", X"61A", X"618", X"615", X"613", X"610", X"60E", X"60B", X"609", X"606", X"604", X"601", X"5FF", X"5FC", X"5FA", X"5F7", X"5F5", X"5F2", X"5F0", X"5EE", X"5EB", X"5E9", X"5E6", X"5E4", X"5E2", X"5DF", X"5DD", X"5DA", X"5D8", X"5D6", X"5D4", X"5D1", X"5CF", X"5CD", X"5CA", X"5C8", X"5C6", X"5C4", X"5C1", X"5BF", X"5BD", X"5BB", X"5B8", X"5B6", X"5B4", X"5B2", X"5B0", X"5AE", X"5AB", X"5A9", X"5A7", X"5A5", X"5A3", X"5A1", X"59F", X"59D", X"59B", X"599", X"597", X"595", X"593", X"591", X"58F", X"58D", X"58B", X"589", X"587", X"585", X"583", X"581", X"57F", X"57E", X"57C", X"57A", X"578", X"576", X"575", X"573", X"571", X"56F", X"56E", X"56C", X"56A", X"568", X"567", X"565", X"563", X"562", X"560", X"55F", X"55D", X"55B", X"55A", X"558", X"557", X"555", X"554", X"552", X"551", X"54F", X"54E", X"54C", X"54B", X"549", X"548", X"547", X"545", X"544", X"543", X"541", X"540", X"53F", X"53D", X"53C", X"53B", X"539", X"538", X"537", X"536", X"535", X"533", X"532", X"531", X"530", X"52F", X"52E", X"52C", X"52B", X"52A", X"529", X"528", X"527", X"526", X"525", X"524", X"523", X"522", X"521", X"520", X"51F", X"51E", X"51D", X"51C", X"51C", X"51B", X"51A", X"519", X"518", X"517", X"516", X"516", X"515", X"514", X"513", X"512", X"512", X"511", X"510", X"510", X"50F", X"50E", X"50D", X"50D", X"50C", X"50B", X"50B", X"50A", X"509", X"509", X"508", X"508", X"507", X"507", X"506", X"505", X"505", X"504", X"504", X"503", X"503", X"502", X"502", X"501", X"501", X"500", X"500", X"4FF", X"4FF", X"4FF", X"4FE", X"4FE", X"4FD", X"4FD", X"4FD", X"4FC", X"4FC", X"4FB", X"4FB", X"4FB", X"4FA", X"4FA", X"4FA", X"4F9", X"4F9", X"4F9", X"4F8", X"4F8", X"4F8", X"4F7", X"4F7", X"4F7", X"4F7", X"4F6", X"4F6", X"4F6", X"4F6", X"4F5", X"4F5", X"4F5", X"4F5", X"4F4", X"4F4", X"4F4", X"4F4", X"4F3", X"4F3", X"4F3", X"4F3", X"4F3", X"4F2", X"4F2", X"4F2", X"4F2", X"4F2", X"4F1", X"4F1", X"4F1", X"4F1", X"4F1", X"4F0", X"4F0", X"4F0", X"4F0", X"4F0", X"4F0", X"4EF", X"4EF", X"4EF", X"4EF", X"4EF", X"4EF", X"4EE", X"4EE", X"4EE", X"4EE", X"4EE", X"4ED", X"4ED", X"4ED", X"4ED", X"4ED", X"4ED", X"4EC", X"4EC", X"4EC", X"4EC", X"4EC", X"4EB", X"4EB", X"4EB", X"4EB", X"4EB", X"4EA", X"4EA", X"4EA", X"4EA", X"4EA", X"4E9", X"4E9", X"4E9", X"4E9", X"4E8", X"4E8", X"4E8", X"4E8", X"4E7", X"4E7", X"4E7", X"4E6", X"4E6", X"4E6", X"4E6", X"4E5", X"4E5", X"4E5", X"4E4", X"4E4", X"4E4", X"4E3", X"4E3", X"4E3", X"4E2", X"4E2", X"4E2", X"4E1", X"4E1", X"4E0", X"4E0", X"4E0", X"4DF", X"4DF", X"4DE", X"4DE", X"4DD", X"4DD", X"4DC", X"4DC", X"4DB", X"4DB", X"4DA", X"4DA", X"4D9", X"4D9", X"4D8", X"4D8", X"4D7", X"4D7", X"4D6", X"4D5", X"4D5", X"4D4", X"4D4", X"4D3", X"4D2", X"4D2", X"4D1", X"4D0", X"4D0", X"4CF", X"4CE", X"4CD", X"4CD", X"4CC", X"4CB", X"4CA", X"4CA", X"4C9", X"4C8", X"4C7", X"4C6", X"4C5", X"4C5", X"4C4", X"4C3", X"4C2", X"4C1", X"4C0", X"4BF", X"4BE", X"4BD", X"4BC", X"4BB", X"4BA", X"4B9", X"4B8", X"4B7", X"4B6", X"4B5", X"4B4", X"4B3", X"4B2", X"4B0", X"4AF", X"4AE", X"4AD", X"4AC", X"4AB", X"4A9", X"4A8", X"4A7", X"4A6", X"4A4", X"4A3", X"4A2", X"4A0", X"49F", X"49E", X"49C", X"49B", X"49A", X"498", X"497", X"495", X"494", X"492", X"491", X"48F", X"48E", X"48C", X"48B", X"489", X"487", X"486", X"484", X"483", X"481", X"47F", X"47E", X"47C", X"47A", X"478", X"477", X"475", X"473", X"471", X"46F", X"46E", X"46C", X"46A", X"468", X"466", X"464", X"462", X"460", X"45E", X"45C", X"45A", X"458", X"456", X"454", X"452", X"450", X"44E", X"44C", X"44A", X"447", X"445", X"443", X"441", X"43F", X"43D", X"43A", X"438", X"436", X"434", X"431", X"42F", X"42C", X"42A", X"428", X"425", X"423", X"420", X"41E", X"41C", X"419", X"416", X"414", X"412", X"40F", X"40C", X"40A", X"407", X"405", X"402", X"3FF", X"3FD", X"3FA", X"3F7", X"3F5", X"3F2", X"3EF", X"3EC", X"3EA", X"3E7", X"3E4", X"3E1", X"3DE", X"3DB", X"3D9", X"3D6", X"3D3", X"3D0", X"3CD", X"3CA", X"3C7", X"3C4", X"3C1", X"3BE", X"3BB", X"3B8", X"3B5", X"3B2", X"3AF", X"3AC", X"3A9", X"3A6", X"3A3", X"39F", X"39C", X"399", X"396", X"393", X"38F", X"38C", X"389", X"386", X"382", X"37F", X"37C", X"379", X"375", X"372", X"36F", X"36B", X"368", X"364", X"361", X"35E", X"35A", X"357", X"353", X"350", X"34C", X"349", X"345", X"342", X"33E", X"33B", X"337", X"334", X"330", X"32C", X"329", X"325", X"322", X"31E", X"31A", X"316", X"313", X"30F", X"30B", X"308", X"304", X"300", X"2FD", X"2F9", X"2F5", X"2F1", X"2EE", X"2EA", X"2E6", X"2E2", X"2DE", X"2DA", X"2D7", X"2D3", X"2CF", X"2CB", X"2C7", X"2C4", X"2C0", X"2BC", X"2B8", X"2B4", X"2B0", X"2AC", X"2A8", X"2A4", X"2A0", X"29C", X"298", X"294", X"290", X"28C", X"288", X"284", X"280", X"27C", X"278", X"274", X"270", X"26C", X"268", X"264", X"260", X"25C", X"258", X"254", X"250", X"24C", X"248", X"243", X"23F", X"23B", X"237", X"233", X"22F", X"22B", X"227", X"223", X"21E", X"21A", X"216", X"212", X"20E", X"20A", X"206", X"202", X"1FD", X"1F9", X"1F5", X"1F1", X"1ED", X"1E8", X"1E4", X"1E0", X"1DC", X"1D8", X"1D3", X"1D0", X"1CB", X"1C7", X"1C3", X"1BF", X"1BB", X"1B6", X"1B2", X"1AE", X"1AA", X"1A6", X"1A1", X"19D", X"199", X"195", X"191", X"18C", X"188", X"184", X"180", X"17C", X"178", X"173", X"16F", X"16B", X"167", X"163", X"15E", X"15A", X"156", X"152", X"14E", X"14A", X"145", X"141", X"13D", X"139", X"135", X"130", X"12D", X"128", X"124", X"120", X"11C", X"118", X"114", X"110", X"10C", X"108", X"103", X"0FF", X"0FB", X"0F7", X"0F3", X"0EF", X"0EB", X"0E7", X"0E3", X"0DF", X"0DA", X"0D7", X"0D3", X"0CE", X"0CA", X"0C7", X"0C3", X"0BE", X"0BA", X"0B6", X"0B3", X"0AF", X"0AA", X"0A6", X"0A3", X"09F", X"09B", X"097", X"093", X"08F", X"08B", X"087", X"083", X"07F", X"07C", X"078", X"074", X"070", X"06C", X"068", X"064", X"061", X"05D", X"059", X"055", X"052", X"04E", X"04A", X"046", X"042", X"03F", X"03B", X"037", X"033", X"030", X"02C", X"029", X"025", X"021", X"01E", X"01A", X"016", X"013", X"00F", X"00C", X"008", X"004", X"001", X"FFD", X"FFA", X"FF6", X"FF3", X"FEF", X"FEC", X"FE8", X"FE5", X"FE2", X"FDE", X"FDB", X"FD7", X"FD4", X"FD1", X"FCD", X"FCA", X"FC7", X"FC3", X"FC0", X"FBD", X"FB9", X"FB6", X"FB3", X"FAF", X"FAC", X"FA9", X"FA6", X"FA3", X"F9F", X"F9C", X"F99", X"F96", X"F93", X"F90", X"F8D", X"F8A", X"F87", X"F84", X"F81", X"F7E", X"F7A", X"F77", X"F75", X"F72", X"F6F", X"F6C", X"F69", X"F66", X"F63", X"F60", X"F5D", X"F5A", X"F58", X"F55", X"F52", X"F4F", X"F4C", X"F4A", X"F47", X"F44", X"F42", X"F3F", X"F3C", X"F3A", X"F37", X"F34", X"F31", X"F2F", X"F2C", X"F2A", X"F27", X"F25", X"F22", X"F20", X"F1D", X"F1B", X"F18", X"F16", X"F13", X"F11", X"F0F", X"F0C", X"F0A", X"F07", X"F05", X"F03", X"F01", X"EFE", X"EFC", X"EFA", X"EF8", X"EF5", X"EF3", X"EF1", X"EEF", X"EED", X"EEB", X"EE9", X"EE6", X"EE4", X"EE2", X"EE0", X"EDE", X"EDC", X"EDA", X"ED8", X"ED6", X"ED4", X"ED2", X"ED0", X"ECF", X"ECD", X"ECB", X"EC9", X"EC7", X"EC5", X"EC4", X"EC2", X"EC0", X"EBE", X"EBD", X"EBB", X"EB9", X"EB7", X"EB6", X"EB4", X"EB2", X"EB1", X"EAF", X"EAE", X"EAC", X"EAA", X"EA9", X"EA8", X"EA6", X"EA4", X"EA3", X"EA2", X"EA0", X"E9F", X"E9D", X"E9C", X"E9B", X"E99", X"E98", X"E97", X"E95", X"E94", X"E93", X"E92", X"E90", X"E8F", X"E8E", X"E8D", X"E8C", X"E8A", X"E89", X"E88", X"E87", X"E86", X"E85", X"E84", X"E83", X"E82", X"E81", X"E80", X"E7F", X"E7E", X"E7D", X"E7C", X"E7B", X"E7A", X"E79", X"E79", X"E78", X"E77", X"E76", X"E75", X"E74", X"E74", X"E73", X"E72", X"E72", X"E71", X"E70", X"E70", X"E6F", X"E6E", X"E6E", X"E6D", X"E6C", X"E6C", X"E6B", X"E6B", X"E6A", X"E6A", X"E69", X"E69", X"E68", X"E68", X"E67", X"E67", X"E66", X"E66", X"E66", X"E65", X"E65", X"E65", X"E64", X"E64", X"E64", X"E63", X"E63", X"E63", X"E63", X"E62", X"E62", X"E62", X"E62", X"E62", X"E62", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E61", X"E62", X"E62", X"E62", X"E62", X"E62", X"E62", X"E63", X"E63", X"E63", X"E63", X"E64", X"E64", X"E64", X"E65", X"E65", X"E65", X"E66", X"E66", X"E66", X"E67", X"E67", X"E67", X"E68", X"E68", X"E69", X"E69", X"E6A", X"E6A", X"E6A", X"E6B", X"E6B", X"E6C", X"E6C", X"E6D", X"E6E", X"E6E", X"E6F", X"E6F", X"E70", X"E70", X"E71", X"E72", X"E72", X"E73", X"E73", X"E74", X"E75", X"E75", X"E76", X"E77", X"E77", X"E78", X"E79", X"E7A", X"E7A", X"E7B", X"E7C", X"E7D", X"E7D", X"E7E", X"E7F", X"E80", X"E81", X"E81", X"E82", X"E83", X"E84", X"E85", X"E86", X"E86", X"E87", X"E88", X"E89", X"E8A", X"E8B", X"E8C", X"E8D", X"E8E", X"E8E", X"E8F", X"E90", X"E91", X"E92", X"E93", X"E94", X"E95", X"E96", X"E97", X"E98", X"E99", X"E9A", X"E9B", X"E9C", X"E9D", X"E9E", X"E9F", X"EA0", X"EA2", X"EA3", X"EA4", X"EA5", X"EA6", X"EA7", X"EA8", X"EA9", X"EAA", X"EAB", X"EAD", X"EAE", X"EAF", X"EB0", X"EB1", X"EB2", X"EB3", X"EB5", X"EB6", X"EB7", X"EB8", X"EB9", X"EBA", X"EBC", X"EBD", X"EBE", X"EBF", X"EC0", X"EC2", X"EC3", X"EC4", X"EC5", X"EC6", X"EC8", X"EC9", X"ECA", X"ECB", X"ECD", X"ECE", X"ECF", X"ED0", X"ED2", X"ED3", X"ED4", X"ED5", X"ED7", X"ED8", X"ED9", X"EDB", X"EDC", X"EDD", X"EDE", X"EE0", X"EE1", X"EE2", X"EE3", X"EE5", X"EE6", X"EE7", X"EE9", X"EEA", X"EEB", X"EED", X"EEE", X"EEF", X"EF1", X"EF2", X"EF3", X"EF5", X"EF6", X"EF7", X"EF8", X"EFA", X"EFB", X"EFC", X"EFE", X"EFF", X"F00", X"F02", X"F03", X"F04", X"F06", X"F07", X"F08", X"F0A", X"F0B", X"F0C", X"F0E", X"F0F", X"F10", X"F12", X"F13", X"F14", X"F16", X"F17", X"F19", X"F1A", X"F1B", X"F1C", X"F1E", X"F1F", X"F20", X"F22", X"F23", X"F25", X"F26", X"F27", X"F29", X"F2A", X"F2B", X"F2C", X"F2E", X"F2F", X"F31", X"F32", X"F33", X"F34", X"F36", X"F37", X"F38", X"F3A", X"F3B", X"F3C", X"F3E", X"F3F", X"F40", X"F42", X"F43", X"F44", X"F46", X"F47", X"F48", X"F49", X"F4B", X"F4C", X"F4D", X"F4F", X"F50", X"F51", X"F52", X"F54", X"F55", X"F56", X"F58", X"F59", X"F5A", X"F5B", X"F5D", X"F5E", X"F5F", X"F60", X"F62", X"F63", X"F64", X"F65", X"F66", X"F68", X"F69", X"F6A", X"F6B", X"F6D", X"F6E", X"F6F", X"F70", X"F71", X"F73", X"F74", X"F75", X"F76", X"F77", X"F79", X"F7A", X"F7B", X"F7C", X"F7D", X"F7E", X"F80", X"F81", X"F82", X"F83", X"F84", X"F85", X"F86", X"F88", X"F89", X"F8A", X"F8B", X"F8C", X"F8D", X"F8E", X"F8F", X"F90", X"F92", X"F93", X"F94", X"F95", X"F96", X"F97", X"F98", X"F99", X"F9A", X"F9B", X"F9C", X"F9D", X"F9E", X"F9F", X"FA0", X"FA1", X"FA2", X"FA3", X"FA4", X"FA5", X"FA6", X"FA7", X"FA8", X"FA9", X"FAA", X"FAB", X"FAC", X"FAD", X"FAE", X"FAF", X"FB0", X"FB1", X"FB2", X"FB3", X"FB4", X"FB5", X"FB6", X"FB7", X"FB7", X"FB8", X"FB9", X"FBA", X"FBB", X"FBC", X"FBD", X"FBE", X"FBF", X"FBF", X"FC0", X"FC1", X"FC2", X"FC3", X"FC4", X"FC4", X"FC5", X"FC6", X"FC7", X"FC8", X"FC9", X"FC9", X"FCA", X"FCB", X"FCC", X"FCC", X"FCD", X"FCE", X"FCF", X"FCF", X"FD0", X"FD1", X"FD2", X"FD2", X"FD3", X"FD4", X"FD4", X"FD5", X"FD6", X"FD7", X"FD7", X"FD8", X"FD9", X"FD9", X"FDA", X"FDB", X"FDB", X"FDC", X"FDC", X"FDD", X"FDE", X"FDE", X"FDF", X"FE0", X"FE0", X"FE1", X"FE1", X"FE2", X"FE3", X"FE3", X"FE4", X"FE4", X"FE5", X"FE5", X"FE6", X"FE7", X"FE7", X"FE8", X"FE8", X"FE9", X"FE9", X"FEA", X"FEA", X"FEB", X"FEB", X"FEC", X"FEC", X"FED", X"FED", X"FEE", X"FEE", X"FEE", X"FEF", X"FEF", X"FF0", X"FF0", X"FF1", X"FF1", X"FF1", X"FF2", X"FF2", X"FF3", X"FF3", X"FF4", X"FF4", X"FF4", X"FF5", X"FF5", X"FF5", X"FF6", X"FF6", X"FF6", X"FF7", X"FF7", X"FF7", X"FF8", X"FF8", X"FF8", X"FF9", X"FF9", X"FF9", X"FFA", X"FFA", X"FFA", X"FFB", X"FFB", X"FFB", X"FFB", X"FFC", X"FFC", X"FFC", X"FFC", X"FFD", X"FFD", X"FFD", X"FFD", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"000", X"000", X"000", X"000", X"000", X"000", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"004", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"003", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"002", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"001", X"000", X"000", X"000", X"000", X"000", X"000", X"000", X"000", X"000", X"000", X"000", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFB", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFC", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFD", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFE", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"FFF", X"000", X"000", X"000", X"000", X"000", X"001", X"001", X"001", X"001", X"001", X"002", X"002", X"002", X"002", X"003", X"003", X"003", X"003", X"004", X"004", X"004", X"004", X"005", X"005", X"005", X"006", X"006", X"006", X"007", X"007", X"007", X"008", X"008", X"008", X"009", X"009", X"009", X"00A", X"00A", X"00A", X"00B", X"00B", X"00B", X"00C", X"00C", X"00D", X"00D", X"00E", X"00E", X"00E", X"00F", X"00F", X"010", X"010", X"011", X"011", X"011", X"012", X"012", X"013", X"013", X"014", X"014", X"015", X"015", X"016", X"016", X"017", X"017", X"018", X"018", X"019", X"01A", X"01A", X"01B", X"01B", X"01C", X"01C", X"01D", X"01E", X"01E", X"01F", X"01F", X"020", X"021", X"021", X"022", X"023", X"023", X"024", X"024", X"025", X"026", X"026", X"027", X"028", X"028", X"029", X"02A", X"02B", X"02B", X"02C", X"02D", X"02D", X"02E", X"02F", X"030", X"030", X"031", X"032", X"033", X"033", X"034", X"035", X"036", X"036", X"037", X"038", X"039", X"03A", X"03B", X"03B", X"03C", X"03D", X"03E", X"03F", X"040", X"040", X"041", X"042", X"043", X"044", X"045", X"046", X"047", X"048", X"048", X"049", X"04A", X"04B", X"04C", X"04D", X"04E", X"04F", X"050", X"051", X"052", X"053", X"054", X"055", X"056", X"057", X"058", X"059", X"05A", X"05B", X"05C", X"05D", X"05E", X"05F", X"060", X"061", X"062", X"063", X"064", X"065", X"066", X"067", X"068", X"069", X"06A", X"06B", X"06C", X"06D", X"06F", X"070", X"071", X"072", X"073", X"074", X"075", X"076", X"077", X"079", X"07A", X"07B", X"07C", X"07D", X"07E", X"07F", X"081", X"082", X"083", X"084", X"085", X"086", X"088", X"089", X"08A", X"08B", X"08C", X"08E", X"08F", X"090", X"091", X"092", X"094", X"095", X"096", X"097", X"099", X"09A", X"09B", X"09C", X"09D", X"09F", X"0A0", X"0A1", X"0A2", X"0A4", X"0A5", X"0A6", X"0A7", X"0A9", X"0AA", X"0AB", X"0AD", X"0AE", X"0AF", X"0B0", X"0B2", X"0B3", X"0B4", X"0B6", X"0B7", X"0B8", X"0B9", X"0BB", X"0BC", X"0BD", X"0BF", X"0C0", X"0C1", X"0C3", X"0C4", X"0C5", X"0C7", X"0C8", X"0C9", X"0CB", X"0CC", X"0CD", X"0CE", X"0D0", X"0D1", X"0D3", X"0D4", X"0D5", X"0D6", X"0D8", X"0D9", X"0DA", X"0DC", X"0DD", X"0DF", X"0E0", X"0E1", X"0E3", X"0E4", X"0E5", X"0E6", X"0E8", X"0E9", X"0EB", X"0EC", X"0ED", X"0EF", X"0F0", X"0F1", X"0F3", X"0F4", X"0F5", X"0F7", X"0F8", X"0F9", X"0FB", X"0FC", X"0FD", X"0FF", X"100", X"101", X"103", X"104", X"105", X"107", X"108", X"109", X"10A", X"10C", X"10D", X"10E", X"110", X"111", X"112", X"114", X"115", X"116", X"118", X"119", X"11A", X"11C", X"11D", X"11E", X"11F", X"121", X"122", X"123", X"124", X"126", X"127", X"128", X"12A", X"12B", X"12C", X"12D", X"12F", X"130", X"131", X"132", X"134", X"135", X"136", X"137", X"139", X"13A", X"13B", X"13C", X"13D", X"13F", X"140", X"141", X"142", X"143", X"145", X"146", X"147", X"148", X"149", X"14A", X"14C", X"14D", X"14E", X"14F", X"150", X"151", X"152", X"154", X"155", X"156", X"157", X"158", X"159", X"15A", X"15B", X"15C", X"15D", X"15F", X"160", X"161", X"162", X"163", X"164", X"165", X"166", X"167", X"168", X"169", X"16A", X"16B", X"16C", X"16D", X"16E", X"16F", X"170", X"171", X"171", X"172", X"173", X"174", X"175", X"176", X"177", X"178", X"179", X"179", X"17A", X"17B", X"17C", X"17D", X"17E", X"17E", X"17F", X"180", X"181", X"182", X"182", X"183", X"184", X"185", X"185", X"186", X"187", X"188", X"188", X"189", X"18A", X"18A", X"18B", X"18C", X"18C", X"18D", X"18D", X"18E", X"18F", X"18F", X"190", X"190", X"191", X"191", X"192", X"193", X"193", X"194", X"194", X"195", X"195", X"195", X"196", X"196", X"197", X"197", X"198", X"198", X"198", X"199", X"199", X"199", X"19A", X"19A", X"19A", X"19B", X"19B", X"19B", X"19C", X"19C", X"19C", X"19C", X"19D", X"19D", X"19D", X"19D", X"19D", X"19D", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19E", X"19D", X"19D", X"19D", X"19D", X"19D", X"19D", X"19C", X"19C", X"19C", X"19C", X"19B", X"19B", X"19B", X"19A", X"19A", X"19A", X"199", X"199", X"199", X"198", X"198", X"197", X"197", X"196", X"196", X"195", X"195", X"194", X"194", X"193", X"193", X"192", X"191", X"191", X"190", X"18F", X"18F", X"18E", X"18D", X"18D", X"18C", X"18B", X"18B", X"18A", X"189", X"188", X"187", X"186", X"186", X"185", X"184", X"183", X"182", X"181", X"180", X"17F", X"17E", X"17D", X"17C", X"17B", X"17A", X"179", X"178", X"177", X"176", X"175", X"173", X"172", X"171", X"170", X"16F", X"16D", X"16C", X"16B", X"16A", X"168", X"167", X"166", X"164", X"163", X"162", X"160", X"15F", X"15D", X"15C", X"15B", X"159", X"157", X"156", X"155", X"153", X"151", X"150", X"14E", X"14D", X"14B", X"149", X"148", X"146", X"144", X"142", X"141", X"13F", X"13D", X"13B", X"13A", X"138", X"136", X"134", X"132", X"130", X"12F", X"12D", X"12B", X"129", X"127", X"125", X"123", X"121", X"11F", X"11D", X"11B", X"119", X"116", X"114", X"112", X"110", X"10E", X"10C", X"10A", X"107", X"105", X"103", X"101", X"0FE", X"0FC", X"0FA", X"0F8", X"0F5", X"0F3", X"0F0", X"0EE", X"0EC", X"0E9", X"0E7", X"0E4", X"0E2", X"0DF", X"0DD", X"0DA", X"0D8", X"0D5", X"0D3", X"0D0", X"0CE", X"0CB", X"0C8", X"0C5", X"0C3", X"0C0", X"0BD", X"0BB", X"0B8", X"0B5", X"0B3", X"0B0", X"0AD", X"0AA", X"0A7", X"0A5", X"0A2", X"09F", X"09C", X"099", X"096", X"093", X"090", X"08D", X"08A", X"088", X"085", X"081", X"07E", X"07B", X"078", X"075", X"072", X"06F", X"06C", X"069", X"066", X"063", X"060", X"05C", X"059", X"056", X"053", X"050", X"04C", X"049", X"046", X"042", X"03F", X"03C", X"038", X"035", X"032", X"02E", X"02B", X"028", X"024", X"021", X"01D", X"01A", X"017", X"013", X"010", X"00C", X"009", X"005", X"002", X"FFE", X"FFB", X"FF7", X"FF3", X"FF0", X"FEC", X"FE9", X"FE5", X"FE1", X"FDE", X"FDA", X"FD6", X"FD3", X"FCF", X"FCC", X"FC8", X"FC4", X"FC0", X"FBD", X"FB9", X"FB5", X"FB1", X"FAD", X"FAA", X"FA6", X"FA2", X"F9E", X"F9B", X"F97", X"F93", X"F8F", X"F8B", X"F87", X"F83", X"F80", X"F7C", X"F78", X"F74", X"F70", X"F6C", X"F68", X"F64", X"F60", X"F5C", X"F59", X"F55", X"F50", X"F4C", X"F49", X"F45", X"F41", X"F3C", X"F38", X"F35", X"F31", X"F2C", X"F28", X"F25", X"F20", X"F1C", X"F18", X"F14", X"F10", X"F0C", X"F08", X"F04", X"F00", X"EFC", X"EF7", X"EF3", X"EEF", X"EEB", X"EE7", X"EE3", X"EDF", X"EDB", X"ED7", X"ED2", X"ECF", X"ECA", X"EC6", X"EC2", X"EBE", X"EBA", X"EB5", X"EB1", X"EAD", X"EA9", X"EA5", X"EA1", X"E9C", X"E98", X"E94", X"E90", X"E8C", X"E87", X"E83", X"E7F", X"E7B", X"E77", X"E73", X"E6E", X"E6A", X"E66", X"E62", X"E5E", X"E59", X"E55", X"E51", X"E4D", X"E49", X"E44", X"E40", X"E3C", X"E38", X"E34", X"E2F", X"E2C", X"E27", X"E23", X"E1F", X"E1B", X"E17", X"E12", X"E0E", X"E0A", X"E06", X"E02", X"DFD", X"DF9", X"DF5", X"DF1", X"DED", X"DE9", X"DE5", X"DE1", X"DDC", X"DD8", X"DD4", X"DD0", X"DCC", X"DC8", X"DC4", X"DC0", X"DBC", X"DB7", X"DB3", X"DAF", X"DAB", X"DA7", X"DA3", X"D9F", X"D9B", X"D97", X"D93", X"D8F", X"D8B", X"D87", X"D83", X"D7F", X"D7B", X"D77", X"D73", X"D6F", X"D6B", X"D67", X"D63", X"D5F", X"D5B", X"D57", X"D53", X"D4F", X"D4B", X"D47", X"D43", X"D3F", X"D3B", X"D38", X"D34", X"D30", X"D2C", X"D28", X"D25", X"D21", X"D1D", X"D19", X"D15", X"D11", X"D0E", X"D0A", X"D06", X"D02", X"CFF", X"CFB", X"CF7", X"CF4", X"CF0", X"CEC", X"CE9", X"CE5", X"CE1", X"CDD", X"CDA", X"CD6", X"CD3", X"CCF", X"CCB", X"CC8", X"CC4", X"CC1", X"CBD", X"CBA", X"CB6", X"CB3", X"CAF", X"CAC", X"CA8", X"CA5", X"CA1", X"C9E", X"C9B", X"C97", X"C94", X"C90", X"C8D", X"C8A", X"C86", X"C83", X"C80", X"C7D", X"C79", X"C76", X"C73", X"C70", X"C6C", X"C69", X"C66", X"C63", X"C60", X"C5C", X"C59", X"C56", X"C53", X"C50", X"C4D", X"C4A", X"C47", X"C44", X"C41", X"C3E", X"C3B", X"C38", X"C35", X"C32", X"C2F", X"C2C", X"C29", X"C26", X"C24", X"C21", X"C1E", X"C1B", X"C18", X"C15", X"C13", X"C10", X"C0D", X"C0A", X"C08", X"C05", X"C02", X"C00", X"BFD", X"BFA", X"BF8", X"BF5", X"BF3", X"BF0", X"BED", X"BEB", X"BE9", X"BE6", X"BE3", X"BE1", X"BDF", X"BDC", X"BDA", X"BD7", X"BD5", X"BD3", X"BD0", X"BCE", X"BCB", X"BC9", X"BC7", X"BC5", X"BC2", X"BC0", X"BBE", X"BBC", X"BBA", X"BB8", X"BB5", X"BB3", X"BB1", X"BAF", X"BAD", X"BAB", X"BA9", X"BA7", X"BA5", X"BA3", X"BA1", X"B9F", X"B9D", X"B9B", X"B99", X"B97", X"B95", X"B93", X"B91", X"B90", X"B8E", X"B8C", X"B8A", X"B88", X"B87", X"B85", X"B83", X"B81", X"B80", X"B7E", X"B7C", X"B7B", X"B79", X"B78", X"B76", X"B74", X"B73", X"B71", X"B70", X"B6E", X"B6D", X"B6B", X"B6A", X"B68", X"B67", X"B65", X"B64", X"B63", X"B61", X"B60", X"B5F", X"B5D", X"B5C", X"B5B", X"B59", X"B58", X"B57", X"B56", X"B54", X"B53", X"B52", X"B51", X"B50", X"B4F", X"B4D", X"B4C", X"B4B", X"B4A", X"B49", X"B48", X"B47", X"B46", X"B45", X"B44", X"B43", X"B42", X"B41", X"B40", X"B3F", X"B3E", X"B3D", X"B3C", X"B3B", X"B3A", X"B3A", X"B39", X"B38", X"B37", X"B36", X"B35", X"B35", X"B34", X"B33", X"B32", X"B32", X"B31", X"B30", X"B2F", X"B2F", X"B2E", X"B2D", X"B2D", X"B2C", X"B2B", X"B2B", X"B2A", X"B2A", X"B29", X"B28", X"B28", X"B27", X"B27", X"B26", X"B26", X"B25", X"B25", X"B24", X"B24", X"B23", X"B23", X"B22", X"B22", X"B21", X"B21", X"B20", X"B20", X"B1F", X"B1F", X"B1F", X"B1E", X"B1E", X"B1D", X"B1D", X"B1D", X"B1C", X"B1C", X"B1C", X"B1B", X"B1B", X"B1B", X"B1A", X"B1A", X"B1A", X"B19", X"B19", X"B19", X"B19", X"B18", X"B18", X"B18", X"B17", X"B17", X"B17", X"B17", X"B16", X"B16", X"B16", X"B16", X"B15", X"B15", X"B15", X"B15", X"B15", X"B14", X"B14", X"B14", X"B14", X"B14", X"B13", X"B13", X"B13", X"B13", X"B13", X"B12", X"B12", X"B12", X"B12", X"B12", X"B12", X"B11", X"B11", X"B11", X"B11", X"B11", X"B10", X"B10", X"B10", X"B10", X"B10", X"B10", X"B0F", X"B0F", X"B0F", X"B0F", X"B0F", X"B0F", X"B0E", X"B0E", X"B0E", X"B0E", X"B0E", X"B0D", X"B0D", X"B0D", X"B0D", X"B0D", X"B0C", X"B0C", X"B0C", X"B0C", X"B0C", X"B0B", X"B0B", X"B0B", X"B0B", X"B0A", X"B0A", X"B0A", X"B0A", X"B09", X"B09", X"B09", X"B09", X"B08", X"B08", X"B08", X"B08", X"B07", X"B07", X"B07", X"B06", X"B06", X"B06", X"B05", X"B05", X"B05", X"B04", X"B04", X"B04", X"B03", X"B03", X"B02", X"B02", X"B02", X"B01", X"B01", X"B00", X"B00", X"B00", X"AFF", X"AFF", X"AFE", X"AFE", X"AFD", X"AFD", X"AFC", X"AFC", X"AFB", X"AFB", X"AFA", X"AFA", X"AF9", X"AF8", X"AF8", X"AF7", X"AF7", X"AF6", X"AF6", X"AF5", X"AF4", X"AF4", X"AF3", X"AF2", X"AF2", X"AF1", X"AF0", X"AEF", X"AEF", X"AEE", X"AED", X"AED", X"AEC", X"AEB", X"AEA", X"AE9", X"AE9", X"AE8", X"AE7", X"AE6", X"AE5", X"AE4", X"AE3", X"AE3", X"AE2", X"AE1", X"AE0", X"ADF", X"ADE", X"ADD", X"ADC", X"ADB", X"ADA", X"AD9", X"AD8", X"AD7", X"AD6", X"AD5", X"AD4", X"AD3", X"AD1", X"AD0", X"ACF", X"ACE", X"ACD", X"ACC", X"ACA", X"AC9", X"AC8", X"AC7", X"AC6", X"AC4", X"AC3", X"AC2", X"AC0", X"ABF", X"ABE", X"ABC", X"ABB", X"ABA", X"AB8", X"AB7", X"AB6", X"AB4", X"AB3", X"AB1", X"AB0", X"AAE", X"AAD", X"AAB", X"AAA", X"AA8", X"AA7", X"AA5", X"AA4", X"AA2", X"AA0", X"A9F", X"A9D", X"A9C", X"A9A", X"A98", X"A97", X"A95", X"A93", X"A91", X"A90", X"A8E", X"A8C", X"A8A", X"A89", X"A87", X"A85", X"A83", X"A81", X"A80", X"A7E", X"A7C", X"A7A", X"A78", X"A76", X"A74", X"A72", X"A70", X"A6E", X"A6C", X"A6A", X"A68", X"A66", X"A64", X"A62", X"A60", X"A5E", X"A5C", X"A5A", X"A58", X"A56", X"A54", X"A51", X"A4F", X"A4D", X"A4B", X"A49", X"A47", X"A44", X"A42", X"A40", X"A3E", X"A3B", X"A39", X"A37", X"A35", X"A32", X"A30", X"A2E", X"A2B", X"A29", X"A27", X"A25", X"A22", X"A20", X"A1D", X"A1B", X"A19", X"A16", X"A14", X"A11", X"A0F", X"A0D", X"A0A", X"A08", X"A05", X"A03", X"A00", X"9FE", X"9FB", X"9F9", X"9F6", X"9F4", X"9F1", X"9EF", X"9EC", X"9EA", X"9E7", X"9E5", X"9E2", X"9E0", X"9DD", X"9DB", X"9D8", X"9D5", X"9D3", X"9D0", X"9CE", X"9CB", X"9C8", X"9C6", X"9C3", X"9C1", X"9BE", X"9BC", X"9B9", X"9B6", X"9B4", X"9B1", X"9AE", X"9AC", X"9A9", X"9A6", X"9A4", X"9A1", X"99F", X"99C", X"999", X"997", X"994", X"991", X"98F", X"98C", X"98A", X"987", X"984", X"982", X"97F", X"97C", X"97A", X"977", X"975", X"972", X"96F", X"96D", X"96A", X"968", X"965", X"963", X"960", X"95D", X"95B", X"958", X"956", X"953", X"950", X"94E", X"94C", X"949", X"946", X"944", X"941", X"93F", X"93C", X"93A", X"937", X"935", X"932", X"930", X"92D", X"92B", X"929", X"926", X"924", X"921", X"91F", X"91D", X"91A", X"918", X"916", X"913", X"911", X"90E", X"90C", X"90A", X"908", X"905", X"903", X"901", X"8FF", X"8FD", X"8FA", X"8F8", X"8F6", X"8F4", X"8F2", X"8F0", X"8EE", X"8EB", X"8E9", X"8E7", X"8E5", X"8E3", X"8E1", X"8DF", X"8DD", X"8DB", X"8D9", X"8D8", X"8D6", X"8D4", X"8D2", X"8D0", X"8CE", X"8CD", X"8CB", X"8C9", X"8C7", X"8C6", X"8C4", X"8C2", X"8C1", X"8BF", X"8BD", X"8BC", X"8BA", X"8B9", X"8B7", X"8B6", X"8B4", X"8B3", X"8B1", X"8B0", X"8AF", X"8AD", X"8AC", X"8AB", X"8A9", X"8A8", X"8A7", X"8A6", X"8A5", X"8A3", X"8A2", X"8A1", X"8A0", X"89F", X"89E", X"89D", X"89C", X"89B", X"89B", X"89A", X"899", X"898", X"897", X"897", X"896", X"895", X"895", X"894", X"893", X"893", X"892", X"892", X"891", X"891", X"891", X"890", X"890", X"890", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"88F", X"890", X"890", X"890", X"890", X"891", X"891", X"892", X"892", X"893", X"893", X"894", X"895", X"895", X"896", X"897", X"898", X"898", X"899", X"89A", X"89B", X"89C", X"89D", X"89E", X"89F", X"8A1", X"8A2", X"8A3", X"8A4", X"8A6", X"8A7", X"8A8", X"8AA", X"8AB", X"8AD", X"8AF", X"8B0", X"8B2", X"8B3", X"8B5", X"8B7", X"8B9", X"8BB", X"8BD", X"8BE", X"8C0", X"8C3", X"8C5", X"8C7", X"8C9", X"8CB", X"8CD", X"8D0", X"8D2", X"8D4", X"8D7", X"8D9", X"8DC", X"8DE", X"8E1", X"8E4", X"8E6", X"8E9", X"8EC", X"8EF", X"8F2", X"8F5", X"8F8", X"8FB", X"8FE", X"901", X"904", X"907", X"90A", X"90E", X"911", X"914", X"918", X"91B", X"91F", X"922", X"926", X"92A", X"92D", X"931", X"935", X"939", X"93C", X"940", X"944", X"949", X"94C", X"951", X"955", X"959", X"95D", X"961", X"966", X"96A", X"96F", X"973", X"978", X"97C", X"981", X"985", X"98A", X"98F", X"994", X"999", X"99D", X"9A3", X"9A8", X"9AD", X"9B1", X"9B7", X"9BC", X"9C1", X"9C6", X"9CC", X"9D1", X"9D7", X"9DC", X"9E1", X"9E7", X"9ED", X"9F2", X"9F8", X"9FD", X"A03", X"A09", X"A0F", X"A15", X"A1B", X"A21", X"A26", X"A2D", X"A33", X"A39", X"A40", X"A45", X"A4C", X"A52", X"A59", X"A5F", X"A65", X"A6C", X"A73", X"A79", X"A7F", X"A86", X"A8D", X"A93", X"A9A", X"AA1", X"AA8", X"AAF", X"AB6", X"ABD", X"AC4", X"ACC", X"AD2", X"AD9", X"AE1", X"AE8", X"AEF", X"AF7", X"AFE", X"B06", X"B0D", X"B14", X"B1C", X"B24", X"B2B", X"B32", X"B3A", X"B42", X"B4A", X"B51", X"B59", X"B61", X"B6A", X"B71", X"B79", X"B81", X"B89", X"B92", X"B99", X"BA2", X"BAA", X"BB2", X"BBA", X"BC3", X"BCB", X"BD4", X"BDB", X"BE4", X"BED", X"BF5", X"BFE", X"C06", X"C0F", X"C18", X"C21", X"C29", X"C32", X"C3B", X"C44", X"C4C", X"C55", X"C5E", X"C67", X"C6F", X"C79", X"C82", X"C8B", X"C94", X"C9D", X"CA6", X"CAF", X"CB9", X"CC1", X"CCB", X"CD4", X"CDE", X"CE6", X"CF0", X"CFA", X"D03", X"D0D", X"D15", X"D1F", X"D29", X"D33", X"D3B", X"D45", X"D4F", X"D59", X"D62", X"D6C", X"D76", X"D7F", X"D88", X"D92", X"D9C", X"DA6", X"DB0", X"DBA", X"DC4", X"DCE", X"DD8", X"DE1", X"DEB", X"DF5", X"DFF", X"E09", X"E13", X"E1D", X"E27", X"E32", X"E3B", X"E45", X"E4F", X"E5A", X"E63", X"E6E", X"E78", X"E82", X"E8C", X"E96", X"EA0", X"EAB", X"EB4", X"EBF", X"EC9", X"ED4", X"EDE", X"EE8", X"EF2", X"EFD", X"F07", X"F11", X"F1B", X"F26", X"F30", X"F3A", X"F45", X"F4F", X"F5A", X"F64", X"F6E", X"F78", X"F83", X"F8E", X"F97", X"FA2", X"FAD", X"FB7", X"FC1", X"FCB", X"FD6", X"FE1", X"FEA", X"FF5" ); signal data : std_logic_vector(31 downto 0); signal unsignedIndex : unsigned(11 downto 0) := X"000"; begin rom_select: process (clk, en) begin if (rising_edge(clk)) then if (en = '1') then unsignedIndex <= unsigned(address_reg); data <= (SIN_ROM(to_integer(unsignedIndex)) & x"00000"); sin_out <= std_logic_vector(shift_right(signed(data), 20)); end if; end if; end process rom_select; end rtl;
-- file Bcdfreq_v1_0.vhd -- Bcdfreq_v1_0 module implementation -- author: <NAME> -- copyright: (C) 2020 MPSI Technologies GmbH -- date created: 6 Jan 2020 -- date modified: 4 Feb 2020 -- IP header --- ABOVE library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Bcdfreq_v1_0 is generic ( fMclk: natural range 1 to 1000000 := 50000 ); port ( reset: in std_logic; mclk: in std_logic; high: in std_logic_vector(3 downto 0); low: in std_logic_vector(3 downto 0); freq: out std_logic ); end Bcdfreq_v1_0; architecture Bcdfreq_v1_0 of Bcdfreq_v1_0 is ------------------------------------------------------------------------ -- signal declarations ------------------------------------------------------------------------ ---- main operation (op) type stateOp_t is ( stateOpInit, stateOpLoad, stateOpTen, stateOpOne ); signal stateOp: stateOp_t := stateOpInit; signal freq_sig: std_logic; begin ------------------------------------------------------------------------ -- implementation: main operation (op) ------------------------------------------------------------------------ freq <= freq_sig; process (reset, mclk) constant imax: natural := fMclk/100/2; -- 5us wait for tens variable i: natural range 0 to imax; -- tens constant jmax: natural := fMclk/1000/2; -- 500ns wait for ones variable j: natural range 0 to jmax; -- ones variable high_lcl, low_lcl: std_logic_vector(3 downto 0); variable ten: natural range 0 to 15; -- ensure compatibility with (wrong) BCD values A..F variable one: natural range 0 to 15; begin if reset='1' then stateOp <= stateOpInit; freq_sig <= '0'; i := 0; j := 0; high_lcl := (others => '0'); low_lcl := (others => '0'); ten := 0; one := 0; elsif rising_edge(mclk) then if stateOp=stateOpInit then freq_sig <= '0'; i := 0; j := 0; high_lcl := (others => '0'); low_lcl := (others => '0'); ten := 0; one := 0; stateOp <= stateOpLoad; elsif stateOp=stateOpLoad then freq_sig <= '0'; high_lcl := high; low_lcl := low; if (high_lcl/="0000" or low_lcl/="0000") then i := 0; ten := 0; stateOp <= stateOpTen; end if; elsif stateOp=stateOpTen then if ten >= to_integer(unsigned(high_lcl)) then j := 0; one := 0; stateOp <= stateOpOne; else i := i + 1; if i=imax then i := 0; ten := ten + 1; end if; end if; elsif stateOp=stateOpOne then if one >= to_integer(unsigned(low_lcl)) then if freq_sig='0' then freq_sig <= '1'; i := 0; ten := 0; stateOp <= stateOpTen; else stateOp <= stateOpLoad; end if; else j := j + 1; if j=jmax then j := 0; one := one + 1; end if; end if; end if; end if; end process; end Bcdfreq_v1_0;
-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021 -- Date : Sat Jul 10 22:22:43 2021 -- Host : FCXiaoXin running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ prgrom_sim_netlist.vhdl -- Design : prgrom -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tfgg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2021.1" `protect key_keyowner="Synopsys", key_keyname="<KEY>", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> <KEY> `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Xilinx", key_keyname="xilinxt_2021_01", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Metrics Technologies Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Atrenta", key_keyname="ATR-SG-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=384) `protect key_block <KEY> `protect key_keyowner="Cadence Design Systems.", key_keyname="CDS_RSA_KEY_VER_1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 342432) `protect data_block jvkaPT6zmxSfrkMTb0ooi90NFHmr6IeAXCmnGViuQRml1CLViNt515DRhAL7JGQlqw/yJ9o2A6rO 0u+UnRhmgVVHa0pmHPAEXOZu7FmBPv38lWLeOaOcEldp9V4NzQjvM92QgeBeyfsHgYSR6cJoTdGN Z0J6dQtQs12dv4lphdAOdEuJ0J7M7b5giA1IXU6yW0v6R3gRtiMMvfbLn5I9DzrTegSCpVuQG015 JVQA7Irds6K2lwcEnNSNC+QTLwhdIkNRi9AETVrvhwBZzRZnWB4thkUiKAeYwSRDYm3VtlLJeDd2 s8fH2xgF9RCBFCfO/h++AoWwKgvBbKdV3Bc2nk3D7KMKvMsIo6rh92Z0P9w2UnNfbEzAiar32Y38 sAo0OBMpfUHcxZftu5fA2jQ/G3vza8SeZelLSWXxKxzrBExIWeWoNWMiN3jPSpjACRvliwpA3Q32 m7jBx5vopGgFq356dmzWB1o9q+nSox1qjVDcbgEHEf1NGHnKPLb8pRz6sURjlF/jkLENk8egHjZV pn4CVXbp7yRQCBCCs/pevQpZMo3j9Knc/0uUKJNurmUz6ywgEWc+Qja5EevJfP4bXyRomVsnucEz tTzPel5Y7Vdq9MFU1VIMzmdgTGPdk0Ax4CVhC+BQsH3Wl4aWokYew/AjyqhPnZKxC0yT0ZWyKtwj PPYoyEK6WVwtXzmakRAtXx3QDCDTgCGBLlXOoO6NHMVBTJbALqNfriuC+4Saojd/IMuFs+Ek069j Z/coVPr68D3KGBAzgIYO8a6RxNrfQJEYRBRNxtdGrDfYv4o3NH4ZmBSD7neJi1chqWewiJ47o3h+ n7ory5kaAUGFe6B5hIH3+kh01/LD1NE+ICWezdOxIBGDEHAGqKuSWmowq8D6KDW4+v7uthsSVBs5 mjTEST4ySVqJgrKgptXQMAFUgtKvSKEvq8+dm4maDwyVzdmmjcZLQ8ATCoBaYurPTFfgaw9PjkAg Pgunhjy9kM4y2BSQBz3gCSDAUNThIeb8a4DPUelaWXDjPW8TDdAORV29A5v84/JZK7A/CNtbs4Wp fWr2QRS7LwU/ApE6h4QK8s3l8hry8bN16PvxbN3tE4uzItw0CZ5ujf/DHiPZ4GBqcQ+tMOXGmmbS 9vcZGf7o+lc5EX8xtw93CpTqkeVq+9H51sIc6kLjC+e/AflGAhvpYq07M9100Lvi/hAqgRstX9eb 14FLi3iD60sRMDY8gn79WxG86w7GSWHsMP6HjGasJZNbLk+7+oJnwVdGy9+DPtEeGI8gXGSt/Wt9 Aq/qWw5RSJ0YZ6b4B0M297GK2bx8Q4UWxO83IAayB7dar6PNO3vooni8Ysm0XxogAG2Y0NfAX/uV SaKRtbS1hbJZWNwBJ5OHVUUpTM8nDzsY2J2MK9gjE2yhGelQFdHWPL/qsMp/lVtl0Vj9tco3L6nd KtAeAlae/EhWaFWzZ4imp+lvwIryhVQI919AGSA/0DpqBIq6QZgYw2sOC+eeKRBuo/cWEpTDx4zu /rNPa7pPA1qq4Yt57rS8ayTP8yLUQQnxuAev9Upek5toBH6naFFKd2svoccBH/g6Mk1CKZ740ZYe lwlaXcE5fBQ6ABYKJuyS8ouh8UhYYiaKWoukogVjacVT0FwqkK23Lu9k/db9NCLKW4rLIbdeQA8l kMsYlxAYcQUIIlS4MzLsWJGyjIz95qXMi4Vl/51lEM00W+xqNfdzC+9Szhua/UKktAkYGcJZ91t4 h5LAcxWotO5Wo4gLH1n6LGmB0MlP+tlee5ovJoC1NqbAApdjBSJRxJQRvf1IUx12LU7iyf63U9Dl QWYlMPWqksu92Oa7AXn4HdKwiAfy1L8Lknk+RNpU6+Juv4tiSv7YWM8w6Eo1supIVYc4D+AC2g4T G0ubAKnECfjmR0gxHMifNE6cdFQrT8ax1eYvlAp3fQTmkAJZpHCsgGQmXVFBJN463TMPTAx0nBL2 IHhwPhfLIaAdqeHySdoWqlA7uSlm9X08+zgLAk7thD20DiVcTgU9R7kgD5sIfekgkqdITpZkAq9G 9Hsv11sQx4xx7nIlODX8KxpftYXadJFVcvmwSl2ahV1k3SIdz0D5Nif+2J4XrUKXud972RKI6KhZ +GCbXt5i5lXchCzJhfSUbgfCIp68S3byf5O+oQ5crqvgigicLaOYBhgeWG8SRBO0tErkAA1RkqTk 7dUQmH3kNThsyvIG+5LXjaTBZ1p/0xzbLj6oxb6uiapTzNkH7ohlEHIgT62QjeDWLC8ZRWycXtpf RT0La3zy61vPbECeFdpRl9TrW8NB2x+QRIvNEyxuHzyje2+MIoovepTR8CLG1fktOU0O6U6eDl5U 3kfCUedapL8b0VE+NWtieyZwcsWz5apbcb8wQ4iLAVFDpZo1eGtyfBsQ+MdZ0Yp99TzWkqoGFL7f KDM2/bYZqB/1fla3rd1UJggBBJXQyYszGtbuXL/pJfDQ0xwaqRPeDtNFwho+W0XnxSOg2P+03cTj javTA+jt5MYq5Ukfq677C9yD4TDzlFqOSP+biDysdjA6AmxeCuGnwF8umYgC7RE5pRpnVdVg37sJ FgwmYaJB22WyEwpWiyFw6KkFtLJ6hfj1N2PIoZ11ZYdEeSDxILgYUUprnEsp5UiddbkMyJ7qQtiJ PO2GLvI+ycXmo6DyVChKpS3EuZYRiaq7KCFE0JkcgcDGujRtiDggCJHtic3FLxI04h4FoqcUtE2j cAV3z9GyKDuJCO5bo1QJwqps5eSq3XGtdfNi3k06BJabSYwX+q6TKmhOSXADzJ7tqIO+wqBkOCkA 2pUmeMZap0/52ih9XWBHJ+oXpLZul5ET388DAolK9kRtvGk3JBi3K0MhSDBoPzJkuoUfwrsFmjtd 2YufBiGgrS2EM/v5uNe5p/NKM9ZvNeAwFuWef5rrSroZbWsMDexzkz8aqjvSLaEhOLOGw4M8Chkq uTsXFwAYU/NOl6cgj4Wo9NStx48+yK7a3ILVUkWLbxY+mcw7CguxgRsV/9VhP8N+EVm0835B5KFh kJfJC0Q7HTRBnNxy966+rgeriKlDGztHiIhCK9kg4PGqN4JjDAyOFZ9kbCa1D1zwRHTVicNaUP4d ifqD6PaK2Abd0UeOgeqEFEPy+3YNxBpSkrH8vXTnmmtBwrO46cj8jl5GR87+vSN/+X7wNNtJXgtq Lz4MRcY/koJYFWGft9j5U9rf0JsWqT9yTluFt5ReuwPS4fvf5nfBKqOMHs6Eh48vcpc6rld/JDmW fCZDXLlmKxt6YXqbuLGMKusZf8PtKET5MllDEd1AR4XZwO/tE3f1vikW8ZBuT21MAnKsXuHh5GFc 1llUjYuDcIf1WZxx2W+WzfmuSIXOEli6hDyn8F2Ry2wzYuAsMunSIdWiJcpTrPk2LP0tbVNUorpn 8xw/Vdvmym9NcX2WVyy63uheX9BR8WmQl6A8v4UMROKPRRFuwqBYn9v+Rv033eX4yiPt3egzOkJU NvXdDlf4uklOaiUch3wkbqnKWQzQeOkjnqHVz0qhLTxPiH+5MLLgK/QYFCzsRCafg0Wg+hUZ+FgL ck1dWBg6AXT11KjQJG5BNHUNfD/PMijn6PMQpXdMm3wHKZg3VMkyVsGM8iboe3csYm0/D8Hix01c z10eD6dou9kvuQb3SJIj0Gbt0Fb60vsw8WVe1PHVUnBt9ZC7KS+OAP8ZxF+NdIuSf/eV9QGUaQwj 52aDvDlkTR3hdySDkZisg5sL/g8Z3Kr0akS4cE3wTM3HlUafuyFHnMGtw4L8I1VtM6ar7x+hn8BZ FRfzefUah2BU52OIAAIKtjpdgiojlFHoLSm5DY4kigcDoaWlC2Omzsn35MNIwvO1w+xTnW30Jbjf pwMJzYmO6XI3AqhpgCHTdMkt4GfnrvzbCYyeTwP+3iNdoVXad+apAEe15LlrpetTa2TihanSWvOw LvKdiCOLy1bw/DgaYF9mIoZ8J2IGbCpV3YKSPimpBHMcyxjQ672J+2nAviY7j75+uS6dBqsbnlKU BYOg8fE2X8mEMR6/WxriPvZvf5L34XWEuFDY9PZFAP3/Tynx8GEpK3GW+yV7kl904jKeHItWcanX tjlxLCfl6DmSEjsGhSeD3lO+yIcGbvhQMsmn9CXwboduhwinEgaU2OuLtt5g3euL6eGpXsuHqORO QXSqw9L/u2UTK5DJJq26p6Ompn6VNeAItEmDXC7l8vhcHDXF4nZBMe+OCneJVFggVoLc+FcYTDfF QTA4L45m4yPiBKyRmgsPtbn98qljlORBBkGcNI3MvnmMoyttR4DZzt+HfQrYqA1mE4iVOVJQoXhC 5W+TcV4K5trxMtRw1ga3vCw5dDOrLeX2qkKRUzRcHzyoJvzfkN38b5jeyAqegpRjGuVIFVKUeJkn GPFC1A6ZoK+2vBsgnKB094LNUHS3/3BmudR5GQMVdwQnt9wAUn8iV0vs9ibaNp5G5GKzIyP8JBse YFzYzJbcotpm3UoeYsquDF1Qb7rzFwUvT3IhwP1KfENcPXqp0yU9qfy8OLKPWuCjksBVS3kLExiT YWGFlc/f+FeyDQc5a342HTcLfGR6K6iAw/kAh+MMAFEEC+uSJfRL4LZALSypjBWauw68Q8nNm/lq T/aIb9HBgz3bHV1gTbMSEZi3pAJzoseN70ug4pt3O70fWTGezGCDKPt3sD3HxLws4c+dOCyNoEKF 5qQmxW658YpAdzZT+WCD7QyzrVnRPP+rqXgiFOPO7j+Wgz63I7JPGhR/NWdnCkE+upV8NPpKR7l1 4K9tyalYGgCHfHuoA0FRnqw+ORhy+Gmvb6irWfJa3nVfRiJPSsU6rHmxZPpncspQVjUnv7Bc8WLY cANoK6Z5SNcPbmjOBuqOF2zbs/5zws5GwwVJ0i9MxwFCP3eYq43u/IqksL7aPBmgExQOfXvcABik ZpwaoXtl6T0E0NMRtnvS2LK4sB9BUrthxnmuWEk0WGvNlOH/xRuWZyLny8f94d3fx8bdIHKpbC4G vMq3OdnICHWkgNhZBvMossk4fvcA9dxpOrdOXZl86Ph5lRBem4fvuGuG9fum/jyn6ff8L6oFzVdO OIOSRVBG7f2FLdiMfHf2WPRTVGnrc5+dJS8Zj9xMa3Oshg97rwwKod+uvmW7BG9F9K4eO86Il8E3 nJZydySWaipLIJp5MBY/sDTkwrw0xI7YOuZsPaC+VRZOXQ5LQ9/n+/a2BEEN0aOZVJfelsNqKoi0 s36O8gbHoF+hnaRWzeD0LHOx/vpqd4cTzamTs/zWnw3232shFEr0vtv4djA4zm+v+suNQydh5Jrn ead768KvJqqxc8aUvudJW9f2RTAQPR0M+eKClLFGbpuoKpa5kcXLTibL3WbPM9pch8zSUyVkBAjO NVP6t3f4MUDMOrpVqJ6k+A7cFSfFYyqVBipMmqFJXIJMLPyh9At8NjvKnRyqz7Eu70wastewrWH9 PUdjcsz9hNW+BlJ8p2hBx5KI1oLiGjzlRyuwlSVJInEOQtyJBwH+zc1QnZNQcmyVXdxKrVBGGRRc VcKB+8vlebFhQfO1Cy7BK5wBCp8/ZBOHyQ8PQW4i6goQJLcJieqQYXdMeKy6uFVuixMzUF7TtFsl lH+/t4CLpHDkwRVoaKhMjV2SAKPdcelOQMzBwePtG/+5mJqao/Rfuo0TkJ+vjYziSDApLhW/27D4 gw1evk6vHCWQHUPvfZIJ6PbSdTQjmW4OgU8R9UEmGeHwO+3fO0dW1Mojkija32tzBk5Gza8APsZL iQdSjSZ9qaDTIp0bsMWLlRcluFScXxjIKoTqCsOdRoPqqUadjWdFlrO8k74d3pYZYyqjXSuVmsII DL8x29usENQGPaMjf51aflDzKY/M0qfFj2vs7cs86MKJFJPk/IZYwlnYez6ORwN73kg+Y3N0+2IB PED8LNxORGODO4VajoBGqQP1k2SHEv9Fr6m6G5/s1sqnGp3kmj05/qS7Js36DUlItk0PArGhVwEx 6NZWMZiKv8iSUIRfqpxuT8KMAJBHEJeswod3vKKHQrXPY/LF+1fq1SBsLtWUGb1Pm4hUnfzznboj hXa5FXfqcM1lg326xTl/RQaY7qO11DORuMwc/S/CophiKZ1r0/Ywx+E3GcCHYDfB5Zn5ptMKrCg2 viVy4HLIMrPlAozveEIztAj0dNXpunqo4Fd1f9AnOWMECxc4EDiuFWnNvsYk55Bz51I0KzRy7hcK CCmWhZXG+E6Czz+zzyNPCL2D0T03d+X/NpI5sLMONJbgqJTxE7ZCCufnNUidaOiiDxl8U6YayZ+p pJQlwV8HEB/HboVn+ATTlIikwSqvACUkoJvMmb7NWuWYQRv363bElehevEw8vTkFT1Z+kIbIGn2b 4bd1Mee+fQwNb3rlBk6Khyr/hayo8oKA8Zav1OOqgpa5vgNlBqpaK5/68ykrTchnKulMpkLgV6DH kaMQtKLzMbf4B1s1q3qp6eWWk/QdceayCz3XxKwtudjZNpDhds2vINxu7nTu+2txt2tD8A34MZfJ 4CyuB+fqWgKD4FTIX8h9GrF98CVeem82zLd7p3W3BLUp6NGNFpAmSaoyxx/goA8K7OrETP3GSD+e /ZOCwCjT+B3pUxhIKMlbUpMSQzv54ZG7fp/DalBCMn6MVxS/cdYrYgis05zxxl4tHp8OVIIUkDW7 vFqpKrttEcxnLL2f9nnqnMHbqK3aA0ny0AqqvADpRJF1sgXPDip5wfmvXtiQeKEMB8RfSGZxbsld t8IMlIqsS+feO3VaZw68eMMEJ/RS2O6DMJR+uNUOZE7C0lGE7wQpTzUL2GKxDaPU9khp+ZIcJuHi zs8KgCN261J6PYTqpdH3AiqHE4GLHTeVTdJCTjsH5D6LffenJvB6bdznx0Z/Vp6wE09iXQwVSoZv 5QP/Ub0ZAwljdO5GG93ryWbrl1FAnReH2TZikqfg6EPT32eq0vm8Gbj0/8nEu4mnwN+V454vpETY BL8nFUxCatMQgar0/gOVIvBgQimWCKDOm50qoJ2uGwiMuRebFpaNsfgYyo/XFYkcwxZc9miHehhv YTBdUSAUg2LmGXRYglLWe/EVZVHYhtvJUPmlFoWkUxQ/7vxC/ot5wwzLys3NFVhJLmvZBsltYJ0H zg8zzZrbOinITsp7eRNVmqODEwDxfyD9iZMQscgbijycvLAfSOlaPgIirbMBAzycP45a2HcUXQ85 5IMJmAIOgURyzmO6z2UAwNeWHjUyyzT8Gov58JU7XkLifMUGg0lfUx15svSl9GKQ1yeKnqBjrxni VWIWTwPXn3gZrveG/3rAkCic2yoEDeCe0UA9Tuab/NJPw9+Ln1YzfUX0iHrkojZdOoXSdLvS89bs cxdEnLHtus3d2yAUYsc8LcNGC3oMrmvKI8BEJM++4d/zNy7uKP1HMqu1Vx6BkNrI5FUvBETgECSZ d7UU6oxg146R913y5AWdac+Bt2UiORRBlpr/lUbXvBBbVwXuqvTzcS/4O4RlWNMjkmqGZKmJCIFN 2tCeFBOKW4Mq5MjB9YChZxXmbeHcgezuRH0MrKfTkhBj5h02p3iwjWM+hxPzYYO9GqHdEIr5bGFd KWYRQUU61x0QIzvuWK3Y7rNn/ZQ4R4jOAgLu3jkhWO7mJO9F2ZHageON+UdHUvbCV3Aq88mk2I0E OeJzFR77aD8/ExcZRX/2fSX7F+jN6KMc9NLxKhXQ9taPM7vKfJP6woxlsHTYfqHfalzudmGvZrSe TKpyOb6JCkfenRETZdrUoWMIeGV9X1QUsglYtA2iOq/BCTC7vGKO0Lyhl83B7Jl7GSFnnx5yjn95 OzpxYTs4U7JoHyn/oriYtXZ7MXZBBk61LAA5KU3Zfe8rV291Pemq/0ZR6u7WOSvTBUHR1QBZvBPJ JTKagLVeM3V1ME3uln2SvRes5b3n+y500KSoxqxmfBilqovch1XhUfTKbtwpAvNHajnd01olm3Nv fmOShNxKJNRUDsKDlkMaDYrTjM28jyWaSUZC/ioHlNccXBKV7EvJt5DfdaxmxXosjUvjHeJV8YhQ TQer6x1PF9MAhdqvvOdxBQLxc3HYx7V5OqTT/a1ACK17NT13Koq5HFNtIavO03VOiRLdZUMNvBKF KTXus48OG9KWFscSgOV2T3ey3hvcwb6bKJzwGFvHtAL0cvX+dUle5sCgihQuM/KTOaXRPeED57FH 6GwomieNgShS+prLW6fVZSKvfXR9iCEIELiudo4Dc7Hru+KRoRWnRNQXGSQPbdudsnLZMRzefJ1l nh52ggFZcqMRna7BWbPA0fjysiGnvzwW1c+NFFtne/OY5ddEhsZkRF8gY5z79mMVqRlc+L6lJqs1 QpoHCTSPknePi8ycrUDHaRSspnAFRPftPcHfGPILyqgtoJRh9AMUjgZxAApEx00ehrPGiyvTnNvk PDf676BpCaC1+vkZCl89qhk+rDDOBRKE0ivXXUD9CSJWD05JPrwGniDr8RtX605HuU2GSjcCFfyO 4b57RYPQAgwu4YjwrhhU+zaXUoO3QvQLgWtN5WPeN4zS6CaZOjLicdLWodNFUMSfdrfCwoRFo/x6 ZKBgxlJVxnDene1RFAq1nD+/lMy267I2JioGtdlVb6FPGkq52bcq1RrRfRUIU5YvyZFXz8TXmPOF eJGYIKPyUIqm50hZ0cpkCl5ejIjn45M22PJC3EuQTD69y/MZwKzvaMeWzZOySV07wyUA1qTiaSwg qOSPYU9nJwX/gJkT81CO58i+fFqRHNEWqwUt5ue0a3a/W2fOswNhB1UbflzdUjfOMDokgsAzDYTu j+nnGJkDxqIPP2u5cHl4AfsqxbSRBDZGjaFw2PyqikpUqpPj3QnluBfQ9Eg3WVo/6jajcVoYih+/ HTUlBUqsnRGneessznYndJ+PbRjilyVSmnsLfGFwOoJ+fZShfJ+ZoLvqrDapXQj2HcYz8bHmsRnY Eimeu7SqKcF/qT7nd0Vw4HCOMx3dChj0V7qREr9sfYnxmbx+KZsIWudm1zloqAEwVZE+fDkw57YV CQDG580Xdfwjfd+GNYg3V2kVSumQ4fc/Lp7AsoUq2iUrXYk1StRtX5CPSAcmMlJPJUIWHQnqHMeo 6dQi1MThXW621BoQkngIESYDjS161eYhSrhaxYDLB2aPjY0oJzuKlj+0e4VVuVMLdTIMDtayX9e9 yMO/vAyWMbcUDLS4CffEv8EJUlrEyfdq/H9Vpe+W11fedZ8VkQ47ZYsGFOIBsKzEylbQ4P+nvcCY cS3ALILZzgHLevCZWhKQq1C3gsEctcfqqFDCfyprSBDmkV/UtOs8rlNUgVaNGw5nhT5e3yA3RdNJ upZE3BzDl6+Sq2tpkaUDGfpRrLVXbh4sVBke/qEVKLZBdYUpoLQqqOVza0NbIyUJ7HKeonFSuv3X 3zL7lJyo9ozAyD/YYu9DALJ53xLnvYvD43gQ0T9cKSWT2BWy4flVZ1iaEvzI3C+prwmYJ6+1t/Ow Ch+yT617z3NPRQgc46q8h1e1u7cmE1qJopgRjeyyoKVQUSDAV1WjJaV3g8hDDIMXDwewgix5axdW nbRAROpIH36Q9tSZcXdGiM2xRGLIqFwgNrfjgbEBbbQZ4pc5kkakJFe84PBuUi1IVj1DU9eLI6b8 NayAY16rZIvVLHAdY3IcxWp+8C91/mi7WjQXqHPGQGMwGHfZ2bBfx0mn2Gm7ggNbasffX+ktVr0u XddHOlq5tFJovkh7e2oAt1Zfi5GFqDii4DBsuFp/OqiY5H97M6VnEPWKnnbMZ2skyAetLV+jcRpr 7Wv/Kk+8k8lSwCi1H6bvPictT8KpHpgIQlY8kUeU/O/TBKA5xsq6AxPwcGjQoA/DE4mjhGMRtaeN ms0uoDmgcCOEYdsw9goAB35qgfTLizFfnJjbRDlPEvztbKMvCf204dVHbmeY+S9FKUF4e5FQkCbY ax+dIpWFd16hxehvt0DAK7XWBvot98pMJViD8q7oMTn61iwVcaNwVn6DriVGahOrF6nQD+Q4PPk6 3E8WICgj1B5ZNQiD42IVJ7fN2YqVmm574UwlkkpPz30/Vj/KOoxVOTpBVbDeQ614E95eNn3nsHN9 YHTkZozbv6kvEi51mz/sEoTxuSi/PZ9bFbWL+zRcXYX5HZ2fWCC4Jv8jtiFjt0dGPhsoYkN2VznL OfWVHBdT82Xv5aPxsJNbUo4+80BruUm0jPkGUsKDVdRjvY0eCOAUO2+DW0wU17RWcmih2WCxSN12 MEiiZ4o4t6akdQC1heYx0IiMe9bJLVWAgdCi601y3O3MturrFkFlnyWG6S2pGQRQZRXNnJ5/am2j L/CdNaQojllhqz02kvheO92OCH1gkC7EZat81bplBelGa3jophd6rfg5PU8AdbJfQNvizqLyDt6g 4dwq3P2fabcCCcATnYf2vpanHdmiPtWWduyZ0VZB1BWRSDINaF62ShjPg8CiwyQnOIeUq7hD0eZC 2grmaJQqGr1LsNfZE37Nn3NGcigNVwEEv5/J0GkzyJpyzc+AIghMwAQZmdROCcn9LVZ5tAbC0+3k cz1ote2M796oh7UTOMCIvR3YjPQ3knwgMDQVHVMKq8r52K1tY/9pteuLRl8wUfmb6TTecEjmCajW e0jhxMnM/qNvqALaAIQtUmhA80G0s0akEULkJpujvSeOsgKQu9f1oKdKMJPar+DlMZ9T/OVSNc5Y cKCAKdB6A+yc9NFwEm4x50Omk1xP8XVPHocEnzlnW5MMh+9256XK1wARrE3128OPM/Qr0VKU1RZr vY/EeqM89cGNwZak1fPoOdsnK9KX2o4ETSWzgqorMYXYzAAnx81CGLGm7nZ29yUwNdOhc/oLv1ly U0KAcQxBOGDjc0heJOX/JIpqhhnqOv7U9+O3xLJR3sJ+J/FC3m8E3we9S6B8pYKvSdLkC8YUM149 MKMU6xbJ4cd24Sz57MixaRY2Zi+2UojEz3Ys7677jwoUbddJzmoRB9BvdI146ylOB8D7+3xZD7CP Pzu9BK9o4nRAvyXK2/mgvsNfOCYkokv8r7hSaCcnwoMlZiDPJwJYWGe96VytvpFacoD3R/pfAPhR bkJCMvUhKX5CWmXGeWek70k5E8foWUQOCuHlO09CJH5zKjNuAbSKgg3N66/L++z1oRRr6KhTSNb0 e/RpF6pHNgx4QHpzmDJjCDfmj6fSXSdiKVBMhcDbyu/WN+LOeJgTuY6FMA8GliP0rJ7jEunvsA/d 8XwY36IpWAIdiiIk1mwrYE9QyX1ckVi9FUr1fZRscSG0mc0s6v9vQrEX0RpTty1RRWBWAJs/nFzy ziUxbGuFYBg004VMqM9arlTcZv5FEE4/rxlRSlZY/FiQVdRTZbfpCjbzdzVS6N4nlkLpIkwIFLLF kXpy3KRJouv55FMjhzV931leZtyS5qDvjDGg5a43bZFVVzoMdzc4yArfIWCfPT9sg50v80T61Ks4 UM7c/7GQc8Arlz7G+WE/f1fRbYEWDhX+8YW3D+WBkUPySAhy0HgLnXOmuhmd6F68fuHSb1baE3zZ EncAeyogAQBL6OrFxbSezQQaqBLywOM6dmfvqMZF5R4GCtO98MBvg2rT8NinYjyadrPGqHSz8KSv vfGpa8CDu8my8sj8ueKscOD7vdXFfc/uu3J1GSBJqeggyGNRMBtJAC7oriCXUrTguPmVcx63p3jl yrsnK7yF0eZvndJwmTj3Zf8ExVuKlSGbN2WeAsm4XfDaScBbdDsCi/D/mwIZIF5kwfMT0pceFbJD aPI6LepbJfbVsINj7+Vr8gSrGlkjdcBcmZa+HwilFRdnprvtyqKZAbcn8wJ/60M23zwYPxzh9sUU 0f5DcaOC6ED75mGg68lEATs7loat7FSb3QXT2sboWhpRNA7ygYUyqDBT84y8fPXy/N8WsOYPOI09 OUOjUfGDWDEntB9mwliGHI78shSGTXxxuWNjlCQh8n5vWhHI5xoGdILxfxc3ZFxX2eDiSVOOdf8a qdk898aPrG5n3U4OIBwBzy9nMl3tYcL4RmJHPGDBdagF+FvlpOifMldqCwt5kVWlYOTGKDcmHYwQ qKtAPgxOljToPH0xJc6s/XOEJnX3sGY/5pWkke5Iw/Vve9LtuOGkqrY2L5OUG+Fty1b+QGn44nii Hic3OJvYa/xYtWHv6fuwbCpeNq80Auzo0O/0VQMuBN8opkikGMA3CMd/4OU3as2N7eOefpRETvBo W4LApFRYXtce9kmcW4PRE7gBaRyGGTnq9QfEzAy+0aO92sb+bImq2fgMbopJ09dg/oiCyRoTpcDh FFOFgXOlohMvd9pYfKcVWl/MZoZ7gNMIT1SnIHbsBpPwHoOFe3hrkOF4O8MkwKtHpK6c99xOgY1z dUGX0PfVoOpSRHJIoRHgygkEaPkQoE7oQbFvolg3zn31yHQ8ksWnjU3HHsU4ShUgkFNiTxqc22jV MEkCFHqFgeo3+wdvo9ii630lZJIkH6+jza5WMsm+ur2PmsmPiBuRNwTmPQThlThA5DZhXrrkVc9l PdFgJel4Ia0EttaG0+JhcmZVVFsl2gGR4OSBB4fZX/xAm1wU0Tf6VB5SD1aYoMdKpmD6CqwoI99y AWaEvh7IvtkbMNrf+pwJdTLlhhSXyRkcPIoehI2RXOyE5iFY0wRG9zYis6wQZl8h8XvNteq7wUe3 5NA+TQxR9b+FeHxgBoMA+lL70cxPlGN2RZsc9No5im0JiNy16EMXrb/rMTJq9iRwf7K3CpiVKKkg xPmWaZ0k6RIHho/OI9T5SMNw4/ays+vQx5mdQ5vggk5nKbDyALAG1sS3ogAq3SCSs/TkxnzhA99w wH/kcPgWlkHPxf6Pb9Fiaow7oiPpTEHnfxEGUgicDIlytNUjUNS8TVDPhZxm8q9f5L/4YQrXfxPH 8FNVLHgiuMjr/JjMrl6aMwwSLJZ2ztuMEzJ2z5m9JUatIy/lQVUuBS+PF+p0VVeI9c5ljum0vrlc bPAzS8QFOrmiyCW1nb19phxEii/Dwm/C6ed+71VSEcLHSuZlPxhtKp67fgJg/fgmyJvBYVCXO9r1 5q6b51DEGhlQ99Arm3NLXcm6BcAARcXrNk+47WodZ84TrgOEpr/2d93HemRYHpTJ3GjGCeCYyimU uwY7S+wpXIjB3SS3UnWiMLbl6c1JGK0K9hypgkw3975/zVA/bor+gpjVIsV2oWrJicec2s1lh0a5 lJ4tdDlBeRm8N5sjk+fPwZw2HGrUBIzv5cYpGnr0HJp7YjoXxUrImYa2FKuU8N0xtopMEoPtky7D qEWPwqRM003+TkPZ2saQKOcD7pJuO+tEfTWjDragV16lbvisDT+//ZsEJL3i40/a+p1pMOxHvVo6 LiuNnfwmYasrvBs2YuP4dPudWhwufrgcJk/zelF+Gjaot9n+u4BfwXhtzVB10aJJzd7Lnv6FCgAf yhWT2N9eg8eK7u53VY7bnkDOxrXt+i39vFLGdapuZrPiGKZ/nXzNg+70g5Lnf/6q4eXrkf3m+jZR D8/F+i5/W0QZvz4SxNNTDYxzFKTb/v2a6FOdb/uofdjXdxR4u7Ky8JbMf2VUvXso/I7K7SeQKinY DvAEkINvKeZwp8Prw7JBHhZlME0WrwfoMI9pJb3kkApu3/+wfAVYhO6Atd5wBD9E4/C6RekEoPN6 vPAgr0DIAjId8h8nYjElSW1HKtjBEtvGgPE0PRxUHCIw1kf+SnYLH43nsLWS9BFj4D9QV6C8YJdL nejD8J/3T2c8tz85f1HsOTM+88ETzb7SSbhvMV+I1/IjpDSbrhXa1KCViPJPn68eaONPWeTIwhEI P0Lml6zBY9l5th0TgWoARSWNJVyOaLdsipoVg6YG+oRASbxHEh8snm2UP16JNVTQBGyZP5FkbKgd 9L1u+MCyWninclz9x1uBg4Fy75iHwJQK9EqW11TRIwFgzWxL3RGRTMG7U5h45tMW6UYuEcax0Zpv mwMYy5PC9bmc5/XIclzztZ9VnDT+Xvqlpbk9vvk5HsX0Pm9gZQK9SH8rUUNfHgd+mqleeNV2H9hu sgBik1g7ZhsgaP0hqVKCbSS/PyC14liKMsSzS8oRIQwqRJBZAPvfrC8ZUrF06XIk6ee8/Tw0AFDa Yb7AeVq7eQAVFnQniyYHOFHfihk1nSZJI0mE2ucnFek6VaIOOxcIBuq2fj6zZ5CgoCA1ly4/DB9K W+zpiHKBBhLv9vI5/YPCZlPfUbpXoiusruqzUWbcxr8Z/mCTYmu74VatkrjzEY9hX8OKaBEWtRm4 iHv9fW5ToeGxiNJNC9IGH116wj+2AeJfppnj+6RLPYST2zsF3S8gzi3abpWQpRzgweHRhfk7EHlQ /NXQTl/1GK3yUZ1tNtzD1fc/9rkG8hIs0WzH7I490cJxs2byO3rUwpriIARvnh74lZsGGvtwwycG TA3uy1rfimomwZIjDCHbZ42i3r1MECWL+dEj64/xPzAJ0F/48zgRrZSlivyNoCy7dEKHrtLldczV 3pODSkTmZCGRkjica4ArMepbZeTqt0nhkQxMNSEt5PSh1qnXU2EMtnCrPvE5kvOnumvGjaDlUb1C /LaCjdtccdb2ZtEWjOZG9raZCqLVnTNUmy1vA9fiHO6jXokwCh262TDdz955MFLUY7PJxh9NYdxI S4Z0kZWybRgV/p5T/ck/qSUu5LzSY0cToJnckRjNfy0ClrABRPEs+ga//vku/WaViVRw3hJ4tq4W FPNJgmRsiEJHdRzI9Kr+gdl1SxFOKMR4DS68xmXxMGlHhrfwoyLMBV82qS7e2wx0K/70ZhFIHxV+ CL/+DjQZCOj/8GDLohpCT8GG/jxPuvyAygYCsQ6XL1zxXQ7ut6NvwXeozEDWMc+3GOYsGO/CDlN6 Z+dFsZj+iAGjJ8hyq+yjflGQL7DKa+SPnXPvhnGhNJD91ts1Twu6j09Di7wmSR5Pj6v/rixzv0ZT fp3keFrwNopVRcd3yzmeAI6Piq/YlHU6LYKXwbgCPSfOKwEzbErezSy3rbN6+Bci7n8QVIlmiZ9O G/vWpxdwqIGr6kWLxAcxgUXMpvwJaWr9ZNGEv2lz4qgAjYneiD5kNa1LN8QReVxt+oHg1gVE0+yg WEmdVutGpTRc29bSbxqGhoLMrfDxvdm0GIPbIEzWMikfzfQ515XGyRef0A2ANy1lxkjyuh3yk8aw YVn4yHUoXgwaTJsDQuq+V2fx7DawCxwv/6sbI4YZ/sRAq6BZ7z+nysBac6QLje00x3LE2aqiFbY/ JQTe1eI4AzMlyEvLjM8wYBhnN361gdY7S9MdO6YdMi0JnML7WNWAm0HaeQkC9SQHpeZZevFnbPnS aXjv9g0vYbMDzz5yaNbSPFB9MWTwhYr1SlfdzxsHjdHxeYJrxnbAi/a6Q67sQ9m1QyWACjm40PVS yNn2TvECE3yxcHMxn1uYUo/ANKqUgy+4L+yll4KCjYLKaAF1eB9FSlf4sU2HfHXFq12H7M5l3hC2 kxzhKQEl6g9suIfsBt7N6mu4IyG+fyvuWGdEq0lSnX9xjNf2dVELNYUITKPoSg+wdJ1T16beRXuZ 8kejR8TyaoQW3jQrA8awFGGKm6V83Ii1NlfrZEnd1YahVIwYLzd5qgceAAM6RNiYir9gSqVcCyGC tvZpL4bcQgEtRAd/feTGt7LTChtn8ngcv5idpgYeOAKIE84uv073XNBVUCxDaDAuIs/VU3wtZbvT fPDOM4lQv+N7FV65GhV9MzmDqaf+L1dg/dbdL+XMaXia/bPOtqfatX64J3BPo+MtFnvOdWT4CsI9 3Of/z/9t5npRr7KkTFVhPrCj9fHwa2YHwQoFSiHpu6W2zajo/iGdNwe9zqc/yHecHVx1Zp+FLPXW cSNQRrhmE8S5dZ0uss+fyv76JdvJlUmoxQ0LVMziFfw/r6Qmbi+ACKx5UF/aybq5jpsElQ9vAi+x i8IeJNJ7R/XcZo8CvwgMsbKg83n2RMqHkIeT4hkmXYUaplCzL2JWz4gcrytAIliimqRmNMccBInA P7H7B4a+dDxU+RygSPiG4aRx8imIgV9DXBhFLug7aIUUPLAaBkhVTgGq1eNJBAwh7DplJfuHA8jD chnPEy1Vjk9Vimp5ts48ewPEsRcWghs/psW/5fGAUXzn0PYADaBBGe62VJy2082MU5UP5OV6/FIp K0PVWEptgCoLq1L5muZoD9aO0HQfgmdJD8X05SFN9rDCcDe0hJORI4EmLyi1u+StXka9PcTQtvUP d/OffDgdacXTC7sBEpENh7cwWo/TCqcXZJY915gwF6u/ZCO8a0fbyIq2ifLK2yOQZvzf1Xh0q5UX 2Y7plr1AeblEBDhqcmwm11EbgNpZSAza1LcDRF3Uu94dFPpeR0fPacLZzfPAvHTY6DnpU32lmMFg W9jcfwPOoOEZp52Hew82gGmSvFUSy78jEeuj5suHG7+sDerxsZ7n1HQ6KAqwb5vMfv8zD6jIaoRz vNMki8SlzHi4j+46m0G8odXTqsWXs+e7jXPFt07ffwOmYUfkWdJO7SGexJi7SyoJ5nRJDEjxlmz9 VkY5cC7tlahisM/Mr0URk3oQBw4gDa4DDaD4VbnjUiXbjEC3Y7Og0ab85SDvFfbsJUpqqhP8D5tU /MedIVMZUKri69XYVWsSwAwmtIxU2P1mQJnm9RttjEBEtJLGJOd2uGlQ8PhkFIjPxGOVTSrimXFB qnqy/YtXJyyyCzaJPKS3VkV8BDvkGLULByxp6GtmV34DO0YXoFABJPfnwngsa04cYXA7JFJw0LJv WdApAhvyRspQOgilkpLO0piivxhl00flI1d7y6Kwe7kJaNT9iWu4LZJYv8JVtG8Jojn0hh/SEcRu 4aP1xWHY02H1SC/e0bO9ydpJcY/X2xjunrs7LCoJ/FsnJnmVjYOssYoibPuT0hd/cJmD/DlbSymX VWgRjsNiP1+IUqBuo3hLa8s9I4/SOyiOLFQRlxJR08DKl2Cdp/NDgLqUSx1jfirUmz25SVdyYJcL TS4te/diMy85U6Ha6tO0mpVQjImPiIl6oE9vuY8o8H4eDmbJ6QKrj0rwZUwubR9U406FzI6dwZ/q e/gSqAybgjzprrWjwWpNohWFIa1mIeEdNtULj8FETt/0uiDXJnadrKT9YpCrxdwKz1cn3LnkNsjA MdffC3m27r2ZODhdDPeIomyJfx5CZdGTo+QmZ7s+RFUMJq3D1PUp8q+w1YskzyBhhz7/T+Ia31uM ARCz+OZDCisM7CtzrdDhUcTGVDAvcWa2qlpdjUUjf6W+cT45/xBIYgxzM6xP1Yw/S2L1Ye6pm6Fp 24zbzCgMFLO8QPuFjbZ4d0wYDzxE1lbEX0eg+cdCdwvD9ZvHghrvjPKTN6DpQBjGs2eyIPj1Hcl0 JCjbezLp8nCqtB+B3qHfi/7hkJzzD9skzJLSJTHVmy0SyRoSkBfGOvIfj2ZZvvIENflaXdWc4I8r 0Gh7ZMavn0PiaTsQbCzTSEE5NZycE4RG/CBKUou+8CDzMbFYXKymyJY0hpaGEGQbxi8wvATbk3Bw QxiedBTgq4t+qGP8bl62rcQWXEmGfOqNe0WnHrwMDrLDQ+KHW1alIrZJy9aLBvWG8Xl+5dPncvv5 990/KhmXkOipfjWNOpKxm7o5qyDxiJdG2Ln7PyJkz+73slHNvVG3t6psh/THNcdsMcS9s/nPd3Zh Mux1nfL3ZAQY5EknBPwOhPcse5CKvqWYjQ1IaPDhTZZzHFu8ugDjUhL81WFF/2/ucWz2hYC4fZ4/ NKEdOtKudn1z/98aC2CyehTViVwUkG65NLH2HxR44tSBhnHxfNw+HgEiZKuDndOyZ/tcDmQlrQWB EcMhbhpo1WGNhTN5qELtpaiRUwkw2LG1pf36Vk9j2twX0l4bSOo0SLJ5yviNZ6HP/+SgOtO9GsV3 /9nlsAP9wEEgKqJU7rYS3zfZau6lqP49lCZbat/rZzZkxKA+uJf9jRdq7+ttIVlr7vZEPx6hPP9H dkJiA3eeSW0eRN2KqXBC4r/jjXkSlv1ogPODkJZK4wHCdHZHLFTmYlFNfh2W+xAJg/f/nnT7srx1 BsmMMx3kN9B45Wblm8AaSjjoppBvDW9THmlbKE+36jJmjKLLZafzp1RLXdy97IPEp6JR2YXzyjiB r4//mgOEfAlWACUzJeF+ipheSWbcgapMPlMtvFduqjpATMnYXcCcDb263TbD/Ebd0M9FLZ+bh2Pq OPwJXd6n9vCNaBqzLFsiqrADoAXoBojx7Kh9Th29udE7fCdbAA9by0VTX+9nZOFpA1z1IOiK9AQY ia/wTF303SGcd8zW9ZC/yK/OJeRgt2wuuDa0r2Tmv//SodHbSAsHjtDrqWJWpUoFDoqjK8hydSIL fAH6dXy4L69aFaKOJVqReOKxfFVaB5jQ065ycDZhLOOxneZIx+iGMnyUHIrmIetImtZUJhqXqwf1 fpirZCo2YiRIhHMz31npQ2sRC+NgrU3nDakVT9muwYasAt2jMx6THBac2QjSsKHyQd3yDDr1HDxO tzif8sM80lL8L9EIoQRxWYzGFO0SceTPvG1bmXtNdjKy2TxHuWNupqf77+9ah++Fi8j2nERugM07 MTuF6gT00FFl0Sut4F5VGevWmO+WenmZ0dRAJFoxL/Xxja/22U670CabMoU2tsFa1zSytfybMWRL cORXIaC2b8lTPfCZJli9E82YI+KiaCJt+K8n4CPrd5mnZl+yh5yOTxe7hWMmA39yFvMNfTUEC9Tc 92yb5VND2Uanoyv79hUXdxsIzMWh3WW4VnsdTVJje+lWKSx3D8amb4dv+sYAktOacI8kkvOCEyG0 Jj/Anxb/z6KOGmDHrIUTYEwTsXz3BpHRQ2WLwmnCdsqNP6LxEDL1LZkLBGgrJ5iE4PF8e6Xx3U5g Byz3OCK8hf997BMDB0vPAFOq6+ahXFhNS4ODgemc7n9q7TI+DsV8i7FCWf5itvZiSmmNnC7vbUR+ vtNQ7axnH8DPpVvHFQtcjEffgYscIwLnno1sU4XTYhAf9xhMyhjAnmbORzIEugsvRJ3xe8GSE+BH NzS/ZYB9hjdxkhHQCdlFC6wnFW2Ia5gaWd8Uz9JF04sptBFhDnie0ZkhDZ4DbdisHuv5BYcS4IBP 753oeC0p0glK+IedGAAzUZrPfH3vsepfkE2O5OweW4zfzxGdyA1qayBSDa4KbHwvvgigac9Xz3Kn gTPet12+wTlRbuLw9HXYkPFBUi9aFJP08EaqId3QXhtopqhVCawYp+by/HEzpIjL82xSkzaCzzft tJM9AQk5u1UuPXjbAMiZCEiXNTDtokzMe1OV/92gCU2y5VSswP1N1UbS8C9LA6OcHUc6U/E5GlCO OyKPzdYZOFgrTKZvMlc0a2q82jtXDm/RrdotSClXeHjwLfydnfGLzuqKauHJCDZm1v9R2JA8QfWE sStVG3XVY6pLnoAbb5p/gbYWfXr/g7nL6RnXYC/K283D8+cP7ApESScOa740JDzAX9ih5ZEk4gVA Fu3XmljcYk0Q4rIJhgY+ejDV67C1W7AzBSgPiv/onsccdrU8MHT/WCeEsH7FE7SZiEzNr17+GtCP sXzuHGautmodx+3khnNkQSjvziAILWNy6nvJMbQGGPFfREu9Hn06FTz1PlInE96Y2W+99+7H3XwH f542ngYv+FMaE4Qej6AFh2AUgzpoYv6UR1oeCE6Qlju95r3E5ggN8lRFAZB9/SE/XkSbpkeyFrMz Ec+QtvmDOIen/soOdiqQ1gr9pS2M632ZLEW7xfAWNrNvcROOR9JbyNkXT9zrmEV4w+2Ts0u2NTcU g8NKlpADU0IMQ/MRJtixAP18SgdSO0ZVos+0OxZgRtKvnvnIQHqc8TWY7/nrc91zZ8APZWINh4EU orJ3eryLxRmkMxhFLRIghR9r+OtMRjqTdO8HuH3N10cWCEeFzSLlwKREQVeSx0dyAr+KFplOGfR2 ZHATtuomH2sxQRQ7geHgpa6Q1hgS+9gchgIFDg4VIXOwtbX2T4olOcIU+fOgQeB1NChsVTOAprGu +i/ltzHua7UBrBwT3Z3+68VpB/295zEoyhW1FbxUZxY8UK9RWTDBD8CKkh1X9sgX4l5Ts+LXmO/Z vjVhCCI81n63zsf6k3BrUwAzfooo17BrQ5hPe+9xC4opzWA3Mx+rN3yDlfr6YUAhewf353lVEiJ+ 7L9eCwp3xrtf8pr2BjU73WpjGViSjw+l2GzDIgqusUEY1UfjmmWCGQ02S5v9jyVTDEGNeNlurD75 W3/w32+sDHAjGIa6IlDLK0fbYmyj5E2oFlqHeKy7O50xXFwkv77Ya5EKGfYrrEvuteZ21S+tz71f ITY1rGrMuEnnK91h8oDMzVPiRmQxMWd8gqsmPCH9bDKfZyObZ95Dz890OFGEVvqmGuq+/vBhB7FP xCuYSCp8+AaBcoD3h9GJ/SPIHS5zHj4LYk57d8ZMmjhe6+lJvQFexiSy8jOKQ1k36iaQedZFFPmx iSmeV0mCVDK1RMoBKJQDh45uPxIN7S6V901ikxMCqOK1tZNugRQfKh3j9hFDUk8SRFgFc4QNzJvG p7phUj9r4ThAiTQ5VaqHJgwKJFtY0uv08/5KyRuXJKMcmUbDxp8ZzTpwdGB57XxevjxKlc06BftF w4DUf4WLOorrBqyhz8gzFHLcGyR1BlLMdTon6bYq9LvvdwdK6HWtOWY8wIkjdsOE3ofBXkmOHv5z 94MqyHP0A2u9bt6/Fn7SF2c6Dt6TNzmLzBTebkQ3PxPv+u1VvgUPRGUCtj5D75uTA9kwQOPbCa1L N4WuiMlW4nSVX7e47OKjiVBTVicR1STO4JNG1yHmp4cHmN/1Im8vavVuqondIMUwsNW2RwUOvGp9 KVqQwbq4KV22lClDPyUpAbXwYIoOiZ7o+bNKsNK8X9HS9oVSkrzG7ODyP0q2aXIBMu6uu2Vfghjn TIX6Z6OayJNF3KiO1MDMh2W/wuzsMgjHWY0/fv1q2UI0HHecpMlAg9ChW43hQaLljbm8thL7GHqs 9pR2E8quy2qZV7/VxlQW0lURjZRkd7TP5ZdXZnCXwG6HZnMlNPZEadpfbNB3mpKUXMYkuKCa36nU JZW1Kz/1AVYuDc3WEeSIhcCIk9IVAfPOjtZ53DFnj2gLwQsZa0Iryq95R5a6ET4lT8uN+XmyiaCJ SOPCRzTEJVziwJGcygvGTPpiXtu2XnWMcB0ZHy1wi88O+fsrS08rF4/lKTl1Pcw2O7ubyBOJOL7E 2uhqSRKUnMYPow7NT+6k6x9a9K711x5GhRyNzWRgpWIDNDXjjgPU2mLceMYk+3A1ybU5A0jqRt/b qXW1ZCKVbmDeoi4Y1Bv7Y5ZTvw7olswDWQu8kG6FkVmfr3EX86CukOkb/5ElnxJ4l6yejBLZFyK2 S9XAgy1t9KBf+5KDo9QJmYcFDXsUt0fhUJH3EShUEIo60vXPqFm+Q7WvG0myv9uHSMecJBfajdX9 OGRPL97ktQipKhu/QOVfPr/BrZNm+pdk28hoSiOCt3iA0DosuuomfXGUl6M12ekdtaxXKeYaeBlM 7ZXz9vwTiQeRte8gzysiKLf6E81WFatOO+6m0UlTVLYQ1BYSDntWSWFsikODgXK5VoxvvFC8zBuB ygo6M4DY+OZmFDqQyG2oYHVWvkIn3M/7GNJZFFXS1I7xWOa3JKIQgBe8BKSnl/zh5h2TqwE6cW6A 3WZ8JJQRJ0tdJpBTflezxoyluiSSC/XzkINs16uej2ULErnILHoi+OcrylDUrMFnFpaci72jxaPn KJRLBz6SHIVBK7VJvCqxkY/+J/+yYgCyMv6UCKtn+/qZ9Of91CszD3l8hLKoJMpqkJOlvRZOR0UX t6HxunV0KiYm9fn4JBzbEUpwbf9YgJS0n46gdUNvq59u1mTDT2nHliBX6aK+h2sQMIoFr64QvuSh Qx1aVuP+8enINb5h9y/zTGlaf5lCBhiEqcDewOseeha+0AhV5hHxncQ0PTMUzuKwXjqmjJN+L9Ql l/EfPbDv1u8NkhO/ZfVPYOEbZ1IVCw8dnQojF3j/hCjxDXncQ9vn9dbN/OILL65Sdb/WlcZpBzN0 QawVoXVfFDdov3yhQ5JpABR8LBEFGw2Mm79+pUxaG6qddvFej4iTyPnhAB6WXglf3zghaQpwsthI uSA1YlVVQZkWAmq+KcZN1I7czvFV/UYLSLcVwIFvGzBNNOVVZftiIzkkNDE2qSBhuxBUP66NcpAw 4Kr7WtmnFnFhaLF+9WdFRbbQpvKYXKBww9ntxd/x+y83I0mtvnGKNsa4pcDkoNiz6xxYU3XKMzdx 3rRwL4L9LfGc0V0agyBTFZiwcZSMARS1qFKSAjcKMs/GZsF/HZ9qmN7s065OfUN2ybS1xrP3qXN8 ydR8yZX5v5jQjhpleDdT8PvRwDyEyozEM5xMnoJ1Ttt3B3s8+5yFfKUFwsze2FR0CowC1+8oyo9u sIY24fihbcZAPVKmLK5x2TvKZ11yd0lU3C6k92KSkyQWWTdk8F+F83QzpeVCDKjq+hVar4Xsz0tr WcfMoBPMQ8iKdMjfFNjUJcg2oxVhbBxI39VMnlVAuKSlsMkv5m9eov+GB37jv7h673AirGM5YlI0 VpJYvX96mBreEBikzEfl8FCtVXOjVQy2ANsxqtPm7+cxQVil0ZtnOMJYCodd9OgoJSGFkNUHD+c/ JwFP8EFIaQDlYEQL+A60mTFNl4VMk/ZXdeFiBnDiUQlZ0CzdmDkn+jzjd9x903Fl20jDjG0V3P0+ 2Dgm+dFWSLUgnGsVZOf6M5neWnDa+KLUmJQcyw6t+or72yfgKQte2bdoiuA497f8Qo/RD5ceLsf4 MbRJg47Y4SGuB9WEb/HCspDV2m/sQIb7ddysYFdAP0CpLEFYtie1rt1fELpoKXk8X+ex6lQv1UXt 8+vpJx8dqrKp7NJI+rwB8jNuGFggSi+UEfol5cxH97G38JvZKg9MZpDM/Gy8IyUwkaa84ysFzVdP 0hAMGZezaS6Jc8RHFqXN367s2WfDbRmENcmnTxJddUaHCylFIB6NoYB7dZjey4roK4h8yFRhSauh qlq6r4BRAMu1ebyrIvkZR3Uys1U3RdmMljfWDL2ImxUuTWGt9rlRZJYvLTpIS4SbwgFolEVIB4Q5 iP3htZhAhToEVitTv/Ci30+WLbCyo7U9gGtnRW09WGFY3V2YV6xY4Vojlm5pCLh5BoqHtr5gDVxN mnja4xTVvKwsLRrXY1Ehpzl0+Xpalm+xtqqwiXPrmWSQhwnEQr9ULRKF4+Mu50G1ujm4GW2vUVsx D8oj+eH/5bIVGCvJD8lwQrv0gTjDN2e5Wsded6q2+HlhrQhXGI7fqtudd2iM9DuCtZp0osG8Zx2O wqoXGmHUVs8EpOBHusiACJMGQesajpVL9d7aJf6VFAWJkeqjtlea63C1HA1oT0hnm1F9nsnLuBCY E+2o4OTFI0Ei21tmFTtL0xShS4rYZXuOz/lu/Ar65Wt9M2R78fTTazsfKywfnwo25HsFpzvHG4MR ap0tzPj2sJXB3B0bAkRtn8TIRp/MG44GHHhpxeBmhSqqIMeeonZZFmwNHBiAki9/xgdny9mYh0i7 Z3KgJ9Y3MCYzaS6rnVy6Rlvcl1685XJonyZjpxj0bwFG3vNLh/ldynzOefSiE7Q8cDALckfg03LA IWxfa4Q5d4DtkHXdCx8LcSkLpXHqG7Q60k7huGkcjhG8/LsoSRo+2sDtRjw3nCx7FaW43OrIvmB4 h+3oKMB7jZRiQPIUsDX6xY+gHSpekMKM8lyzrQkH+MRpJI3oqPr94c1xLaM9wZhUgKgSDD7/i7yD wCSdQYhw70nCItGZu9vQ/GkCn0okVlxghPEB2SUPPhe3np87yO3WeC2wKEWSFFXNDVpbBQgwYdfw FE8hRBDhYuC3b+k7527sP0IO1dgc6/nYzRlIsVxQdv5nrpbLKU6ChS3EKU8lh6eXtwgdx+MAfbkO zq5GB94EjE+FFDhcSsp9flAIw2ZVs9yMwceqAh7AX5FxDmEGB2lIxF2RVcY7SAF/gYt5k/n6F9JR LwIHgjoOV4jMrnkJ8T8yhrNGUjIr+VZWayoPD9VAr+NRbjPChpavLrC4uPc95D/0hoARGQuIdOdd 4SYghrbwkZJmTE9Omg06T8CU6QLJUqeKSSxSYMcNe23CyyafL155XOoSY3SJxTI1z5AAnKRHsFI5 A7yvfrzg8l9wu91RNsk6G7iBPWfA5qLEWLSoIXTVX4gPkKt2I5XNW5izXQvmgOxs06ieiS5cXt4t 3Bbs10T4dJUtld8o2L1pOKDOe+GNcL5xuKYIwLsvvcbUoHu+ikpxhrvFZDliAgcf3vDQ0kESz9w9 OIkd/GjVNCefYIySxsGCJvXui40nRZHBUEwhSjffZRPnoUcQse7zGKNzhs9O1kbYwEHrtJlYBqmP UUMBOmZjioVbIC5EonkmUhe1Wk+XWGDsilRjhekVZPvAcYYO4UB9SyCIOUAau8EogVqao5DUAVwF qXgljOGP7+lJNAFFhAJu0bFcF1bz8grHGKaF3AJT6s061rf2HxukMeJJRHwq1+cDT9SiFhXp1Wdz IH6Msa33Yuc6YrRmlU5WdZlwqDfEpi6KyWMElbCueMzTsWHMhp8tvnEGv3fR0/9uRL/RVEOZEgT1 E531+M5FK/fBPvz9sevPmt/gq8fbkHjQPAeSwu1CNBnqakovplGiCFYe+3iet53POl+fCRogHO+L 2127nHl0Pv0maXpupmfFyct9PmKenR3bojjGVghs3dQQstre7v7D/QhL2/3F9WnVM2AXOTSXsKAW NyfWKUzeBZr4TSm2aU2x5JK4z5i3WGUUaVAYuW4hmeWZ7cJECOGRGHW088I5AweRHa6pSQsQnYGq Qc5/LX8XJWNUfidmY4aP43Gh97BkQBX/ovnzE2PK2FMXTY0+g+W0dq88ESDUm1mRHKTwZvc8jzkT Y8AjN1UDVGswLUTcE25MsJIw+5ZJrygONBJ2jaUovJSza1b9G1FphVX6Hvcqw8b4yQz2+9V1BhrN UuhZu8logj9ubjosSE1uh5aar0dqKertby1XB76583I4k5/8rnzyy6BMsJm0G9br76kdeZUZ1pSc 13LgG4QZ4w080rdVO5Y5VfpRsEq2qLER2ArzU3cpLBCZCROVIKAMxQ/okYzpkpzQlf7gNHY7t9El jImgZJjh0CFFHB1BdfrnL3Ds7zwVSPqIm3+f+FjG1bOgH0liA3k/1I60Lcifchp36MOEj/RAnvAp 9ST2hW/oinaFMyCADLQQmpLtkFcM/wULTx4vnie/UpNt17jxX3fd17a7zrT5U9AVu0vLjfU4GCkh ckp6LnlCyFZnxkuKcadPy5MGb5Bm0tosGp6XTQb3p3UKielPx3khXvUfCbPlYV8+d5iIVY1YrTtf LxYE0DOFNSaKgYZ+hPaIHtMt7Xj2jJ+gIcQFu4etNRLT6LAd3yehAqc/PL1sYjY4OgN1Zz0SdsBF dVlpZWuxVUDxstzGc1n7cjmd3F1ExPNG92UPZvZ+fC/4UtrYdfhDWMN+MYxaJFlO/MxR9ZvFse4r a+vxkG3joO729NlQEJuZlXE30RIsQ71ycBCZQIfqVUmRBpyZqYVDnBFuXLVY7aXozjeWAsZuCg/a Fm4affmdJxTY6aPUN7YfTrSeb9RFDEruUwuRtDwDICLjh/rtcghuy1997wd6ol9ax4n4mbJEIoQP GGIVowLEOMeIEOrXBLY1X2sXEeVhGMn7c0T1M1JIsD078XcumSUS75Y6eEuClIEtBkTfnZd1uYmb 8Cdq0W14U3i+qcKVT/at/P9akT1VDaNV17oMYjAYYWeEX3sB1WTWNeUAFu5aGSVQGZUIo1CZdGZE x/F0/KYsiVlhtb7HJJJ/Vij9LZqzi0+S4riLWULkevH2CVpR3aQccXpeoR/DAjJLEFLgmcqxqS9Q mTTSb7ldNdYgn++uGtgVNHn03TUlk3X4wJ5ht9kDCgAYuCUTSv8qJ1i8IVmyxzTp2vTb3vWv7L5W PswkEnjJ0sAImD8jRebzE6jK9fBe9MBlYF8spaL3ZP6vWv3rcdd2ASxHKhFXyFdCOyLI6xtBih5G hyB2l939OtsdQFYFgaiBjSZeVjykSTdPhyQ5tEXrkbAJEia3Q2g7ly/jWTa7S3k2HjLwyar/ta98 tQZ/KCC22LL5djURr51U+QoANnCi9OXS59hu9uYPmlRGkqHU9TxBV+n99S9P/yuJLM/AH9qWEQMq Fdf/5C1mvIBiF2OdYMNYwYZqJfruVIV3s+ehbsQGQjkq7KvVW/fBZiioqYHIkOzo8I60bMr4UIpP 3iedCYj+LDFmIkTUCsE9SzNuhlp2lT1dQUV9C35PqQaSd3RlEV4QgoCrOd9blXwIaMFFGxdDD4tu PjDPrdBE6s4oi0g2DUdbKWib79VXBAP7sQ/yarwiUTEyYVfk0hkR5y3TOknNaYSJgk/JgTMCNzKA 0D4oaqOPlEGgfH6qbRIh9TsQPl7RJ4J2xsUmxysZJE4xD2+AVJypDHUNkouaxPoo4DpbMCiuHPym 2LrGEwj9oWwyvQwye4bMnPGjhgR0J1o009rWtEt7nlpLuryjkEHDjXSTxlhPumMnbqE3o5ou7cjQ EKMACvxH5hbzO7aZo/h9WWkaKswlgkOUIVgBpcUS0g2J9dQ2APxQdvsuG6CuwY3CASSW04Nrf9hP t2LgzoYmiSrsdRRLTFLFXHmfHz9zLZfGRLtCKS5EvBQ9cDKhqSDGwNyvDefImPzV096NN24UMzHI 2IxEU1zQpraCxrwTkF42alYZ6rwXtFn1CJ8m3Ch5KC5ypFxyUUfNoFiAOAWnEFI5P621g2uLCDu9 +6ElZ+JYZpJ8EU9qPJ3pKyOBZbTTbiF6SxhiUfiWgRGbN3EnZSoJIrtlJct2BQMHaMXych5rOe8q /QwgyMeTw1g5C9A3zedW+hxuxbLXuN7DCNjDJihmfU28AABpoMm1y96Ua4OTyrm/yXBUbJmlnAlS dvJGfK1I1yHSbzZ6VzQJDaD0G47zAFUD0u+hKQ8wcT7v0eTASmB7Pd8YRjThJmrRsDiUPRowOfba F4odw+dq1v8fyANydKgNC1QIk+S4pT4kgALNVbg5Dqer9mXFhtw23JDoQg4Q6S/c9OQghgpkRM4S a/LI3S/e6apTtXHmXd+irWoQn2q3wgiznnaDEuX57jS0y8BWqnhLQbn0A/QvBQd6xv6wYdmp4peL w5V0sNSYiW3ak4QLHFM/NF4BPEk9Ktd5CEGD+Oas6YgN/Mp3/2/PacOJ9pUar3NHuznoaP1ekENk YBzSmokhrdL5eab60wa1qzm047eVS1E9X7X6f+2BF2xXOVoZUHkRi29dF3OILF6bpvmwcQuLI7Py 4bEtmO2Tzf6bC8zoSjD4W74jryU8eLtA5o7h3tyW1FO22jfJzTq3cYQjDoM0bGrAu4zz7MT5jki4 XWwGnuzwE50Rx/pyHY2fGEXnDWYEtpKGlxNciNbOHXB3rgHnWuoXbMwxbXYAfHNS57jQJPZ5fL/l V4FtDNNuPa1OyXVXST9eK6/J1Eq3IQMLR3nr88IzqcvDCp95WUeSt84LEVi0srZ8q3tnWru4bBDc f3jYoJ/XTGD3WYStgmmbOkjCgOT7lLCvUpehmcnNysgo/a7p+RQgnkQDRNdJDHoJ/6mlYXdu3z7/ nBy8tID7au3Si8a345ARLIE9WQ/k4q9wOywI0qY/yX+q+zY9mwn11gsviZ1qsGu+0dG4ofbb5XtL ZNz8qgd3xln3JCexK0Dd/0ObsWhu8BP9IHha/C1gtTHf1/5kRWlcCpFPQ9/O9vLfkjhHkZQzrGw3 2kTYK8nPqcpgxsGEtg47Fh5YKFbOx5xicwtSsSEBg9D5rTWkDcHxVTsEtR3Y0Rnujqf3QHdheR3J 1mhF8KL9YzCaJjsh4WiPF5qyLZsI5hpS/CuLU05riao9qY5ZbRuLEbeJRCHXPVLVLpBz60yoYU+8 3gW8qEwe6kDeRYjNLL6p2vTABLZIjQwnyNqhEJraJ+aRW1wnmZsBLYPQvKbolaZvEIvQ4f85ex9b avQtdKhzm8QS8H0pAL0Zozng8ETTMQNDsDxC8AZaHjXWES1+p0TM6mbVCukAZ0WJSM0RnMeWx0cI 8ZKNF7ys+rGgOrX8JAjLjhoPDsqjWp7CQ3zlavhPKYXM/7lxgQGT/ZiPHX7q+CNoYlJhsAjdzlLR RnoPz8InjAFoLZQjNnaYjMmAsMePh9VrMrRxvr0QFqxIQV41R/MDK/wK1Ii9j2/4Pa+q378aV2X1 D0u5zefLtjADHV761w+UhmevN2EvnFZ65JDr9S9KN/paS5yLYZYxZvewvNVe5jqX1G8X/Xnv1OkP qgJqz16ESTwcbBWgIAAni66STS7tKihJzWbSh6fRxnZbnV93dgIOO2bc2JTufw+mlpfFh0qc5GWk UzeuZV3/mc7vDbHOXIT1sHtGvlR666vCpiUnydgTX9ZXtIbwWFDXhmAq6TKl/h0e2bUw78HED4KW agDwnKTD8GLrlLWYDhJ0uWlM743gd0LJjv+Wv6TMWX9RfGgQdWEVEaIIfSckIUCdLdGIm1VUhG8s KIpKzANlZiTjsawA/J9knGUvKZ3vR/YhhPF2g2PablczKBmQbNtHmELOJoCdJPs9e2anjDZ9+cci OiqoR6v5RsA0CxZh2erElFN2mHUyvHwAiWLn9QaMFrB45O5Qk8TaSlscPnsbmOLxCSZucRrfdiMW IAOTigf/e4IVCR4jO1R53YOoZf7VOuIBMBJeQH2LGMR5R8GjtRuzdm/gV9QRkrZ0RC+qbeKWEM/m 5/AmYNWCvEfjV3NAmxYxKTjqd10pAE2UELGpYgKaCarp9MiQSZ7UVoo02cbDPE3esJk0dMTfwIYE dArZYiegeZW5HZnRfkKssZoW8h11nPZMCH6ftgxbpTe8RVw/zNW5I/dWYicbzOU9LEYtvkbKUQ0s f/8aYmHXIEdyjYomNn6OzfP5f8jTwX4z18vDh31am6BLbpnJCpPQdn/NEfXtVqsyuZmgTJQfNRPP cwF3qEuqSV64Q7di3YXgHpjsopARpjkpcUGbyWGkHezWxCE8f0COLFvnIIfNp85TxVreGkgmrCJ4 7q/wpyeSRQO7iWbgEzUt7IHDOTb1dC2c22stcSuRYPSNekXiUkG30HUS0wcLtAysxv0tkICdLm6P 4yp+M1IyqcVsTEP3BHb+I0LoTG8/K2Ct5p8E752X41TTsrh6nr0Uy2d15YZCWREUbuv2S/iD57mN Wj54ldOuwrDRTfyeqGSpaDXotXWy9jlK4jdj1VFOlHoS/WgCnR7T+cws7KcPd1fwC3b23IwQ/P2z gudGMPxViGC41i//dB2CRDJP2UC759ydpuOkbu+hhkI2kb1Wn9ob7dw+6pT9eIwSrHus0w7To9bi nTV7swGn0zdYC73PyGZsdpTQnRtvuR/ISsB+29mca0hFuZ8PzsGKYF8WmSWxHXEUxJptY5bO5Ilc PjbzU5Tzzbc1VHLVR5gWFQvABxx28sqE2z7WiT1s7sS1V4CcANSced7g2q/zy3JrZD0ICfksGfRF 2SoYhsTyzejYkG/4q63iywnCNWF8bLSRa4FceXBlDeWO72aXyXkM+gtDV3r16b9FzmJb0f3MwuM/ Vgb5On96RNQm8BfPOS2DeVLH6CnTcY1Ljb8es++YDPMmf9b72CUeplVX+gHaCoDga1tY/JmQoWfL hKNTrAIu1p6Vi9vDQNV9QCwsN/Nft2tsMNyIWU1er+20X4U+09oVEYOnNK8cVdPNf62p06b8Sr3E YwNlFRJsRE+ue//1kHqg7CG5zVVCFUR/oV21o1Me0nNciz/HtZeutWzoY3669lUmiqA6g28q1V/k bsjHmMMt/cH9B1LLBrh4ZMyk21F+JYrdtUGMNd3oUt0MM1eIGvgVbihQMixKDgidfnsTWdGyVIpa 8AyZkO5Bgw8nH+zD2xg2FmYQ0tHfzFoQJctmrD7XICjIdeVB5s37e2UBbkFiK63yMM/iIXXMMIkN jIysKhKWhflfTm8pIgsyAsmTDqAQWP6murNr6GQcMUduQ3tejhHkfElixg7Vl6m3KUUhsOOqhECf zMVS7Bft3MEvb8nTgOdon5xW2cNnE/bAojhYLsmq7JvVTXFCV4sSz5OfMSlBpCBvq/PEFORPfMLl mPi5ydW7o2+6+NIvA/nNRGyjxG7ACIcCaylP0xs9eUTZnikXsuvrZZjWpU4kL8DT42bfGzVl5PU6 to3JqGxSIeQ6QMWxVTbe+33JFqbJp8Am2GKMtUA6XgmOtd67H3lMfoQshKQSZgzqnPVfPioGHIQD zo0XQPUWD18YfzeciLkX618i4jKda5OYdbCA7RM1I3t+CTpY7jWzpn85pSDA3EpQzbCj1CD/3lNl +GmD+LzOnMvw0J+rFcniIVFwEr5VqP6M++QLg17PMJkeLd0+2pXaIwTk6AFxPGEiBkD3sPUyPyvm u6R51jVLFpbYJ3lcw85xKvZaNLgYwsEcEi+A0Bq+05GO7YoTD9mz4P1heEnqz6QNlfEL9nsbHEVo XfS7u8/5z3zR3jRCnXyFprzXwsxQLu2HmlUkijM9yAYNo6thneprpADfYqnE8Fpr5TM5ruXXGTMR hiV9fzKOsJJJ5NfwLMejMHL7w5RM2utDigV00pQoDcGT55Dye9bzyYTVnyd0WZ/+aH+ViHbMwe1E KCe2eixatF5sLimrJtwnCxgVDIX+R+gHAKIk/NURlRiEXUJz1rFTUI06iD+V7KtHXjcgSIJprpM/ 7Xlqr4J0UlOsKV/BVIIxezQPqoaq2CxLw0cOY2t4++EEyfoeuT99xemV6x28fu8Q9BhUiKlZ2uVP 2ZQTj3PKZegw/cL8N1aah79UNQMB/DepzfriRc1zyxsfeIXNdyi/fopIbWUpJeAm4DsuoHAKWXFp g5ubeVKYfLLlEXq3vRyWDIqsvzFLTCCYssjMjfRJMROo23cRrHI5QXWq0DmWts570+YSdt19zVaI K6ZzMkJNDCIhvKdkTMZMp5QIj2ZHASvknT+5ajwamRxYusBoyqpKzlmtrmPUDcwmNaE7YC5XeaFf XZYrItb7Lf9yLAmCQ3uICa+SDnxC3IRFhGmignuxGibcg/mkfAh+2B16e2STIZv8kuwekVglO6J5 TpZIFfMnEKkjUL8gffdrIZNmazSNr0JiNA+pi6G8JvSyhqPY+UOCyt2UzEPlEy1Syfs5e5dZf5t7 o9tvvckjZjF5vbssg0Wd3qdWO7ZeTQVZDwfV4aSP+7xRmWHbNmk1oIx326sXVNhRtMZG8EU1r2aS NHOn0ULBAyua6NE+Zxh0DYH4u9YHyPWDHzTRrDt3DWAwOEctwwlcRE5Sv73qizbXDxnFpwdcKI3S h2mbVu8Uyk53OV4NOTpIn3TCeVR5DlM605M3uPMoZcndKJDEELaRiaL6FcP/E76CcyK2OqzBp3I5 kYHLvqIbAeLh+l55qDCbPBCjgQqi5bw2FhP639d9CXKUH/0JWVK5SqyZrUMdmyCKtyUBYs9eWKsB yZY9HOsVhlfFlFcvhRHfb7A3/ppBUgroJ/U7zWAhNwEnl03a15k1+kJIA4iFEiKd5EAGZ8EWRPwK kbOFlV+pvohmzREVNlHLf8wdEx7zcxsDZAFxtn419gXQZjvU+0bIAC/uQawSnyqdLklfFQ9I49Lb rylVCCsMI5BFvSpzLXRfGQTxWu/8JP1rd2zDRhW9AtvZjqEijukts2Xw93h/KTKZEbrt47n1TprY uczOtr+L/XYh7wgs6iYg/uGbP0G/QFfZz0UP+RrpawO7qNbrsE0ERGb/mpUOhtmdC+4Vwphe8ILV lRurFCaAPjtZC6az5DVK3syaiH7XXfKwChIRJJBt5eTQlnVpsl6gQCeDEEVtWyrq/eNWGLJhasIL fwEzpciwBxykLHyEFjmZd4919QP7cnSvSD7Z7CxgdVf7cG1v/7k3t/1FKAun05TO35hEUJL6/2bV FeYZiKQi35FdJfaFDP3uYBaQdAEDEpnME4/xY5cyqHNL8oV9xLv6bW0n7RhPZLuiY+9SHGxcrQmc hhqdvfZgJv9IGYvWO1Z5s33TScII0C6Sfytgf2w3kM9aNOYvutDmZtNyMqfRCqYy/2jZzwZ+B+Ka 9RYLwnV8YhK6WikaJYYwjPlOu0IlI1f1fb5eQ/dhl2FcjtZCrL1wMRBNvl3INdArIfvOfMI/hQYR +AhrsbWxIw+510kcBDfutzH+VGghTmOiRY3NIcv21u+XnftuMZCcYNeaUiulNNtpe6DLMlznp0/C uSzSTOSF1W+pZeRZZ6FCo04MfCu9eWJFz8yv6b6QLtXR75AGpJ+g0MuhFAFXnbYzyW9G13OgDTSW CHRjO1kBiSqEtQp8eX9Pf4al6tGutltj3N4/qzFkJJx2VqmmLM00RC+bGKOEeaUSXmN2VXc+AfAj r7lrKI3oK4pvuXh5mFYjcrmAMDuHSl10A2KzQUJuW11jScYYEqIFshwKfxDA6fPmZluZsUSWTykH dVe4BlIyueMQ3cA6l/JJyk4AVzgaxRxlqTL/Kb+nmEe+2rinTPny5GWlyn36Bw99VhErBhqm6JvG 7wBn2tqWY+tkE9G/Y7oLr5a6A5Mq8dcuEwOFOgchMFxKv5mZyAGms00815GSjhpZiNlG9mBrYT+2 acLuvHh6RUe99iswXNDTfPc9QDneJGdA5gDXJyyRtCwujwkJmIDeUE+mnl58IlXEJ6V6LKGjI0qz Fx1F39RFSInB7Q87cZz7NUtOXL4lUXv1kBuVNK6ZUWSSzN6b7IxS2UwLg/vxaTVLcxfjaASC+zkp Uuy/BXF0TUE52euJJzI8r2MitKa1RRGN1ncQ/6b6NNNWy7HgM05F9LWSWjPX65iRxXJHapoUV8aw x+0WUGTWgsyffvYZc2LlZcnlc2TLaCQgVHkedAUpNZO/3v3EVnO/F9UKffj/nwSMhsNpAzm1Qui+ C7wxFjo+r9m8kGU6EDRu6xr6C8WkKFl7p1Phrk2/cweCNuIf66LmlHMddRLGFbzsL1uaa8G4JQnD rLI9pXCC5Ox8klSfJj6KjPazMISWOabX6nyOrSuGsXgZmMUPFiQFY7jTAGr+6RFdvFi0GX/mauMN /BJ2MjWmsQZnP02NBsRjPKTLWnmCvoJgMVDbdhjwBSMOfe6scs4f4bLCFJlupiBQbljX+91/nlsV g7mG9t2P+5Ij6TsLVbXKyJ3C8Hgq7v3D0sh0ZzJ4mrI81Hi9Yk+He+z2XTSq5BGzK9+L6mHB72oW +4pu/0KdpX/Wi0vcWIvEj8ZhOP3O99sYxTp8sHXGh5fN5nT3ih3AbEEaQKKq6JM5WhUnffx++A7T or4FBgkPXEK273GJTNwXhjHRPYInFZ7UsS0ctoa74V23HkuE+AOzUvF2H4itALBJ2qk3kLObd5Z9 V2jTSYtLp5odBL/eJlWvO816yHQUlwxhJE3BiZXlHRwN93zVCht0KEC/H0aL+YVW4l3FUI/1oZzw q/Edl+GJcuZ05gvy0PzvTsAVVIin+9IUgH1X0OUjVRO7E4zYzPATX8/snj1LvB2g6wYr229+Ucr6 igdCzghF4BL75f4F2v23a64+SCEZ7D4as3Sy3crAC9nBbmbU4KzYe64GH+VSctddPuRV1Dxa2+TB KugFGcmPOL7g8/psn+Xinsrj4Xyh8PA53vpBfahfTpyYerm8bh4jE9pvJ2bL7zjmaRFpLhaf8kmG xDN99aMM5ydDu85BzbTBtkDweqhhaTmswcp89JTgK9lW8qdy5G6Xppikv34pggGeacQFkari0tTE qS9S7ZbUO1y4SiMGbYvQarz784I+k/05y2ekldAXCuNKBcV1NMlc0u/zk8AVPxZp+KKs9qE+bRSj JB1O8AvY4CCvrHNCv6r5E2a/E7wyUaP3DaYJV7GRUBDKYstTVOK+Mgod1dcCTG9XOpjCqm25LLcM kQxbErDeqsxI/08IabY1MHy9Fc+JqEteMvEuSQ3kO92Zch49FScCvKbf5sUjDH/w5vO5Sca65OLB DgX/t7JEAuf9wP2BospjQNYZPEZXVYVX0STwR0hqnm/NFsMkXn10RmKXiCCjyoZKIIU4oPVjXH6c KATDGArjspw2juwMRc6G50niMD7FFwdzmWPa0u3DyReDktizEp9JbBVSmARtP6r/g6MkKdAvDY/x 0Tx2WJXVk++ZZN/RlebHpHW+mBodgzOTM0EylLTPinoHiCeHcmjP7nigkI0b9vXbpjEoSVelCnML h+lV/OkwL2N1iHvCmYf0EmISNQEaQZv7erSSdousCJrLpumkPrM6PFP7h1mjknQYuipd7arX09zM qUXx4a7FGU9Tb4QwFxHE4poNq0HnWJv5wy4NWtT3WifjFxRDHURDTtaFLwLVIwh1Y4FVhTSpxWS0 jxCtsdAhQUXvZ8Z64UocpkFYZBcQNKmhFgSgU3Ju3X4IN8JbYH/VnTSI1/3gauc1RspaVAwP6nBC +1Ph/k5DpkC33SqomyW5ehxHiOtEs8EcsH8poIkoV5rgzhr5j/z385CFSkymMkT0tCf9ttNcfwms JJ7rYDoENN/owGOyr27hqFnCcXRQy5gj0li8PPCi/lkGdYCke5HWpfpJVFJjIHVK9DCHxbMNdJtx xgE9oDNtyN+YFpkh+UdIMHLasWPayG2yULk3FHn/vYeGNX6ic0psbiX/7V1Tgze4NdAKwVI511KC 5pJt93J+U6/iZJIVFfRHtKcsDCxNVBQLj1l8zMx3uAD3kIOwiZhqj5hE1OY1jLyi6HrFl+vQh29Y Flr5HFN9qmWeSz3XWxj43v8z/BS2sDrojBAkNFoKOTFCNr4pLGMbJt4/KXkMTXA3+/yzhrtubZ9z tjipMn5FN66UVIQ70eCdyPY1/Ms5yMnJUIMJUXgPtXktq9NG3QNAN04E6ESprDW1hS3m2ycjt7zn sWF7Zr8y1uauyDZIMXQ9wGbvOSXfBLqLmK41pzyh1lSwtLb4K94VRwpqZjN+8pOlKambYX+/z1XS n4P+bsf6EDM9Neej8XS/s5ie1N4LCWTZdhST5eeCRyvGrmFUKh9L0Bp9eKqeb/RZ7LPjxfFWZdLx dhIDG00O76DFq6YcPWKVWcD/JvqmHzrh5qhoDt/tF+esm3BP7JHSvyhnvckRu/j5xlGbLrKfBqBr 4coDI72Y9BM2B3mYTEJshnvRjdmDtrNLKF/GG9JLpDnVFvcUDCOqPLgirI31V6Opdtv73O5hpkyk NnTBXRBiJ3rUzxrD2dyR2YmrW1k5/tQXF1IcLmpkwaRyH44AR4f10QXCMy5MGehb9o0Gng3wjQ9n 168fYPfnKzPUyN3b66fWsmwEtg+WT+YJDnxtUbNnNWQDrvtnBSKRzy+IiZmbKtrTTxkJd3438nUK wlVkBX2i4P4LA6/jaPFLvzt72Glwsjz8RqOTz4xJsh35J2vNDwE1r+ipZ+WSIx5iC7vraoriN3zz S/ER3FevMj2E9dribe1FLLFMlhkVZFm1Qey/+5bItuIaOf+0lyNNPjPo52kIZZHwIaprMdpv1Ufp x2wxmOADt1p9F98jCsvreIJBs7uxuwF5Ilxzcai6gnZePdAA6VnefHjujThNfUnCCXxaddmIUwV/ F1WXVsscwDbQco64OU+K0M+qQdDEJvQbKD5xSMQYbpQtT+pu2Me5p2IAOldeeLaWTRBZDAE04ZHr DRZ5ZvIrtmKfX7jw3oFJm6GW4QoRlK8ZwEaQDSePvGmi5ewFP8J0E9cc9mz7eBlvaZgoEkF+0QGG 5slMe0rl4bEukRlMtwa3DuiNIepJj+TG2EtmncYPQIVapBD+HOxAwiPoO2qugA5kcvNh6Tcb0GW0 mvtPZaFcFL085hto8HxOfrDObgApOu0fJYfmenIsqHIP2ZNXl+EKwfOGwRL14Z6699d9Gfp9pyoL CJFwBH7CM4HMVED2V+7/WsPNPvyfc1kAfivg+V1JuU+AZk+qM4IToEr0DZ5/7m/VCTcQUd4Y2OsS m5n/XPQSbVp+M1nP3SdMwgGMhJh4u3y36nptF3BsvUx2Uqu3aaG11PvfwJUbZt2G1r9MsdqxvuDp WujvMMOzQiXM8oUM5ZwG8EeM2OUzNpAjZMsLMtf9Txf/x3+cs2unqHxIuh0AObOJCcI1ZC586PcS bdH5/qAn0cjyI36ELFkIe6QUhIrr+by1+cWO3kFH7YBNiqQVBtiEmSEPz5Apg3Xvf/VBv8MsivWa ilC2QskV5Ccyx7fcLr4VffVrsc+Tb/18ZMH5xY3lQYEp8nJc/970HS1dC/Ci7Mzjh8KUd+IiANSH g/lrFW1ylmWJMgFgfrRQT9iYmh9lCaOjJjMFnSTcjDqRvtfYinjh+asDIFZPjsrtAEYiKGbinO0e E013R5UjPpDKcQT4OwUnpWFcowrZF2odYxcmc5C8/i13lvappaoWSnALBVNjUeUETrDc8ESlGguj O9yNNdOAmuLtAHbRfpAYibcbe6+a2UIX5RGpeXkhIMaNeha23TX2TAwtbWEd6VYc4O54hLlFTBRM gFvosWD9SydmIl0Vw9E5uKAf1uJUGQywdQLetqyDiHIr/v5WDMdLQsmCVQKg9wEYZZda+q789bZU sNAru6XtHlLThlERL6vjZiu8i7Z639b09p4Vrj4simJngMYTbHwSXtv8vdlVGe3735WMw1HGdInL OHKFCoew/i0mxVtNxHfRnPsQaIZn/Q3eXZXcVcXx0GiPLfgigkzs0MbjX1I7mDy/Xwv18dKmKrbZ LcEMvUdP1sKM86Cj/clSyn/JYIPxCwhn56TkG8rmuyxZyrEfIw1NHX+q85MFNwWyWl2R8/6FKiYI mIfNnEoXvsCo4v0dGb53S5S57X8ggEgJjCW6fkErnKSWe3Nuw/a8oqskdV9MGWZfDE8oH7TEy9Li Dzl1kiiAP6lZYtwDlaNevYk6PPhpIYY6ifXqonMegMQujBrYyt1wpuLDJyGFGA24sK5kUpp5sh78 fcGNu0r7b3ddALJ1oVdGJGa+bciihqtDC9/VKgTClvHyWGy5b41McOo5tyVgm9KsUMpVWtPA+iVv NmAW0yCip3y2WqygBFoybFuBXZqiH7Jw/qiGlGLcz/L+BqGfgk1ixUucpzi5exkxU4c4OpIks8cy 6XioWdI+Snb8TQf1QGPvGl74pEtPVMntdoQCFP04KCcHi28cADtsroMKBuzuiTkj7Bp4Y2wX5VzK 24kLmNrfqSMAL0lmyKJliN3xBadfoEFRaE5y+8qKEUMxGQRySYEfkcsn95db1/asHRjNKimDdx6c 1VHsQfJtNc7ZtUEjitGocjcocpxo/TNGPROkjNEQKVvVddXA/Lz+B0HwFobRausJhWNT4YJbPXKU q3fRpABCAjK6apQAV7/8dwwjv38ovGL/Hy9dJBUDa496xazKcWYqWW/ng7fA/ONX3cd/fLsYzD2u f5D4G/gVGcdwlk2TVusdR+fn8Zh0nP9psfGoWZgk/rlrNacku4umqNOKnECUP5SNrXJpAyWDYMvh 3UlL31Zq7QPmFXpN4Dh+sDAQA/76N8i7XNeSCn/FMk21sgpiMeznnco0r9j9/SYLO0qiZOdJ08ZE m6MKqBqy1f4o3kQZvhpgkXJ0uxH1CkX6rNGi11tq7WHPBvljzAX4cDbQT4uozozQiZMjz7X2etcI B6+pIa9367JNzy7MYtGZRGToRfXtFc0v0w7WmPahGNi8ZqRczjbMN31dqBw27N90tuvEKE4E0Tbh Bd6MocCyN2QXmF564YiBQAgE4PXFcyPqnFu0Kww8MhVp/fUys2zay6kKLS3kNIRUkkrPbdnHbOW9 9SUa4GAskvQ4QaAwYKPXI/IP5uC/NePCWD0m9TGPIsc21yQCIUKZ5PkmicNFTmW7tRWh/2EgFzaQ Zdmw4bDgADYEl5cN/dZIEfWKKRYl6UqNc5aY6+xC3SBZrOBsIM+qZMLGDiHlupRe8GVI09zdkNN0 O8g5Ic+GVewxwlOFKlOJ1KPN45KixXjq+IxV1/ubQ9dfioq/l0a/oYqmi8gthnKsh5gXkeNPw8xm tbJaRiW3v+4r4lnX68SihtNcLUdfLe0wXE2k71QXw7JEJbK+BDRdl6IifKcYY79PXzrAwtiI1rJP GFkY0jTwLBYifgJd7EIuL0ODMUjCjmuZCc+011+zLCSDlnXHiNiYzm06ul2HiGRZ0Sakr7MfTt8N ADpVJGRwOmUHZq15SV1c81jn84ldB1h8+hxXHL03MLkb0Qr1UeHeU69i5XrxuuTz5s+WnjhZZCWb PktMLR/pA8bii3Wr4nv6LZ+9wHiW8tlrEesOvN3/GpS0xdPlH/Ntb+WstAuhOHgUCyhpSIwrLYG7 eAGekO4UalyQqz8KO409NHwjIPDoQZCpZiVwSnuYZlJ5tbYeeCtA/6AqQ7u4d5pYRrBjZeyVI0oe E3cj+pq8tY0R4C9fEqGtSn3ZAJ5lIhFnjkw3/CBhsqeBtfTseCj7Xfz3cz3weYn8aYELJt+kC+TX 2Jzggwy8sUG5eD9xriZo3smWhEpIMqy19JsUDGmY4uLVedeunQ/ebQfkQ3N5R6sUHj0g++hRsj+l SnkFWFIdCVbQbburmWPGJJ2romOtToINOBIxSUtRDX5frHBfC34q7qU4ZftNvPpd0Yh1mCLK4w/o 1eQq+Fkg+qESoe7/MM23fKOYaqoj8dEQb3z6MTxnMMUGeHRwtcolvZ8gXbTnX6qcI57UpfM7Pzrs 5vMxn66ApqV9/NFvreEmEx4kBiiCNnSag7h8v6G+uP7Z+yEEkjMqJ0ni+MMLM12p+kKpKG5nkIIE n6OQ4dYT25y3GAqsMqqXLeEIS1GAWd/AvFrlswKGjnAoD51bn7zY6eVC6sXzbPdyFwQSlPcxYXF2 l/6yizOc/D9zcWgymhCYP8HI7naOwmJvxA5tz1zuHQl51pmb+JUITEUxjQaVkOpbbOz9NJbrgIuR h5hyqCx4VorVVPhD2mtCO+ZQZ3S7/MCobhqoIxgvB1Kn5TBW9IzI6dyGjiT5vCqDilumXEhQLJQO 4jfl521Kgf/LhkM4IRICAcEZni27pHKTCBc8MpIao8Oot1RkgDhrQflJIGxd6DAjLOj3UM5oQa13 6d+R73r4t6iJMW1h1Ug/05s1iQqWlswKTAJ5apJseJsiEd2B4HphZkRmU/PUlqp1ITomETpOBdZo eZgzhOTj8M/ZPx798dRnRFqEiUHD5P0UBr+J3+R70ptglTU5GpjYaw31NDuRVGio1ktD2yKzJ89M Vimz4uBGIZATtrBbHTTXpyFSPqtJwyfdQ5e4Z6D0iOqYVM3ZtzBByGiCd8A3LVYhHNAgeaOyLs+F yjMkXDgYxk9U6zOXCe139shVirYlGQZwhiWFcSMN2sx+eNKTws6TRJUTjHV962IGJnE9yzqb0v70 OCabuZNCHnZ2JEm3D3x/SqN21X6eAV/VzRJLWdobj8+vlbOgoAxAjZTZCbwn6YSI1jnSplIkHMbc u3gF0AaQI2tk8+2/yrzd/2I97Upf92pIKmiwbcDp6DwMYSyHpHeNGarfzsUPL+H6CAWBxbpwnCfF DGhjET8Nuig61rMCLFzK/MkjWv7XlTEdCkOXYgDDNx0NI6it1s0aA4SgLxEsOKoqlRuL9Mv8XoVV HeBky3bY/gn+uQMApm4WlXzbrou7q6N4nJ/w4OgsHiS+OvZLO1sJR0KiydGyefK9E4G+l+7CM9ko 8mKJnjLephDhud1KuNAmImRsQIJFPuCZY06OhGKGo9wfSlsHDNOoWRGhpvT3QYUlk4BrFZ72Y8tH J0cgrE/h0YJP2irf/P0/IjzKi1kJz/WszVED28RPLqEnssqFGlbKZO38/nrO6oOHalELb3i4lkkP STh0VRzItnO63nqI/k3hADZ0YSqXAvED1I2xSk5SaPtXiA36ZxqzRPCCQIdCJLTrh/0D7OwsZjVZ 0nhzD7Djk0btLpNU0pEvVUkgKtrP/4xtwLRRX29KVAWXLtbbT1VZRS4UKC4hbaskPX11ASISjtUX mQhN0kP091RXxYj8vReoaVKCEp0fNMpfvgmYoFxcw/aM31t4b6QHdTxaWLCl1v8/pn9Sh1Gjl6N9 SJvV9QSQqTSR34ZiUKpHR12Lr1MAZ//iOPv6f0o9222hRaaj8DEtzpnSUD+4MK/G0OciHI2J/hIy IIIY5gbZs7u/V/qAVQ1uPxzlDYC8ja6EuuExRrlhsmg5C88jOq903rwGm9I8L52fofRTj5aNuqIo EnJHVsUX2LCio/tNWxYWSSHRgX67CAdX0AKl0BXVwWzNt8ea65VwSruhE/7kd8sPxR/3l/xk7UqB 0fkoncdCeg5nX+l9w+UDGgDMXH3DKEDheiiyzBgSaVlmIBytsB6Ehm4cjJGOsoV1Qe8MJiYagfrM IP0LatG5WYXK5kLNOMQ4Wfx6gCQh/V1FGhJpN1iJSR8x9lrwb+OweDYey/Sdb1nrl1i7rlQT+rPv ynhjIg8O6IexMaBMhZtcKuZhRX94Ail+gKNWJJzE2K4s7r3FOWltC7tmLV26waVGprrgQfzRK6WQ p+iWqNNp/C6REcTtTIMNwrsVNqU8SOkGJTm+fGdg3Bi2FHWjTJQv+SkBVjnZwQ6m4mNFOCb7cjKx MDdOzqMQY9l+dKiRWu6QqqRrYRdjY9R+dRGYHIc87Y683MyIIwmy1rv2glxv7ceanxNKwPVmJtXN CT75ag38+EyOkgJz5tpbls55VlscG8/AOmErKIF0G5XTpsbtCNLDhumVy7J4T45+3XJKQQwiDGx9 TAXzIVWyZzjyEm2uZ9sAZFnoYWPO3FxVudK6zoDMRAlO9mx6D36csjy2ORBDe8kBTwX9Cf5JinCo YcmEQuHheoxsapk9zWsSNUGdUmD9riu4Wt4N4dkbR+SLc4YOyMir0lCE2yE2I8emitlccE6eKryx ch8Vklzdgn911+Ci3kES6SAk13TFc0cBAmmMftLMf06WUl0OtZ9gn7DqN5ID4oUEMNC7OprUTNjz a1SNcDEbOd3yerjF1FMDQ+dflMex/KzTEwE6904hdN3cnnWzW7Ggz67ITcmZlk0VnmRyHmzFI+n5 nGKnwL10PJ9d41yaaR9haY6EZr1buNAtO0SpoXhK6BZ34HBF6tpN0KwbeDDDQaqAUJkIuBU7yTGn GSwfTpfIlIiTYbqeto8c0mD4zeGTkiobUffwhdP/9dY9+F+tiQbJU+/4zWI7Bfcbnx1caKUnkDW/ gpE6ekAXN+VwNpel2K321EPQc1xbvOQInT6j1JGQuek4Fx55IUg2KlmBpDvC8ldP9LHp8LcZ15HY qDNYb6oxIZz1filwV/AsktW9EOyg1mLtVnpkxhzfwP7EKa1AVWcMMkWnyFgi8cJuJxV+SbOQiCC8 nwFO+9ISg0MvC5qOaA9P3JdjDKOUD0Zlsnd0RwA0enuEHiptY2Xb+hB33yolR8gmtfV1z68OLdaa HXTgZxqxgKSKLPg2vXX5ISQIxvEiDt3w5V5etC0WEFaG2PE/340wFNmhUZvF7NQoR2fNmt25ZydW onT0zbUCPr3u+h1//rHXWscsGyBfFykOAv4t7j+dc0Vz8RKgjOGC7nsDaz1hLWgtPBwUbkLd7Mjx WNEmr5LeiwzXR1a+R+63oRHQuVW0r/hHr50Et2brOHOdrVz1KAP3BjwmdavyfAAEM+SwYmRPMTHU 84GgleFjNoHJLa/tyh6fmT9h8cpBqL9Pnm5/t7zPqTTLCg+V6YfQdR4EfTrY/5tYhR8hgFjRnaWR 1NuMLK/pDDXD0nN3ew52uw+DQLYjaoMFXoX9yg1udQSVcsqNHezrkQXEtEwH5N0GpgseJSbMuTN5 4NieSIjFYkvMjS88owZqrllBsiJTb0EZp+FYm7nUPhstaelzUl0SQ9rB9V83cGTHOT5rKZtIolNC w2Dxo/T6qgcb4UIrmXYu+X+kiAAOiVQyVocEQ8EqiQSYvCsBIawWdDRb8MolpO0qukwo/m7ouctq y4H/z62rtllBxCh/rGtTDk/k+Mw8oW11mvEKdzwJOnLy+1YC0hvNxCYrHPzWSA8FefmkxIvmaErr cbvc9HpWMTregd4lVfzLETskjTEsjLlWdlcw0160bKNReLS7TQoSoVFasdMo/S1Egj+0a9ovQzwO qLiiue/JBUnuVXNJ0jJ1MWViwkDUfNywKZwc/9v9bKMeQAofr+KMZZosNyxnYOVOdwRmfworSigB U1KLivprWuFuQKP51Y9rxKBV+SAD3FBCTp3EM+UXHAMEiGiCAYhLP5DmpHN/uQ+CJTLHh95lYMOV cMVk1xuH8A6jJJOgCP1cL85RjfISRddSkJb0EzonnlhE8j+2OrJ/EvJIk7ENW5v6b9UTbEZ7cMdG n7OMNRIYtjIJKfxv1tqhB3LZbM9eWejbThvoW62h9DvjPYT5S1cqYK1G8io08xNeBtMAwg9uzR7e O8eU70ilavmculTfPyUigTU+aLGT8nsMLJlXq7ixSuE8qZix0VURENr7HA/JERLLUkkCk+po2Dnd vmuAnsgRB3bBeEeaUaG2TYACF9VdebM9GLu4c8WF/Fn8WPM/HqexG7cjvp/PoHrtzj/ymW5Qs0Tu XBr+5nJnjVqMPzMyRvEaJHM99C92cgS5IivOKrgdxtWjSHtuqVlSoRuSfawdiQ8vkb+1ADCFTczo 8dkar83jMewH0Ylko8zV4CdXDC1jskWVWpSLNGvjy1VKg3U9dncnYYPn4OJQcN2JF4dVu1bmDTNj /wvT94/ij0eWD/Vum1IK8nk6pgjh5XfFHW/GPMAMXr4iCk58HEMkizYGOoqS1xTNyEZ4IG9YBdCa 1m0vWL1pHU1GGpJauWfh4q74cSIyB0j0o8oQXFToFyp0W72SiL5JA1qQJ6bx+4KCbgvBD/o+2RXH tbeP9cG7Nyx7VRhp0+xh31fL7kOo10ZoP0IiSfPvfR7SZE3qatSUsLK4rIK+/MB+wy9J7sAT+GZF T7gGq0efMIdPG2X0d6AiuD/Kx5QscBNr4i2FrZcMASaBKM7fvpOXnlGlW7cRTDnNjr4IMcnroior TaPe1mB1XyeRiR7kAIswMq2w9lKuUD2/Is5gW60eymPPgoyylRZERmfWUUTve3st6NNmzHIqFgYu FuA88XsxN+7bEofZ3nXffLoe8VlC26nVdnx7MT8ho6YaP5x+Lkk8V1sJgxzftHDhBYpCFO8WXv3v BsGKl7z0w6xQF9u3Js9UCXn2GQ5BoQ71SoObOB/ipiUY6HVihtsmpNK4mlXHlS2esMFeKk6wNisO 5VgxZeq6faQG621CvQgQxo7TsJxYKmwR/LNzLsFmtE3/mPr+m4hjTvSoezhNng5oT0e4D/6QF9WS QyxGYE8yDnQiNeWzeQBE/QN8gr8oQyp9TmusUcXG+qm0KX2KDBf8O0AcXyveynZk3UIj3wdBuTLQ 6aZsxkG1ky4FpEXw/m/zPW7x4r3vAwudmb922mOpP4qNTsi1nmqjTexHpmeuNsK9tQFLGDr2zc22 hWJAZ9ur8Hi63awXqUFHeiSJM551v65QoAvIWCLgjYddF0AGeRI3C9ReN2/h9QYwF5ylzKZTrjSC jq9XnQOKHkDsA+L2OaJZpqYEJoXvPNnUhC+aUKhGY7dwrWEM8COigQEazKU0LwJQ9xGbOvIjrwJv Sqr3PyeZ8SRYJWmk5TcQCYSgur7DACxxdnqN5QnJwD+wQofZ1hWgckR67XEHgeKm44L3M5/xgpXl /+jOSLCttvQHsEQxdsmNutZ/0GavULaSgfEGJgbL5ZpkpSfhTaPdf6mttXlsIlkXvlHDmqrhPMxk +MTQztPOn7G3Rk3keDSC7EZqHsoa+oCD7PO+3WuhoTGOWNwuxLRC4oppxzbOFxFZcO7OgPyFYSXZ 1qGa2Mwu3cj6WITaMvVv8H6HujTfM6u2TB9fWR5Z9bJMNcWFkJB5eF31xwDwFOCJcwFFqgqV5qhG ww9mDKtz18qSPq3sXRHHLMw8BQpm6DcPgGmCWKm9XM1yYDamxKKyKr6kHXJzCw5BcCHiV61+BeZZ RcVCZbDuJZiE3rF/aUrh6g6jB9szySgBs8rWk6NmovHfw/rY8Xj7V+q3XQKzbkza8odI7/MXe7N5 77hLVw2RJpSZrsXg1kdSzrYk2fTedopLrtRIH8FE218paQVr2uY/zrOyfKdUVH/zqMuHOtKBQkRe hXNCjOyQzSknV1waikRovTLJF6P0loqDEwTIMfjFeOeVxb5njCUzGBKbMMLzx1/7UNIBVWDy98EA YzPNjg3C76t0TfbFcfciM6v/t6/1uji13tU6ROEK/bCFwF1/iy0PKdekt2aVvWjvDRFPYla0BLZ0 8tGRhnpJIIq87gsYOOq2moeFTUyfig/4DSuvsMrWDSb2Fbi43rwbywZoGS7XrFZ49SWtj6ESnR9G i+llsM9+FAJ/CwF0ZnAs6xaawfqqsVH0RJ3pLlric+gHQoV29JF8l/BX5pQenlLXPZx4jqt53Kf3 mZe0K73o6BEpKWw2X8nilNGx93D0+8HXR2iw9xbDMfpNXxKNfywgpsLkrgHq2wg6JzUXq8oN0BCe 4yKR7KQtzB5CxIAl2hg+NNq+N/2QeCZYOj2Ijw2pxmkIJQeAGekCeDzCTXD53yIxWSU9IWRh2kND 5au1070CIWIR1s2Fjm8bTZG0+kcVkRbAbAAyQuDzxD6K3+8Pmlw4wzuVvuIy7/CISa6KVrnWt2/k LZKtuokdkqlNzzMrc6WH6PZRTlQcLOZnpZnK+2s1uqv/sH3+oijrHbds1FTuzfHJtjDLB19aFeEQ V9MxQZCAVX1r+t82Vqq9OfMZaUWtQIQX8ao+CLBnJ5TERyUOn+oHQ1dwcroL4NTwUd/DI+M3I0KK 6/l2bTBbgJpa8vwfJGIjQthTEgA9499TtZu3qkawZN7Pg2XyJoTf5XmQtWaSI6ub/FS7b0J6RjzY V4P1OCCBobbuO3duSXflfksDWDcDH74TSkvO0wen5HR+TVLwOp7b4z72aujIsXr7EWp5TkSxP/0c y4xeXQ8RBIAyvteU/xip5hSLUL1DW5TwWNfJB4tRvmCr/f4gs+c9HeTBVZjmrybt9G66l5as1EMd I6dOR6qMIJFsATGHB2k/7Upy0ANj93jSBZjMxtdHjrgjlhaIwgnn/z4dMaZ24KOF8lc2hrcAS3GD W7QUYfOTY3W4GJu91Fq8+22nC7wL9a99qKI4wouEgwLjv2pdiO8LBcF/mrtAME48/McB/lIvumut vylgKN1BxndeceaJVWnq5lHW55tQzfrt1v7Ys61k/xSqicoZXx5U/FvxQSluM3HXsVUkHQkZA/Gr ghWj9Br0CldD1f9TJ++b/dW84oQS+Oxfap4T2WGBn8onf5+DPi6lHJsplA7QaplN+XIRBFSKJW5N wIfVlXQF2pNXb3YSp3WUSAYQ1PgAdJNewpa2T5E+dWH5vStdi1C2ZTQtkRJeobF+5BnxzT0ggDFM BMQc8NZysRymQLa3+xKaG10UsAsC0JNjM5m6NAiAYs7+/lXwQUHYPbsM3abJH2mYV1FPKY3JITpZ 4n/82yrH8coWGjJeT/e9Zey+d+1E7z/OMPX4y/S6DImnrSfQAwLrO2Lsv/UKCI8X8bXWxDS5EUrH GJt/RdQVW3y9aYCCg57qzKqrOWAp+LxIyoZ6vcboPl9QgOV04XB+uhr2zj9fdR9c8+aNmc31njye Ve6SlWmnmNy9FoeWmzc3NM3/i5js5sE7XSWuCpe2+KdRlwVnBtjzxxR7+QhjM3bwG+cdIiRDhnrl zbkmtFHKUUhaJoNWaN1/ojUNuOs9+nkDXCSiWbG3Z24MGZ4ww8OEom9dcqeFdmZKDK0ZyoZpn/D2 56lOtj/pMFnURGrx2f5+EPHct9ITuhu3psD3UAbqpEu6GM6d+/LiHgDiZjaeVenoMAhbaeNNXJv5 lhvH9FDd1RIax6btuQmCIU+XYrwcivwnb1nW0yveMOnTZ6988TZhVxLHapihwa87RWf8jEV5QJG+ bcQXQn1SBYtikaByctxydRrBEJXwE0Y4Ah4hYEGLahpA7AXX2+5ziTybL0qzJeUzNXNT5BhNiolr +DM5BxIhWeZMuSbT1EpUzy7v7/ZEKC4+TxBauETTt89BhMh/Sr2gSTiycsE9UK4jBv7UeeeF8Wrr cwkUvrc/LOd71tyKpXtTFfasaV3SeCwKZjHQ2RV6vReEi+v7TX7GzHvweIH/GyvOlzupxzzsuRfW LxhQnxBmapMHDzGF0OVxgHVqPqX0ITdeGxAYfPnDqSeidL2H/33XMECe/189sr/S0gwE6LYK9s26 z9JcZVeoE5TxNqstEzPUNIQ8jSQNraugSIf5nl+5pra0vcVP0QxSPnJCC84ET7Te5NTeb9Hu6q1m zl4J+S/iTquR74WbuxdYKhxzFCqXAQaqzqniCMxGW5mRV7NNkp00DVsdkCfJtHhJBhjw2XYP1uOL D76nhK7ZlkEILhld5kaHnAkXWnRiKa45taTk/EXKyenMOPFU4hpwbfipabYyL9CF5SKv03zG0u5y mls7uBFa/+P1I2TDQLud1Gwmw3ZIFR3d7UkCb4i6xpwPyyUIh3y+zh90DV3U/Da91J6F7saXH4up rZ5XDrrTADBR4HVFRhZDJ6O8NH8Wfnfz7ZDh+4quDTV3kLkpTyE1eF0BRcQyrwGsy/j5+DUvdF2N e6R/kgiMxACQfyGfqR5bzK71ElDFpM8X4Wv6GbhM/8BTA/lE2TwpXc+pXMCvnRDCIp2IlzHVb2yr y4eZsmziGzrwyiNGstUAjSHnUzMRBdBA0+lcpJBLHAo74zix+jOzmbXZyVV4jRl7STGpKiGuYR0X UW+QN/VQgqCmrgiPzvSVyYhOhE+xL8L+9dC4kHxkVmr4eLaZ8uIaC//KRFO79OMhetadlWaKQrEa 4KA9c/U6xu0FzUQUDU0RoGt0mMz5QEJCCmcdciW5YHS2EjgZ/N6k1z2Ux7PowIZptNay6zQhkgRW BnFjvH0N3GotMIkUiHN/TCnRFipUVrmLr3dlfqlEihVX7ud4GFyRQc+caJN0tIhTruW6exDRg2hu LUFp8H3oYwKyiACHEh9+QpEntHI4Un44ARpaKK5XPyQjJ/JvUyFQx3GSYhX4WRbWriywB2yn8+vR JuGBtjIOuqO5o5qjXeC7zCINAhDmfxRipflmQEqts/IsQOs12O1VWUaGazQXOPrR2ASxJx9T4Pt0 UAUWRF0sy1Zq3A+XBbsUQeb/jSBSQiKxyiSGe6fKd4MZLKhv9FhwPr0CZhtLLm/oYu8Ruwl+n1Wv TAUAilbT84zttupmCTAC4gTdAlEvImak59AFPHpNUmxVvwIPBvko3r/SMEXNo7lPqeF33P2agS5S PSY9BwFONssnEpq+NDEHyp8MmjbUQojXGwZlj8hYPpI4z9/lkoKOFpFQHO8ePd6Btu7A9cEjLCKO aU8GraIukAIP77peE2zRVK74fX7azm65DKAOYw57MmXY83neL+IDSvVl6g8UKXht8XWcuXq8qYSg fsZ9VSAup5t2IVw5AL0qcD6FP8CfE8WXfN8uRgVsWs/AgxRSSc8QP37fIkjLC2K0mHDGj9F8HvWi W38YFlm6gPwQBhNACfqdKAf4wGhnZYoUsGA3fzW3bSEA8PQCgwif30TKdtEPfOdzr2a/9OddsBdu T2TpUDpSIaeZ9RoL84TnlGP7ddgoigMhU6znYBLF5LnCEWhEPm8xzHgC7+SPMGuqeRfc+N47ddwu lxBAlF2X1x8Blk//BcTco76rUGJg3K/tPtTTO4RfYRlfSepJ0Eia/N+CMJhYBdD1WXv0hrBAvuj5 k71tSPCHzLimMe7wBgBhQliU3rzrN3WNCE1pnTocF18GWhPi4Dp+0v8uOUfFAspDxwgIVdVfxX0T /ZZhoG5cd3cPs6MOOD7rh7k9+7ZBop6/e/MsXuwcBFPGY6MSYhAEeR376XrZNlPRa68NdUy0dDn7 dXXYUQfT5X0W6sA/YSc69RYtag0brKDi2EQ9VT/0Ht0SU6r0SOedyZmx1pEotQX9/nhxqvr4g/ty VA4dedvXUaBxJiUYzHlIIB+/eNXngODHciH34JAO2IuCOSgjw0x7jxFFPANOttlF1J6BSbm75Ak0 jm0YZee75a4PdoYWcyH+rhjUgDgQMrvUIdmNxDGfs+Pltygw3SZYghG4YcY4EeKqYwDKb1epFKp6 JEC/zljFjtP4KEPnnkxdexCRlwDw+2Lyqm+LTi4j2YK0rnAoSmmX2UGNILXy3SYPD61o++2ZVdaZ v1JL0KkSSi9gZO1LaLcO2cYDa8zClYrPL1agjNxQWCaT2zypOZB02V/1uq+cxRyrHHmwxPptmtkQ 69lxdlz9ltXbkKGRmkHx6k93wIoGluusVMPeFVp6VTL3r6vpPNnUdLDm4gVFAWY3MS1DyWs0Mnqp 7+ZEwsI6eseEE2YZY1q/QyyszfiCfUyuQsF1tC1pJvsLV3sqoWABW1gSmPRxj02rymsl6qYBKGJN pJPT2xCckikC6P78vancnQRQEhHpCTSobsqVkKIYLv52ZVbB7RsFvV3P3ckIKz/m/H3PGz3NdFYK 8Xs+K3CXHRdwqDiISP5vONhGWxa5jVI5hyZWnwgx7gisxxStrLSGvWNutaRqU3t5Ig+cJwl6nf9B wqLTkBNNuSap7QTKMy20B7COGIY97ifQ6utgfekfmjhH4RR40/eM1en53cM3ykKYC5OY1DbSh9jZ U08Yy/Ra2FWgAUDqqjduLCGhmu3SelbcVk/BTvfyozdtr9NiKl8LrSCSCNj66Q7aRm02N51pp47p MH0k9nHm88dQp/aMCVuyMYg45XELaY0JLUXLDlcgEhwvxflsHIHwynwEXI9VJvBZBfvCAZGJvSMR nABVe0fmJCfV9Vgv6XmX8/vdXwfhVUwZ/H3oWcKc0fNDJC9Acwc7wEa2e+APYGDOZNxLezkqTmZw 8DfoCuAZ2zO6BtNNJ1gm/NOd1P84jZ8mVbS66r570yihO2FZfJpGFUo6ibfoMhkstf0YJNM5s5mU 6hZDqtziXMc5WImsN7Dokq8aShdAk4nThku0DAIqWyqXhTDX+ErDZNWSXD90jyRdbnMv8aKj/BBh 6a+CpY1IfdwjOClhq30I0OmS8PrL9AQRTxkGb9ycJYpFPWLekT4uzpCF2qfzccN4peu2evOOOQpX uqyqmxKtbO3SLJCOD/aTlAbOzdqSTtdHU6yLKgmChUu7sxHX6pZGMUoukS1X39g5VWmQp/DcJg5b TPxstTXfMKzkOzSBr0XUvdyB113jeKxyyPJQlePhrPIeKbO+y8c6y7wSnxdS/FHuMe6etNJC2cdW WXo2Mr1MVSlnYQhjvfyjnj+496kuSUl/lE4rBnLY7XMhccLEm7ucZaeiLVYh4sMKAGqeyMfLsr0x VVs+uxDQsvkDqgClmWl2R4paG/ALzb4wyGmCcymvevv264j9q/Gm6unKvCKd72BmGf0+DIoUD09w 3HN3a2AthItpaNKQeZU+CHyp1fKnftW4OoHITDd86Mm6sj1Z34ExyU/cTAbHVmAfSc6VA3G6mkuk HCNTQfh1K7fbbNIK75Gh1GpiGvTigKLuOsR5X8F7V6Xs887glo3WDuzjsqX0THgq57gOdQbMYQjG uc5cM6fvl3ymm3fNMAhYNGAVr7q93tAmowbSZ4aKTNTjsx3+Dh4GgYjztVJumHpMkKcTv77SqAGS iW/IYYKiOP34NnzTpHXPty0kHSpL4j1t/AuspfDz7MiNKrd0KYnVlhEur/uGLE4uY13/oqjlx/4i dby7WlFMgCM4wxM1BScHTeqoSX5LuDQ3Y1buHagDtiW9/VWYJEWtpko7SKuhunkWGI/UoP+xuVA1 gHpd9aFxXnbDk4gteBSkr8H1UuieShcYljE5ngmP4a8B5r6pp7TKtrO/CQjU9GPR7IaOOJ2JAVJ1 sJwCVzz/DCPOJOp9YxLxo6DHHlVm/uwM6fn3bxlA7L31/XtkYIDvczZGN34A7Kyj5mIjgWi24jLD r6WGR3WK63PNstgW/efEPCqgTo+LQWKlMduFqse605GYossL9Tr3NxCjOJRwbW8gjMyWc52VYhh5 zBGjfiP4Moic5HMd6TPybH/c+FF6cVceAMO4ha5JWwwe4ZQDbs5jrsftilvy5BaFASGh/xwtZzRt lywVVM4IWIekwJz7RWvOJmrJzDFp0VAd5EPftAziojlgVWjLre8DQPsKl+LZg0x4e789Vm+HKe3A n7e6bayMyfs2T2Mzyhajiz0ZU9SC/w1kU2ImPuUW37TIPeE9xLAVkbCXJXpYUPw6GfBkVP8FmfHg IF0o9wCLY25OlvNth6b/m6Q2M+bVH7VdC5Z85+oDQlXw/Ljby5LTsXJI7fs+kXTEYulimP88UaNK 4GKqZkNxBIj2WA0VyI+9UUjoDI1kkwjHFZdpNuNfxVQkk7FyZB2B74g+fgRUGuHVGEsAvS60lvbS QcBmqib00qLzxTMXdJLsxuto8ISzWnPR0N4xl5m/09AP/GB/mxYim/gPSXVTu3Oog15oVjZ1YfvG /56LojyZUJAF4dn+SYdqI98Np0cyzKEOow9zN/i8FOX5iHu9pwUDjTykKFNaKA9VnciJ8qnk6KCo vMR5mQj7n4Nf7HhEz3iVW0jkJfoAHLvxemetiIrpDJnir67uKsals7GDK4YO0hAODE2AEK+hwKib RA77ISlnSqrLU443lvbjgo2F6MjYNt67darJHaiZ54Zp36XH10f2nBDxW5pC5Ckizn+jvSfJHRBe dGjPTLfwrrPRphKU3HrWOEc5+TuNfrUcrqW0k/9c9YLd0IR+CYGOuA96stb7wcQBEbj9LQFRdfFw kj4mGlvFMygUZqKdvku3r4DnEeq4+1ixGTz2nxm5YC+dbq64A/M/A6a3KMCAXrB3ZZ9nC6x2VYuC rPfO/5qsaZCMAhQCvXImfcQdQzXmjnS34S/tH+ka0PBiNN1AQXytWNiQ52MvdRa1qiJGndtzBRkl opRSVlM1NkezzpQRllJLZ3rvWhrj72r7YrDX12qj4ZIFclvEmMAYlr50avpibjoeuOvsIoiP46mQ azCv0mPaukO0IXtpdHasi3ecx6Sef9clFHrbhhk/AaB5IuCYSO6k5GTKkGv/zvSkOjSM0Pds52LH Ho4N8ZLENCk99jDnGK5aCuwwH3VDe0DOG4hCW0kc0fqTnE5ypr8CWA4cYg1ro3TaYBVmo9XRRg43 p56vyT/2Kkop2IitWfvZO8ffNF48SNbUw6LHU64nkB0KsJoe86LpV1WjodYRFWTvZBRZSAnGOYTJ IWjXV041DvU9qn+MtqL2Mx8D/wtZRwDGt3aDZJsrLDqMyqOfq4ES2yRLLGD49rrA9h6/dnmvkZnn +IF+tvClOG42whXgGX1ZPLFzDpSDmC0IQPyG02axEjtZYFx+iqcjmGRFnsy1cfgjz+MicUIjOdbr zPmZDS2bu2E1dYvmQhE1i5gjuhQ49Sw3S3aJ4ulS9z0UCknXPimH5hABDEMRXY911bvGw2wuRind pzINhFtwa3Mk+rX1UMf2yBf482cuZqPLi83wnJbjGKjC+oBq+COjxONL4K5Vp3bkENFq/SRoGSrm PCFUntnhs/uPEqV1Y1X/tTiI3FqZgUmrL6LbvY/BtNCMUVZki6NQpTDHRgptefacL6s4kFD2Az+B Bfg45VxVzCgUSCfa4irfYE04eRWC/M2I3huu74mJ2Nmz4BDhCodp1e01cvTafYT6147OIrESDuNs +O0aFUfcrS5aPcQ2AkFg8LnWU8tG6Mi/DB1el4ScsNHoui0t6XcAI4Xkr4ed2JwkHRbM9HMw+7MJ 9kiJvbrTflX29jnfz0ryc34kPdGtw9vsiClRmj+IDUcJmVOqB0jN+gAbpw+wEOyky4kaJl25zLxh P7Yft++7XExUfmBJ/JNIaizi06S3T8dIYpnCs84ENx+yt2E7L0X7Lm/q2Qtrk3nSyIo5THP1jitK Oe0jJrYSX7g9xfp32pbckOdUGRiotDTq2DprgCM3tiUkHU+L2wRd1VpsD5oee6pdC2CqwFsTNN6q Q2ZDuGUb2tp9ZJeQvRedScIZ2iZvxKIcJGCQxgGYPg6tXZSL3XR1qnzZuBsZilho2HYk6IWlnYT9 oSb7x5EiQXCZizHBBtTA0V8GSF8wv5CtUlTRkbCwodOLMGWHZ6+d7iYu8YXesZ8B7fYf0sRXRi4H +U1AOQuGUKW8NdC477H0CTnAGYEBMvOCJlDHIdX5qaGmlVx3xEfeCv8mXeNLjszFzqxZt3UpN0mv cu/efAe7vcAgpSkS4XKJt0CMz7EoaV9bRxam/xDBIda4DtsYFseEV6hzzvWz2MM4hheTSpJN4PNO tr+GHLFdJWPo+Lgxwy/DyN6EEnu9MsKlO+D5bhezzNFwuwsj82wJfMnP8yMee9jqT/xn7AZwDha4 3UcRFXZxT9zUP7PtCCZmNpaGRzniES4mRPSjiEsrQdGuh8KfwUgVOeWg+y1eOHwjnLNKK3OqZ4Ln q+TU1QsHPqke/yzGfI49cXPp/JEf5GOyjuV/tuC9G+VlgnC4jrC1LRWZ5FNUgv8LxGdV7SX/misT kXbm1lIo+vaxTtnCU/WLEZZQm8wDpEXjomX42e0Yb3fCS/zVfX7WCN7weH/TdQY/n44YdKA14UXj LQa6nhzQn75um0/4rcbW6ApkAkJoa5BOexRWRTyc41ENFExVt7ULDpNnN3oYouu0ZBksp5u4ajox guWAdBvdAX0EZHyzClKWynjWDtvddstOT2BdHPS4tMqnSE9ohTb5DT7an4O6bVKFV1uKBXu5Xueu WCcsj3ln+CZxIJR5Zwd0o1wizeP2E2Z5mKsKtJ3leyQQvOn0yHMdrgTqXLHyOHcL0z3BCDfT/YRF JUNkATt5O3JTCNPk2dSVcTkwTQDYGReTwxfStNb/c6WUGcuUNGGuIfXkTIiJlWruqKWMyeQeVcvv /e9DELtit7K4aJExcTzV2LbMAqMXO7Kt3Q8KeM6w8/uEhdgCfYcFH0r7LAvbaxPkIxlwYMxJStso K2nqiNlsyL8Dlq+GGC3tYbstTLzrtK5v3olBmfAjG9tHyNntpL6dcxJbVJJrm/USMvj9MWkFvzlc niZOG9TsqGrijw1QsrRvggTeiNJROMhGIYUCbV78jXbHIb6l+XIjgME9ylofsOYQSIWoxkGr1eIX TVo8br2cG4EkobBAT5n9pJ6R7YCj7JvLA9PQ+Ky7AAZMZTADrBsefhoPMcDq45XebH2oQ9GHe40A r+07mcS5yXFdVT5e4lLPDQaBqP/4ACN9gYrk5soiBCMWEn262VlNonWLuGeAzwU3njXOUyfQa+Qd y3Tv0sklK98AXZKnKstvgmnOmWz1yii0ifTANfay9ImdF47DF/AVWkJpT7d6Kh45pUYwgIQUffLp RoPwAH+3uGF58n7f+Gq1C1WlK7+63vlqY4XADU459f6Fi1zfhddBfd4LI5XovVEgeL0wVsv5ptLP CYdQazJld8judFIhWVG+Ukd0ERtjkbPNNit5xBxHHLIoPc77EkkDUahUIk5Yar8Ygn97u4CX962n ObaCqCapZX8+zCF8lZMAYN22GK34bM8ZHc5ExJcdYEu3hWS5VXjDLMa8YJW8LZB7CmCHVfkRs4h7 yKKif+SoNvcZps7/bINfLarsmGVUZ7wL4IbJYVGe7qWgfjnlKXzCv69b7wfIBEom5pTsDOuLWbUV 1hV3Ss7jEl3pw9tEYAWuHGxVsb9z+3xsOx/sTXJQ+pg3cFwGYYJPXGIzyAGSD7yrlpiRBJtDkXIM SsqfFuX7efv4Vt5ZmZJn1L+h+QwoILJl5UNPHxVXkIsh+Ez0bxwZQqeZauAB8c6jyb8Bo3bdN03k zYKxwi7gkYop6o5eBjR1GUnHRB65JnCMHOweZiQTLm7cfWCNfj7m2tKWgjdiV7UsffSlNmCgSb3B td+hW9PUAqecIXRBztRi5bzTpxijMJ917BzgTM8Dxd4taY251elVD+czZgPZM51Au8g2hqNr0X/J z1HoGocfI/KR8IyNJ3TDey7JvDUJu7hXOLlTM39QO+YaglLwqu8cqzUf9+6owJdwhRYhreKbvXY7 RCqFkw+LkmWaF524gFRvPiyoZBxXU+DYOb2gaSRQNyuLrl8CEAhhOKZFx8xX/Xjt/YZ0+nYngPsr 4FQM79FXS9lXK0fPLuqlx5xHNRUZ5xwfjJGdaOW+9I0nOmaKUPH0/chzsU1DEAVYXXzfsyMSzMt6 T88mQDa3qXo6xKBnz7minja+sN7SBVJa+0g90CEI2DGiYmpepWIqvyECKF044eTVoBQEm8cfguP1 nDQld+l0kG3LojrF1xOOikP4qAGo6H3vuE+JEojhz6poGHNnL0CFvcyzxuHI37hEMjFwco/7le4e RAoppY9RnEJT/hdwXJ9nQC/EJeNKcUdQHQA23wSTnIQ5LOcnF2fE/0QDAwp5bdjFIKcjESFU6EIi uIP59m9crgFA/0GF3B16R9u888eao+o/t9AFe+F4GRKZYyJ7LHdMtONGtGm0BXh9+kA5nji7Oip7 ECE3j30VRl5XnU3ucNBsZbU5EMn5ND2SLeRXNXfyuj+MYh9Q3hbW8wZkFa4xhftxNWtguIClFTRN nLaS+A2Gd7+xPHTmh0E7O2nK0e3l8SJXiU0dMRUWg3IVV/GGvnN/rubcZy3lcX2EjF9Ou3IhWNBB BY+dcbOBZWAyNEP5Bu/UrlBE6Ui3Opafr85TxhsIoedwUUVs/I+6JOW2jRFO++i/hjVwOp8ALzy/ YVF5vdME5j3MvWnqRGd8goCMS5IO5OPuQvW2rInLjO8BLHmlTszDV4KSEh0zkFh2+CZfHdTcdGyC 6Qs/ERGHHJHFH1EUNHuIQNyXMmS0RNDw0U6Pg79y7F+JJu1aj48XWB0MwIzbk5nh2cqQshJedPaT /RINd4l9IJQUqSusHzHZH46S8+jk5MB/OqoS1UxkMYBB9jvog7XoQVptUEkQgBrqepkgK1SCqssg o7sRF4uss0rjELI4xSDs9JMkg5kqlHsun1qrWJjTkrMjuotLh2fwqxx+tWVbYae95PCMerlyw/LC dMY9hl0btWf8fT8rGtA4FvFFP/2YWB3ZQzVzEHxr9feYO0yY0Fl8NRwag8QiVtZYlTOocC+sjjM9 KFKl9b2LeBhd/j3vyvF/2dr9beiCd7bnpRvMEi78WRyCI7C1iBDw/3Xqq63V6AeyPgIkZ0QpzN1L g7PppASZoFIXJhjBD/IC02ZTy8XBW+V7fd5KimAqAROFgaxtsb9GFW7QjlPQfUPoLZfARfVwRd/u PuRI/WhM4/9WnRo6YIkFPqWhqW0FUfsilb8ntaDUHVGaZnQS7fDXiBeTfhCHPtWigu6UksKVaCuu bfRduMwjbIJ+VwT2jbDh9Ox4B82KAeRa65mow8m/WKhv4YoVLIInomNph+2+YuqUOoZ/4sfmEwE8 AmpbajRMrX76NfsCGSusSIinPzWa7u5VrTUuh1F+lwZxA65aOiVQVk9hmNJ1Cb+f/KrfhEnw6rDl xoZ7mI1tG3MG54HYyHbTAnzpLmcscTEk4lluMadI+dywUXEAg5juCXZyv1YmispH0FPAXMkAiL81 2/rYILxXLE2XEixXqHosdoL83E94l4AU87/AXxr/M/LH7WMIf2OTtIPQZUOJ8B6K67bccQcOxR2R LJfqSOtS/fsxiPywNaI1d8n4oUM4GeNYy1M5BJCaa6ikJO+NcRXf9iKr9DqE29xOxtOeveuAtEnA 4JXyip4j1vpVseUbHB/ddUewKt23l+StkC+mNuoX9qZ9Hoq0aBtOFS8uoIOosIXzj72sr3AJ0xWL i1rLscsHEu1gl9XbmkoMPlntUAdzepK3YhEziK2gvvy/fzxCWkmvmWd5evOFX1I/VXYyZM61hWGW 9k551xS7L9QXaxUL2eP0oBVWMvwyvzeOrtS5Mrdl3zJwqdcZYfydZquKN8OC3VeRtMb+OKlEaeXk Cy4vA7zebMAiRQ+xb3b81hfnygDNE1ivFed0VITWLIAQ4dqEuZ8qFE05Ru7UTZyAZaz8aCxJ8Wo0 S1Q+u5pQRx3f0mu9dOXJAqO4oH73jkuIAO8ZiWO7wSm2cPMGJr8JcNnJ1pK/qVHRlJTzD0XA0flS fLELnMoZxgiB408i0GMMo2l1j/I/o047+3s3sta4G6nCwnPOLTV+qvgxh7AwWEhZSJv+Q1MXYU9X rH4R3oVuteiYEy6zAr7SSVIpEiPwzBtpvR2UHERmt9QOhulxWRdHrRYRG5GCkc7wwoEXe+olLxsQ kYo+0JvaqfhvJ5D28bRTNDa8uqbpxDiu7piwU8rPzkAw5jYvALPwJDgWNPxUNS3YaP/VJlUkTN+q 2Cu8yF/CyOem0kJqvFmGrNRYh22S2OOBwvQoE2RQNQymvg1UoUHRga+utcHFASBS+gXDDxI6zaA5 hGofaus2y2ALyZ5W2os8Gk+aOr4Wg+doTnKIZQJXLtByMlhxtdrzeg26LBZK0EUNU2AruA9gq0d9 qDwM7wAQfa1Y0MVk/YgGcuno0Bet1pM0piFmD2BCpXKgwqJ1XiNEafmwi/l9SUvUmx9GdbpluXH2 9kZhZU+UXgxslRT5YDqmy8PwcLrkotMNhY0ulK0sN21N1TXPjPL4XK+vn2GaRlYag+FEJ3pN3jWk vsC2c684MUWbWlfHzrPV/XBfcpfzSns24j1gdQ4JNOPmVzC/avLSzRKZaYzhFnJ8gf6+jd+cAHn7 B/0cs7JdAbYhS7jmm+6Awj/uTZDCtAxDBl87VnfP8WTUKJcjVn3eihds8ROeVo1bvLY4b6lelT3G Tx4N+B/Sm4iRXVb5gDj6WSq1qj+haO/1VwWCORYvjuGxJ9FrylNYxFNtu1TjYuuKOrEdX+TVUowc yalZwvThD/+mXIUAQC1ILywaZ1OLh7ZBCTYyaQoatnIto/IppoZH3rP5WGA0mmnZnEhq0d+wepaK lj6HxLNbQEexhsm6HarR1oeT/ZuqFG0UAzgsHnaPsXDUR1bGtqfkcFuL0r0YPh8vCrQAQp2bFtze ND0hjSm7L+10u2NPSHoIZId3frV6yJut5zi7OZbYctYKA8zfVMhCEfckC1oTQ7PqAloApoePZNjE yinFY6huhKkprjOwNnlvI5RxpMN4Cdnb6eDbYFY/SJ9pfRGfkin6nHzTuN9wb1Swoh6Up42WFshp IdfM7EBcZNvvZH12F8/1KKaW4MqHSABlFDpAzpcMSnbO1owYshOJVogFfeZiaFme8WQ9Pyw442Er lbOpekRwrfwjjMo6AM1igT1XTtvT5uCzErvvE1oRlvKB2ihCNutsUoX+4e3z+uoUWMJEXNexgrFB pl7cmL2YqDlIJhJEc3eR78mGmpDHZLNeJQkkVDc8LWGZ5Joz52TYiwUyne83BnJ1FbwddW4Ijjo0 60BVhODkSsqPMVQ6BgL8YJgkA3C4/JoP19Mdu2Hyja455dTC6S4YgPNB9WjmGfl6BxjpdL0xMi90 Vp1CDg3TFBQ98cO+hYImA7dYXivfp6zxvMwrCZjcwsFiwZjzj8HMKI77PK1NAvcT/pe15l/ut+LM G10IEe0NbXUSerH5U5Mbu9uyMT21+Pkx+3WG1IEmaUn+pahDtPc4LaghaSQ0bCmsltJ3Yi659FgY B50DefgWFc7RnhRhj3bLirx2PJT44z2zpYZuHxLmp24p6EDqIzqZbrJZcXjmad20GYMDBRWEMDjd Wdo5dbFspfp1sqqEPO+lRAyDdv/WWRlybaNCHAc4crQDMVg0eBZQNPw/DRKMoBvU8cxlqIVJvu4F 5m/9q+DWPhISWeRfqE/enml1UnUIX5/QJZA7TvN+UGZz6BJtpff3VsNMes9/dJR5B/MbdYYxvwGR Vw/V7NhjtexsZHcTvMuodW76ShRnPLwrdceu2GhXOk85l1DHEDfBI0InFUchiWyzZa9kj+xHckum 3of09ddxiLB9vBvdb8mgLAnqwPJV7xY6ttTcejV0bwolF8i7evX8EqNk4UZs9abYCnSYdjvpDB3J 8w/2vn9yz/Pu2tO50bgItUEVaTTntVb+DsoW7UFIClh2/MyOkY4GLyFxaNh0/Sf5nWCCsMZrPnnu b/4yW3WglesY8+tEOeyWKAqVZLA7nPxFEP4VGOB+JvignNvjKYVVmVA8JVwa3/MBC07cYlp3KZZX EmOcgt+rS2zXfyL6EbW5lMrRSAhwnOhDwFwFEhHgHijQa0bwtGqp5NVt6GajXwClPv8qHzmdWIrP bF2rIjyLNk1nm57kHS3URol4PlQfY+YiCFW1AchJS8gQND1gO/8U3ZbxcyBDjl3orL+ooyE0dwST C//a4vm0PfhRx7ft5QNLXpITRu0pJaH0io8xMKVyoJNou9++ANJbmqP5XEE2IJFdIV8ahOFQh/rS Ob6+TsSgi6OwmxofVCSlQblDoHNg9alaOjgopnHlykeuCSCmzBmT6xfaTu1ichQE3GpRDzQ9fBfX 0nNTKXgkdu1GUEoBH2IGpIHMFZOWY+F3p0jdnavHfIOfy4yYiFGsB0f4GonjSRjyAMcWm4MzktHG /d7P703t79EP9cQuwz400xHitRGcn0P7SgYA194C0L7xDNhuJNfQb6tkDzM37EulOh2ZdW9L8aT/ +P77AD5lKkX9IM2qASGzk0z018O7YHcnaEVlD5kbYNJ2QEI+mRb+iqTkXkjpdxmkX2R3wy36ru/w MCccVEpMfqfF7fDzJv1+b34sgTtl6KYm/fdyT52O4EgsCLJIrcGsRbZUqv1m+jlvlFKlAcEJp53s ZTEajYHwpNUhtlffmoDXGCBIg0fkHdJIvn008FnW8TL926vQsaOMBH7/ZMm6zq+oTwtHV1vtpUj0 L05WiVfuMp8VM0s0zHcdTSSxHDrzdvjK9J3R2iJOn0Y3qbDaf+a88AglVg0QuRn4Y+4eot9fDyYF 62J0Bt/L8Ig8IIB7y1aCzPNPmXCWlWGxHXpxgUVWXFhbaw2b6+cmJFi+wPkUXAgKUTeEFz5IDlj4 eahxwxTv3smr4zAqSdlX7AXV/zwEEgRgwZWAkAwIQf8RoOrvubFxdRM4pOC8IGbxHCiKorGD9oXT /DxtaMMVEwaVW38a0W+N79qwYYVpexymST9r6iQqmMn24iOh3YZskl5cFyM9YvewihSPLg0c1cs/ xFvO34KHoeQACmWXzC9DcK7nqBq62LKPydBp8SuN7jCCyZ7TLeJ+dcyfaR7uivU2TiPVEIdoRvVM 0Gdeb9cLBH7QfE2IZhYaXN/9DCtRbe5TLWzXNYz2pAVKllsfc6A2JX0XkX3h02Ld6zamuAdPP32j XGQVr1zBuJ4CCryEZEiDoXHnl6cm1N323KMQeCCFFeREV9AOpeYIPMWz1bL8a67iWdbK/VsN2Yej uKzBJPFW8pLa8BHifzamV2FSZjx9K8yj4F967kRLKjBzZ1pRvRTc+MKH3vsBcoA3E89rSxX8/YuN gAunSmGzRGhxDGXveWHfPgJXHjC7a8X8YvfkMGvEksH509rmnTiBqZ6OOAbi1xUTCtb7hUEgXDAz ECRBWw+8XUchxDlCVhS4pxFAAjUJOL224ZkrB0OOlmd54qop2DMSQn4CULJKHkbESb/se1QJWXAF 6q3YLxTZGttEr+1H1gOwuOLz3hWo8X4ub99QnkpNmIQ2TCPJPIgrE5hIa6+C9pQT+RREvk2KqHBi R+D0KDK89IC7sYBII6vztN3c/b/eMPzgEYBXqaxQUaYGSBzF9vk6YBn0eKfihCe3dS9zvermDf7M yaVkb/Zy8X1R/9EpoZVBpbbiGsAifwni3E8rty8WQGvSH6urr853IhaAAzEdbdgm8qxW913uvUVu khjmseCHxiYfPcsHxDTwyRNGdHSM7m4QdSdbfPyfsdAKMQt+B5SiLpsr/Khc3gsIlG/8fOa6MSHD T7wpoXdpxBqllqftA0f9Qs6eiI6VvAcnYV1D+91xMFUn5Xupnfl6Ynqc0hUQKlbq4skstHdRYPbn +YtH32FUKSBoqdYrEIwkgqj2eeyOBNtkKnadIImkRTCv4a/0OcUo54qEPEnu8XtbWba/z5RVnBQ0 yMeOY8VC8dn67QzIJhhvuFaxxqvPG4HlFQ1mVKs+7sv/GlSwoYxH9wUJ8GY9WOwsONr8J72UkQdS Kx/6OBFQ4ESuntZbHcCo5N4qKWsvxyRxWdui30uxPIMMw4CDjmgTmu3g2FPd86AcAdr0cl3Q5U3z IguzewgkfZ0e32G8H30koa60pXHIqdzQULLQ4/NG6JnEH9rvEnNsVgAJ4371ajaXO6SgQZuHfDbF XnhajEEXvM8n01sZ0KH6tHDOMlHNqqKvoQvlJOWDlTre5/9CCxnGnZFwNJ4XaTCiJKYPgJgqiGME GGZ/wzKmgPzkXAKYptlVdgermXalKCz8USpWN75OvqIGePZ82TgGuOe2KcujVLJblW2f0DnoBH/C wJgm6+XFCQtq5P+zvi5UsNJkwwnSw0dBbxTS489vfJjpqOAQRDwOhIJmqU8LHZe6dxrQBZPO8OPn 0P1xEFC1L3rZkXIPK4Rj6UNjszzcLO4Co8jukWOu0cbcTRVxcOKH6D9CNhrF8k6q60Nq0ZCaK3pD 6dRzRebLopiHrAxrg71pEmwe5HsuiPMBi2X1VXfnZi4w3sTsgfaT6R8jNcUOok4TNFkJjw5RhoE+ FeILNDnwhJkrSy0SmUYT4exCic/e0i6vP9PBb8CTn/CvYjMOgexo7d7QAKKgdJVtBSFQ9wDHoGM6 e0zLH/Qt0pzOoJPvwu63yHTbPPRvhyYahF/WuvottKW0Qrdy8iRspZlvoAJN5N3WNWk3AKO2Tqtu GTmHyWeW3N7UCmY0Re1ML/b71hwwJ7ipa7Bt1rB5Hi/f8x6wiXeE1Qhu+Atkko6M5jljJA40fsAM htlpbXVmvUSD4ZMfN+YyESY0T5PWn22NsyQl8Q71VeZ7V0Uemk1cZsPlT7OTCo0H5u3lzNRdny5v X2XGisi91/xZkeNSGHvyQAOoC6K06xC5vFZ8Z5Jcav8DLUoty5hiQTONMblGG1OU60TeEi7zTJdw B3Al8Yxb8yBoDv237OOQa8HhU3tXpD7p5O6EWqn3eLr4LmxEyrl14aIxbTdETSvbXyYjKfYq3rqF iSmjVswrJbaJYpiIPcxQtjISySGD3Hu4UiBEttp1on/ZSzmRwqx4w1Yf899wd7LTNn2CJJC0BwV0 Az8/ozJrt0Oz39P1eLpKfgQrxQVRVs5cEeCQ5CWjS4RH1EqyY8kYXWsO7BpiHEx+CSBC5xEm34uh 7zUHLWju4EnfnU4taIdb+wiqSKAdnx8CxT0nEd2j9dKGjlqVQBD9Tz/h1dkFGp0VQr3qaz5RB4gO rlfGKfwuyxgX2wD4gEy+Kg+tp+JS8ZNweBV5SKemw4xKQx4CsEYMxZeAVGw/EnOB96LP3qdmvod9 0VxHFdZCJDEEr1MLBHkXXJ6KUmccIxXYzt8oFVhqOwDLaSeKyE/Fjp9E4g8fc4YU84dsnIyaNrL3 s9ST6y2LbDra9q8luxphqLRIJTp8r/aRst+aQvrtFwIPKfXTs9qxunB28/6JPvHvImMIZQZLauTd /ezqkf42YFGJcRb3QwQ/eetiAlClH6G0Y/6bKn8PPg0fyRg5uTqAHmuUQs8WkYw/OInEpN29n/Kf dpHKJPRUjKp0mw1gshqbmnSeYBvZBfsmg2FsYzvhQgRd6VBMDYD8LI2Nj9JWI1+s1Gmieg95IwOK FLxDuMBZ/XPmb5oYv/bToBacNqnQCYe/mfFkyCSHi0PsFIsB3Dji16L4DmYYG83R8ZvWU7v9nGTk ZCu4czwAGP8Xv90f8m6rphJsz7PDt4w1DpooKqfoDTOn5Ouksuzzf930qqp1StYMHUfdt1sKESjW pyH5Dw2i362q9lseoIrNI9KNN5aZPOakWaqMrOAoVUVkhAmih2kCz1XmPnj9pvdsOn2MyIuw1sQj yFjvBmDD5n5NeUAvPPNleEEtIhz2DRV49D3zseXihr98zXx8iWdPxpij3xkoGhRRMGklkJTMiyxV 7avAbv7IMwYu9D+DBrNNTZKn71m8FfDRU+6o7uRf4LcPjRWXS5lFPKTBppGPY4F7YzhzBgnzQxtr k3NfWdS58w5o/ruOAxTcsSRiwoqLdMGf8i9qnGKnd/tkfWcEWmNE6OTW1jSfoTKnVfA51lp3EwLb AcFHr6CQoGDT6IH8LaT4Z1EB6s/MDdAkf9J3JbJ1GSHphnuaHOGlw2b3PTFru8M7RCRPiqrLuD+f PBPtrTg3QL1D1bnjIx0b5RxOIb304glkmXaL9qzCQ2iWRzd9wSFT3hXYu+FL88pQMsuNnllJK1sQ +m3YCostfnONJMGcPNQr/Y+y31fFAimf+K6JxzRf0VPdWls86wHYx8nC3PfHD5N4JnFUMwGB6VPc Vbs7ooQZZSfO59sySFd+hFLeaEHlfX8NiU3TOVyWHxVA3vYYrnJkvvQmH1FNqy+dDXP168YjVZxI xMGmcBh9UbNdI3bQhpf5wLyvkZxlRlo4VFl3YDyzV/Rlr4mi/oMdrmrrksRffnWgd4tWnOBRz6Fn sIxVZ1XyfV3ajbJo4GvuE1oImKUFiNgUAW/46dpqvwr4djyEHyjc2E7gMCMGzecSJStkMevYI32e fX5MmnaT4qG267EiGi9zScqAaCYpjlxhvKKt0073/ioodAgjoUzHolvGUVI2ZVU4S41Yryzfix2U e1QXLs7R8UaISX2JThFFX44XS8twRG+t3PR/R09bmxrdBMNfMxDcWGwzVdOYzqa/vX1muYP2IG/K EXopNsy3DOdcOZMvuUZINgnN0kJxxY143ynMGFp7UqUIIC9ejm6YgoIQzZgR7kLvRdNEINqA3X7Q 5lUBSiHFGCiprRv66jv3RqTwN5+fm/zJeI/CYFdrwisSD/zvfb8ZaB/2nL0qdRaAPzznexmc6NPL uP1G0X9kal1Adb5oGKyuvhwlsLS+Dgt8Pm6VQB+DaLErgxJnhCcYV9xD1+J+/GFYJ1oknxhZf9Oj dTOJdi8fsTY4cer4GjfNQMvZsTfjoW+rHXoxEb5P3dRiNEeNVzimCtMxyEGYFfDoeAYEJ+08XXl5 VE4Op+gnfmLQI6o1+ecOTdisWTgU7evLO0DRG9XYBzAvIQoxd4P4aYAQ4SyL7ecZOuBRrZZ9KRDd QS4I4QTm8JqTYgZdsK4hEKjd87JhKghPvfrCG188TdkvyVRbmg0S4DNig8fFlXE5mWKQJ73oHSji vosnBGxrJvjVri4YiSGGv4bg78xeKT/kMrnWFQOJ2rAWeOZDKlLaTbufSaqV1sJBSpHTvy188cu4 D6+E9f1locqCtcRpt52fnTiygI1NGSNSJMaG7xxn5RWZKRj4c7L6jNyiqVvzuGeh3BSUBZFiLDFk A7cUKYQRPQt+gbISZ1NtuT7m+J+7i60RToW5ZN4b/H3Z+KAuAMDDKL1LUg5KzetFWvmE0Pmt8ej+ 0/G9d5JBcgpwlakFhFknTXWxRMzYYp41lPSPRnbGonRMINGpbluBkX75PlJ133H2ta1desKG3VsQ qhxZYaPWQkA6d2jcq9dxwoginOZdAHB13KjUz67EFkF2+kHHpaw6Zd8Gydyz0GqT7yh51kMs0eL4 JwdoBim0+P3enJQKVlcXIqyLtm5xusnEoW2TusQPoePgoX+wTglyWYJCCf4zHmm2yl0irooafn+z nyjY6tqa/uqmuiy5xUOAXjkhQgFt07cvMnnkTMrkxK5N9tuEnPk4j+B3sHsDkdkx/0V4G1CZp8lk RANDsUN7VAKphS1Z2rICoJ5G6Igfi69kjIBaEzRLN32VKw7567MBSbTfbmDyAA8u2nS0ULJnhEPw lHwnOi4uoROXtNFiToUg40j+ttE74IDfcrYzUlevyj1b+mW4iE9gKqrvCq66XWlk4IYnZsyM6Tz+ kuPe9TqqxdlvOMXJ+05ps0b1sTQ3JrQumJRtHQccdBEAbDiGNzhrwhcb6HzjdRirWf5RwOQCKOv1 P3NerPLQZ6Ix+27bPJ6bBF1ptRqktMXbJoGP0j9OtbJUYfwpxqnLoABkSYSpLB3YrJ1mAh2OG1Bi mDhNJ+A6G3nZqTg5N5sJthrq3vusQuoLbF7wqdd3G4CqPi6C2QYJWwdijwgw/eT61pGZIZ6VwBuz ytJraU2Lf7fIq+h2aRUtewJrIo0oXgYWTERAUuh1tAL8gqbArxnYazzJa7MLO4YRvUQY5Mi1Knev PI+nYf5DVvh4V7QP+7l3kSrIn18Jw6PBR+/gqW6OjFxuOuJQeS02RD0dR+46WGPBfbZub0+l8Ubi XK0rjFx2jgWGDBSTtxmHEg3j3KVWgB4IfbGMzqgCgElwbFpgg16CcS/eQYG7EnN8eRVBxiPcLtHu vbX6AICxFB2o1X4f9JX8gTxg2flkccvD7+IKQv6xOjwJOu4yZVNu3yJXvV6ITNkL2Vw+dlbGajND bhGieSxApVDbwLtK00lOfl9ES4rKbiLlAVLTwWTPNjexbIpD5CyzMYeLNKkQRw85qUb+ipUl4xh7 3JlbSgMtM8v4ITEfMa0bx+OWsgoyg67FMvRniDfrPFUzjY7WhyZzuW+YhbvX46ARUeOWS2A/cusS yQ52QOj0vEL6OmV9DnlIM/NMY9Ivh0kgm7cjJ3SO06BuHrDjxA/eiI74WvPWMDXDVZs9QXnFGZb7 PEKlGkQ7/qsBtILEFgkyRbsqV0H0z1STHoG/Oaph5efJxECTq2cYC6Qf0sZMpu8JjLOmN8/gEWic eDNghg0rW5xmIsVtasrWKk2dpInhJtPEczUD04pdfiQ8stx38aElGIjEeI1fxzToaxlR7iAOzf+n pBMCpONFItM02PmgMDj0NLd6jATAm/em1mDhJ4zRZuzMvEuNK0oAfwKtCGm2jvMP7/NnN3Jl8Q93 z7zm1zhGppS3ewUAkciAfNZZ1FEGW3Q9Pxo8ey/kXQ+yiwNBPjPs1Je+toRnb/U/ikw4elYRp8SK Wg8JORZyDlizh9Ns3MuM+eaDkQMfIcRrnMZX6B3y9ObjSuRTBz1+7AQUUYvuekdMFPGb6INocdyM WUeBjAaUm/L1Oa47pQLnJIoWmsWugaw034RrXoTjLPIxOTg8kZProaoHAGFCSdnIKnka+B/wBsq/ 2Dj9cd7Ki4VIodro5keBRYMfZbHBP7Zb2AGtKxCBw6G8//HeYrDlI6GcyTY4QDYfI5xTlZDMR4bl 9LebQpY1HBKtubScvWJhX70FSxpiYGCMLeYjXTFn/MThftMmXez7ysNr/W7n80P9tRCxdkEaUdn1 ySDsqVg3yLBUuKPMtth9trFg31mE4dy22pewy/dlSnDi58v6MX51THvB9I4REc6fgQ/zmXk9H44k p/JAT8683ZZCzPr9jqZdO9Y4EiS3VPHJT89ZS5qneyhA9tnLm9928aX+xg4y11E2Bz/SKC/7jP6j c4u4GmzQzhGJL2A47bZdO1fOdwdD4eMpHOMg0Med47dJKkWJ0eLRSbh2jiC3oOS9eMOEXwQbQjYZ UrVsa5wK+MSSd9MxjRZZjrLPZIP/GM0XnB8YskSZ9KW3a1GUOamng1Ub/5mOz+PJatD+Ek0kwTqN 3k2OHZTqQm12idUXqVR1IQ990MoLmxAd7IwOaAmIY0nSvmiTjoohBuRhHXRFQfriXGr4HU5d2upx 2Dfp8zr5lxv+EwTHFT1Zg9zmcMXBHE3sTf8dpD14fZYFNPqdTzMgMs8Xz3sxe47+qblikIbUqb9G CZiSavJz5yBZm5LRKOd9ktwOTqvigKjduoegA3IPkHDn03PqZN89qYdIivIa3JhrhFobn22setmH 2aPVvh0s/RN0asJ9zQElWskcg0RolAqcl/fwI7VOK1XCxED1hCKuXsAXe3E7GAqPLCY101bRVI0C Z83/RbmO8v3i36Rh9LipmXdVcgt5uxVXfRLZ3BqhMio/IczCtst2sjP2VyAarqC8JeEHxGW3aIai 2hoK/OAxG1p7oUhn772LMvOfMI3pXydgRfu/HhoidverWmA5Yxgn3mzwVVspJvwmZy0/mouBzvLI dI1zxyttLXSXHOvrvDxZ+n9v2DDb+bvjwhP/iQ8W0UknNv1h454IJGN0TR2hvDm32VwU1qsdH9nV Gxo9OTbVIXT9UNinw+fOeqCrP/XyT6Md3lj09o5AzA4y3Bczh9AY2hwaJsv1syLeOK2GcSQChrK6 69NRzBb9IgGCyiboPKifD6IuZWXeXgOYCJ2Ao/QljjCa6Tj5VRs+UT0iWlfFAkgFecFLbCzAGyWB d9pq01+mZNCcjcXD26E2tI1nMe4B6ZMuAJFcAteS61rrL0gOqQyWd95tDY5VB5/XQj2PmnhKNuZM LWrOadcBMb2ZaD/U74ay8r0FU5Ce6LjKjtLv8DLwD1XWThY5ruPvBYEYgziWmKv+sQou8b6+F2Kq BdppmXjKfU9tgJsHfsxpXtx7BtyadkqueKJD+hmMYcz4r3iUFDAWxfjPTPuBqk+u1Ft15qqTyq/g SjL/HwfAiyHQB4fJvvMdwaxUsYENAaBiooGm9L0vUqVf95h2FzvBT0ppcdrWSkWuRfaR1VR2XYUs ESNfEQinBipd5aLuHDSqrF+DOzKmtP/Jt6AhbZqVG2ioAoWx4oPkW4KIPRvmPLMY4MRrNyKKmaVH ycYBHJIPW2tjQonmloCfmrOS5sTGpvrYqCkhCO0k6kh/V28kZhTYEKCvR9sGIqlp7R3OwItPTtKA WNaoY1WWyC7h9rNYxlLxTHkFV1DhipCyU5XstZiYMmqUE1hYwjqaLWmZKVUZnpuaQTKAiZ4NfrVB ftH94/4JklP9rzhStZ0aY3pfNT4MbytHgMKNucZItBZy3J4Y78J/USvTpkEHhb6ABhbct3YSwa0H pLgjHI3ZDyjEWzv0xjA1E9tePyo3wC3CBzQe2JVyD4qDdGsip0ajx8JnAfSLADOcE9Ef4+RlWENW J1gBX55E0ouIrFsC3EoCOx+v0pHH9XvUa6KZ9etW/SnaqZ7Ey6jKdPdWvPsVS56oqbuKPtiTVHgr wf5SibVvUcu64w6iaYhJjta30DYwg8l9wJqgysFN7/f7KG+djCoEVEgJapSzETBF2OGWZKTlljXi APfPktlFoPJr++z6DVztDPqukphaalayvHaNgfFmeVzd4aiC3b7RNifv4fY6iIzW1YayZn6C4hO/ LXv+RecunkQc9bVpeAxiT6O8Ht3JgVwCQ1WFpPh05eX8Hd2I+1WuN5gasx1gp0wT2wOj9BHyOPn+ tjue5JNrygbBnQPyTyx76yS+XoQu5lK/Q/7qKQc4q5FT4DndZI4NiiVoC8/WxPg7Gkw5x3/f7Z5q 0ODAswyqj60QjcZ9xeIBUFaTGWrVrlcuSJ/FbHt8GuIZRLASCftd+cP8CoCGFqxejvYlxdmoZVcg WSwxvKHrMLD73+4+TQRoMmMsUOR2uGHXdFtQoa1Oue7ghFytyA2nM2IoNEZe+djoz4/oy48VGadT LUqBogeruxm6zpa5gD7TmiepzL2Di59JaMwO4QIIWbJtmK14HLxv9HsK+PPc74VHGzQFu+StSG3B 3isS6g4S4cHBaM8BafelJyivYuemSzO5Y74OhhCKra1hAqQvLWBYeySBivntb/105HWvFosdX0p1 W3mSrpWwnNnhlctaVRgpQv8ypKaq1zHIsywp5DxQXxt82bwdeulp5AVxYfQRvoMDcjs1xur3vNNd eoVAbt3zcPl7M5AUKyfqJJevjUGqyrYyhri98Frohddf8zd6R6uK4DtqXbTcpkEoD+LfV+q/87uj AckEbKylP33Lea7SrmQoyNuusZDJGPelHALE0ZeKvbK9L72IhJ/wUxh2eKp7hdMWIGXFDc4ggmSQ +7oI/yD0qtyK6T2wu1w5dmSkpycZJtUV02xQmgZFCHiAyReu91jEx2ZpGxW8Jy43P3nqcKb6UKXk qyNf+RNw5h91V35+Im4GfiRRyUD0N1ySLsfoMOxLXHRRMDXP1KX+wr43eXoCU91hrEoBle61GdtP oLkInOBIh/DkqVpKf8y/Z9BKePPwtBmE646S97rQgGxmd7BeWvxNa4N7oTVoXIggIvGPp89ngi8D PSgRgWoWJOd1Fcw8h50rtjYB9BlDmWwLHy10aHeD07IbpUi+D55bc8DDbxLNQHiBM52ObBTC+v1B TR5KGJ/f9iltn1n631OBQ3f9dqoMD13tsAx1BWHcc5n3uu8zuwwQL4KCQHjwpiYJWbT9smrTZBnU iYfNMYtZccPZMFR3ZxbNPGmEToq2BFOBK9d2+JryRaPVL81MAtatgn0GmfByjSmq3wGyRgxqnk5k cl0vy2x5dtR/7ZQMyp7fVMeN5lWFFBoVVT32RrGQXJWzvKZAC0TTQtWQ/x5fDD6QZhoclRxEDukF iHsw46bdZcSLewpmaxgF9aV4/HtkUBMm4iDgehWo1M4TSeHf1gkJ/IPCrAUXScF23XnSM1hk50+L DrMnixO4X9yjjmhZN6YjrTvQ6z1rX8rkwlPzJgzYKnWUO4Y1qB9xCuLc2gVc4Rf0tSSNgrlzb/Gn wXAfioAd+HuBeqmLOMcB/7+JQaH2iGF/Mc2rMJN+f+zJNWUtQFiZxnoL5giekTIzDL0Ep9Ts0Pl0 ji1lbRZpaaMrgweVGkuBGBHWnAIRkl0Zhgf2CO/R3M8VBnIuJJCMA3CZcmi+Qdyqo7KGkU0Vu4el elRUr0zFrTMUItdHl/zThOCw+MPfyrgbsB0QKqgkoKZUCgAk10Dbw2A5+C5iJPDt9tz5htLmOP3J /si+xTWv9fgpvb5xzdfH66wQW/gENJP62+7zVhn/gZWxiC+h9KIMvWmknaPztB+LdYV8o/jksYKH jjOb5NtV3NRDfAJw1GewWm4rx8XXtFz1UZILqILOWzYug69iSw0XFM+VrK+wgh6wAiD2TDIytZ8S 73diS1SWQJQZr7otATiCrg9SwjCgJcubJbiwsvCJJ404ajaOk/in9XnZbbOUd/1EBUAxGZRcB2z4 vTfnc1dn2r4ThHlEqQDYEVIf51toura40ejjAmMjncprYPQ1lSu/WR03i7u0kiICzl3lmQg5GuGD RCYqShtoVuAmHIu3PkGrJFnNdZ39Bye/DV0FWh0vfqFjfoFQZY5w1FlbDdwReTrueH8GL0wKFOvN VSpCw69ZBVb4VXrJwvGa+jqvN5I8X7xxc+bY86nHuJ2H8pqGOjjXqtgxepLlogj7MPf1+pZH/2ro 0F0PRle8L5Gon1HYaCbOHXJB44G4relOTJknc1N5jvlPomiewe4AzYhIIWVFEhsNam+wc57rymoX Xpg1stIWETKR4nH+uQmIi1pmYLM9NIPlJGWG7xlrrLTwZELKLfQaP3j2VFTPn83w4F8OoRPYXBfh ekat+L6OHnnN9cw8rfk5xu/yK3FpJAK7/QmevXrLpmqJ+jB5i+de8u+eLsS3aDpP+WmmCJ0jw9cp 5DJx9+PJKHnMsXMnYfL0NQuGO835YAA/5lJBA3z/DUtESZf8Ef7qZ2FQqeueZioxALs22uhJaBGQ RXrAY0s1ZYsiQaS4jdssVzNwaShLCuOYUSXb2Uf2KPwFAGfS7tSfmkaW8RxWBUYiqqe9B5H6LSQC eQt8FUqhikHgQMZpfigyOMK7QYg+a/766lk+1XsW/GnVEBnwWX70FwukAn6JCDy/UxRnBB+q8DVu 7J/99kJHKqvIsZ2yxzfq5f39GzWKzhn2UcrSL3re6zKlAQrOqZND1vew/C+J2THibeTC0hfXNbLZ 19EiD1Vfg0qX66uTZiLj9UKjEluHrkLrzuMuAk9K6dVNkJt0nR0Vt0TnVxZi3+l7MtlxULvBOLY7 AAzinT7G2+LP+eMd5onl5ZjfJxg1qcj5lmfMBwpvlKpiFbxka9e31L3XIcJKhYfnwwdktwoIfZeY Q36Ay0vo7PXpGrp9S6MJHrJ2H89oxilyIz3yEF3W1w18FPifniWa18i2S+TftL4IuJ7I7tbh+90J nqGuA+18S27wJBBMerwKH3SGQxl6+czTANN+eVAFP+0ddIN84cYNm7XVyExjJH6YBBKDq4y7aMBs oYEficmqc6vvOT6jLTtO9ZJnJ768xW0WTsDGIFRCUFk0ASdmDQjLVVSkThqJjE/oZzFSBxwsvOlU PEtBUl3PdQsgikaOQk9qLA4Xrs25gsaflVhOgqCRJaywHtDP66qzFuRGyWpenZ70AmpY31YjQkI1 haXSEWtEU6sp01lHAqtJhxNvYC0SAfGZ/VnUbJsIiE/CeUBeWOB27TnMVq6sscJRPa8qr7PEN2L5 sk3tnmgIXVzV4bDntLm9ri9+pE85i9W6Ps7foV0aQc0Vw6qep39S1IBviCg/eAQmm9YTeLy3Yg5H zKlVTckIKObWM+sc3znGRgMUJ9c72BDy7pKaVdZmzrG9dwkNnOQyiaoFbDcQ2LFWgVAiosy+YaUi 5DinK4R8fIIYvyg2OpQhYV82IuZeie1jtZg1j+KsX+3BqpwUa2C1xu/aJ1VJCq7oUTFZYaSNBVzi Kl7PeuwMWboq451x0CUXZyGHKm3u8jxmEUyRKNJqbkvGTfw8eMYUjsz6nFQ12L0RS2JqUe0kMbl5 oPWYhS3eDcgJDUjExQFHD1FXqoW6IQVhZtzCgEaBWl4Yjz6CiDf8gmd7w06+M94sRw98pH1qGYVz Tkuj8DOX0xZSDwdLKPwYe0pazX83Sc//SitGldjlmxM/HzICq9I1WvtWvIL+tQG3pPUX684KG4t8 KtT6J+jilsp2iYeE86VAq1iG0SL+OxydtUXIFJe6M8DqX4wNqfGpEDyyNZVAeBbP901btaySAhwN lVSBWIDUSNhL1PzDBVpjMiKtGnAUzISih+WLRZEG4zUcQcSqJ6tGXgzpYCQ4DMizZkcZdgf3EALW 58GoBghPgR+UZQt35hmFRlpIbt/oEpaqLB6IJ4DvlESxIeqZZso9AYUJLVJZlmesjcXbi4nLNQiK SorjUI3IQTzR3jRs5DiXeSJRz584+WrMQj0dK8wonF7csIcb8KuRShyxupl1/cNWA6oBjsF7a3CU fiMQOnAQOup0jQDG/KasjdXCsi+SMELnjqtxIlNVq/CyVM2Bxi7ETb8F8+o00qY8PzvqfIUeX4mz BPB1zNOMQ06V/LgQV4+EFZP+S71BqlHYqgq7vduW4y4ZxfX2wCW63KkVmEx1sAGJsmHDlVfJColg 5PTpNwCp1WMFOWDGnZ1xU3R7EeK6XQ9Aa1W/P/Vr1eHTa3xRzaEW1GfiOMBy818RzbtXQ+9UqxYT eEFuWqZjK00MhOYsnKOsbiYYbR1T95X2052a/LAtXNxrF0NWQcALVZ81SaK9QggK1qSymarHn08d v2ZTs1e/yWznZ1X8h+yL/aV/XkZL9Dgo5i0KIanp+MW/+mC4gSJnOBUNi+P3jVD6yNnW5L/O/8MZ V3RsWq98fckVK9VTH133khZ1PfWpBEXOZLvvYRmLrGrcatITLEjs6B1QLg3EThUge/L/RQdbYt1Z YPB/iZ9omIEPEwqP1uk4UYklCCebtCLWL2QB45nTwdrdw5WGRl7zR+Z9qnRHbpiE2GCQ5Z3Gz4R4 Q9dhNJBlZIbZFVHUSP+4rLifguTcrbQlUusDrGFhFBZFHgvkuGPmckcMGNhXBrILhJ+0HyhBUAiY vye7E49rIPUvYpUWgH1uU5Cpbt2PEWLv1qXTf5xmOSpE/Cm02S43YBk5yz8HVBBGlf4AHC8ePehx KZyD3vBhZk6zx01rxGC2nBzauJ5BOAH55io1nHeHfeEuyd10R4iP8HtzhCmqA4wQCtOWWjMRVwdO 0srhDmZo/mFAcRw2+l1P2Wz9umF9iCnBvCF0x+WStY0do5dFNDQUqrfLvCtHCn4qBRB7tgb4aZkx DUVQxDRGS0YKLNx3fLQJ7IBGmywkMrXrEmwTutGINnIdvsQVz4DB+E1BGtD4L31Yz9J66KBvgFAO 9Kex95TpnD9XrUYi8awdwLbP0hz3Au8fvXjLM3GJIyBHtMnEq3NM89IwUxhhOI8s6Ju/zglnwqq1 60CCeGBpLorGXyE34RjLpBE7yoRegFzHSS5mRqa/0svG6aE6Z3iuqdAx15f/te5JyWeuMiF6BeUr NjTCOaZX0ndND2F6n7KjsMhGl+dUrn5G1he590Y+X6Z4/e4oXkCNEWBaaluaNs3L7z9g/Jz9zxnR vB9UEhibUoyS0kD5Shr+2owrwssF2re5uZxPGGlx0hmnF0sNhdQjsUiUY7Q5Hf0CsgyXtdWk0pfx tNG4khohNPv2V2dx60V7KLH0Uv6dm6AkyWQvV+ndqAfRp4JqtNSZCmGBS1Pkv858JHrwRQqLekpg MAGPLfaulNwJWfsJQW5QuUUg+zbuKMaU9Cxso91rIfKpAt3XRY/aVk1roDtU9wv6Q8JKqlRIW8Mm G5yeHTRKrIQn8BSlU0gcr3tJ2+9nnaezY8Ov3GFK1+/zsu1V499ETAYnuArmp1qnOzK/9YK/ir9R sEY6zWBRnIMhbvsqs/63jRiFiJ5fWq10M3dwSz1m2GRZ4jxbn+atoJxCI+LMCogKCah3Jv/0vNfK EXzTaghdzHNbfhpwNvFucTl+8zyxYu+w4DKae6+t2Xe5MpqAMxZr7zsqxDds2A752X8rYiWLo60w vIvSPO15eHfdqJo748wknWxobe6AlQJlkqnMNc5vEnMFQkUztJzWn6eXOukfxS8Gj90tv/MbiM1E +7lGWoOHGeocqFfWdx6iOzAGx9uDDbAanCF2ymQUgb+c0WIHgRkp57XrUyzKxG9jm/3ia8lAG80X GonaprnUoCFDRm8Y/CfmeCclui8V/85up1cd08mMSDjZuqNXpOtc9DX3o716SR120mVj80FLTS+9 oo+ZEajT/XGfn+dDAK6oWzs79huof3hQPJPt5Jz5oqNFte4Sq+JwgSSow9amolkelaxbf8wWrxo4 gKGOWKHy33AA/73xPMwWbZxjOkrvWT/ujKBWGHWyAZjTj+HyvrW9UnYR8jcqllCfaFl0pyXbFIIF cyI5+3yFtVTaxF0ho7cnQMzKOc1Kbf7QOL4j+U2EIAP7bSQxvl81u4glUpkr82esk+zYcOW/lUn5 zngTrwxvaFSeaPABEJrd6IhvfhELijbjf9hZqGIeyKpULBTWmPLg/nM5F0r0zfx9JTW7XVHHRpiZ xDr1mxcxrCdTLySdy91ShqdaL+GpC6Ee1ScVD9l7jrEeglEL5kyuTGm3dpcA6IGIoU+jRz/lb6QR h5ZEU1NM1buQAlHiKoJdmpwQi3t5/RteV9Vl05Ehoq5Rd+qh3Sb50vaUpl8zOt7peSa3S+ETK9kw KgLFKfL4wgbLCOYRjkdhsJKxTfHZC9n5833njLtIXpumgQL1e3MRa34Zd/qHXtVoidINxn8oEBt3 9DkA0jSpinS7B0RiLfUU/raGeIRC/0NKWyy5tGKi2B7LuMPCqm7zQKfl1BnLGYg0EXraqamA+sMW HCTsV1ymtx6CciGWDVuUVg1YhV/+9iW0N2OqeMOpX3pMp20A5VoJJL/d+r35+1dCs6ANJwrbu2ZR WU3jyiOkfz6Vnl4o9FrY1smkpEMilnBSismWKgMN+vFrXDVelMaT7DXRphhoMowxC9ce4qzIV5m3 o68sbcuSS21RtvsMDGIp+YM3YREUEHPxkeEbkh15k13XACkA2LBklrjgFiqFOG8n+PKj4p5Rj1jq xwbUcIiM4QH1typk5pvBZoSeY3AbSXEAuXj4n2OAdMrsmw/6nWeeE+lYQ7s1smzj5E5IGnPMoprl lhqju9CsbPz0utwV3NobZAWqI0LtOpulUSAyoBMKxCI10W0fAecDKYFRk03bjyDMzfSUhi2jmElQ FS0CpoV2Ynj3g3sjAxg8NMTwnEstUlsuS4zEH2ji5VkNzhjpeqw3rLGmeyS+WyxoW9HFD0/4uu+j TjuKHGt0pcqiH3MaQEsSNPsxiijwKPJqWTQQ2D5lC3EJ3AT8DBrsl4ALunt+Vstu1wbsIDND6nR1 thB7dwqhYgE6IL163AjEGxC4hoIjwe5BE/z/5uc42eyw1nwnTxEfGtYnDveGwnrKTCHHSKcFiKG3 zqCOkM2JaUvvE1DnxbKKCcAZ6kNL7oPwiR/AJQZSLSHWec6MB4INmbveK1yDt6DDi1n1bp39anX8 AK5P7wPT5xy/EgerIUhnMalIWYEAi7e0AgWYbYhF88LoUd3b4KCWWLj+ekvtHOa+FncX36zW/4bE Dg8+QCgtwLOmyYblf9EZRgv47CgeNe1fhLTZ2KPn0AsO8zx+T7CINxtWh4APnLLROsWhXLw0AB9Z jwubfJiJNZTa/RiqwSyIfYwhgsByuix0t6Mgdh8mE9U7Y3Tu4aerHt3Zv+fPda0QzyWRrZ9bYHK9 8kDMPNElFHtNa8ZcXVrYU0d4FAtvSPpf0dgkR6axlL56z+A0b+miahqYuAzQlWr0LLVThOhvRs37 NkJBFQYJ8TP7jJuZBV0xv+e1e6CsimISvF5w9jD9Ek+aA/D/JttR4Yvq5BytfssNLQNnJoGgof+H QmG9obSBX6kF5q15BxdGNoD7yaIp+K3jREWWzZG0tkjNWv/IiBR6LcjgD1kAdmSZJgqCiXVwASQD qtBetaK0aA36fIunH9KAa6LFALORe0dDmREjQ83LZPCmecqm8nGKKtbGQTIvQ7wuyAaxlaokuKfz 71M8zmNNd/8T8Vdk1xq3PAfWPbyfNeqdv1o7ZX6qmHxtGEo+fmvGfy/s4ojFH7/FWs45N+AzVmDP FY58vUI8ITgyYHxwLA1ZZVXFcE/682zS/2LmK8f10trxOBOQJ3nHHOoukkOlkxHx6T52Vh6rXPVI Y5ANBZw14mDwQbNjaU36ulTRB4TYh2yq7d4a6RFryZnwNto7Ca9jidiELu0EAD1Vna+T8H0JJh+I 7aNIQHFC2z5wqe6queaPQfBjFeh6dmF1OerFBxBcgE0l1ed0b1bhYpm7dWNhcgFMeQeLcYtWLvsR 7p+nFuSViDydTtL8HyyhKBIoGauGbFY2gBOfvWp2GJgkDpnyrnJMzbOc9TgI/Xfxstpf0JyjNaA6 wUiDX04aVUV6EaYa9mOniDTHDcM/1leS1BUR+ZyXwo1BsehyEKdh2FAXUhUf4GdkZodtg/HL5tkw cbD3lB0Pa64SOtI/zVtmexnJw8p765UphxbiQPsH+4SjlLVrGKmrb3xVUZGev8a4ONMgbrgUeFQ8 pE9g+uKpRD+Ba5MlOBogEs2/t/zUH4M6AVUdyUDOjOUn8jfvz4J6WxrqBsJ0QntXL1AElIPHBT19 bmE8Ue0bCqQrrU9j7nQMRuOs9rb3HiHNCa6cBfOD748Pqwwv50CQi1ugG7/0ZG36e0/8aO7C4mjn vdRAhd/EXF4o0x6tkoGyorOXXclMocdGR4zAlTNCzphPrGztDyc7Y4fVafSiJw36uDEun9yEN8aD J7PFRWKZEXCqvkwLfVINXIZefqmietKnLdw9w8AOXgtALAmQUDhB2GmsATfpFQYWTLklfNYANRel xaKPx5gRGn+RuGPEnM9cabniW1nN18zXq92tcHP/LDhowGx2/hOomv7cNHbkXiqTn/ZHHO1QYBth HulLH3jouOCnJSXdpLL+f1Dx2a2Pltkwj+ygBitlBaM2ctI31QCXqUSgY1rmzXGTCC35TnlFAveM hWC3YLuj/HwjEzhsDhMRdYhPaU+/Ui28e5XFHENL4iiQylHLGSnIPksKvpomec8DBCssvMLWRb9F 8rxykgS1eJ6KOuDQyDH1lnJOX14Tyz7t6LLlyc+EA7suOQB6KcMiLX4BUEq+iT1uGrM8jHTu1shi Ml874KbBye5LcQrzQic5h24QFLUIGYJ8LLNzNgRZSVh0gXZc5UfsmRo1TVrEDdqCG4DNN2FQzGhs wup1+6Uu4UkeBp2Sy3EosOy1bIYpmwTFGMaZMt+GxHqNVgTPewKkzJy1ba7Y+5Ci32X5wVv5nCjX jykHdqlQi74LEiqOm4Zea2u0mXifTvZSSdEFHriEwmNmBhPBaglDsottpxKCWJ9QKKYPPFigNN/N tw96Mb7fTedt92Y03+ZRl6K3vckLFPoTqy+5MQCgSM2O2wErUCwQfaMwnhyNo9wr+0+NCXCBVdvR fFzlvpdk814NUiQZevHZSV6SogrfSv9LsDbSx3QD+zaVv5p2TWDErcR+Zz1WvwMKgsEO3kWD34Q3 dw8lFKGGTuXTr4xuiB5maE94Byr52Tkv8iRDDUUwTgXDri9RhVtEJhUoNxyQZudiP6VisjkYe2vs CTnxZKuedHEIJykm6bnZGihmP4JCvDNOHLZvgmAfhtk4OfObzT1eHt5Za5lCPGAa3oSAM6VC+BZD n2NCfILSAPr5odab6f1LN8UnkSBGd9593uHV7Cgf00Rl2ohMUHDU5T00z5o8onU0FV8fN1wNkjWH /<KEY>EOTCmhDY8ByuD1+Hzp1JIZ0 9WQmWmVaw+AuTve0rxT9vXpUyQ1YakHO7y27Sv7lefrsq/+irsXIX2c2LBxjvpFyqANcCG1Rrbgk dJOtBgvDrn1cGl1ZN8v9kcWATPmcEefUXwkIS6cIyKRJMbCDZ/0i2q+LtCLAYlxF1Qibj/GPhr9f i7C1FuVZhqDaSEwF7oYDOwxjRCHzKDG4OsLlawUbvdbc/ud2uX2hJi2aCf/TbTvd3cPh9AiRTEmF 5R8KVT/lcVyGzlpnRHnjGgSyqZLvJwyKu1pMBFe6Dx8u7Xag/0kqViWYiEaOKGyI5kRPDVNR7IE6 +Ks+0fSGe/1skfVc9PVmtMSVZXP9s843/Hnfr6WlvjvrGamVRQDTJETM3+/scfTjvjTG0ibddnWX sNn9gPUb/Ac8y/51nHIZkbFLo5d9kHtntm1VBVQApQtH/YQBIdpOeYeqXKuZiX0yP/EfHnxbeDzs Fef52PHGSEwV/MHJoK8O0iSfZ+GUeq0bUdovI3IuBamMyRunN/FHTCwN1IaGCP6YTLIaucICXcxZ wdDXwAGmlVMmRsw2YMYwviXbIYc92c/j6g46MA/rRXzedZKEC28MFoDLC5si58uOKtNPY38HfHg6 RH4cRps0J4J5dxkbcudsW3hHV51dRpX+Aeze+T1fhvrKyWz99iOXz3lb3Q8wO6xal7d3agicMD7J aNaeGw+CH2L72s2sUO3TDu2P9C29C9e7/30FvzCPAcv55WbSOK4Bz2l2cot8Y63chaHEp7MC2FkO Z5j6wJWKCTdTCzppxJtPkreg2cInUKWiZecH373I5Cp+UKY2ZusjvmmYAOkeoTevHOtsakn/Ond+ 5nT80+NXalMX4+Sr5KMZEXXfVqnjFNnHCMvJqQW6rqBtnNJlH8fAKzWufIKA8bBgqVCLkf2DhOk3 jlekmZQU1bWRAR2HSRbGWawQnTgKzhS8eWdU9qPW6N0n2z+FPU0jBhJmup3E2SroSnFkplZPmKYo 5vt/HpUgxpW/iR+KMfeJViNiPK6jLbaXzYr+Dswj56k4nNeLBgtVbTYUlZNXGDNADasaT+2Cyllg 2D/cWd/YNP7ShbjrheujIpbDqVwlehP0dDpV1cja0W2EpE/XQJx+4bx4FjNyd/72Bir9Ial3jGEL e+gALNc/mXDOqQ/vVv0aIGOjpipQ91yBRlhcw5OaynENSisL4s9VByG0EpcC0y66tg4fEWT+6htv jdCRctpiA5WeKXdVn2Dy+v2/ns59CpY0CyyRuaR/OSCPmNHV784Ej/+LpAQVl0LwRddDdxpcBDqi qg6GsEam7FaIIyxwEVRO+cKZz97ny3cuAxKZ+qmpE45RD9tL4TrrOZi+H9laaGZbS3AaH493lf9O XxEZiER0QcvC7kwZfiMzSMVO0kW2HXYgtAfoMuOTYBQ+VZtKH5y23DaB8/u6uGjNmwCJQKN+yTk+ P6OIItK5qwJXM7JGbvoesSypUc39wGhsFXI5Dv1Ih2HhKjH5DLTZ92BnBWhB2gZJDOxGfJRxCA9S ejjTzjYiFmHHqKN4i3vB1XJodcm4X4AxadcenghLOfc025oDQqFKZy4trr/EqVsBZn71u360Y89o uyeGM0U7OzB5bHiCX76PSm7F+IrguCdfOcYqpSQYqWgcmDbVcz7D4uqUc2EjANq+yrikkU88Y02h 7lt6IMmkVcRE2CUC74GCXTA8CIxHaKiJIxdAAd5w6rfU3D4WaxbJzTe1VLxofK3bABkhnPI1DWDV 5htmT3eHTUZkC0WAzlK/viaMbTzC4xLtjLEuvebfdmwiwH1BYk2cMrJtl0AWRXHcnmYACKte7Wod CNyeLw8RWbPJca63wAzbc/1FKgsz7C49aFWLmTHZXcdGts4rg3PHRFlrGsmVUIDGM2Qu7KerEDrT e/o6+QGQ5YQZ4DxUZL0+I03ox5GYPRrqwzaSx8k50R0U+++JAYn0SM4ppwJluezIf6YHdzwWMsSv jDwPGghDGLxQ58xegXOuRvLPY6XFNndfgwll48J2MonE3hVlN7CLoRLqVW0BmjUlmNTaARonnC9K sE4axiU4vbakYCgP9e+fN/vwave5yyXfjzEXAaB7rT2vfky07UOz+S+VOQ8SfGgeOw7N28qzLNUM ru5UUNwPq+35+iMP0w1L0eDVr7S0G/2mT0wE6dYqhpAU8kwLxTnPCOh+OMQctYVagHoIW+GR9ZOr x7Svys0Nw5i6JjzN5z0833A/JLEfCqFvUV4BYz+SJ58J1ptov0LN0heCeS1v2ntUpVSrAW9m4jLG 58HQUZYtedHgsmKvEru97kk8JryciHH4hDseT29ZFm8E9MM+jjkiUsT29qY+Mv9HkHr0WDmPf8I4 PieJUNP91hDAwjd389C2XVcOoLjhxP/rgW/4gRirqR7Szv4xHciu9V/oj7EWSHsSUMLUwGu5j9cH ThEzlRstwd+YJMv9maws02mpPJVI1RHe2KMG6zMR7INfwXC+qgUGVECZ6X/RPHsuceyKoa7/HRld v67y9pC/7Gkx6e48VqQaDtYsHvbJkKnCv/V0sjUBCOy9oTQ4I+wimVxbd61qEsH8jUfCKJnutBKh l4i5WYjRUuEL5LDSJk7FL27MzxOAUF7DMC3LaUSZhiBLeN0eq/NK6raJ/aM5Zakegl8pfirdHX03 SpxIWi4uC+K7A8tunwXKKR4vLTCxZ7uj9Zcj3JhMeZtjERPg+jxsjNsuZjvMgbAl/iq+TtS3PMlo VY6BG+bsoapSiB74pyH/FhpAri/5IQhWXv3EvCOjh5gw2ytF5Ih8ndCnI842noJl/qjwTBB2OkHD gt55D8SkYHcbfkEx5Kt4QwCyWFCb4SMJmp8kvIOGSNvlcdq0DorndteE4l63RBYdDdYvAg5BAAI0 2EFGAjRMN/dAYKgqPXB1BXIwWsKuwRKFPVqPfwnY0sBExP912vpV6zM9Heci4LI6+doZm6G/1KiG iwyFvQBvEEdJMls4emcV2e1OtvU870zqQWkJGawnzeF8ucLOeQMrta/3H+QYgprVx5wQkQsX8YD7 uJ5Tu9FKfw20J3aMPFwAOM+RI5ZWk51HS8k2Pw5AvKRIk0DVofgvHTesoEvqP1ZaErWMiNcyHuRh 1VjDI1hWBUQb7UY3GbxFSpH0uZx0YXuOzlAaAPrUUrD+xo4bmjN52uT88S0LfGubXjg4lIwvbPlO DnvcslZNc0Jc106HXL8Ey6Mm4WZRpgZSPg3qhojcuuRqqxF26+w934sbxp6aFCjMfW+UX3Gk44Tu mMn+Y9cU2GIdce+ozawi0SM5SYasBgPTbRu49Y4CJSkguyTkqT/cnXei1X/UxifD/GyJ1RF/SMr4 efQI+rKTJHsoXAVRVZ8HB9SyDqV01sLy9LJPw9LXk7uO7rUlsk/VniBn5Eq+5Kb2elHuu3l/CtMF MJDbt9d1Pw93/fkHO7Fsmsr/JTGbh6QQ1RKxqGQZMo9ECUVIWWWhjkQD0Csq0ZEWGHBZF/+9FCX8 EbUO+ysWOgGKCLjbdQStCKQA9wIFlUSt6/74pZLzTq21clvpz/scIVZxmotXjT+f6sjYBwqSqwmO W86+EAUhMg3uiCCd7EVGJC2PubxMfka1+Cr++QLYayRrIzzPPJKcRF3lgzq5mR6GaFyK9pzHkdjz l1XWbK6q1T+5JBaIgf9F8k9OMgwOf8ydeOO3vXnB42Dp73LtrlALVibXafRGNsWFlKwUR1VSwx/7 PbxqOSxv0E6s120DcSbMQFacAziHSdZT+w6XR7sa6NEFeN8f2Z0owxbsp41sj5/c4F9PFCtq7COP MFjFmfm9Vt2neMwawWTKay8bHLiPLdTViH716Pzr0e0wIWRJd+TZQJW9uggbx0zmRWpcRVsa84ax VV3cO+XxdLoQDCvBXAUqi5n1s7N6W/7t169+l0Of8LedGmxBQzmzT2VppmVXJYQiiZO4UFGH7Wk8 KrBpzjmMWJ+E4IKrc+6a5Vg2ti+KMHw4z0z74WFQODMw7Y2wp7cG+oB0FYCp8hBH8vfYbQ9WL4ez gz9EE4RKbLzA7dpNQMZfpyupyexu4x26+n0fnSwn4imaBDlBYlzu8XiLSkvozTc9dLrFzTg55YuL lC3Gu3eIYxaXm0XcI2SvlIa+pPVSpnBsruqYV2bWtpSAea/8Zmp7bkbPlIemr0+j186hLc8Fadwm W0C8i2NgYzDFbDX4TLwUUgBZ/YlLCJ/JP/mm85ftiA2JcIClFvq67BXLiqniYs4f/bbgNuJBCJqS YlMcvT8EZrzYj2fzmlFcUB9/btTdtOkQ5Ea9juEsgFpaVX2VpIZi0wOQzfzEWM8GyqgIJWf1JyLF XTDKOETDnIg1NDfAzvjKEwSbv/BdVmzSWRa3O2XDxGg6bUdyJLgBU/ptWY9lUX19QGFisK5rvgWd S/0pj1x0NASnPj+4v0S102luFD+K+IVEwRE4JxXLi5v82bwXX+GMU7Qm6CX7S+QgIilGhov8Qw1/ 9p/3owZd3UKPrN1NlKH06PKF4xA08kYYI7xhgAGrPkJCfu7/BPz1cx8FUa2LGvHT/zfkyzoaYi+O o7se2T5R1QyeFF+zNNlPuN+CApwxFEXYHRevPLnZwlkrsBHeMPbUBVAfLZWvBjwBzFqdUNCts3uP XSVfdbv7MVFFkLWtJYMpBbOdsVCg0mi1Sal+7P5WqThUtSEE43b9dSoWwVxOdZULK1jsRVZf6fei oYc3b7lwl6CMr4IxbKLx69XKimXBHJmNElxZZyJPbReg2r8gZb/S4PsqaFqn8LsmYHTqMG5TT8Cx SmPYxq909Eji9hCiOVGvQ0IZDsA3cE4GULJcCsTzW+0OiTkc8ftC3DnBtZjEzW1hT5wyrrHxhaRW 6B7q8inmPF+kK4bvJVj71hZ9xLCa0hWE522SoK2ooMkKddvC8ugmLNiWuSxsCXFQkRcg3UHi5LhA jUHNZGC2hiSV+upn2/D1P3ILx5AHx3rA4t/Sa6jDjohDzbUan/E3si6jc/OglfC6r+kkV25NOQ+J DmnS5+mnkQ53kLdkh9Wvqq+QOPHjea8Kbi1cTCm7E+wLSxLeV6iJ74AK/OZrgLfdE3cDng9Netcu tA8sWRs040sa5T4kzDltfkkRYROw9PM0k2Qmz+wwvGksWc9hAaWWmcrrXGsuURvTvcv8eMonJa8h FxaOrG1TCK20Y894sLfDDGpbgzNU8Al3PP3RxFarDak1qk5xoWAqnroVATr1U1pfneSrNJcoPJUx 97zNRYLgV5Rhv75R1pmHGOPZbid3ZQsRjoOLPCaCsd7EH0m1HkqmAwrxRp+uG6Lu1gl7vmNr5Jlr A2xwoPHS7HnnlJHwKeLnD796RHEylApQKcCd7/NP+RB3aesRriTRK8C+AVcOfEOOdMq9NS9/8FDD fqek2af4FM2LfE6hNNt1JDTOEBKSeLPC20zb8HUeZBUwQaZoEo4vdM8hmoAL+EcM1IIQOr5b69h5 xKZPOUxK3yEKRogkkYoTAIHjWS3I3/nrkEJgsgs5AvngSbO1ppEBt3B3sdD+5FBYy6gLFvTGyWR5 zDRwwUHAlrJHAaFxwiK+mfts+y8eHenn/233IwdY4ZAApcyWAPLcrKgs1r9Xd0Av67kPXMHFcYeb HJ7fh4kdQVsTCn048sqCeO+KgawC6RFlgQHQSv9Zqav46eBei3GZL4rk9lSRAwTcXmV52hkYXXTd s7f8yNslKY0TQye2JU8tnFW1tgvZvvN5HjPQ19dIOhP/RmlgVT2z5Xxu5ZzBd3P/yqvvCr6WsbqU IfRj9Ap5dgEq6ku5CwROfd5JRr8evDISOfTKG4lmfLDrgD00O5KQgf9HhMb+QCEAQOh/X64kf6zx hlKgMkNJI8ZC84HYhfHnwZQ1Byna7cHs6Foz4qGQS7OYW/exVx8ckWKoHhb1Lp+q/EUEfqpgg/Of kkMIKarHJKaWf0Cf0RLwT5JCFRjZYp3Trpi7wZxE3nHRtQKsLFOWoZKGqc2sP5umlvLdTbMxJXqF rcMCpnO5dtcpVhO8q0wJPUC9Xf0nP+3RoU81KX8sb4m9EN0mG6ljYkyxbSy+zNu0+zx3/vs/aHlB NjvC+ukB4k7hvrsl/bm9+iF2flAnobSukCYIfc58n833Lu2FAx6TX8/9iIVV1dAzWkrhHuH/COgr Lw3fgT+VdV6TnhOzUSXGzecb3qfhKx3AUxtLvlLv64RkEFR4vDeoAb098A1+7jeeJoLapi7SDkuE yRc9ZDAzGlhkfT7Skf2OJkbjnZTDyFzLzJBZFxrYqOyiS2l9mNiECNkjvQ/OUjgfrhWThNhYAig3 PllV5OuCe2sMitx640MIs5Lt4g4PaG5/v0dw8r75rcPQuq6VcQDf9lJ/vaqeH03nwVfT2fnCLoA2 inyTsOTpvGo0jBOh8c/GZzBdb57va7gmR7GSuLVP6eddtTJ1u4HzDcWIusZLC/UrBmQGDNnEULDu VKYJPfjZxIBIjI6StXqIIeP99ThcM/+yx1H6Yr122UsZhONeIClu5cE0luomOuMUd8htGov6nRq2 AbJCmxdncyTG+H6/iKLdsUm1R4sad263XGAu+Oc9BXwMArgLVMMgiXprKIox6a53enzOQez2mBg7 M7GTfJvd04qhELUMWHpSfDsNsD8oqs54ekAKGJ21VqEQD+QqrjeFYjGwD7r6yFgS7KFJBOOkv8j8 uKY0v+v8GYbgNW5rTe6faw1pTpZ6pa0/h6pAe4WLw/Yb+bX+mCIbKmBJR3HUaZRuSFl9xQEBpDjh CnvWnglW7jXQnEzQrXNQ/UkFjvYf65MoXmsIo/Ik+lym+/EEcZcyy5Er1pYlOwHTYE96rjUcF25/ 37EGp84VeaAzJrlcVrDxq+0NX9A2RJDyA//fRhAMzAfyl6xf2u8/xVr9BxoT/F9M8nZwzDrYwTED KEPuS4jsXeCTE5UUrpb67ccs6BXakQPQsyT+Y4AHLt3sSeeEeNl4fRoKbNSQTsxpT1aEQaOEa8oc K++09nwIAKEaIrO2B4RQU7tnuwDCQx6TU55QvzRaU05pvcxbZB5ckPKwx6IUhTBzdsWoYeg/CWO0 +93mGVDaY5cU4E910JsyXFNKqLH2j1eVQbVQpZv/KG/f8f+nrD2pGXzSxIdaZaclx9EKOXhLr7m3 Qe1IO0392dPiyQh8zY8KT1UVxQXVtKzZXIOt5686AjpflWKdti08Lx0ui8lFexfFBTs+aCr94cUZ ScJoanOQnCuxGHq+dc+jPMCYkhKko4HZkbwDGmN9aqEEYLtYtPPynjIrr1RQ8HbaRfUXTvCki6Un 6/l4HhJTG6262MlTFt8A1Tn9naMuyFpgg5qFCn19Mt/ivVXuHYVa8c9LknRKBt7/Rh2PC7J50nZR 1xR1x1er9r73ZvWR5seEBTNGMLx+xN1DFUbjIbmrJMyUSwC3QkaHDs1koIeBgwifAYSkbKPF43KS y4U9a7WfRoljZ4t/ld/KQC+WL+LqS1vW+iVglt7HU0ScFXRlH0M5BhG9fyQgVpO6zM7IdGbE5AsQ Vcxm+nP8moWTAX1XYBgubfp8hV79mN4mJPXw3kPmW7wCDIruQY63kYJq9bPLOv+ILnCiNXD2+cgD t2gfEG3kmZ5Gi7GdgHQkmWwE/AF7fzVT9NXl2GxGOSFcxhDW7kz6F3ll1jNGPczYIxkBzBqnkpv7 5RCq9dO3Fzgh3FCGq9JpGMf6HQNlPC6uRmH5KjYuTiP85I07/Dkw8SzIsjxsPQ1EA+WvMjFl2SSH CIQv7B+ii+KDLm8ZE4ueUMpm9HRt9G2c2eVMD4crAOoReKUenbDWU/DLvVXZeHydRZ6+2qfURmee wG1t2q0eih+SHekNviBOTd5MQkJLCrWrAUnuto8I1A5svBof2dJNXNE/B/fPMZB/XdwTts1Xhsjc LYhX98iaslFZ/bvA9RZVPeUIhuAJ0DDVSTcxr2mDkBK6IctAxasUAZH5sLyYaOx2XXgkd+d76hXJ ktu+Bq1P2omRpQ2GE8zHTrrwU0cBaVQGlZsbKltgTwjmvSBLOE11ISzUWGri8+ylK2InpXHf+Cjc 9+uyZxFd9opjiP4HL2z0aEjBqVNsylwpGrkMwM3zZ6ohRXsS8twY/WG9aHcuH+zYV7yiPazOSQhL 7Vihn+2JMdOq9Yai6+q8I7frkKdfuOzzqAvAil9XtPt1UzdpBFGPN38z8ivfaSJ3bmk3jlNeDzVM 81yzxtMrbgqTY0KKzugdJqXGO7PdwbL6ARBdoHe8MFTMAqFWQAWoEQUyx7IhuMMwPED9To+GPWUq t7K4B3YKuAapCZAwrTT6Z5IvqEZUFqsjjRLIdRgYiXlZpYBQ8GThwNx6UzGc1LC3yMKpgJl5Nhz4 fd1fFwwM6/btXYCVBNqQ4SBKOnGaTMBxqlUSoK8fME638VLpk9uVC6errerbSA4m5QDbDpf3M1fG d2e2NZhxptqTEMCiP6Lfn2EMfyz+n0PdbkfU5ZEzJFy0kg/L/ddzYy7/GdMsMi/FcdJbQcx2ka2n pQmZ7iq0YpmmyoR3wOCT+GEgwLFvpOzSmP+XT79ktIbYBB77x4AvsjB3c3/lxFZjBIXkxlxlIJzr rR55dSPSw6rW5gx4WKWX2FDSKMkRk0vWzEjKYMkqz6kbxyq7U7xvPN5d1zESL33HWLCpSVqoeB7w H15Td7KAYRtji05M7eDfgKCWoHRXVdyCyHxszPpgL/vnw8wAQ2McjoNdHsyU6qlQW1vB0ah1+iB0 lm0El2IAPazq2pcLyNkVN8k+EmPjVVZcD7fjn+fh/soLpe/vJ1vPMf/DSJkxCG59h15tFNWFLm9j 94U8v90iFEZDAPBcq41mS95ebrRLuiuB046I+GLJWktYC+ofcdkix4GkPWIKNH8xCTCR6ohMujnr oE1TwMkHrI5L7XeRIYKFzBpq6BuBOd0ICQeI0aYGaI++CkkAhmmzIMZheQU5zlb8496gipHXaQRm L7pS6B/B91Khlw46uC7D8eQYgRpe6lcfq0clsLViIjd7jWLl5wO4+TOdZD0OUOojRwYF4R7w8d1j uCZq/IeEZvZOl9Sp6WLxC0g8fqdsty6QYvHD+YWmn69lfyoTanp5B9eC+R22+3imShgSwXwJihhl 7ZdD33LZQayQrKMI+/t389bh5e0eq7sYF9Hf9h9Znb0VI41J6wgyDpDbvIK2VGqJ4yFSkEB08trf 2rtlGJoCm8HRRM3fTHwQZTWGKvs1M7gFiLHwuUMVMWwI1B+3pkv00c7lL7or44AFr1JYGiIYVijS 8t7dEjcVWC8mWQ+f0g3Kw+b/7p88fVPVYZPBPRxAYGVncd8ONStxJ/NPVqlOvNgPl6BKvpcLRsmA OVrc4mJASAp0IOZwPYSXqsbc9D592MsRdVaq18fD1h5RgovMMhjHwawGveNgzXA5ThvogjEjlPKl pDDALKd9auWYHht7QJ1x1xdY9abA4Xa+6HLHjhRlx3D4Giy4OmIhsQtTNmkpHweV+xMgdKMjDpEF raeL7hf1bV9vRkzF5yWOcholL2YYJWa6zq99iJh7rB63ESbxOdT+MY4eIT8MGW0TCHU4gaBtpvWq kujhA0pNZhQRk5ZFPmIsKWJEeUak9vtOZrtvpU6M7+6FoDjqeqT2vqjcRQ1rt4wzJ3KAKrrQIe9E n17TT/yy/IqEddcIfX4cHjX60m5dONbNt1PnxbhyOE6sX8VgmYce7OIpSSgpOFmCERH4MyCWa4kv +qAythsTlUUa074obfy4GuJqN8lQzFO/MdnybLUGrsW8UbINtc7SCG7ikF8ntSSUBmb1Fs/9HUsF CrlHNuRaOcaiFTmuYK9qfR01+H0KPLEX9LWvQWON2kEAKiSdLLXUk7vb+W6b7axxM+Pg1nR6ZW9R 4Z4eS7FYy3tRLjZHJj4Q5FLBG4MG2maeoCVQN1k93NIYq610b83aiQbBkAVomNGHguixcn6lbnZ1 NIPD5Q/oNf8p5/qzRnYb/iiICgUt/bbdmiT4jmrRmp4o26bq87LkdKX83CM3Qhqp1xo/bc2/kEDE TVNRc6Z6BC1yEUlOLTP9aiSCgbqWsvaGbilPdUYEtFM6WyckuPV5RaOc6I3upDbUKu85SYDG9/+x TA22vJvQv7ql9GVeRuLuGRsRCRdvS1MX5l3wNUVP/MIs11HFu4D606p2flmHWg/arzjyhHaNRhJ1 pG6McjWQjYCuVKH8SWFr/VTftVeVXORLaAPynnNYpzq2AC7R8ctSMTGjqHCVGF9J+eIFPZrrbRVb //yS0iW0ZxBUqqZMG7DggHugtaCkkmZNIBgrlZ+gkHfAe61XiF+zzgRV36U7qQjLDNgSHoUaP1oH ptyxkLK5HF+gO2lLrncI4pqqF82arhGU1pfISKJx11EZdi7TuqZhirmlxDfhtjBhtVTYJo8pBMqo OAMLNPIMwCQHrXU47QCxGpu9ChZ1hljpbEEVo4blgu9DfT2C/yxTjb9FfK+2kiZFp3C2mDXz52pQ T9hnJle7ehMmCqT19WOXJKMbQ7g1DWCUKAOwVxfDwlGOuabEMZ0JPj7YMRbEqu25Io5rk3rsRpRe 8PMmVV0lTH+zi29EL9r5bS89H7aEhrXF4CdvA5QnYehZr5b1lxaYJPVGOWcz/PrwBNJ7sHcz+M6I JEHbICr4rXzPRFCQWbpGh0++kzx8ELcN4zjiYRpdzOPMo6xfgg4Q2ocFsxR6F5s2/jjyI0HZc888 AOEZGgNdlJURHRVC2QA1RXVm1875xKHEp2hYm8emJqGS3S71CJWAh8izb9HktWSbtZx8Mvxa7+Kl Labn3pLMvdJLub0LhgncEGkikJyN0S7SHfLAArme2AqzWlj+auIrFuuLm5+aMZjw2Ky1EegUzJj9 7cbL/gdFrwQKyc3LOvpMMNWiIqxl/oMNGDfOSH+fhhiPWalPm1nYYqUIyT+r5h74Lvc4O7EreN88 prcnmYhwkJmw9IidgT4R3JA5oeLE1DChqRpyOATeA+DZQErzhG/mtdItMKyA33vTanlOqhXVIFtB bCV20QczP9gKC55wp+ecyAeTyqSKm1BMMkBak/4UA4hYtf8jkdvMLAtwsZ4MJfgXNuHa3r79Ezrl 9Mx8fwuofhe0pZCdAbVo9EOAgJ3Ev84NWht0hzpQEaRC4znoF7BZstsPlPXROENAn05bYu0KvUu8 bkOZ1BJfHGo6TJ9OC1hQYkxrC9Q+qIdKnWX5IE2WeqWQKV5SDNffGZ1Mnr6OJFqaXyxk8tEQgI5n eI683VuAIUVDtUbw/CimDawdg+NczsJ/6miovgfKPTrVeuOXAxyc8+GAesEwgPhIMXgd2pJNj1n0 1rmRmDjjLIfi51OOG04/vowgDY0eqob32H9TShTRgmUXoQWSUS2oQgNi5pmcBrw5NHsOB9CkcxDC pAf+JqPeINDQWSj0qsfJx8DjpDj2mDMnwbl4C7IQ3VbxAKOvgwlEbt+OIMVnbmxBOmKLpUeCIx/R Mv/z5hBTqDwuyf//FqHO9y/mBu6Q3gtg9OOVzL8XqW8/rJsaVr0E/kaHukmohK/RNoia0KnTcq/F GL9AjkldOAGXHuzm3RlztOML6iBXvzjEeMZkK6rMZ2O6jvZ1n+Nh7HMlvku0nCSCL2HKIAHNJrv/ Wjv3KH38AEAgPEH9lTuC60yeie4/qUq08+e5P+4KjSOFn0SyWHmwY747dc0O06jKauHImq8vVOzi L+lC4HpMYyY6V6gOM9pI8nqzzzMpdeOWTExR9D9MGbOSyPHQNs7/yOtDlEvKjFCQk9M51ZVNTMuO rJ01KRTUvZv9j+FNrHGIN+JPIDqwPL376WJr5k2nCXhimY5VQCnQBuhLb8C7Ar6D05nyRgxyo5q3 /qqc5K6muTt0TjcJqVQ7cUAmlRh8ckv8Mas207tNuJfD9BOaYFZJ8NJMKQz6TRTbmNxrzDUTfb4k BBcU2yjA0aqHOhjh8YifQJm1aOrWmrxCSTq6tj5T7JC4Z3SLrugz1Cs1mCd7cecFI5vvpu9xzGjj 7FqL7VJgYygJpDLPCnzlSaiSTHYViV0AaZeEZLiGDyD9wswIWuMKHTRRR6/mLXMnohatFENH+fsG 2wsv6Z/gOZM21zwCN3gf2zg0N6u6spLioTOO0iDnR0bYi1bDN6JLQA6h8XZxbw9yQzLHtJd5Yd1X vOTvRXFP+G61iUJCunDajZBplVKFoBCKu1delCv/VghXV3qgXjinLA+1jK3VpYC/7Sno6sE0jhk4 ZZP+9Mtkn7UX9kZQp+7Htx9Ds7bimGJb4O9oheQ2ll66bEENuxeHInM5h3QF3I9MkFsIPuPdaqK2 KIH+5WydNMTkyy458GNN72guft+OrVWAU2l71Y0w38lYbnpo+vmOnKJzkC4ynrft0sh07tAmoGBT xZWJfo+N/gCQB6ex7YS8ahhDaELcw2v7ZAiGK4Ie5gc3YjxZCmy4s47N//xB47KPFSu+2R3icT4W 26Ivo7CYfyFNdPhZFrEVgBmwi4qbWraPldE7yTeZKAzLrpuljy5xPVEv9TDABa02uvvk2LrR4p0u Fs0ouj7AMRo+9hCLPbh9tfCRMZT8hz2ZKsL8acmaCpL2WuU7NcGXARnhQMLY5ERr4Lf0iG4E4mOY l72oC7algXtcPB4HHzITZs8hPZ9rxoN3Z37dTgrliuQvy/wim+MfXczdU1KwP8x6eN9rqbtdzW4d NC4i6EOUZctOv90kI4d4/15313gpluIO4ECMOOoGvGQk5WGXwpEW3T4X846kpG4ZwOxNMpGZp+bO bcHXt+SwmnTC0Rzwlm66PDTCgXxCxT1Tnwv5+BrJ5+4c3CbYqN+qNUHGnNijbSYN9MXwljx3Htkh o80lIhwL26qxqcoZy7ZAKYtXIr9YNF+y0BRXpe4BjxNtYoqygC4+ZsnDDY0WZjtV5EaOuCW+xPgm JSAYWbPPHP5yJSOtkgbH0qAKjjjFVDsrOuBmqyoZMSgcd2VWP19PTNwSLHoX2Z4duZMlTfL9dRK0 J8aa+Y/2frBEabbj9DNPgyYuBgvkHM0dlfWGzsIgs3PTM6JZqvGI5aD7SIPMCeVo+qH3Sx588Zo0 9tiimZmuiIFJl2ASIWMRsuL5BQOeSV1W+zSoaSlahbncBSImL13ZPR6ET5X508lN5AKhsprawCtU aSFgKK/GvpVxaqyKvIuTQWUTRnmh9q77/uxyaeVMDzdrLqQgdpq+3l79byppHvaoCM243Xdp6M0+ xHAgM3wS7FAyC2z+3EAEmCwatdYqFSorPdcLrwwYUNiY03aVkP7qDEtNPYehF8VjbiTgAiwmeCcf VmgczM4OFx7GMnIVvozPWzKfTThcDtslUJgBkusrxNVIQIAoYej/yue0/4GkJhjRk8o377XS79h0 vwz1DSIK3MyRECMxhkuWKoFFu+YOa4mijaMISMCgH6dD8Y6EIOH1hgK/8okQgAZCy+ZBOeHGz7Zx FqXlc+/3oZFNklgcuSyF2SIuMpYzL3g/jMgSnTDfYer0sKSXRa3wIK1lYumyY8QJaypGVYlWtMdw wL31FSlR4pHn3NPvL+oak3tkqc0rFvv47jXXDOGJO3m1pqa7BZIOS44Mi70LZXoD3Nm+7nYNoWyq 6dpk9+oS6zMWHhgwamfm1KcNyL9K4LOl5DleB+P4ZHBRBePRNvE5+B9ceEI4vaQw5wjw4fSgLABG IFw1M9yo0uGrFz1/dbJSWWYq+iXKoMJFIz2uSmKgmFADFpd290USBE1Nq+qUyBRzqZfHMFGD/Wdo +C4kHV4o2OR1LxEh5QOSO2CCZvdU1OlqMDbOTwgAqH/6VksGV/L+/Jw9rcT8cPkEQ9czkAVIUW7t lE8gSumB9pNTkAezl5NJkyuUe04d4qlCU7tjFVyFWwCFhU813fFQTouWGQIecx1TSX2VFl9KOUJS +W+GoC2Dt0Oca6lGt1tMTtNt0pS87CmSCLx6kXNHZ7bwv6doeGRAjtJf6ENYJJd1joVTajviIMp3 /eR0jTUnKggNW8yQtjiLD+/Cvv+EhTjeMx2BoU2pnndO7ZJbHqF4PyQNORCedz8Jr3nQpzjAqHUj AhukRNj13zfZvyJNYoQvCG+L6nDp7vT/iN398IPCPhjiRzhI/XY86yoj7OJfwXpY0FbKdl4BW4gA ecDCiMltj6NTFj1hjjwsGGRtvTMdWtvTFpLQyd6XreKN9Lj4o050XJMxuonJciRV1zie0fdpJzR2 CJw+rlFqdIh6qpdXblPA39sF8cK6Vwmy+u/yhnRqar77lfNB+HM+5MVvi1cDUyOZQAt1O/FTFcIB V9hq4j3Elqr0jZtFuZNqqwzIpz/qzTHY/lKMgb7Y4YaRhHYwkY7L+qd2wj2ZFnx4MFD3ezhGZwCM Ai3hsIQw6nkut6EwFdNMb5co8QzAg2VIe2VrgkQ/oHn5GSNsT0gTPOmh12qEfHxDm5zQMFGYKUcG c+hAFIQhcC1yuRI1ShWYZPxFLnN9FtiL+23l7gilcOvFTnggIWhLUbkXy+YMFHkxjtbsEgUgddmh haMLdbIujYfI6yWxyrx2GiSb+d2xwz9/C8aCyz3OorPRzg/3xbq19IKq0qitiBZGsKcyDktCLo0Z PY3hV74qvePfWLzjaxsmxzqqQ7OR8xLdM+CTx5Y/likeCtLF4vz9c4GIK0imJKnGUSt/FfGXckrV B1cyUUhwJiQ5Au8tY4BR9weCgbQxu29vk0+JIsjKHPHGcyw2Fb/Nr77N1EdgH/FrgmBM67fnrXK4 31ZqPiu/lK9cA5yUKhDEDP7zBczWI4ztMwLxlYGzOe1wPG7HWoEyGwqoyiqYE2eohmxu0/4Zc3P2 x/5AfyPLKEvlm7qiw8SWbZ//Cxo6MMG4ow0I9Sq/SFPuph+dPZdCA/px4wIyTJWqbudtcAOFbEfM kDS69tXErrmBpz+h2tZ83rgskAzgrhL4ibDosxt0sThwDTtsH60yGd7PXsJmu8NWoce+b8nDFW8r 02zpU2kqtua0UD7OobwtIYvhvuUeOpe1NGRKRksERGuGrblwe54aeIVUbj7KKteCvgpz4k0b/G+H tN6io3zg/a+d0DzXIB6EkSTtjU53owlWMMYuull68poiUtsi/s7Y3DiXgFmAj8TY4iwxUziMLQGA h1lpJjwoqh6Qoc5wRHc33hZsI+ID603OUBx/iSqfRp/hFrKGx8jMWQJn9P1Wilp74iQl/z1tLTuR jthMYFhBnlR/sfFap1JziBQ72clWaVyUHZpznXbXXsgP36tDY11aXr90t65T44Or26uUP9JhoE5B a8XyYvwNWhmUdfQQK9jbTmxKQBdWCzmoIoPTL6dkNumP7QW1n78HFpqpht+eRT19uSfYn5fqaLba plXwX6rjOcZ4YbaBvH1dyyD/KlgjgPi31KJ4V/6Fl2V+zX95gzuORBlRe26eCbHFxP2/ubnehZGB zHpkZD1t1aHKbVgru336RHdecpHAeGprjDFQRLhS7/zMiuU74qMvzFyc6PPaI/fyQtSqOfdqC+fh p7k2HbsrCMVN6Ba7xAC3/aoHqrjWm3Db4eH6RoDqTOplOYp+C+xXFHkSLUbwqar5HHy5eU6RSA7/ ndvNOWmtucjLYZtZhzf5/UDOvKlNAJAexh0ZJq3MYwGnP4yyj5h9eXHJm9a+zs5ZrCk2hAuV3u1e 7LXVFV2kADMYC20vuMUqASnKVsG9rUUY/WZlOztz8WM7wcsx/9tHF6AJmFDADVZh0nhXq2Opyp+q dZC1z/9ll70UxHTjWnKwU2clIJQ5VwAkh+n1idM2iYOpeoZLoxRUmDiR5786Aw6P4olo+gl7fJsx 6Tr95s9C2eUB+mGLTonS6axJK0dxBwiqbBGXzrtHSxxYG6ezQcR013KbdxRijqzTJPXRThWFEv+T sgj+1jJotPrkBBQ5MpDYvCvbME9vtimpw7AOguHUnNu3MuGSQvYJJwvrmaoinEmtDA8QoMa/OfCa oBxFM6PH6of531pbXPivrObhpjuH+x1zId8+NQg0ETUF7FEoP9EDMBlmtE4UNTufTnWU1PgdTIvM pS0mPWV7rybRHYcpRPheNl11fJynrWg9tV40Z7JsU4zgou4nfx+aCObBSfEZeWt2OgeLYiZ/SBBu Z+E2Bss/q86QnMs+fmvU1Q3tpK0vHKwhtqxSi0NnRj+7QAetfd/PbV79R9XeCj+PaMxEqy6Ij2MX jZW0oKrtJb3rgMc797tq+A+xN5tjjvMFIw4of4Hjyo27I+Ffil0zL+mkVmudVEFN1zdALv7qoX4c SWiOBLcP22OjHpKOE19F4Zd/tIDXMA08j0p5fVxLwUAcQRUZjlaZGGMm+rnB0grhBmbfoElX3NSj NNIiqza2E6sRVy3Yo34F8ICHvHb4dkhUxLgVNsuPrjPs0dihR43OK26umZ5LAxiCaOPboHj9mM88 ZBZWv/Qi2pY1W/babycrcZOJQcO0pf7kI5Z3U6abkmyQXMKrN9TOplbzfJlgCXa2wUf7SP9Ewd0B L+yrRfPDzYKR66stg1z283qiEh3UWQNVuA/TYjDRU5edu87/4/FJsPKggvNGChYpANH4FYS8CXBN zJ8EhhVx1XW+/rLdd4BJT1mxZzwZD3Y1aTOJvL852Ke6L5QL+rFG11STXkHTR1y/BK55TeJdqOkV Lw+7umXpTFscf4M/f3yNB6r6qr1eHbCTDeTB1fBhaSovDt6rPL4Z26SwA1j+XKmqCX2/IJc3UFR1 zfnUy6Vor5lENxjYfgXm0poW9epubWWJBgQYaTSaUUsmp6Eu5qWQ0Mjr7mrjzH4LKrFdY6yDeJ6H bRuode2JGq4tLcYEI0KiW4DgsA6KoxFfuyjka8Rf4M7Zpxykf9GPaR0TpYdeAsQgfthA/dnJVNlj at21oR9YFijTcmukbo1OcCHiwVmA8Lbu+SFrXxoMoZCPhN0mGEWrySwMw93piwCYmmk2xHJ7Y0Xc 9ID2/Eos1/zAXehQPd537OnqrkPjkWAitTtEhzwITD2JTjQonjKj8nTMSaBYJw6MWdOPEEs2gpGm ZQXxZXtcjah1kKN7WLXtg/rLJ/2XPSKtt5EbUEKpJoMMk7uFbjTnlxbCviik55QQOa5NYekeZcHy jpVyXOmyi8Ov8llzM1/h732+lR3ksT3rUa3xjgrzu+7yeGvSVMDsOgR98vJDYgHlJg9hDQ+LRuku ukJz2qzTQL2PsjNZZBhDiNEAsw8OboB+rh1MyvL6RlgtCUAJjbmRLx6K4XMIvyqo1zvmH+ZIByWr AYmFMWi33DYFLocStrX9aePL3tftQMI+O1lf8a/ADYNk5pm8FrMfTj/BuUWR76EuSbJviwRLkQEj hOJ4BWYS8Khv0CN0s48UwN+nkqJx9tg2G3X4d7fc75ttPu4gi9mHbXEjeRTeQfy3hY7EdaOwbuX/ oFH0I86LtWD6rpbXoQdfJOLyxH+vQilton85SKnGUyCt2nLUzfQH2N+Si71hr658r6XjTfrWzHfq 7vNSXTavo8qy8PJzQQyxBUB5cTW48Z2G55o3aRDtqjmGMdvi85xczAF6aQFF5j+W1t2eNbGJ2Bz6 HDmApp+e4DU+bQNZC4XJuhmQJxp+cZPzTcJt7GFash6d6Nf+24/UAInO7YlmgZTPwWfx5b8pUWX5 24tnD9pFP+1KinjYWGEA7bGErQ5RG8vr8jpxul2dp3WLMzaNwFTDdpXGdzymspETx8t/p7X+sgTU 73CihxzT8tpElAe2H1X3EbxluBJ6HjCop1Vsxw828ol/Kux9cAHIKSlYhY8FcckvoGvx1aJtYVir Zea0l1mCF1sXfufidvOOoFKFKIpqk1aJABafJCEHb/sdMDJDYjDYOT2BAlekP9zeQAosaKgn1syI FV0AkFo/PrO1gt+5JzUpwZNqHoBl/GDfOWoYYlEh4WbjDg5/L/tylvzGKVqXJiIyegvv19ziKGVR /vIUPFh7nna79SMd6NRDtVkaDqoYrgzolponiJnJ/BB2HjKd6HfebnEJF5x2W45F9cBuEdijrHZI 6MJ6T7IOSBoI5Y3nbSoatHWf57YtdbkbYG5cdJwHQQVP4JbrXqUs+zWOoZU2NQmf36IHTM/T4ME8 dpVALmWryBoRKqa5LaPpe5NQPVjveeqIxqlISU5AR3Knb7Dms7ez1S3kmHE2Xt5vHo78LGczERJz anne4luXoofciDSdKThmPuUPX2kzvISDQeUOro63nGsXo8SIVt3AHclF+qt9u6iaj4CdYW12e6QL r5BQnSTrd80WTE63aL8twyWc8Ns4kAl341+Nlpcd7kKbQL8P/3JD5M25f7nitFpya2R03bFEz+Ll rmk0s3IiwysEOE2kIXKRj/XtDa+aDeo5HeJ5VEwJLJf/gHtw/s4bRMhnahMz9BuiztA398bIGveR Ud2ZHa3dTIGSBuuarr8rHMptwkS3/bUVxsRx/Ko4rVSQfib2TCvj/bmJ2h8ConH/vePYYjke1Vq+ XXjUkM92GgWPgwpcw10gI0BYrCPxZ2loaKOtGoyoeoLBRDPhKxtfiFW4LhZ4esoBAEzqijtAIRRe ecjBh6HZXKokAON8GjaaiFh+KQ/dJPHMW7VOvPgnxFwakKBBoQt5A7oZg7d14T3DIYaLz26JKCVZ S53mIAK8C3SAs1oXQxLD2KU8w9IG0NemXpW4ULiPPj3bFcpkOQqI2UhKp4dsV98UU2OSSA5jHIld +BNEGrF1rtVBwpSxy6xWClP0SrAPOFehkJQb7/4Si5HPBOgPuDOFSPjB83ElGSbCu64QxqIpwrCE M/fnir+YrltUjNPSaFMUPAFruEeEljXppVVbS5MtL0QYPKmUJZxLPpA+/NCoBzplt8QfdLf7+b7j N0XK1gywCL+maFIZW6VVRTnmI/L1hrxDE9DGkNLGlJO845ZSdX/qC+zLsZXczlOWw1rZeNNU3sO8 qQusLarEsHedfkq6nVNUdvPVaKZ6ZVMHRKaogrEjZ3+VRx0CftT7emHsaXGB4L4HgkNpLDexDhJZ Hn7jOXMTHgeKiFkrxmI4GPX2n8UxGEZSwEsaJBxUi5w91KNI6EUmuO7ZEcl8HvF1cCeisqC/QoYj g3iJKZ9p2KV4zNKHV1ovY2gSoXfKcPKkMLlAskoq60k3E4bzM8Fd5Lu9D7pTPLodz8ZFueCB79+E VfeKtNkLlTTevIb9x5nFcRu6AGGfhwc+olk8Ev8Z1PttK+OcaWMme/Msn1SDTNjitDwLBeLxzly9 vF8wRsKOB+Az+XmWiWJ5gCrqWQ1M7sG16MvaWxjdd0VG4rG1OlgXWoj8QZ+ll0Szai93UhCnMgzl 5muKn/1/nTFhHm5B3eUPMxY4i4VJKn0qer30+/tXRYj7Vey8doA+XGNy3D7mYY2PCK6MbjjelWVA 4xQrWZvu4F8P7M+txFnR5gX+H3DcO7b3QWRYu8dQZ8aDCtmqYgtqICyQqOlVf28+l6k+xGvrU5VY g0yWF093nwHIiKUZy7Id+uRtelZGwgoG8KqnqgqHGvW2J3UtryktzWY66no8wfVAcknKjxHp70hE XmP8kSnvKoPlKVKm2aJk6F2KfA6WEbaRv502Z1jEY+PD0a+36noBW5rZ67ZZO3wt27H2gf6Ymxib Spi5eZTln2ucpxJVb5+gRD0XQm9jQDZlIy2ijd5v6ORcObhe4e3roTsBjQCnLbDfLQyVsp4S6wHv vsJGx0a6j6YCFN9ruH/6h3VQf/POfufzL/Z84l//XF6iTWv82bGya9gUFnBolNDf9+Wfok6NMHRY NxUvvFEUHVP/up9UvrF33HMaxJqaVkISEYvGPlXdWMRufYlnh02LKhDTz8zO7icS0YLlEH/qS076 sDbsuP8q9koIg/+4nbLtYuG5np6prhwEptxLzAreST2/uS+zVd3ago+DxxMTJmanC/br1bTlbJvb 271n3DQmc2mwkn4Zylyy9g4/vG/h/5isKBXOFkA1SDviALHrYa19rMt8l2i5FMHNCmWl4dkWjPoY LCUTWeaxEmpao2cGGUVw0Kp/HZeQAyziLZbFCu1KFh0Nuh5YwH+YBh/097XroFh5+SZdqa0kc9Gp 7tGOLHiSu8DmoIAj72x8I4PaHK/ucL8rXP2DnyYq99mM78se3x+Y0wzspTgL534OV5p3o5ppHYaM vuEVu09atUBXmed8AcqzUOnz3JvYSecwkJ+AVkVI1ZXM7cirWwaIYiN/rkoCALnwROSeEAFi1WVc whVNsZE8n2lMb2OYlDyuM70+CMIgiwSgFkqZJNCzNmXD6/B2nvE79BV5b36pPnUW8aTtEECRyqYW tqQ3CqIpI1EEwmXrVrA8071Do63PZyTwGUmtULrnvjsYdx2aVa//McoeGYqNJUZZB5hWf8li4Ngv yWsNs4h17V7lXM1UibqddtIJjUZDPKs0eVKwnz5D6Hus6H6fpruuibBZpZxmjCEXuyJMhvuB/IUs 3N/T3urKDeIFiUO9FrsEA5RfyedslV3NXKcR9Zj2D1+j3ANooaGCpAzQ/lRKnQzKVxusRd6XS/BM SLOlwV6dHTh9jOXj09W0N+UIJnYOSNPZwrE8ryIIqijwjYBgDYOjgUWfw56Wt9QmpNYoMpdRtr+G f7UUuRdAy0zrS3kQUnP2b2fHq8wyEiMrMRygqP90VMLDRHYKjNZgi/vUGkLfj3C/dCyIEdET/2RU EGkUqJ1EWJNaY0jpARPTh8X+hwX+QaAfaDUzrW5QG7oWd0Y2hLReaNwtj1TV3mEd+4jVOfysI3Sm FaUXWMTCUSJwTGeKWxQHu3p2pvhK6InQSwawBhjEFBA47lYjTbtkFhMFpP/HEyYJl6w3nxeTO5/y 0oVa8zF51qWhYN8q83TY0ixKkCrw9IXsTVljE8SXK7yWzNDNyXWW4RfrKB+jggcAcg3Bs5zqPsB7 0eKeB2T9iaSk1OCrQYUoA8ewDbGlGdtBy+nAdamN5kbtTOM9N1si/hCX6Ud5X45RlwleQo205Q5N Ww/amgJX/BVJ8HdktL/7WAtnR9h45hzAsb6su+t6Zncz/vgA3j+clBOSGPYdbn7fHOYUGj0Wb5ZK y9xRkkS44Ty4dZsUWrWdOGxl3azMOcxzTQ5bb/KsAopi3wiecbXWO5lkoxEwEKEE2yHnCZzZGbW/ pOZeYL+bx0Kwc+7RwaP5+9DmKpiS5s0cxOx4tPVJ+BOJzP8VsL7ZtO6hJvGQc7xhMqJaEXdRaKff u1TLAkkzUXx3ydwRBc1FYpbWthoL9aTjXVDJ0EAwJj6u79y+/kxZeQLNvNGN93E1KZfZojKCPDQk ITpxp+K9cw2MGhJJ6T8Zdst59PmZedzM9nTLZB8uHLvZgI6tZcN+IG8tleADv2mx8LHv1lpQwOS3 hsBKpFNvbHBaSYD7H9pgt0UJ6C9AnPq432YCp28wU+1YU8kPDs2pxfWG2o6VoB+awhFnjowgfmUy 1v1z+lZhOcV/Cl8Gi+wJzcNATFQJy+J0LX1i5tuJsFi3Re7hxTkrw5+IHFdVa6UZerpp2sndj6ek Ss4RgLZc0Ub8+UD1BCTuVY1NcFREQ1bN/yQnLpldpcIOWTkMjY86QXRfVsrrP1HFxnOVGk3h8H91 PcNZxQ7gQt8LV8f7T8GnYTL1urE3AM27PKfhMc7UhiswPynsteINbgeh1liyKQMFVlfgqWcWKi47 2zmMsqEHBEBlN34377jFU5jAplJ4Y4FkMewxv/5XcF2QQzRM4UR5kQWkA2KXPZx/TCRz2pwLgrqC ynK8ktBjFKu0MaW8dNGV1CH9up4AuvzN2zXGucS9wJUMQO3sxWLAfkkiVjtXDlyPZUo4CI/aqjMR d6LoHbhTUXSi7RZwrqHGcIVgQQXZ+7FZfFL9WEbYtRzaptfqPpNM+WNY0foxz42IsKQyJ6lNbniK uJBHMtw6mvb7U0A6bp1rEPDC9juQuBnQ1nBsPueCBXFTzppsmHXjXpewobnfLtw7wIhry/pOmICB bfWaagD/H1s6+lQ7D0TYqd29poMl03rHgRN0WAJh02VShoz9oTCpnLXse/QgXME+nim57dXnDSzD mLbMFeBb+tyM00h9Ghsdl7M791nq7AG0T4OUJmvxJ7EIxDOyMEmG51mDENrM02SpRXwkvS3QWRH6 PPxDcttuYVJDEBj1Kk7K/Oih+lhn5TojSqADk1+J78VhN21fjf3z0h1K2BnzMsAfmXg7lWd0ij4p G9d2q1KRk7mx6gMHM1c8kmsbrqmmn1xhA9vROCQx+4Hs+oohvdfdMK5beTL8hYvQconlJJOZOrq2 GJigA5YMvAhpDBd/FTjx9veCRB4DUOWaoBhJzcPYNknKpJTKtU0ZCKkL37D0ojAkrh7F6zooZJjT JeEHebUw2gLBkQ5R4tPBPXXHpro1D7nUBc4wudaymyIK/xgN4+aV4P7g8NLX+PIs7adZ9b3KTxYS xM8OkLcYYAYvjYVfBVH1er6s6GkQFhD4nC3jQl+S85E9cqszv/FzEbhWrMPfSjR+tBBpyPq4wPDN mkyU6kMk2JgD1rYKi91D25AuoYYtstCV8YJBVJJXNUtZ23BzsQxWiV02+ca+xyhZXMlG1+GzdJUj dTAFqiFfiP4JGxXoImFYT6TCXsLcHrcTInYlLTrY9SW9A4mwi3BrIwgDv0RxSwM38CD6c530Bn0f KSEqcqUgCrWMRP+3V7hP29hqxzg+xf6CEGtghAHgi83BOY5vl0nFpEq1vz7GDxlT7Pe8PPJ5Lyuw IiPteLJanwO+gMamweaCgQohdRLboGpYuafgJExitkF4qRoq2MZMSjryrImmXBbO/pOUaHm5Los0 8IziHvRXMXpNF9XxUMHdQbNsezozys9hCWt1YEk/Xkh8nT7qj5Ti47ZkGMTdEOOEjUUG/w4TT1pT rNWICuhNZN1NIQBDRyzo8YT+Jjyf+TSJjq2ff7IvXICUiXin5S8uBPQd+18jHYJmZ4gEbTkfk7RB kMDLsj6wll+R6BwkQav+FD95EXRTll4oh7f22c4mUb9Qe8WZEY/pyEdqdysY7XcKedlzecR7gQBP YlPMFeIYcDP3T0L7NFaaeuVt57Wnq/AlmCoyDF4KgAPNtnoXF0RME3wQ7Y5jXJdz+vV3tbJ9cPL9 4j9EjfzghS3rlPmISWXDN87nNDY1kxUDP+wWqwrM7KXpG6vMb3COCnR+sWV9QNFj1yHeMmon0rzI Cr7684aVjGu2cwXiXw/cZWQDp/lB6nZIVj2FworA0vhY7SVaAMX/+Mhxp7jcBjUcnHfvMqX6l3gn 9KIcNMY6w39uZhuP/qVWhbpOAqwfaCwnDenZiPNhEzCIknV1K70LPgzTwle8XRGrlavsmLnzWHXE dBgjTiW1BePqb0ubZqW6SbK4mwx5J0DjvYY3UyAnjNT28ul2X25qSRQlHFBjbmdRH6564fyVQewx Et9T0FAczBVi0MgJJusDCmrWI3KY5x5a42XpexhPcqueOMiq1pPkBN0CVUEluOBU5sohoEY+WhQO y+u1XELbqiYyO6FW9eAlkxpLmU8klGfYPul1IOW1Fg0EszTFQwarXwrxwedhEAjPP6buU8OzRBIB inl4AVCYvxqHPBmSVXpQZzyNYZRFG8ggZ7w9rgxWG8qqkRBz/DwfhvZqMSxnFfFW7IcN6BTMKCP5 zi3q6F8hK6qqwxY7N2hZZOXyQxrNakm5wOH8yrKJc9pLvL25rDty7wqVZSvZHCQ2DwT4BEdY5s0N 9lre8y+2v/gePVhfy/UkSqsI9iVB8elCwENDMeQ45iNeYnNCA7yObXThWFW1H3rz29Z9oQhX3OT6 GgmggOOpfmTql444snq/c76P1SRVYBfSbN2PE46yy+Lj69oI4Su5Dpl9tCsfIw5RKEsZFPAhb8Tn ynIhVM8KtrtsIJiT248KdqKxxM6c8y9R98UZaZfvaKdxxisZdIxySvmKRrX6xpWiPf6EWRT3EOdq Yo40lacOn2yKnjyNlfUHXmj+dj278W5tfqsLNssP5JNMTY3aEjD8DrpLQ96xwtXFf5yosTnvzb1c FYJwiuwhoVeS5zERdNRNl7G3zZVdo/5JLJBSwCN7p4DwYBTmZnXK6lFYehokPbFoYbNJ1su1PZNo wvMvV25FsPh7J6Tviq0ENBAh3iRx2tDBBh4b+/eaKG07v+z0EKxJvhYcBsFSRohJIb8p3r93iLJk naO9S1uDBUaelUJZeK1rGviD6mMtCnxj/Bc0WtxEtYQf5a3rdQml8rq4B0CYmvDYl2rT/NtaZ15g lhll7ZoxS5hchzDuYkEoFmZ4ZPWEcXgRJ/FL7oEva2WaoQ60PVyhZXZ0HlmT/Bn3lEUxmQlrrfEg 0drvLglT4UnAFOEXEZ0uTbdLmjrTfNhsrJA1reekJfxN5NAIKqM72YGh15tYCEq2oMrQ2T3tqMHt 8CU8douNYOgH6BQaOeOZ03wuP/p/xq5oi4xofWACw+JnSpJy25nUWjBI/HH5GI6F4hw/N8vsMNoH Gw6lmajR6jMPGslGhy50lbC5s6szCEdm+bW5x+S0pSr6pnZnoahqj9V3ELP+IM77cuY+H30NfkpH So3npOP0d0ClJxXxy2UfxRLeiy0UFxuKTiroDMqANh2kDL8VmlRQKl0D9YY6vKmAHD5/GQuQmMVV ykeWPLQBeiJlRtDgVuSwf2rVBSP1WjlizlIRTuJeU2vyYuBjX6As3OlaxBlqqhdVvOm3Ux0a3cl2 ku78ZSXJrkKPFp2wZwL454219RNnUgThWO2iIlsqMtH4nqeZq5WRnfabLTbQKWTTlosDhW42up7v x/9YseeWmVUnVDWHK3hTW9d5ybNgYQ9kO5povC/m8RaeHd75kaaJQxUqjYI0f1klkddQEwfjmEJI IclQkQDmePKa+oEHNpZWIbTqsUYou5fYgbuIOBpNfD7euy3ss1ZuqmrgJvXtRAbXHd0bNf6evl6j etWt5c7CPhvVtc2IFnj78qiYDoZbc5usFuHy0J81JG513B0R10RhQXtT4sDS2AliKzYUPkajMZeV Xe5VzmmUf9z4yj8XYQLxTqlsQIJRp74a1WEIq3oYMZiYYO8pr0QyyAGEb6+JZfx8vDjMYJGEHFmg snebrpkcc8xcBmvGLdy9vii5MbdjAFtiKFfnE/MzrIrIUQY4YaYdme7P+Jfuc7mhWgs37RrGYVNe ouHiew3IDdzhRqMBTy6lfKTVe/u7YzuTnTt0afR6VtS6wpvXktRWPX4057E5gnKBVuDf/ExHakWl 3dDwpd6VSjAqt078/kW0xC3816w/pqbsgVwgAIqgokW3DD5F/YaihgzZFx5cdToTb5Ek5J540OoZ P9KYsrcVSw2r8fMoIHDPuCpcpR/Sk11A1H8l/xqxJg7V1ZNQhBGsrE9fVVsueO9WBEEmhqxfw5lP Mx6JOEWr/+wCwPezCGMW818V92sOpWsXatRM0jU1IipiIJ4RmWdEewynwN8V1FKpssYhDJoW33vC V73x0CNnTYUFE3fM035jZcSP0olS95XXVOMe5w3WQs5DUF5g2SdBCHEUlbxvFk6B2eBpSZc4UNKC OITX4EZpm6p59YsAalYwGo+LW2JL8AlEBEux637g6lgjBDJeTd442YJgIpfBEVHWnXlGSH+0yT4Q dIsjbuY5rRdUDcw8W6tbkc3UjM0N5HBvDWUlhYazCrIAa4OZ9QJEI1MxRLObkPKiJO+kIl6xRGdi PTkIbnqG4qJ/WxLQXLhDh86KvdU3SAstuPiPlLHlhzF1BSCLcolD842MWKLcctG5APEIpytY7M5J r+Lic2B6um3P77pH5+w7WYUl3iRFbDmojplgA84BFzQNw+aTjWlFsN7F6Egz0PP4qU9DGo42904p kejHQNb4UqinIPKLiA2+bVojkNteUt8Y2kNeH9LYYj83MjyN0TIJsJFGW5YFAiCaz0RzptiqmL1z ux5vFyZIthPcgOt9nRIAOIgs40FYOBhIv3pb/Juobp5pqd8qS+KE+sLijE6NCYp9EiChZjGKCnrl U1pH6uVniwv7BA/vVDTmlKu4EYkIZ4HuAnG5PF095PUoxsqnm0mG6v/6r2N+t0JVo3cpwvgc/ebK KDN6ctDJEHNTXUucsdQ3jer6LzPWAHEBEOoV64zFxJBNjG0oFYtnhDTyDS3sjXCX78x2iP4PuO16 5UJsig8tVPdx0RrCeheKJEJLytdFNbe1EJZ8HV13QZuZJxx8FacbrZIsCv5VEPUBoIPEG+xTMyzU aAJGObdBkv8ye3tz1gPrU3XW1ehtt0xnP+4lJ2RBA/R37xsI3c/wvfFN1HRP6Yw5uH4vro4rr5Kb AGHXSyO404FcOwbw1VMsRxYXaORSPZbsL28BaynSVl++dMX9y2x68p/J5AGg4ZcX/IrSwWpsgCor K1wuPjl+bIuINoMFZln/CnsSnNVLYy1BGcTVHfvzNGB7qTHK7zn6wWVXDycE990+51cLMJPm5LgF VGPkzFMyQe0nS5W5yVhTSWYGQ4WI8//S9f3KYk6TEmP2E8FiYePDGEgK6EmtRvwLxihjeBZtJO+L 1SBK+Yq7i7nhus/Qx65hEALzww4GD/9KvsXw/+b6FrxJSL11K9cnDb37DnQq2QkoJZBwesCfFJ/l AXrLOXEwjUVPPrcTB7bCJ92m7LStI8OSbuivvyojeAw5wNclkt8G++tneSsVnoWap6zGbdXwCcSa LBqkq9+4CbUbwFBh3luJAD61ZSgosxBzHrZGTtGBuDRssycjnOJ5cL0ld5PELNQz/YpY0BC4YXYG piQtymfA6cX6a10OIv9sF7XWg17qWURW0tYf8bkvS6Lgk3CvkUo6fZjCmcdmReNyxFXef4voKV3S ZbzgDJA3qAdDEXsd0djwDsX4erAkvxdEZlkiD3i5Uzkper3IvfI5OO6X4CbcIrVfdYGDlqgiSq3y lrTv4kb/sxS1+DbfOMofTL/6K9d7IHriwZ0oDFNojpziz9lN7qX9QafaXr6tuJGEk53e60jhV8DM o734bwrjqF7h2s+q88LgRFFvHJJhINqvV2OM0TeYjqaaz+G18AKOWZVL0q+04HTc2SfRKPf7dvXz j9HY/Wo+tgoFMUXpiEpdoWa0xWz1Yfn8nK51DW2QzXaiUvgsZvqVdm8OGOGOSenmZYt652pgNXPB 36H7Rt4DcFOdOiaZwa9YlrF/sMOB3mjrbhQZjddlscq8w64bnVPlRJkPMK59lDaPpwVWVWUJPLRU B5gBhPQVbeGZ26Kv11G1+FU63hCDNcf+Ewe5zRpaDwoYF7Q3XQy18q5LakOrwgqEEw55pq1ZroKo /CfRfh7xHCdSAuEe7OBQ/+pBJwh+VjXHXwmTXT6CvcMqea2ww/Uu/KNhqVNptT8kE7Vud4s1UzEp +nBwvXCsN1sVx1g74lInHIpFzbOFr37frc4/04Pr0b0NgAPuqEx4raK3hveF7BM5+e/E+GbJR0Q9 KP36ch3ft8eepvO+zSgZ8cgbqkoLYIYDqBu0Pznl0kJgBeLoxZYr9rC9V3jqQFm0S9wlfen88alG s5dPecFfc9FCDw9Ie9jKtmYyDmWAVRnMypOfKq6jgqiGwrq55QbDfw7smbY3DnDTmtle1ZEqQeYz VCBA7EqUc0kLN4Fx6zujIQtGfebczWIt/LTustREzLW3VzESxTP8YzPT1Gf3kp6R6jA/RUcWV9yb m5QQd5L2WI+u6XwZfzWa4vCEUtEBNX0KKPR3BECZu/42752XstoKuep8pbcROE7qwBfQbyRQjusZ efmftBKN6lGsRkuZma1OFeLCEiw6BTNunBnDQJ2/Xz79cLVJQ4EfjfePbnfJ4Wa4oke3OJTcFqab xrhaU0Lv9zlgGrnu3qWqrlbsOICPp+IsNykeMcpK7X/il8S21iz6SLxxMXAHlbrHZCIn8mdv4aNV kotl3b4LNhotEdk08mRSsSlFdXdlAehARkbegUixNzfnz3R9iutFYsTV5xEc34ww2qNUNTw2PeY+ G0oRu10tqsNStBB5HBKd/D5MJUabB7hGGfddbv1549R5ASI/AFHTn+ZEW7K8rwm1ZbJe81eRBZv0 vqwwZLI4did8mh/cWegbRY3ctSFI09qpowgmFt0BEJt0mI5GCxn8ycHN9Zeg1itscg1WvrVSrN3M aydSe8s2845yfGskyFX4BDA9t9vrYxg9gLRUKjP2QyYMblH5SiMhmmmMQX6oS+3V5ATVDUs+d3aT 2XQEAZ/gyk4zRL9q8OzTGwYv4dZC/kY2NE8Ccx1gXcuvggkTt4ZGmOSi8aiD4Qd5JtZBzis2GMRO gJ+kRp+CDWsL5aXcVqg+MtHV+/UyEqjWj3L0IN95Nu509Fc/HXREut6CTMVMkhbWqACkyTNBPnPM CaCrXjwjROP8tjLGCTJndaOjgxwX30BDY6WPmI07WZo/njgkKFRwnfjkgoy7mkb3h6W4HMzeXSJE HpFtiu0my9uEpLp9A3irEtAJFkyZbW0gcnBov0sLZuCRSiCRbVsZMmGJjTLoacDYEDW7QQOPiyQc dTXNNHeY0tgjG0JhAq/s4aONshOQwXOBpplPx5bA2ARi2uCwzdnQ4rt9nnZk2zmm0G9qWfpwkFpV i596Iy6XPo+OUVMGbJYR7lhwUzCBxOiP1MwygP+k88rsTlaLSuCH1guGOybM6cDYl1Me6eAuYZvj 5c/r47w4HUBPKozB97YKSMGDA9hnGecZl0W5lPE6QpKYWZ5KEQf00/2C2TvmmvMn2tfkEQoiYZPH REVxNHF978YJSUjbEQCNMjlceDCBdIRRUAnWCVNY0ORudbLLm8952I1QxVJVOdM6/e0i9Q5rdAjZ P/3Wf0DY5OH8lCxhiYuVp+u8M/1QIkEeineZVK+74FbUlQkqq9TRncvquzJoE29qtpBtoK5HVSr/ TMUhSrLGR/5YBmAaTearBgoHCVp0By1ZuexNr2fiOHSEViynjlkk5IQIa6eAoqIncVgIQ0j/rTLC ozB4yIEl5HnW2QFTmh5L9sLTDY4JNIE2JWxMF9AvkEo4YSGWPFZbpxRaV3RrnwXY+nxEVULnmGc0 DM9H31b8l0GI3GX94Qb/5Pj2nBD0v6zYG2qSRPFLZ59kOp88PvZDADmaVsuMcOZK/DhDThqUTnNv DB3n6CdNYk5FGvXoapVXCqWoCpqZq8MjzID/FlPKdIH2+ieIMnxyNdS+v2cc85e4WwyPmVq+3m78 CFyaLa192QUUUx1EofAIpJKVXDoGiWECZw695otz6NDy8GZ+hfQ/O6B7SnfqJPvy5PetzYKHH86m JdYFlEPq1zjPE7IFrkLM/Ps4ZnMOQ13kVpHQ/L+7HO5eVzcHgqbcGyPAequFZlc4ZlNIMqtRqBTn 80wEuByec/uVo4imq/V500xoYJhnOcBBjc9R6elosLg/DOutOpQPXEhhv0ggs3YFJnP9bi6s+Aiw l84zYrhO8Qe6grcWXaPMZTT62M8JOGtKOs06BAPsGFuRFP5XN+YBLVQm8PJgFe/m29WXLxZyY4iQ fUS28d3BF6yFVhhXH2Dr7SpnlYkg8HA01bX50PrNkqTqMgstMEFDM9PWbdjSpJvKT24jEXTh7ivZ TG5RezL5cHTLVcud6PJUHLU030pvBO8Oy11E6XirB5/BenWgOTk7YBx3LF/1qR0/y7aN/EPqGKUo XAqk8OQII+jIg4HO5eCVlpZRT7Gqf+4N4PuBrTuXgeDrcJbRPt8roRA3Nm8Uoz4NLkn/hVvJTrPC 58Q+h1SnWdgk4nDp6bgzgN7eCXkc4hDqireLReH4bvjLTjS/gIxFoOHR9oA4QT4HQgRhbBmzlkGh 8gU24tiuK80LvGJTyb8D7UpFntAjSNpwPBdDaJzgkhLIqCahBlXp4nr6mjHxGcnR29eSdzVBZh6w bC8qEOrKcED4v1tbEczHtIgj/WxYDNXEECULIoTsrPGUdQLeefHey0JFoVMJbLTwasU8dXquukMh CxDZ7j3ibeVY7+mJhWCXwavobHTnhdzKNWJId+Z512P0FDw/WUDBjjbGzqnqMWKRk7g0tSgvRVtK lxpdUYn2Gyrc9KV6TI7rhfF1UH4WjjHobvW1aE0CcOXm9iTIMdTtMNfnOMmkJG+7gjO5N/CvwKta fY+HIrYQXAZ4rhDCfcwPDW6GXivUKnLo6fsKc94DZfd76id6qHHvHpk11/HIEoysyuxchzfIpYDA 6ElaQQeMvpSAJXMvvB1jQXm3ccfoPxjRUlftBh7G8LNyMHY6MZxQmCXjK8Zck9bxz5WaV2KHMNLu ptKtqE9rKZXmQfok3lZJwDDo9vMVDat50q+ZsauJadYIEfJtS6tV2zCwzDoOYlMY2mfP8zJ//SHO +5cPyT4t3nNtXwttWQrsbdMyFrvVkwib64omg7aR19Eqricg9HHh/Df2aIupkgQ3bSdvSFyufCXZ U9/Ez70ssypNPOLeV5quu4pUDLRo2GDtucS/f2XbTngS3pWtUJ2oyHPYtcrlJnCQSWegD1OzcinM D9OsMW3ZJVbjH9GA4vr31+yFFHbFKux4J3V6hFSiFc9W8ple3p5y9sAtRWac5gpkf/myVxcxPi1F 9OgH/8pkjbxXPdBZRCM8LZc8E9ZJBUV70AAlhmD1ted+/VbVNlk3k8VLS0Nw+hNcQBapV7XLYLhP 3TGiJt9nrwEtqLf2QtrS2k+kWTjoCW+UJKlOee6ahzNuCwQ66GAKLn+dDNMBoBvFrVb08OhXgXoK ZEtlptvnkw/P0nMaXzAZyq/LHGbTvQyDEc3klsSXLP9qjeK+s2V0ZeWrvKcctYZjzvyuTPh+vnI7 04kj5PJRxlemViK3gwzcqsoUK0cH6hPspGvfgVgC7EbZ9Qm61/vzLGMVfvmfXZYIqTdOAi92jaBV NFDkHEqyGVpgiM0s67k+yO5pPHOzJ1WJTiZ4U4OfIax1Kawx7SVEsQ/2L7A4uW13FJoGjUBkP4tZ Xz+XAZOFo0go7Ukg8Dcr6X6J5wgHJl/h9anUVvcel9cyDrlxaXMiGQN4FsQeuT/VUu2wiDvZ1/Nz ycKvdoT6db6TVxxFLN+bFKLiA/9jQ73MMimANsDCQwCuWxG1HgUuMr58ioVHoviQdPGX2LGzJVGW yAkbNknN8/73qV4y05NMAcK5tAxbOygFO141c5EEKuhoe2f/k5P18vOzYhHw9Qq2iuJOso/Z03ln qXo6Nt3y0cum5bEorIZAEgG2dcm37KwvINljiyTlBH4EGP68Pisk1Y3QBWjw5qQwvZA3CL3BoZBn nGXAsn2jj0TgPQLHbRymXyuNDjwex1U/m8xKFe0pd6CKYkVmevglY59bNRm01VOYE7kGx5PPfwcn HejR6lzeoH/X0EoQFR+YxhnRIHCLdbvls9jp6sTm/cFSR37jEGg9E663tnWROKihZ+1hQDYaeTfC jWKq4kefkM4o1L+AR/oVI0nQtbe/SHRslu7TzMs/7/goWgw0PK5wXf2nS7FK6Fe3NXhYIKwiFEeI VRq930llezWrXLsnZQfHnE2K+/r528USSp2U43yU76WvWyZvfn7jAS2636Ca/sH+NKDrd1DRmdXu eWQkvXoZD4ISijBd7dWb0w+fV4xrSqk4C5KLHIyWAIHFZLXJnxBp96JlvgdFfGUacz9vQcceXpuF KBB1LcOn5T8xvQcg0ngZe+v8puQKN6+O+uKXmdpK0IBKaP6gTA3MkQEzk0au/Y/1uyMFeAsOX1uu m3nQttB4rUduPEdBXxGT90AAW6zttXHvPXw/7BiyMq+dvMxKL0MNcIg8SsuHN9Dt/XdHWb3P28x3 tdKao22+dWbcpI5AKru5/MxdIaNJOv3xq1Qu+z1zcqjjUZSqZk87aOGry1Lq7sUflpRSXV0EUheN uKMTPm0be+JG8LrIrKWeEIZLbLj6ejDb0rwNlgIyOJTjJLIO8PqHg0CIyPMPGDbknSr2cv7EFEXV cZB3gxHcoCTNyUvKo32D78K+2h+l92UibfS+wGEXgpga68O3RFdMOrtWC/wVzbMw1p7PWDmYHpe1 htS0zEI29etUKyt1175zRyIjM/KugX7xYellhUeqbRo+y6FGTaJfbBrGjtwo1rcuR7wV1Z2J3EyO wDkASC+j2Lm0ch8Hr93/Uu8lMAMNeSlmEgXKK2vUo9+t/c3spIFeNj7S/Lo3wbSe/ONJMEs5o+ej 1iWVEo0mMqq8JVpG/SD7HVNXubmZ1CNSwXyEg+RmvVtSG7YMCyT2TGDj9dDjUSNv34xMh6tlWpJU 1lZN+sOqw8dtItzeFFYaV+6kvPJFfZjQdvL3ENu6eUP+gub97cdSvz2wEV/Nkid+FFn38exisaP8 EMLYtu5DDTK0qlhwR7l2rwjE3UOKkKFoGmpf8kayI2sUC+G9cLki2iaWisF/7T5cBhD/gmrFSmhk baJ2dYova6W0XxCn77wnLl3ux/zFEEXoH5rqWgA3GEISiT/Sp6HSH+sv9b+kr2j3bxUf1peqRBdH PfKzeHZoBuqjiibbd94KM45Sfe7c6b8iS52jfTJaP6ZFJ9HKKqlu8Xc0Rt+LsDGhozODXG2KPFCB r0mLVc4K20g3mliFCbJvkkeYVdxuQr8r2Tqu3L2lDXhiiSJg32PiXAGjkZxJzDICmUkW7vZZRqkK C8V/4NZDX7ZBD5lw3+2OfTwFYe5J2EWs13pVXBHKE4DzFv9ZOP+UsGX4g+SoyFyPhZM5EmOn+4lX nPw1eklWW6i975yBIWr4yu7AYBxK9EJVp/OmIK4RoPyJ7fSgIT1XzTr5L6UhIi/pnUqOZLQADi+n 94h4ZKV0QjHPnlm16KqDpEPa+7kXjjRVoagyknGOElDgMsgTqgbjlu1Ar5tE66U01VfGxXd70acQ Mw1YxeP1DIUkKisNF3vOzxK6HblDllSR+H/aI/54yjkuTWu4R0Ut6+KmbpArAw93eY6YTyaKXKfq GHolJrpMg+2UWbX6BWfzpEmDwvys1INPaZVSrRVL0KXpMKyT5xRPvISGtCajn1s5RLh89/RDecVV ukhN1CoTdl0wPOIbm+4etNsJmUBNepMuh1IqTI3NekjYWOkUqZVvZdEvimS/tROVEwUGcKrMjUrL PniZ7VfLvly1p4ESNLZhDi8rgBsp49U2olu2oqT/k1vozDeg082SReq9oQsUEpDdUch/quT4ssR0 IuQAKuIY0n7IFtFWaARkTg/yhYjxltzHp7P1PknefK4zSJLgLtPGuir51AQJR2s28D+5+7S56ENd 9y3cGf79sdFfrm65P0PmlkP8N3RUeXD53/bDYqOgMYqE79AGoMVDKEoRb9VNqTIBzGtyT+rnyk6B p4pzZWSmmMHyAi+eVKNeRwNSeiVMBGaqs3O3EwoToG3RxnbBCteA1BOEQXX9ypdyEf3knBBBQYrY ezEzYJ94u9+RjQR088muUEZ5Pdm6FHKMbgeKVtUdem/8a9OpQEYHT6JA9tu+kKzsgfasRidn5ZKj pqjDD6lLcb3+sJtWe8wMcfZvyK6Macrq00ASHOWoUABWmsOTSLonug+FRMMdB/dzry7/g6gQPtUC tPO2J6iB3JDjJ2fhqhtyQkvXqoBvZXzcs2z+8uuOjJLVbCUynH+7IkeVcRjmKnrBoFg7zsUGeNbP PcaDyltwFkfRTwzpnQRjkgbaWNkER+W9FgzOtzlNRyMYQc6inAUQSKc+qCrQSkZZi+5m6QuVTuKH pCl46dVCJzmoY47/fM0HBE5kWq4z1Dj8GQHYwmyDknL0XS5pTU4+JfaSjRUg7di7LeQ7fp7Nk7nn UfWRKbjur++UNHbjAKNPK0rSp6hgFYgCyES8FmAHK0CcCai2Mgjmfr8+Wha38552Lmvsmr2eqIjX u38J4KrpwNjB1guV7oGKLVO30VTYV6oLl58HPaxVbQnszy2/TY5CNIfmqCsq7QBcJVedE0d0x5ve mi7iKsoshyEcA1ysFH0v9eBlTGvn1kLuln0cBzYJQeulYe6vgvZT2vhvWczDV3xu+3dBxkZ7GE5w 1PiKUxTsDpwuMUDL5DUMsBq6Kb66Xufh8cz/sDn8nhUODzd5u2OxeBjBIk1JB+CwnEgw+XKcNA/D hNKUqPrG+FlBnHQK3yJGnaDaSPef78TvQl9e38W4n/yDNVEYfGRZBRvohoqOYiGQDu40Hq32K2BH NX/ph9MI+fTh+V78qcojJyBwbekUfPOLSorOwF+Z/Cf1nwFyZ993jycQPuIqr31wCd+TCypYtZay 9cwO4J1Ls660MBgaaYz+T9yGbqHR6bPkK1hxfi8tuOnr62GQWbuJLYd7KrP/iI54VKaVwQJXuvjy j+zfTbioVH3bnTzByyKVicNsQUZ1YRzWcr/BPZFMBn8I9xgo74BuPFjLZz0GVoRndxDEAaEA7qOz 4yAwOahag+2LvFWc0arYo0eyLEo1esxIJhFGkpUSYRtU++UNrSQ+gK0K3IQ/6l7//JHWiNxcgvAR OG4udUCWAwK2cBToWn926ZE0XokA2l06voXavqbvrGHAdCJgjqJ3opFEP/+s9ohVRt1CP+LK9arl emHrc4ioz8pQNLI/r4MZfQSs4Ki+ZdOsDaZ3XyddFAKfScp9lI/Wno0sAulzwbSqzmsMi/e7K0rG E0NwDteO5+SUqBq9FfsEHpc33+nkfpTCcy9vgBuDSgvme9q8xC+VxgG8g17yErhERz0VKrCM2m14 uw/B1MQcM/126/h9ZkN5XOtL5xSzY/Il3XcJO58gvwyntWr9irTK94vHtXP7xJHyMqNaDSc8+rIQ 3cMHFUXXBDgYkqXX00oQCzUFEE+WxI8YIGSTTwYnN+QaDWlxd40dsZfGSvXHieYnCZgXFmq5YBci tzYfnozW9Jc3AueqAgGJxJ8F/O1vyg1lvhKtxpCV/+/qNAPwJN3on05zgiNtztiJjyLORfYrlhD2 73XE2CFriQxmW2m5rA9rHiacuq1jscrKj21/qePTMOiq/8BAj33Epa8HantPm8cBEHyQ/9fEIBt1 TqYueTNS9Q0Qj4Vuy85y48H7RsAugg0Zhk/qJQOIJpdTCSL9KBsDUj7oHQ1/9QehUjNOOemgc5n2 NHkUPk6I9R+yCJ4mVygwU3BuqMc1t9LBxMVIDXtqNGRMZxguUp/+Ushtg9CzggabY1252T+tW9Pz 5Yl5gMmZOMCL8G5K+UtykbQvO00j4DWH5IOICNRltpsSsgalISp4I5d2yBNcLmC63hJtZ12m0ujo 4cqE23HrWdWSTw32xulA8IOhLZkoKN30uK0b9i/+wHVVyucEtK97aQS8T/cvzAcstTbz3Dh2p85J H4rPOPYbf/p8kC+ljiuB5cSe1qvxdzgmv+KG39JOah/DxvmMZ1lkVPTTqQglT6GPeQqXw5FBv5OW KVl55ZLXaOhlGCM2Xd2IR0/OXbj1m1rmSPhmzThF6sjRLRoHWscdIXXVq/hBl6FYbMZUXkheUa6b c/gJKiHlJp3UEcOPi9jACH15JFoXZimTTyzuk+4tR2xqSKm8Nuayd/imMzySFbL1Rz2Lq5w20lvP TLti/PIN7Kwb/2xJwclzEdjw+DChUV6YzXN1xP6pqoPyQ04SdbPmoGVEDZUbmI0TcWrQjS7V6gYJ ShrE2iCLMpfthrlBAV8wAtNFfJJJFqVAo9MoO6SEVl2s7rt8du3vOP+FF8OMvrBFQJmGbRkKQhMM rzsVOqCKyEd3QndO2LXTiH5TxYAzIvuUK4+ke7rO7N/+blM+ZhIzJ/g/0qOs7DiSMMEjo9tfKtHi G7zohKm+7SCoUS+sEMPRDdELSIOGL7PcdIOB7qxZ3nN2SE2A2XM5oeaq3Rp75y+wkWu8MKc5TIpW ujPyO8hfrESHxGQL9DcO2CiWsNtrSmWyprS3+oswn1KyIMbqwXydc9UHiRTbcjUpvIYVM5hYV7L+ uQm3RO/CJr2d5S8hLj+r20FISASVnjRphXHlJTy/2ZDfjDubaOl2gfmSiijN2MnldXoEmrIey70+ HV6Qn3GUs7vSNmyfM/PnEpPrpIWbGGpb4I2Tz1iie+uU4FAySRwRNcfpEkxLXFcANMgzZSxIOATU CnMv29+uZi688HYwhENBHGjf6LIyBP6WkExzLeCFyXsw+pnSrGPkWq5O3KvMlg2cb0b+7JH8F0/5 TT2nKSUkbrMwnGvTNaBbwdU4aZVksRL6uvJdrZV6j/kDggsyjEYkESSeQfelm6iPpwVHjeWqsy+W V1ILNYK7/iSZVUa1+KtqGMceP2oj+bELc7M/fo0bAUKL9L2Pj6U6Ww3NvFbpVRQRmD7HhYWfuxNh E1v/d5m0plTbaX6Pucc4Ad4f+k38rKlrGVfb/EtDcdX7omqbgh7bc5MXiwsTrGxdqKnHdvNJe6/o 0g9KHTshGAy/f3Kh0Lkl/TSZ9CODhU2Mw3qk+0il8oF7SaoqHS+r23YNHDqfKBl2/XOXa3vBLOmS JOzSp2m1xWkKYfP91kEr9BIXzBxSI8uvgk5J83b/rB4FcFd7UvFIv90MZ01XQSLJ8Zt6iuxOPXaK xIHaHFdzYiY8dEkgMF7vyJKWFMpgYgf92tiFrweWmyqCa0WIHupYzvPGtpL64mWVnGir+5Jaxg/h PySZigECyL2N36o93VIQjAOrVHVCc/+nN+qUAL/pGezK+pbgJA5KeGvlfrNClTKWDafb+AT7gLF6 RF1spMmMJg1Z6j5aJjyEW9fY05EXGWeBR32mE6oyH7Zg5Y+VrCfuJC38/KZYQJ+wazG992/77Iii lHDcUrTVUuG4lw0Xh38B/xdW1XtjEIbwRCSm9uGmnzr/qDHoonwepp7xD1MuNpIQ7v0dSgv0530L N3K0nFQDkEj45UNpvIZT7gU+2OOgku0pIjwcxlKQXVTYwiqMGuD20TrcVM6TRvSj5K5Z9vHa7M6N gFwUjA3FNYhQm0bCxgrI/IaSoClp0ukdKV1ja2GVpyk/8g+FoFBAe9U+ol/rV0JxMXlmHD4S+srt cahVabpjXZh6KcWirReav/XHo45lfrDDGUEvC87zd8+li9E4t9H6Uvsaof+JBbGE+UtstGU8p/PV jAYZKxXzoz80PBpiB6+rPXR//jwuHndO9AjSiO9Kti0um1dpblzitcA1bCQIF2yh3DocmXxHm8H5 tZ/BuTwGHJAaM1NjHDA+XFafRbL6XFwsoSyCsR+qyzpTccMPjtY+hB8kbYx76XJ8kyzKoxY92Oon igS1io5RwmyZvdT142tZJIk/ARYZsfc1WvNYyPyYuTmyfFQFFee5xtz9hdvp2zzUaMKVzICVzC5Y wNNY9oKGMLeNeEdHeyXvvfbcGZndg3+C+1GyqLd2jLJSrbiUfpXrm+wPicAgtO58jxGBvEWmDgY5 lE0CEr5nc7tE4DxhxTN9CUIzB+fOWBJWlOCty0bWMw4FX9V0SsgD6AsdOqr6bz9vhWKkcjcPQB9m BuwqWHtYdFZn+lq6RvM7ajFnFguMhdGKgfclfcoAObapBod+eH54N52pnMJqkLLGuKs+qMHOv7fU MYWH/UYxa/m8o1J65u1kKIdq9in4Wh28/o33QZrqk3jCxsAmjqR34OEeQdXhJJBHIEA4cvm+XPfb V//4SNaFnCaZ33/Wv0fscLEerLd64wtedQIO/1TcYsT7DqkrNV54vKr2ddlKRy9a0zlfvgIVasAt maZDdB0taRdUP7KpU50qaqKMadOhZII4wZZO1BgYmG4evvnjbkiNnvGU3Gh2y/xX2srQTibkrgKW soPhsBE9Q18gfNCwu2FYSktVMXximy9zqiuxlDrTHnAaCOJMUlohnqDdmQEocC218UdTycnvvPyf 745AOizYkpgChqYJZ1bX2IzLieqKA/aueJ79X44ij9W2/w4rvtL/+MfOpTOhqRPKbmLdigcvdNRK 5P+4YcYMtog33Y9kj7R+ZVBVo3UVy3iXCpOqmZEFOvcuO5q8jnaOe/hIih9/FBfmioDRCHQbLcWU vcAbZxgHeKZA4s0vlHIkUTE7BzPEvx4thfoIGcNo+DxuTR2PXA20w4Mx/b1EQLEJ2UwRij/9xx3C lscu/16gOHgQeSvyS9uz1Y6ixwnCdvM7ExX2JO2XPtUpXSDRKsZuxfOQWnwxWZiJEo6Fjb0Ngv4y nbEjHOyT1d3JJxGOjK3+h4KMX5zWsIAeUmC3RCNSNaNwpoqkSFaw6E4Hd1Bp488enqJ9sxPm91r8 Ukpq2Dtl8YkC0+6E/0Oanyyj993vnGovVyfFmmmpZRMbSMvbWc4ZOKhz+M8hz6H7+3NDykwk5puI jIgBqlT11CvJ1dtrUm+I7T5JjoTyzFng5P8PPl1jN5wEj/NTBnSM022O9jHjLRkATV4xdd8VRucG yM61oyMxO1fvadcvplai17IBiQ8OXzVamVXAzeF0n35jybg/1QHO+YTomX/YTjpaPqGqY7uRsho6 VKP8S/gxQK+9hvrNHa9qiBuWmbjl7sT4wmb0C8PpDtgIxH6FRiZbGTkN5jijUIxM2/0+7OsoUqRO dkp9ddKoLyAg0hC7llSfzKi49oIDVET4Ow3+5A3NWPW0YPVvPhhuYpY/q2l+V/5mQf2RhG7yDc+a 7nUhgIaxTQVSnerkrgYiVkTFQDvhmweNqCRvNQeOg/BipEM/c9iW0PznDY088xtBroTGlxJKpk0x iEogiHHKfZLNLgLtWHz5CAwDJT3C85GzlSVAPsO6VwB4SDUwGY8+HNRWIEuBPse1YBTOFGsUdGF+ mfFr/xpB/14tggDD7U1u0Yk88I+JmAccczvAu+FlfOx7hBaCGMbMDZSeTxQfejS8N47wpJb19bMy Ndbwy3kFVQaGH/I5t49WmeRX43zvMQR3B7mu3QM7mNSYM0kH/PrWmYH/QkZ2Tm7G+vBD+C8yqEW7 B0Uw/lrlB0+JEZUIA0r4LCy99zFuSckA4Xdd0O9KxknyxzY90ERpfShM/BcG++fiyZJJSLU17WEA 5VIoCsXIpC1GvWaAMaw8aUIl21MZnuzAaiySGmDLLCKYW3MLHQijmkMhQ5rJRO+wXCH+b7gNPaFM woBv8byOGglBiJyJDK01NriO6286U3SmCQtOrBi5GYnRnxA0fQNIucfxAbggLDSR2Hzwua66PWUK HY8OcG3+m+ys0yL3GhK5b26R+L+j9y4azWFRKZT1sNl3qjGkm832emo7jxKKnPYTl8xvDLZHLZPD KDNMsugX10sVn1n00W6Sbbz96xBGeGsukisqhzClQIwfs41zBSuMifvcy8bzkZfbq2EUl2Z9WgKc Z+oTt+Rv+uA1jBriEQ2K2gvg1YT30gBRRtXot6e/H1/OKTi44p/n1tPey3aq65JDpZLlwaLJu3QD 0KYFt813xr42eLLwOg0U1yDOU927kGIHGSaamWlPUBYFpVoxyL3gGEn9kPLl45ANyB5LIIZJOUhn xIUDVL12bS8LonIsIzjYAuo0/hc/AKm7HfDxe7nAcbdESL4wBjCAgkLP2RB1p1/n8mfSU1XdBX0x 5RttQNfwQIC+VQWLPyBNW/9jB7k0QLlmYEdn0/ziY72aInMSPlsyhkgb0ctniQyJdiMyHIcD9CS5 PFBCbWGMYVa/toqtSWEOEpIlxqwKgWpYfZOSPxgnjOctU4e1Aad90dytsOKRrP5Z/x3raeBC7sIV S1LqytqutO1P5IdzM+KLaa97aiNa1He85YT3Iov0tGIX36xYhDXubzdvV8HPjXIbiH4T3dGMIluS TqXmupBPwZFJKUQyMNn7DqZVbB4YHyFlFdoJrXz7EKCevMrGw2ViBkuJWHwuEbuJfLsWoR04cSBJ 9eLjXbsRgyoDrtwlDr83FXBHy9qq1AyCL7znAcc/k4fr+6GFTgTjMTZaZy2hEYyXYZoDkliAdGOU mTF8N8II+iuJ2jYp4RzbALhvtpOdQ88e4nkIGqd/x9A0JJuEHTPjHPC/aTuiLMPnOohLMrV6R20P OUaGITs3NGBvXxlLDeW0OvObJ1SQmDePJk5EuW0HoekslN6Vt9vaOPiy+kVCsYBd0Q9yqCIDmjm7 BDqJTTBc4gz6hPlTDVeBj68wZke6uDbeUPwrV2RNBRCkOYAczE00N2AijNTKqsLMEJdkdwqs7srY QhAbMu4VfAnq5pEhYgcBLEezFHFUwr0LSDMeFYktgotJLpEalvgMOQVgLmvN9b5D929I21sUzNfh wzdFqWsXln+Wuc87oJ0B3WP0AnoZTuOsjp/4F6nTyOHjiTrSWU4cPVkXIA3ufD96PWdYSP442LL7 j3DIWKU8IV0eTZi4OFfKWBnzlFKBtr82HulEdQDWipycjvL7OJ5VCG36nuXIlzCNCiq8YqLr6N0l OcFnZgDMPoWUSmlwyJ5jqahWpOBVRRu+V5yGIR6WrmdOIcSTQ/hjLnCMl2uwdzTM3yd+2yEJUcUQ N4d/AD+ybNpjRwbf8vUED2NJ+rSFoQideSiHgJDTBKO+HJHNB8cF8h9kwgAXveG7F8EtXVexXmGc 9qyZBvhL/5j+rea165gTM2eN0xd0CSMcc2xxuI3kpt+YNGOO7upP4H+9XPBtQMg6v4zlr8/3UvEg 18S6I0+HdSNXBcWLsjWpdDzSyDFyiVS/+QHAELVG7SBzw1ERLLWfbi/4AEM1UOzAkbjcu0ISaFhQ 2bcFjwBbhT2IqTtboVcbLO5J965mVyN4sa22OHktkKz5DDsBDIx0qd+7c93Ag3vzWg/Qbc9wD9uL 5OTcBSVqzTgMltqpfMOYMXslFMdj6pEfaoRatXNXBJTs19cjAGiakV9HHnhQIQrZRidtqkEzthqO Ttx6cn+5JszrP3+RI5j3kkJOolO0VukuJAjucPcOsub+HuEORs+fpXFAmnzK/JnnniWPk2xXALNj OaMQl4jZM3Jtd8fBLE5q7JzoKurJFXHXZsytCt2hqCFsPl/wDpenDWclQ9JHGCwdmMX83P8o+fPh 8zJlKHI5gvdKrCShXRzIPi5sS9of90Tr9/6RsQ7Q/wXHWTg4lt0q2+PzVDmi+RdxFcqmkHoc+ikI LQbMV7yISK+4nJIs7zo3AlEYZcYCbtPq78BMpM7kWc+os6AvOSmN75awsvufOWyae3+n9VmWY5ZC mGmQvYu/IAprYK1Jg6Y/IUm8WSpD73ASuvZk17aR/X4ex/3GifPwehb+HoMNhGKbEzrXoN2K/Acq eZ+c1PC3P+YAnRj1TVhDpksACJncEUYAMQSdNkTGubWkylF9/ne4nfw0Drv5gz333Iqpje2wk3+g zRugrl8jhYsrPMue5fGOHqDLF17IVtLQW33tCIh/nsmCjZUTU/zzPfqUAzkyF3zmaCRQ6rkWZsgQ zFw0Q/Xagd61IqhQK1sAKgK4XpxHYXhdzM9ElmBHZpeLqfZG9EXVSOXWD5nzOxS0/zBAFCdRefA+ OeIKG62WgrhUfQvIaTK7A0eIhjpaf5sl4lQgx6CuK9IyOOGmakazJZiT3k3CdJ4zemUa8pL+QWLD 2v0VXm16POYEtykjC1l8Kps+ei0rzPBtEQqsKoHaOmtULaqQCfEt4sFgGYM80vk8Al+NNpT+to72 JmCuW4rxMmTgpfe1duO5OFFmEf016tonth4gL3Bi4m6OrSy8SyfQC05X5x8e4CFQNwD+EMZhg+Ww 7kw6/CdMYaL3s8ieQ/8XL3wF1KAQR2hai8TcBCWJ5efC0/zWRY1U3bQlTstdl5cCdQvhiiZPqJjR VUydx1j1Z76maf4lz39GGb4zUwUi7XQo7X1tuCSQ3/JC0AiEJ1zJfVpfd+w6+KddkPGtSgt8mHnu uhjmrybLcBZzrJynUTm8BKiy7a5f6yxAADehVJKBqJsjavjPsB8I9uI/wueT7gaTyB4rpxPRFbHf S7QYdGsVVpYbCT0/lVb70xmeUQL0m9O/ZmfuDckp+DpcGnOYu3xSa9sukRQez0/3QUPewxVL/cWh K1gAS3d+q/QSoWeiVkdbZUqC3R903HadL80QNMOOwZ/UtBmtL9mICvGTGghYxQQxVXRWVd5IPKjg /WIByC8DGCH7iexFxvwkX/IlpqnJz+4Xa/TKLZYYQhr/qazdZxxgnaQXBAmi1mWqWdvjw7E3a1Qh ES45Ti2T7/nQ1aJ44vkjE/O33R2sJ9wQV6UW0gYuMvuN5dJdeOoCe0Zi+iTjVG6EKQeBezpvbmTa kuLVskqnm51F63SgyTUsuyYQDkxNnDeObtOXV8VgCVseMl5HmMI1sdPjOF5UkAm2ACF9SeUW/rIO pVIThKEAlvY9bdU/gvRmvCnEZhQ1Km3tLvD5k+qoeNI7bf40/7tiw59V5uiMkPadEf4bNo7kQDvv gobgdjhQryUviW43DeVCTzsjn1GteWT0LcJCjN+/wy/3fT+I7QEq1gCqhW5MvTipjB3mNk2GRMli nVUKqvEtGJdUs9VYZOLM6AmeOonNeHRjGqa9/AtdMx1DYhTYnaQmar2aG+JRpcDHZ8uz4Qp6/1Vc u99d1oRrGHmOza8afAneAEi5/VF19lQ13RXAKyLW3xeRXupzNhQL+SiiV/3xRxgH7U/FXeVIoLOi TpJ/OsMxAdTetGOwKUjqzo+SpQeE3RtcxTozn6YMhKSxefg3s8acfFTqHxA9UE6f1vWiLosG5EzR b44H4cby/EbsOsNDHqNCtuSI0tns4ttnHHnyEMfl4ai6+4lRu5DpqYxvlsdZFnZZtxxwa0cHbGub ZAkd7EXMgyMVZIsH7qatVn5K2fTfP17XrFsCeGVw1p4EUXFAo6YNSQ+TTVAwC31nFpJGDhwxvz5s FZGodiH1EU3mMEM23rk5GiX7i0Wy91k6SxnDohO7WjZNZ0j4ATG0Zf9lHtHDorhBdUTu10rEqqth XGO9VM7v05sME4kuymGQSDTYrP0Uq+HTJT+VjeBI9+iP6Wc5e9BbAgZ8xyodl7OGqOHkZ23Gxu9B nBu3zv3UCbrBFIn37oVBcQtq3bnmQhDCOrFwJb/K1mIkHTRSyUI1xpx7SFLMuieJkCtisV8nx5qh K7VYiCRQuj2WrVMTLcXC/itivkw50uTEK9hA71krRFvqCOgjXD3IMORjdcnusWd57n9ULwURjral CH4C5mugVEj/b4zsUQxfP6TTFmXuPR+AV94pPyEY7w1f+GAcgcBur16BSnjxgr0L8Qw9Nd4CVKUt jKldsL5hGniWjoTONqRq8H22K0ls1HeFLdYNSqFKcEWPsbvqz01R5rknDnBdZhKLm+AXF/SJTHmq Ka7QI2GXETlMLkl38wXLeaIyVTGQ9DDOIwvqNo822uMsRjjQ8/WG8Gxp5oBakWtHDECDA4Ysduug Ni00tFfX/owlN0Yyrd0GSSotkqwV8U5Hjt+LuUiqv2bZmWqlLrm4ci4ma0nHkL09joZlHzrfXCKc eT7qbfPsaDytbHt/FfIQbiDd5AIQ3KHLgOyLWqSH9b8UzxM/To7eUoxfBjUjNiALoPsd+/ZclBWu XaV74A3vCUju4PSmWm1Ai/iFgqN/446fLM/+ZXzgfrEaAeXzX9re7Z3J4g8BjW52hPn4w+8yzR5O OBl5npSjBuDDzk/V4n9bXc50mVE2OV9EEOe085SId8H5N6cgNUmoV438o6e/0XcZ4ffS/piG4ocL r65Z5fbx+i9DVJ/XihGiBGMMDSP7JsBY1rQ+yJwrMN76KAMuu4KuFMY/w4sLXHV52UoXxwREx0bF J9IODmM7Uh896uP4FpP42oupBbCHrwkJ9OsL3pnoE8wW1bUlI2bYFGeocdyuDpDPb1tyoVvqdNWl BX4zifZK9zNBIOUQTvn4ALs5cbHCC2nVX4QLFrtW7iJBoKnRtyLap4cgiJuxTMDBGVHBmX8+aEiN of+GsfjIAObuhtYEdVDvE1IdTb8Di5OgAhx7niLsz3MWGeURl+sA7OPjgBxGAWT2KlGfvBvwHLis vnGv4VlBmxaZaP+5iNZCvKPGsLbOMH3SwTjsr2yKHdmAVoDXUPtvBPrfdQeWACtAGzprOJdNk+Pz 5Qd0ppc5poyWECUTq1zS80PW1Gl2nLjE0+pUdt4l2Km16X9FuLlG2VdzHLjKo+FPBHqGBCzZ4UFr Sx1QMP+Xxp+hPesFc993sOQhf+sy2EndOpTvM2EIXhUDPlZfc2XaR6WCgwyMG0zgFM5cV3S931GJ me5Q9YNqYgDAfQ3Rs5plZkSDtHwWQ7j5Ij6SBAkBYm9AxVSdMoKVNyjdJAhgsY5FmMGDgssWubI7 SAcPLhOZLTaN6W855tDDkg/rMthaI3cLIwe088ug9oYf3LkFuAfBdFccq3tYqw1OX+xhwP3BcZND aE3vcj6gF5WedfkPSjtUDzer2FNu/e/ytltPHkbuCs1CIKVfoMLBKnHXqy6ViBTDDBxFWE7SU2Pr MLj9Hz3zdPJNZ6xRc47vX9AzaVr08k09qTcsy+q0MujnvjE4s8Hh7788eShaDO+pJ7dRPLwJLPFw 131vMROEjUybPCdkw+KWJmBaR3/AxliN5rwGDt1ZDVpDLPgBg4RYCtnK5m3q1eCUfc1OsvJQMEDm 60aIHIdh4nfgx5no6kYI1jU39R4HuAPP16ZRXqs9cMlFoxmoDVDi0AaEh6btzitM9vB9EY+hPKpR mKr/NHsvdMPxEBuSIZ88KWlY+Ng1pYPrh83K/Fa/kLiQosCLaymejCTxf5LT66MAIc7klpP/ND6A 4nDBjziDC/OKVu4c7s3/+vNHZpDIKMKUlRVnhrIujt1grFdChyeLq3L7jeYakbKoZETPeRFZBPJ3 fkmWI3RiHYp/rsJW8s05zGUPsfX06GtZXswOVGaMbSTNPdSmSZ1ZHA8fkNEdZ0DeI/U7GJBMS4Lj bMZNp8R0pfu29vWB9+EgGVq9u0HJ7Cfmm6a4DGiDxG32C485K5WxEdoAp4GcoYtQyaNo8tryByTq gqjxJrrHh4/uPFJLaOoyV7NIqg1XJvmkCAyZ+ineokG+ocUPEfGxtzOdJ8Vr4EAohXxLoVHfbe54 cw6B5iGP/9nDHws4yA6eEyCFzTHWqXvAc1L01g8SEsUsB7Gq5zcgz2vBcq58qwLDfNbfSSGeSM7e gUVPfk3YO/AYKwtmMLgBeD0b1xOTVwwVNqoJ313i5NWRJMc3B2YLGvGXdODdgbnKqbqiJQLWmW/C eEgKQEiDecntLnc9ubs2Vc6RxVJOM6O+nyEBZDa7zDqsRdjaA2H72kcZE6rl3qZDUjo9YgisXsM2 YT8SoCDTWfyZnjh1YDMfwi99LPHdYw5rIYy6Tyyw0ZIHtnLaKUB+49eUzZfZzyZF/l/7gfKCKi0a bAfhMlisK9+8QIjcwQcM2Z8NGIie6ojmR9sbC9rIt4R8JM/CUxvaLJw0A+dxg5a2srEG+TJfbtoC Q2EVxTjObH40a+Z2WsWcEm/dTY6GAiDThV1LXQ2SL7Y0YwRwhP0Ov2FY9sWauNonLYDRV1NUvaVI lfFbS8A5ygOfw9Aactbt5x/9xM0H7jYHvqmKIJ35Cl5sr5BGafLoKxXV9ht+auss7cwqJp+dt9CY jPEmkCtY1BSXDeW7mNQnJLLbUAT7LYivoxlVDNiacIZJTIc9Q7WzwIN8avUbQsm3nJJOzJOvRIpk 0z1/D+Pv71Nqm7nnQQq6+MA/ISRb+rfH+yhu85n0DdA4JSXxbRaxg8X91YMuGBNgpJFLrnne3wuD b2N2d4ELF85NetVO27OrTDi1js7bFnifbcuu1aVzgqbPltckrfIkKSrxToQ5fIIKbTOScijkNkZc UfQsgwUqLXF8EPFfMf8pIXzYaGH73+Mr8b4JMS0CYBIUHQXGHjXCtvGQQTKIplVL9vS9r2mXpSE7 K+fs3122ASCnragv8iMhVr1hMV3Gjmh7aRHdoUTWbk0qRHa72cUacLi1f7hkQCs6yqjmKg30wik6 13jB1PfUdx3MNe9Jo3Kw1Uo9Y/u0C2npR3KFHnzVremv8ROKAcTm1jHBF6ZkYLilzr539dhpH0zx +EYW/OOFzoO21TMB0U/JK/7PeRQUK8KGcN34K9lsUoSVp7g9BnoF0V2dwTqbWM8O84ELg+1Ft2bC jIXsCF6k7XKebtFnkR0KHCMxgVrXWxY4dLbmpcL80bjDYe0r8YdbtrOU86h3JFc1nTNpXIPFFPvV NaZ/rqtbGFD9gfG0v4EdnMNPztBp+M72rZWbi0BUq6mvbxMmpdxK3MGb7QFSFKvjrDoqvT4FDxkh xM9l/pC+3fs1HGWcI3Vd56oSkCXlyVLHPqwD76K7mVdwgjwQtaXsJo9+3zRX2x/q3blGqVXjX7hq 7gbMqiD0gslUs6ztq/aem7IfcIiHQRwg8nYIz9O1xj3+KoBe32pTG7mj2QWvXZkOzuNGx526m9Ka yjddsx0G3iWPKrsNqDAGpZyy4FVFvJNm8DXtRAQqTmwyCkbMe1qvI7OS4tQhrV8NUHMCK5t4cds5 0QpdsdCeDiJXRGo53gqyj4PhM4un+9bO9O3MYzZHqqZhtBu/iubCvekcMTY+iA+W4b8Fkwll4mqs Fwnrb6gQgjaHEP7zklW6v4YynxFpp9oaBwKVBxlZxgqu+g8w7HjxYKGuROXjQDMR4xIOWAQSArjE RBjY1xBs5wthK3x9/bacR9jiE6b0O4KQfNhLEMexlCKW6x1hOzqpG3DUdkxfHKac/GsjaiY9Z+kR CrRS7esg7J4jhqdy/t2mbKnCCarUo6HMgXfbPdMEzR12+AqEWVS0hv49N5EZ7zbbV0nGqpuQ/gWk 2T3+9vg1ouqQ95NkO3UOdrHA93BWih+M6/2oW+mnzIKrLP1+gjzP1YNJ32jpTTVx7GMIOylm33vm hLf3cXl+RkAyEergtDCMS4qElL4E63KSDAeOg9D7vXXvpgGK4l5bbxpECLDyT1MzFZfs+ETlcj4I fTFrH1sz8BQ2vjGhhmnEhtUZhQWvdY6IbBQ04IKF8XFNz+4YoazmgM9P4nXP4sBLPEBH8SDMko8z OxbT253UzStK5A0CCghhUoiiZfa8170zZb5pJaP8QYkTbGgC4bypeqmFH3/pKqM1zIaGakVzBgqM pBzsvj6ob2bBBfU9HFUqbAka/ZK+7Bco/6nbKeTAM9DZzVBimPicE2XS+3Ub81AnRKXCzAnd+Anf pm7aLG4+5fKH+Ph0r9DqimvNBDaOqhF9c/+OkTM8jlNRNHM53yUYoyJmlyOgOxy8pDVX1Bh6TZ34 EOKN1gNMZ88/W8UnuBHfR33VreVpM27/rDwP2I1F/vkg0grhhg70NiJWMjUrUDcHMex+CPVUt2Kp BvcviC3ydYH41MmK016SpgxO12vkGbsV5VnBRMZgekjk790xRBa0ne6qT9/AjXlg6oKPnmOPS6uO wa3PmYmuDl61FcaHXzTLHS4cAqFjnr5CsoUcGFjBwmWpy2z6CRG4mwyzR+RdiYv6GV5lwC1egHS8 pk7hEJ/tW4ipCksNBkot+J+pNu3cuO0wy7hNU8WbF8i/L4LPN2gWzWv6X4PqjgQgA5jm+uTDMm52 Cw4UIivk+Acx3V5ZqiG7AusZ+5wnYoN8QltETfiDCzNRsd57P6KnmPxYckZa/KLXiVyv6ABFWZqN PWex3PziA6986W6Ch3LyeGLSC9WWpE599Dr4yAqFFhS+5QhY4PEop/W79CGZ59+S+sbHfZ+id4RM 6twvbq1vpeuTSva0es6tmVS6osfzTmgxmzFPLZxO9ufPzyBP5nUe887JZNkonwCXUB0BilqOCrL0 GjnCtZTf4H8X82WQM0ro+eola4iBqF5uz4GmyysHWZCNEpd3tJyIyS6A7DGit1RdOQq/bclSsCf1 GP9inpRXJ9eN6aBq+wa6OAX3UcUrXlKSXnZMHh+qj8MvLSf84znQESmWBGXkSN3i/C3itd1fH6/3 bQgQMgf2tpMYAJDaJjWaH9terLgEckj7+4KJ0M9OAS+Ex4q23hUtXdhgz/nQ2wj9RQfVduvKho/1 KCwA/QcFgaiS/6Ze/li2yw+vHJI4JIxEHxoKFkfh3OgPrgwLZSuGXF7mAwcH5M6gbJ/MgJoY2MGJ YxWOkRmD9muN0jYjuNIH1xJTUNqHT4CkeuJUu94skRz2tUZZqB0MNAz3hLfxLwUWRWrvTSVV/b9q DL9fh/6Be5xiTe4TU8PBIc4HbiRQ4J+cewobJst0zRSNX7inruNWjHg2cOcuZX7wH7BRKd7Q5j0r HgnBHFoIAiqRgJCMD981eKz796JLLGI3Xcfs3hIUJnTvIsQWUgAZEg8obUSqLw6GKCFrh56bbdYc ybwsycR7a/SIQhzq/O2KClHvqlXkE+PpU+7LF3/V9tT+H4IdPl62wpKlNJKrXO0xClSbUWvvzhak tNNS0TZHNesu85Fa3ksTg9ATOJ4xSiryBdGBuKtv2At4NJDKszOpmvvON1nY39kagaodv8LroDoA vbG0ANgs1FXsUBhh5Qbx3Z8jwVAvGGs7ZvdxmDCUUM/s/KE9PUjBlobig4oDdZBMXtF4RU3udODP V1nXBVnO6kKruKefsSyiErJ9NzVSlqYmGoDKnADcjE5EqGnyy0IzFqsNdT6Y3UxmMbzz+mr5Xrv7 6m8RmrlbOYyQEZAIiSshr8Pla0f69XSm+he0XdlbIPmuPZ6SxUNjoyLaoEL6BW+nRESsbkX8o2yn cDWUWp0Sq/a6iW0v4BC0uGAALov4/7eov6FfyOjwBslOfAdAbVleSwgdwYslEnUA+7etRMQQX0PM +ziT8d+jRhpwDiy3RheT24oxNbxINto8TW7ehbL/9Bj/yJ5SMFP+kJ+wOrRwhSbintc5JXalqRMR o0hJEH5pw02ZUnZkL9jgeKomZe6AnPEDYEG/nv89By47Mv4CDkxZo/EYvesXvmFm3pRoemTR6Rt9 NVOXcoJhGoMifkO/4H0JPpvquipaP70wlzTQYxBDGYmrq2b5ocJ7nrIbGmc2rojx2rmlOoaJG2bm oDghUvBrRxW9MuBo06otR+KaVV7JdMb7L5Cah0mf5dKKOWWn2hBXCiy+D5pk/QyK+CPOyuoNE7Rm P+48Bn0gcxJKaP5hO0lkUJCwmlBO1rKpzz8OjcaVCXXfWa8zTOLfYKA5kt96OBmeV15DvpwuytJe a+roFU6P3+r18qmX/HkT2pYgTS7+NQECtBxoH5Tw+YWoh87ihryPxEWe+bDHAEdm1mGoa/ZRg3W3 00orvhDhp75KE25+MhGEPLa+wt7vmFepCYVTANYzz4MiaEu9b+NkHyv9M7ItAuItKA7K0mAubFMc t1ZuPuIDObOUaWYWMoxgYjxEd0zyoozlb+NA9HTq8dmEHW/I8jpDCIfzWJekq/yEjWzpT+GimNt/ Wl6bJQrw/M+xZwbqJTCS8dN9A6WCHzKkrZiANfs6DMASX2R2Ok2AnO8MkFDtvKblq8PGafVVUr0v uykz+W1zpGiaSiqiA4uNTr8eyGtzKufSZOSzxqMpwmIr8FPDuz1rXIHUhge0YqY7JsG9dvSZHiTL UCeHiIKayeiOSmgnZMCeuO0+1pDZz33Q0vojbBrPD4q+qHrzufiT6e58mupShNYrtTuV6SRpnRKZ ndCcXcYayBT8hlsHoGRsvyl7ve3dX8GHoWphBHTPWfLHElxCKczdzZKJkL9DzKgnss0G0yGldN97 JylsaSIYnE6MiwGSook0BgDd1B5dpmY+SAzqgyNM7rjTv7FGvOtkXXM1fOYlFE4Fv6pRGE1kM6pa T0uBavqWrxs+SXoIT7pGJ96tB6g+kHEpfq3boBPxC5yKrJxGQeqcXm7ZE/PJfkGfOemVKcunRNJo WZZtUwtqeSBCNb/w4U1RWyrb7pElG4Sl4t9fLg/M3D8QZNNVxz9SvDlNQuGKLF5JvAizdsS7CsHG VoUk9TTvkCMX3NpfkwhH+PhcNECAaveKhVtv9u6TVF+BzYQq0eHV1/MhtvpMtMMSenLWRdO8/E/e Krz/kgEjBCL0FEi88pT94bfWrvUueQrUbQt7mD1E45dEYvsAHp15zXxu0Jyh46YSbMXlFR3xjxwT XiOKIv3ZZ4Q/vJGdvMsNLN22AvUq7eiWFd+LuScVwCN8XWPqwuyVJ/n4dwTg7LQCzK4Touy0TPF2 D6SHMRE0gCS7n+n02jQumDmj/ez+p7BJBTWI93FtKizdCLw49JSv1Ni2X7hqMlRIKvpb5/rZ3UE0 oJc7NYmhAnOTJTA2SZ9WotkgQR8g3Hb/5Sa/Kp6REdUJL62gTuRKNYmOvM1ArUXNUbbNlHe9RTEj whvbiD3CbOtZPD8ijQQGKAL30pcrM6PbDFDA0C2MSiXAjQk2QxN5aUlW1llEthyQ0l0OI243GUVK D4+ymOfZz5uK4UcE4HcMWBkAbsVczK+g3ayuIkg6Je60Gx7TBRrCmH+U/sgt2nJ4EJKyZ3tNlFaR YmsoBpb5NKGEZVtvrXLneVidWMUg7frZd2jIx8Cvar7P379b3JeLPkizHTQga9zSNuUZzDhXvvHO 1BCJU/XMqYFXfy4oyNLgpaev3oXoUIBkOqAUDgVXzH/vJzij75M/IoxA/zl2lg/XKEkVnb9hdF/J 5UDoIk7ZzSf6dvrS+5tCtIXsZPUL1sJAungCGyMVOLoy4DNiVlwCuG0tqHgT999v7hmhycaHEPsc oNnWGrCieJsrw4upujofsB6m3oD/yGkuYsQFYeXWnko2EOcUT6nFkzjtHqZHX9yxFp0C3s8nfadK jCa+Q527PAFBZm75sdq3OdpHs6Rfz11r4agUfSo7jNfs9OXX+sW4+3M08NEIfpwa+dnq1pFZktXY m6Dt7dFTyxQIOiqC9onRsFScBCZQ5wEzHDiGwl5edTzma7FS+Ma+La+XCrgu9+vAPvBHxebbmWzR XlA8wWQzI/6jE7W1FdONWD3uyuEQoei0QY55GTkRrhHY1t5CurQaKtRh9U1hh4M79ed9/Eten89k R6jklB/e3wELA+fNMPnmTlWjVlkiUSXI8Ruqj9crLPBbIoe/uEZKGNaETpXAnK2AyYb8gBot1rDC W8QcpV1znLxx8SY4RDg6R32/Yr7AMEMEBrQPIbEx7OER4+oHD+Qrzfq8rEsZuXNVVOFNfv/O1zvE tR1TLfAc7hs4YwTdhOOgUBYXWV/SN/Q0NxBI6AILKXNloE8qo5jWWy2JrYv/pmlLR63b8BOfZHRz VG0oMiMBg39QSyxFmjNQbFYsQWQVZzX/QUxmVjxJaqW15+gbuOKLJJxdx54qHQSAwoxyrTlI8C+a HwYr7+lLd39JIfjdjVLtmfA6MIw0z812t1BmsVtJf9yYN3bdSnECZGtSZjqUyuHEOynRLHRxZmTy k1AHUKgrmVdvB1r/3fcbm80BiBYV84OeVQ0zucpjNKgsWoaKb028nZ8uKytdKHnDxGFBunXPCKwz lC5ommmwKxkr0cHPNdTpchz/uEIXwwGmDfU7sV1fygPqkoX6ke1eWS/VeRuIj927jhcHpuYkctRz 20cJhtlZoMe0QEP36nkTS/YFAmOSPjchlwTnX0Q1Gh3wCaXrrkDu6ApcJSHBBldV6HSa4ELqPZ3C XVKEY+M+hf3oEsX1AfrfyONM5wpHPY7mnJa/w9kRdlFK3oQ0qzwXA3fguGimsiG1hmbDIZcSVUzx Z0bOxhDjPYv6DijLZZ5X4MTa9u203OF0T0JWFMHsgtxKUXuiuZArVx/1I1x1qmHkdM+YXS8vmm7p uV/jfz69QSvAIcJ0wpXYvPidBGqBEhA3aUaZigNYPN18lzQw56AmFRJfLUp4M3Gv7idGAKjwAllw eSoxpCqhcd3skrTrU+Ve1h9FVZ3xg3+8fu0xPt+Y/7NHV2WQ1Fb/rjrb87dTMO229Z/71q1JtkTc qVxoA4Dodw88FDuWO81163jEivvxdPmZvKnM6rglIF+2SmYyuHnixKao/QKjINeVGzCFgqveqnmH pj9hCSG3+8IXXlbY35NQzhiXRQi4ZPHl6k3T15XpKamQRPrTW/0DuiyfA7JUrBva94j9etUTDjuS /C/P/kAVlWHju72npqTtQDu2bfXtI0xjJetsahvi9g5uPvwrJXaPWaEXseTcHLMJ+O1mybEI5qJz 9PGdsgbxe+sm0nyXowtPGIs9F80kW5GIlk+cPtpIx66FAUZomm5by1usfJBauDNgKBbdWtxOp3iI 4GrYwR6Ee7Y8ccxyMPHTV/maj0ok6g3TVL+f2HIMGbtclVDk6UzWyAmofyfdMqstPFA3g7jSjRHg e7NW8nxTNVaX524o4AQ2pDGteqzM8f/Q2TtnTXHVYLcj3nwWDWN+V36srhq/ztCJTx+Zd9nZFgs+ /Zc81jWsdIKXEerdltSEnkDYP/4QL8NeeuA9728aC/a2xkN7+jsz2nd/oVexvIeEtqtnEvbfn9I+ UB+eZpI1T3WBy5l70s6sSqDRuLndRU6Wp6sTCpWJwUbXkJkr5HgR7WtYiF3fr8uyhDNsTreL+tpp evBTh0MFW13yBDGn21kgpGVB84nV/HShiEPjKfdRSiKBDNco+0ZNdTO6rpZN6w41+GAN5qGjAqfk a3O7tqLeDRUcUKGGdTFn1g9gw/7AXc7H5keKgd1Zo63gXR5x5/LQ5D2/06Bq8tnfBTmeYJXoK6iH qRhrQCGwC8zfZHmjwResIJ0vtR+l5DdkcnFV+10v/JidDVuyw5LC3+eQZTxz7X412n91JOaGsitn pc3co1KT7gygwk+8/Zv3sGnfs4p2nOScu8Mt3sifARCQYK5Rd4NimvFiAvrSKhqF0vnocGTOqk1B c0lFKRimgrmnN9bLMNOi+1soYKY9Io8usi6OG+CTW26R3fTqL+piL43QzBcCHMK1xpwHA7re3JqO graQSqFNxxzhgpGtFGjsnEjfZ0zKoaFYdDrIyxBnwG44CNPb/1quZWOSMw1xH7fj9mIKLmNnb042 xYaqMtFGdM9bwoP6sUjLUEJjWIrOF61NDwBXNmFd7C3tEMagLNkDSA5xRiQPWZ3yBgxudDBpktip 1DcicDE+n+034uxf2uGdI/R+JKh5dHzz4jOqhvrwV87AwrenT4K3I/tZWAXwt1HCTmZUDnowX4Fg 3aYPgmhsy8IaCSl5V8jWXyF7iVBBD9UvmAWnF0KfszG4bVMAd6UN6pVRjAgcJOxZ8txGOcSjbpp0 jy3DpLtFScniYlRqTPulQxdNqaHvARz6AFfBl+VmCNFCQx5bIYYTqHkIB+irolaUyEG0jQ1Wh5ps RYDjTN41GC6dKi89jxPOzPUAwI4zsPEFIUVqLJzPwSyDBrXRJKKXw13Fls1eT7iiJXFd2r8fOjxH qSO9PJL3veeUAgeBibWexbbNr8MqouoPizVsVcRLEBi0qexSIOeWK7LDRiZ0kFU7aooazvGEqRPG LvEgUFYxUsBhR5eBIpYga5BvLgktrPlP4RHU+F6Td2R14EDrQ9HNJOaf3vfdRNaK17C9WIhYFFsd MGkPAVJwr/OhteFpTcsqyzV5pWRi0rwRFflSAPnkaK5Cn0P5Ou657mudU7DZmio5dFaQ4ZZRQb9Q mb+30nnodY3dxJFBPrvC8cMX/2F9v7SoAuLg2nFZOg2PHIdR5iBY4iqEtXZG6iAkbw70YQu8o3hs OeMPA+yhws1B0QqKt9ZrQ3bH4P5fe6cAaTpMCE5utNR6jzKqWxI+6mGtUr4hqnIPUmQlm6b89M7e VpqKRahK61l3UoYXZguSE3w0SI+EcEJipz3eg+mnRB9B/zuhYGvw556OnyxhchFxyv7jMnR08DjD QNEFc/5yoqzJ/zAp65VAX2jN07iKb4vgBjR9DCrmp8Hes8Ci0XAYqIrXd16kdU3e7Wn3UOEx/8rg l9s453mm4B5d39WU9vSRVauVNXeIQ6xmjk0zoG/QoLsvn3NH8JHC0W9hjyCqi540JUJNWrTETnxn ombf4PlLpv43qsRLBhzesrAf+T7Od8W5Xpn+dwq4+47NJVUp07tKE5Y9GQnnj6Qwx+pUgm+QXufP ysbSPd5w90I0/uN7o+UDjY1p80lebfvFp6U9PlQMAIr3+Uy8goL9q7FAr08MN1TCcikjjVMoZxZe tdvIz0DingiJ2cm4OAHxxSR7RtWHQP1XFp2Uivd8Jgfvt8fntq5ho8fMP11cYpQGFAutORWOVKkp yNVdO2s3VIFzE1bQ3qkGA5mGdRd7TMM1HP1Goj2E23pvC10zAx15LmBk4oCTj0gPT0+JgTvygXYp kopxPByBzNTWg9E8imbSGa1AsLMtMDGeQbAMhgoJEqDl6+Sdsk88nQrxgAq69f+7CrSLNx3WINA4 8yv2EXLzxI9Q8XrVUXFI3qM8ROyerK0jeE8UfldgNpZb/m2wEjQ6gd4VYiUDgGGufU1TZKt+l4Ql eU06MPUNBto144FZI7mv1qTcUGVQNHYVPA0XpOsMtcElDa+HhZO4qhDgPO6a63TuYOLLxY9tI/zo YxQ+BEKlWYLRo1nfPiauysj54vEi8NVekKZDwDJWA8KKQAlFxgDbtGWcJJH/jQ9XVINfRmboPax+ ZTttHYckYQGz2Iuheet/y5GFkZ1md8uEPn3DXSDfaT1Br3s+/d8FQdpYryfXUIHikqAWHNk+8vOw mfUu1Gilsn0PRQextHJFuAqQMuJ+n151ux82k+KaidC98jymp+XrXCPtz2LRFluF92RidscYCTBz sbg5OYDZEb6jGqBUxGEj3la/D5BYoQud+2DP8A/bHBmeUnnOQk9MdEQBUWSAoE3jYD+hSVdW21O5 dLCSxptn6Up/WGXu4Xxj3eHtHHkLZKCBdKy2/G89ciS3HGTTt31MLa+Dn2bJ8LGzj3xCKhKW1Bb0 gJNAEYnPQ1j8+9oYVEA541zfhvQ1ltPCXwhluQjBaBlwDteCA6NdDV6J3fUcSFCbLgFbtwr2sa2r r28wyYEiejzQNewVvtf1T1MsvNwNyJURdRU2YScjsHgXlmEmcWSxRz12sH3VSM4Np+vLj0zEKVgv tK/PcDDvDp1iM/irplRCu0zfDFG3Ky2CqJ4eaYu340KD57rjQGeyRzk75Wy2Xy5pzt3obfJEb+8j RStPLozU/Dn7/UEdE69YTmseYV32S3hn2uG1Cwt9B7uZ/TxP43QLJJjkPauJX8Y4xTDVenrsMeId 0hklli86YA5SVgzsEmwW31nJAQ6XS2FtvKBfzYDmHxTmnWVH0NrR0AJqQT6QSpWjHLRuYSsU0OBM U8z5834gtiw1UHoUUQfJ8wdaQLF7ToObTz5r5f60QrmEQKeVtqNMnMaLy1JAXOwv7cqKeWNK3vGT dIom65OPTiuzVjyxgDE6+wFEf8WyTkyoSYUXynRkTJvcryp/NY1TWfdw75985OlgE//uZRiVmTor nkJxEyhaMX6ohue9sIjrkzeu2+BjDGMMQVusd//ZRWzSKlH6ZQ0ipMvKulQshUYAMuxWQ9eLDn0O WWCiIc/C0jpktiEZf4Z/4+Y0sCm/yVuuJJmyYjf+/oOJNhxCz5TR/TC/1jgSw73Qv9aNvv7T131m d36eV9Dq4f4uhXaGUDHO1k2P8przx8dzq7wpePoRBu5WsEdE6Gb9L8w1VFps/tH0iHWYvpy18SYV KBYKYs9QC4NBk6vCWO+rC6hUJDKPd+smnPl/QB3NvJsadtu1brtyFyWa5odtAJ5KIUFS6BhZ20J8 xws1iL6fbOvLtKBvf005f4nkuVe0wL8slODfIOwRD+NDThjgvnwVPlOwl1S/BeaWpBLvmHgVAa72 EWhOSaLUW5sFvSBrIt3lfDo+sGY6xNM6tw/Ataxw+p5pztItbiq2QDd7EXdHcUb4HOkYidEo9Lpt eo3DESO1wRnUlVM6lG2ENIjX4IL0EcmpMz8tUuGoxqVXrHNaNqxnePTiTnuP7rJ+bXL9PxZfPD0V dMcENV2fRLH2TTcQsan3yfarAh9DLVsEhh0Zlt/yonCijFToaoloJzq3muxJgBx5q3DtFrEeTBVD zpFZ2igNvp9czlr8EvpgjMlrccBJw702D3VrJI976+XKgqrhyyvGeI5K9NYeCYTZV0NZoExF6/Da g3pKVveA/BRWYwehWUAe0YLDKlwv/ldPqsGdGbmuWou08ResrmTI9SvhgXISofb7hNYjeCZhklRZ /wxVXP+NoPRQJcRAMLaclDW1wMtW60BtQejL9lzfdpEH1DwK7Du1Lc4m8JgiY29bF1gU2eOOZ5Ib JKjqEhg/DTfo8LGzpb1gS/tPx58TopfuKcOt/TrpkM5Y1ArESQyqEDa0Laf7Q/gKknAS952hdLJN CDMB8xmw+08u9ozkLoQSnDsgmAzwyuf/S+CaqjT/D3Jzd2zBx+0yH9kSDuat8meZXkhf1MXs8l8+ UKvj+USwnq0Qc5zt4Q9Z7IH5gosnQs+aOGHYNiDcmntbVDd8IYS9a0D8VLHCz998+5jzJRtjlInP yJRMTp1p5P+hSzQdnxFBB23g4+DqhDzjsdPTu+Xtd0gp4dIzV9f5SQqrqeJT18hI+/ss+PMwCDHN iDZgRyfKuupJGBs0MuV+lwOAioHUVcdnaRL3z7CdGJQEOE/Gs9Vv1OvqzyolSJmuQBROFYk09mSs E89vhG6y3t6NDtrpoUFpB1+yEmFzg/Nfe7Wl4xIHF6rUi1HbXV/+HNL8+nT1qUZkWtSoCDTIrRJO ml/nRmjN62uT5LIVyempSZ+yYhOc2j70nzrUa4Cpb+Kf+2NBuU+RsRDeaXtu6dlzc8AA2eqddpKT TYq5lAPyHlA+WBXwWjbQSRot+3UjKETDCKF9RA0kkQxBPBtG2+iDiKVZ3emIIg1wntI7L1/UyLWA LzO26X/XrlZXXabbB2Pg6/DTDoDuLxiorNwT3xowXURFvyu6SavtnBqMBrYUxTc5tA5ryotHQYXf +q8XStlh5pvWvE0yA5g5S8rgkeCgdsPp77oJjSligzuR974BujmDd4kHFYMYGzi4DUTOYElNWMdj cB/fvoAyvhzzYL2ClEkMNPWOMUS7xUE6QMavotFz1vd4181AdJQpyY6xYH46FFqoa/6bS90Wmt+Y tgdIPd30m3Zbj1Zx8yn8UE7qSAM5/Mm5O2L3tBShAvrfOhLU3IaOT9LHRiTh9yZq8BGlmYFDC9Ys ix2YQsBdr1LjiPPienunS9Mk472TkI4vJOirkPP6FBuPg92OfQFBuI1W+j8tcooWi1BfSQkm97Lg adQO/T4O9M3KcYtzg9K+hQMcpU2qw0aWURMywtzOWgloLSqyjfjgZyCOOah3sLexkMATpdCDQa1s Cx5OQmYJ87N5Ia4wg/cXIiCA4tsllWLID1IvPccY9YZE90itIQVacwfONfCD5svOP25oVokIEDm9 xddpaj+8C5/HIooprFvCNw1SqqKwT7+QibFmfJD5JqRP7ZkCb08Uf5JxbDdysKSpIgYFQzWmhPJq /n7toKIwg58zrqMZmof6OKKEC5gBOKM8Dsr3cLacmtqNJyPJi/8fra3iDp96YS8MZLWZZKDBCKIq bNUtPf+GcDKjo98a+xNXr/ZiElNIwkVJQa+Dy6+Yt+9u7r5L1Z5vJozuaemJoqf0Sfa/1d5kDiSH lJTBTeW4Yoc4ihBHqgs/jnr6OHX03tQmVXjc5QMuOOiGa9k+Qo/jsvEapZA0cN03mYGkW4y+5yMI PtGLh4qOMkNXfbLXrKNSLJ7RErbHVebE8QNqNzbqdcBuJb2t9046n0q679s/GYVEZVy3GCTuh8B0 jEjsOYEE2uiULqS8IXtCLjL/PLVeto6qfVERJBjoC3iioontpOLPZY0EQQ1SsRi8kvL61IQx/DCd zMSp046eMmJSSHf0ZQ2gT7ez5x5e5trEs2cweMEX8AJ7qd77Khzp5tHdOZaKNFbpz3v1+qo98Goc fVJdWLn1swgXTJOYhdmV007gg8oZjKu7jgCdxAa8m5PFZZbjcJ348pcxsu/07Zw0UNm7zqusMk1N ibuAsNEOMjR77oLV9DWPgAi55WAo4jvnJ/VYHAGOMNYk/Ig8F1DhxN9mV0E7D2pCUhlseWdxhL42 CnQLdZI9eAQPH6X1oumOppnbEXf+XaObvI4MYqst7h9JkMyItQIRBwKgEx9IwBchFgcyxlioMMhe sKJxJ0CuDjeVIcUgd0JC1iNBX+GJvCWeNXHNmDWobDgvXKljOwWYdV6XUgf7kAvYFzOBpZl/I6/A LxqorvFP8G2wSR1NWMsheM6znDA5CBeV7NdZe+7WayMH4oHVzQ+XkIVk49ZAM8rkmYCMa0NOiNAx Dmo52fdwdq3QJ+7AQZlNwtGsATlMrQQsnatG+bvN6MZ5MUS5fGADCtO4eRsqF9gz+wpPCNAPVA03 JIAZubllt+OzEHof11KfALbb3UVYNJyJnAFcObwG5EiENWAbhfYVKlz3HWvBUi+iNgnVQybm2qsa TyxXas0w+i/g7iiK6HHvGkiC55pT0sQF4ExeE7UwwgoE1E3JkmkZgoYgJnvU5sYZ3LTQQ8TsowvO GieahreNGJCYAdDtRFks2TWr0/24eJrkjrogQIEkJD4U2lHW9Adlg6qkkiGjXmw/j4yrwVqAA8Nc epf6wQx8QcxR4CPTxHgfcRyEn79kcxDy1nMbn+MdKvXJ9hqmYGLTtLg8+NYekNyiURv0qTHKTJE/ etNGuccUl0lGh/xSB56wpByO3AaWgk/MOXOVAX4gV94kTDGvoJydBGYIGbRs7dEtqqLV51gsXkUC eRTAmEUnMAyRbTd0K85EX7ToRE153vNM4PmKisqb+zuD5vSH4qEJmTD/nPd+zsaGM2Wbt3Tffj77 bRifT3QUDEbD1P2Vev6jU8zgYPFh0Ql4TnhSd/YutncLS33/JjPARZQQEnWPAMvVeK8hUHSFnefy gomKZ0FDI2LFemOifJzGcaH4oYb0pUn+6Alutn/6B1qqUsUItQcp0Hy8s0jlsGf05Q2OLPM1CIdb jUtancRi7KH4clOcO8tNRcjqskl0A1iuwu8xo+XoRJzOqcq78OT2Pr+FtWyKOKB7wD7nYOGzSw7a G7YT5zTLufnKf8r980lR/KVNBKcq58mHTyfNrnq6CoNsayJMgk64jsW+vSwAYX+wWBiNvSJCJRjh FvsTmKChFQtczCjjTVp+Zf6vpFiDBXLgw8UEeAohb5fBJZKel4gZL/LQtOZBicOb0HH5E5nUabBs nLWxt6rY9PM6CbIPk9gpbwtlsZ+FHJ2FkJ95pjk1e/+3maOt8KjrH6OHXwFbgAx5zMQWoxGkKw4x izxNb4pnPm3JVZakoRfaseXHyg3/niDFLbxqGIUSHFe4Eg1C8wRmkVvFLrrkjXIBub2BAJqlIoZA JautG9pRfNxnnLP2Op/YkUz+UBDrXRB+5or52o5BaM4x8XlBXphtyLFhoSV25zyH7HJg0i+7i49l e8BPJWB8ETXNN+E3hnmQLui2tIAWLrn81d6VUMwjBdOXhRQX/CjmUddb4HNX/cE/8Pi5J9UXLTRU vAd4VEYCJxzKo2s6Y9ogFsJwGY32rNgn4pyJRsygDqb7YmAdjn1DBuv9mhC1Fi1nvd5iLIJKe6/Q 5M7N2W9QbK1Hu09L4yqKIG6d+Dn4jaN3/0GhtXhN78UehiJc/u4DZ6+pvFdyEKLep+a/SNskC7GI HJMtOMLg0OVBughrFoOJEaJwVn8TNcIZXP4p4HyBpbDlTr7rY7HFK634+MRILGMcUau4kO0nmnPG 09ds/Fnv3A5xpYld9oMzLOYd/iI5brRiM//CHodAa/tYiUBsUEw9qYbgGxUij7bFIb5+ivEydj8Q hAPQxd19keWN6KO6Wwx7EVO8S2GH249mGlkeYxfv/jaib6QzrlxF8oiVetQrQxsh/OiEsw+E+Sbv alQ0rRneRM1ZZSd4wsW1lH3SldLFTiTjQGHfHRM4PH6pb/RvRdfvRbidOPMXNAcC3/uH2tvF8WqJ USVukyg9VQrT3C0nV+uJFMPGxSIOrH+dq6PAyKq8sp9RCLbYQoXN7tGdhpAKH6CylLiUn09pd39Z TjgeZC0sCK0h/BoDQuu+ZvdNeVerj1kOuXsnq4e8SRLZ0XJMWUi3HetWOwLyowiWlZoUnIS53emp sP2U5PAjE5dnLAu/iSuvsUhw5tRU17R5BvJrciBCwnN4uzDS0e7UXt3DOf4lL5+v742KkS0JzkMF TuLm4O5cDUWsn+JfsHghmnYjoiMUzxYgJks+tgOmGSE8X+Iulr3kd49E5Zk6TZIGgABqjZCWmQqH M0Hq8iva7+zMbkhnB2pk7tXi0iJO9swQS/jANTb9RKF4rls4PS/QPu9Re8M7A/jnWI4oza2VrQjq Rbd9N59PgmQarnbzzG7yw4RSw70iQmVJyTlgN1xvEEGxUqNX6mWgsdp0TZOEIasiaN2K90+JROuG k7lqpTto0n9oSuJr+fYjVjHmE571GUnYyvRkTWFnURwd5pSPyLIwsP6CAnTclRRMmq7lLvhigKh9 qc8QKInGhJo4eIGKu5mQuUGttFu5cPIYQ+8KLFS3xLwNcU5DDMNKZx7+Zy7h7WfHMck/KSBklKc5 FN30PUy8x/FSbV/fJ1cPghngJbTWx+tWOc8ZqI8yTWu0uzSDuMvMtmJJEhgZhZ7GfBIg1EvmV249 d9ZKg+idc8Sc9KCPNIPZh6AvI+UWeycSRROgiMruSGedygpWV94XAjMDPzyTweI5Tr3JpB97xbWT VeYIDXA98rkhq49W5/XkepY6MJyUkbr6L+MM6CeYcaEZcCsJaVnAZzKXGg1zgv6BJKUDOIwAcDGI 6R93OyCsn4gH1whsqlGEX3ggR2g66w0fbF8/ApnMBwY+cgU4UKgnhWNXHHOViS3b1NHZqPODGNxJ S1ghO/Gdzm4+P/NmaqWrQ14n6TxF6M56lCpDpvJkYMniaHYDVfxzwrhTP9lX0HKFEYlcOn4+p/c2 G8+nA3fxIBAEdwydzsygeM5lU7fKdTxDpTPx1bn6jEgUO5j8oPggh1Ep9wFQXG54ON21yBJbnr6p FvF5kfmf2hoJULKKPjgKaDVsLcZTDBu2LwDarrgzA8y5jVu6304g11r4x4A6bcU3UHzhNQidwFNu iJ//ylDHoVj6Cnyzp/b6S8mtEhKucSkZtxk/JaQ1zVGWkvkT3hNzcICHVVF3LCt4qNgU0ZSpSmGG 0Pe6T4tJMYyTGnLLnTIKI0HZefbRvzvC/A5iKp97KEOF5G5T6DQ+zWlCqUh69WnHENhLOk57/C1o 4EXUUYgw2sJ7lKPkY/kpQb1w1n5zLjFqcV/me2dJw9AvjAJBlxsjml3aMKAcx15yRq3n/1PSxqFz GalHv7ceTYGf+D8W4dp9g41XXwDo8I8LRJh2MvYZQUfhYKNlLwhGYki0P0hWDYD4b+ai9/uijiLv 0AhZfQK2J23kCSAPUG7kxm4Gt9J+MeroxQkrKNPGFTTUUVWpWCho0vMjnWpRMIuvlwN7evuSr63k WEKe1VxOAEEpgGb7Yn0wDkUXBtc9QdXRB7vXy2Tq45GpVoCMVcoUNsj4I30MzWQFrcjaOS2KW1GU lyW+82la1DaW0krTuYl3aLtfaZh8ungMDyivSk+6BWpW75/8p0i3xIv5q04HWgEGXrGvLPCM5Yyu 4jDuziUDulC4mz2CMok5PQ2dvhWGGikHbqg3G/EqVfOuMRVCQSoD2CP06+lxFy9ugtJYZbuSdi5u NcrwhdxrNcWPeLKMfgiEUBPCemSjlyTlmrJg15+275kYydGC6xuz4h9PGht/VgMEyoCpGhJsg4RV pTg61kUe23FzDYy0+WnoUEPFAud3m+ohxOVAf/jpVQLUwBl93EaRPMaq4vW6Njs5sLu0a5ovxwAi fo7sRn/SLjUUHeMV0YVk9xAdWexXnWTuitNvr7xJE49EHa5TzwWnU3N5rktLJcAdDvjSoWj0DyLg nTURn8ZR1/smnWFmXsP3TbYd41XJ4ygasrySKABmrfDt6Pd0Pk8ip2CRTjEJ1XhTOb+JkRQXW/ro 4qO8iDzn2CMgKEbnpJ9k0Z7zk4vyvZ396JioYjEgfRcMQeuFViwe0uXN/f2aYM3CSJ+R4yxc/27g aFjJY2SzM7K5pUNcvvTAWuwKh6UkPElXyupDRS1LseclFoPGkZj78IJtAT2iI+u5GAeX39T3oDTd XAXuVD2GVBGDycu0IF5Wl+g3dwDsPicG7hIGQm4UPl5/+YV9HtStkH4HbrKfNpa/HLMd9bbmw6B5 KxsEsD2OEZJOxPgGd446uHyMX9jw3hipCYFatYbeVsclUEXABUVgtnrhv4p/IppSAE/6VRY6LFeu 583prTnkg38w3Y+HKonURNHv2dNG4l8cqiqh/W/SKFd7fn9NJf53r7j5uGzv+gYlgXkjhEM3asMu qSb6dKS3cRaEZH2G4SdV5d6SOX8gh1lcmzMN66l5swRuxKEEQBKesHR1NviRhj7BkCgzHQzuac+/ S+Cq9/odkbMyjeB+5D6F5mMBDf9hU+Jy1Eipplq1k+qXGkNEX/Nbnx4Qq8J/BTt6C6izQzfyknSZ RlUGvLtN3ybUVs+xCGHgz1MbZkx7WXMH/hDBe8B9KcaGa5Hw9BJxZYzCVLyCq6XinSxHs0eO42bY sDpiEdIbYKliK5tCHnKCqsz+gmMKU72VXwnp0CI7hL8W5P/zWYJrv3r2JELNsqtt7ZUQL9/OHyD+ 8Z+bhzk9PC1wooNFMCWecd8vgFfMadkGHyjtiM4FuudJWRcadjIktXTc3Td+w518VtC0neC+oTSL woc5lwGhtY/MX4/6v7U4/okQp4fPGumPSsJe2KkqK2LAEIej6ryq9okQIiO9xKhhsDugdeXe7O/N 8Ns8v1ldU6Ecx3TQQljk2gt/u8jyDkpTR3pKHzgBRqt6g8hhUnYQTe/ccdGrS2te01mj8s13ex42 flTbOV1VDyLAWG1+hDI7sylmUt0cNb+FhKPoc1PsCihoMWkBntm2gC9BCtqs5Ebfqdm2RwoI4A1m SzddL9KtefrVU/yr6fwEpTrddX12BpnZITd2zTaAfAagPghImMLfCAIsrWUtXAw857womiXcKmHI c/AzOzVzEgbG7CXnn78cUABN+ox733ZZtUfHKleF5LvjI9nFZHoYXd0hEW78W6/EAdoODOEPcdB5 B/qEGiY0dEA3uVZ7JvW8sjRIcA6Q71YQsh4zDb7m9TR76WlnP9nUD+8zb2PLeeCE3tbDM7YyurEo /smH5fl3trrYW2CG71S1nXxWMXq+LUqqg1hpn32njYNYBJH1gOlJJ2nkCCZ7OmALQcS2qJmj7mpE OhjiALg1aceadv+65tTjkucr6t0ldFRN8KU2FCPR5zNJeUt8OvjuZcBrPj3xqp4S6qWptVekBRbu 5PWqnho1ljlPIyCcPTfne6R7WnLyZQsZaYkGxrKIMBq/4R/ri22Huz8mPtzjqCvBn39olJAQMawC psdiHiXYF4MnOPG2gS3/ZD08eDNAscaX4ALbu0d6TwRYNSkelTZ0wIbdI6CJ7xWTWsxJET/l7KCF fyBHYXHhlZYh9kfHuLfiYYOEiNpBstmhx6sqDeYVSSwoaojp0wz08iNNtSu478yPrncO3hABwopr E1kw8MH6PtJ4Kl2tbqb+qsRuSoAn6uSm6HFWSTxA96sJarA72wcZBjV3AiZTwa4nhssEZygv8wsp gHl7AAp6FhBuC33ut3trJ1lY02aECvtw97gVXqZarONkwJ0zUfvGrALxhqWV5nXmUHmMdmKBpxPi C6FrtYIdX5KIRzBEh5XZ8RijDRbCzMQZqQsykrJivTGpwK+KkQ1iO9+6w9Vslt0Oo0kvoLZp1mO1 Y6wWxAP0b+rzz6yf3Kk8GFvVrIbUNSVRmY0ErYUz/RMA+RRlMihPVpGppaVTQXMIbSq0OMsPhPFp UmLyXHtLfV+aba6NdSBSjMNmFdPlejMH9sXpO912Fi7XVeMGdkAescA1dlk+Y2SA+xXUsDliZSOk IFstb1jrFAK37ANoyrs+xkVk2nfgHlmFAqmuVs+/c2bo6zqBWZsb4wHvc98wMHR1bGDUSz9jVWCz 4b0RtcRNy/vlU5sVIdv2toKU9FSTXX+d6KW9N494JvVv0AqnbX+MpynkOlvKV4qHq/hUCbnbY9I+ jQ0SBx8GjnsabClWbcFhnwBj0ajvj0qIWrQCywarFIiqvF0fLR3c3BxQQn9c06FWhavly/tjReQ1 rQumM62T7CkAAuOHaEwRDGp4AosWay9AeZ0qcbAt0h1FKQy/EWXcnwsag4MXOkW+agZK4ya7SS48 7rLocWMOdaLcmc5mEef55HdSQaTVkZLHD2vuWiwyKMhyoRJq9AbGcjkcinKl5kSXdM6pw8lUEPUQ 2U7ayDDjhKG5evmZRsQqMy2BJ0y5XoELQkVZuDhSSaXLlZ73nVCs5XwmtTmTPE062WgJm1GW/c1c mwCCk+2jzc3kgL3VVPCiYH0v0DKc9y1eXlQYIaJRKY2MqYjCsPBxT+0Eg1k/6Tn9f2DkEZjaobQn cveCxPmGOJkJOX2yx+cExKy08QkXBC1YI5s8fVG0bDQtuw8sPkVLicrnF7fJvoVE+7YEjuPGtEX1 SyMg7WAcs2liKHntm40OgIKmC0sfzVz2U6+kwJ88uvQvRJ7ZVd+Dw97z9ngWKe/l69IS8FOe0XXA LZCfMX4O+dBHZeCndSomVMNx13BsABcrT9KDnTq7T+TdS+YqIP2tqhqpz3Ba5OImE9bAZfdW0ZY0 6ByITgABmmh2MkdjJ5aLNh9AzpIYxkExCb7DR5I1KJ5/HRoJIS3zA2FRcUB55Mry/b4uhk6CYEPb YCI/vcKdUfQiHE7g8Y8b2W346d3IxmdoW0l044te3Vs1TpgUWx5WTkh1M20eM7r2u4iYiUASdhmC dbphb/kihIzs2hWdTGbXcH3ArX1fXpKiyBixGfneZ40SqP2zAv+luzmx8o5NXsxHhVmcQGqKvx44 07ojD3Yh3I9VPVgmahFcuOTd67YbSTC1MurRgH2ijRyya1VZ2ikdRA260DDNM+2QXQKFsRnyIEJo ve2GZyvL0aFGDUe4+Z/+7Qb5QEPg4O8oqnu/xX1GrhbNGVRm4ZRoffjVBhMblOPwmnCeZKGi9Kyc 7e8Eoe6+6qLhohhv5L3Q/ae4SQjK0EEGtdkROy8w2u4yTI8WHhbiQ683SgkqzDqW4RuaLYXTJW6D a9C3vqEiPYZdlK1AYVLE9ev9wfemdYVjlPq89mvjmrSQU2FfJZ9WNe+yZ03pCzN3jOb2hPZmx8I6 oRccbDhewRaIleHpJG5AQ9p3KrJEWpaygggEHwFbMZMPlVteIj8LSsVhRT0icBkkW9eTQIMvl+Un QJu8vtUxOmUfxLYuk5XspVb8v8cTie0yGP88m5z51lshA7HXFc73KzGI5q2P+7eE8HecM+hXTTGC k4SINj39NbyMzq3LNmJIZwlS2cxdBt+W3YdJ+NdtbGnkqU5/5w7QTWgj1HJi3KCTaIXT32dUMk6Y GfsUksaPAGy61G5FgBhSz8lwDt/o3xYms+OeuDRqxKkmWchMdkw1dRpgHi3j6dZJgo/Ei2IHwuQe w7kzIo+SW096wWOKRphlKUNdjYX2fmcbuq8KyI0x9i/DZYvVmbeRx0W4rHHiI48gs69gbGKi5iOw E+J1A8dBLQI7AAIVXQvga7QDSsPoFe4Pqi2RAcwscErmPcTyPG9kMXR2hPLCXfxOsnWakS5n3p4w vea9LJE8iFLmuHNlzenPTsplQr7zLkvascz9pOyNQqHxbpcHTZYE7WM5QNoOz+fBhOCRZvfu36KV M/nNFS9ljSpdHo0CY05sm9zzfFbDW3SvI3hW45MRo/jolkKOarVucYu6zzTcwnHKK5RssWR4xPsK NGG/kUtUwQJk8UGjIecnsoek+F0GTDvdwyMd76kFxvWE/7GYZblIXtMHI7+RkIGUMcur2ZxXiDSG 89thVi9zwHwFMcq5mNUP2OXbV7eqkKs5wJkKs4hTQCEs2JILAzn18t2hhZywfgbQKOo8Df59wqVD BAm8l6XzZod/P/VquT6O3ObocgslX1nfWGGHajgWI7ptdVgczd1f3PQs7f31nOLNs1TZup/A6H9N OaLMFizWFvtPzwDIg7cNNUN7drQeC6AZC36Egmc0G9eJVNl26Vb+CIOKUY3Pq7X68G8wANqs//jB J6MGXqS9Rjjlp8yMmO8ffcA8FoKSMX+aUDPpgCQ66Mzbdgda98w8PpcAXdadalsggUO0yuBCATuj o+TljiedCIxpOrNyHbzOnIdflCaaRDGEARSax3wICl2gk9fdS+hAtj+e/DbLfk5Cj9CPzgjJ9Lqd ofSUBRVyritsidMkfyihBvvP2fxoXdF/15w/XUrjRgwqjFpCj9aFAjI6nK7vzEapRv4sZ4muKj6E KK0yBH70UPprcYhuEKjbHyMlLyBFSEGt1ArpnMAgDnWM4PcQ8M/rIjv86MUdcKEf5Yf6VwKEgwNU UAJF2Ba8P7OEg0dHKlz7vA7Avou55a1mW+E8l/6XBO66jlhHOHakME3OKc9/54bmDYSjvExAZWyZ Fmm01Wp5SLYaE9ZzEaVy5d9/zffaMf6RRCqrMMGAzVNWAuoV1uC4knGircs6hPiGo84rGNtho56t 7KzgeMy/X5DP+I5c1sg64mTH/niaIx/h+1oOcvYayCvFSlU+iJce3XERuwLA+RIBf6Ii3+9lgwSJ mYbh/ziDHpHA33r32uf9FWYgM+kR0cmjeyij42dDqMVSOfVu+ee96+TmOeGVwaPiqHDag6hts4Rh WF8P+M4E10FvL3O1WILw0DPB9pA7OTIjFvKvXU9VhBzR7yKKKVahBRmlkpysldmfdbzagJEiXfaH 61X9g8j/ZiHgyDrutYl4swwVIH+Enl55N8XO3mPTrgri3X8r3/dZXT/KhmgF6ZapsiP68QSkR7xb rEqIPLf6hjFXvBVMjH0EPNctH6MVcYblBfeFR27wNLdm+HYlQpc5Crl+oIj9+HEziaeFAoS2dLrE 1sDmHrD2uSBVrUJ+2nLV/oMWUf9nu8uQNjaxY6eC9xbxdUIgp6BRjBR4rBeirlnAvm4vVgt7eL07 mmxIpEp7qCI716QMtX7gszRklLuy1Zyd08kcXBDJi9Aw4k83Uj6n2X8YItyPSkmonEWfVLknZlw8 Tjaz3NCRzyJQa2RhQxvzSrNL9ITPzwHq/9O726psX/Yhim1frkuJ6DjGy1J/0BiWiM5x3Wzsp1Ln oGf00z7cxAJkDNvxPkfFoFhWJolGAs15fv87CEQcsCoKtFBmrqULUBEf8XyDie5yjQQewbPnKRQP 0FgqUqtZQm0lvlBVA1Z/mSP/MfdouPqsbAnFX7RIrF/npOvtNem4FZ18XBx0EDU4N8PAoN4bSzoc cizkKnoRJ+6wPaAHsuvOvbVulR9YykL4wUKVK9+X9x8EKvYWE8u6NnO/YOvDBG7rKvT+4p7QqWii USMCkQcdQEX+WpAm1mNmWN8MIa9skI2pQ6viNbrQ4rXMVjARLr3FtZAiuwpqI/1+Erp6MaqVlY3K YP8awfYT7UJ+bqVxBoXdtfIpte3n2YDUnBhwjQr2+fYJdSRUS3+mbDxdJ26PSjg9fs8Ap0iJSNjh 44EWo5yq4rwJEIfqoqlqgIPEbPTDixLpVVdq8QdVDN4IOkx7v8OzbH/FpUb8lA1NSz4DQx9sDWHA xdJyD/7Rn5NoL8wKU7KQ9A5ahKyI142LmOCKOxYOMMiikyIb9iR5j4UsvuHhhEEfZH9XtIzLw07n HSeR5RZlkE+5eAI57TW2FcP3D4SUJuOYXCKSUuPZJJoXadXD1A8hS8Tmca9feql38ys3t1BCQ2Mc n63T+qlDP+DlHwikEulJLXyDeWhSNqKwypwuw6kqQU55ut0HDscRaNhGd/gc/VZy78Xv35uqC/Gt JNBNdwn/Fi7MbQA6pwUqSHfqVFwJsSaYogFbZdL893ZynMD4kzPH8WbN/VXRZ1o+UhCBHFeCXxzI VGTz6QJREp1KYX5x+0Ip+6l8nrhBGx4/JORz6c2KuCJJnn6sc4x79mhr0K4O4iR2+3URxjcpYoL2 Kw5iLb+c96FHHToKOGSXE6E0bmcsyUDL9y3/1dMeIeqLjtmN+zsCnx31hg//yndAXeY+5EdimyhR s1QwlceTdx2GP3b6IXZh2luiwhfr4E+u5OjRZvHb66OG7jKUcXi+RemZwolzBdwa4AjK/cnIQdtU 84TD9NVeeU+fQaZPtHJvDlSxGfYWBSkc+X02gmnaVL1q/vw2Vlb98ep5DtuKZAIdROKEqTNT9k0G cS9n6T+ES7tEqPJQS+ccdBvULJCPAyOeKH3gYK1i57bjB4z+SvevDS3bOHBw1ULufoEcSIYowqo+ IThOjWrfx7z1A2HXYNCdS+ME8EN/rpj8M3XdP00062YqJW4zzQEdoCfVv+UZ4gZKVRZ5V3fb8STd Njn4nspGz7jzTayApMHW9Ung1M4Q7EupYQHrxcV2QHP5Av9XhQtxTvudVV5VEUWRHvdXCDicgEWT M3KRZc6avv9mz6+91khw4T1RgatyLQ39N8xTkNwrlg6g4NWCobbqCS4fgp64sy/0kaPHpuQZo6Eo PIQrv6l5L8W5wVrnL5VGq0l9w0Pnyj7Sl61WMFS20CQWl7NZmonWPrV/cxbg/MUC2BXyV7hDPaaG iD/G9KIwWw5l0g+u3wsbeNUwde0+QoQuNwLPHmcNWaRIgbPFTbp1yiakF7WF721jY/3i14Wrkc7u utvUMqc5V0sjLckrp/R4EmI636Zq8mIoxHqXWsV5ES4yhqpeKxZ+/DQuEjbnJZPNd7c9D/EafrwR 4qGEXNEBBCN3XHoRnt7vjtzJBeT7t+e9qi23LWyQQpyce2z12OvTpFBP9lN+cb+U4waGmQpla5at Nq5LG8cVPW2kRmHTvSwu9plmVdUnRlnIJ4REUnUBYajBS4qZ7YTRGagCNyYJMb3GFql3xiLHsIna QnyZz5sNGMHo2fKt0wMa05mo2dQ1QOPvehevFe5OSMDj1GFEyVrXof8Yx4AMW/WgjTxIyx/2fVw+ 3HIyzNtC2MGRrhlnlICB8qH2Yx30hBDmUJ1VRVTOJ2KlTD4f8zPHjEAyZtZ/Aql9Rcu3yzl/gxHB gaJamLdib7iKn8upPZArRw2pAwCY3rada0qwQ83qClEzxuaEmSvz/mWnK5z6Te7AKr8lzNxeZXWc dbpNQ4XCeh/Jlxu+efMK947SXiZg89sawkXtocfuSUlsB9jIbcW/Q1I68Txh9DlXD8Loe1lEzFVs 0y+hO4eyiydMij7H6ryNhPCgcbCofuSxGP/Ovr4LhQPtsdCc/v2fsF6S+hV3+OVjY6RGjVMfM609 Dkf8jbWBD1HfKYMgcqkgRc4riNWG0QoN54Q11i0zJUmVyl2Eb8o6IjuO7TLQoEkyH9wWuU7CG1qE 6oumu+StRDyfcAJxHE3tbWjdkv1+V2+ETpP5Tgcmw+5FYXb/i1ijoAOHYUdGcUqaY726iVliLDFR TxoyR5krn6xynqzKA+nmhuun10E7uE7sjPDKRo1KwsM+sZSJ4zO5GL67V3SQg5adsbTH3Myc+LEh CfS+9YLg/iPesi6ybrvTjxKd3yi/9AisZHYfEK10MnIJlcja74PQ8jzCuIij/lUV1PNkPMjuiCfu wZDvQyJDHStULVsN8eLJudmZa11gNQ9zQzL/b+joibxRVwPx4ehH15iGIZpa81lmfrnK6fNF314n hOgfixgoXjmSNmYl2Q3+0MlZ8WQFeH8swcfpBnKVXUs1lepsGwozh+jyanbhC8ih4mBJ5r7bJlRK X3IVHcuTJ7/uvW78rvHIisTgtDTCcur5dBS21COQ5d+XBpE37EEawcav8hrDKVV/JlS0+I+UIxVZ 60I94l9LzyM3W27g27eOJi/AKvJrZn0k6fnVw+1+EV2ceRJE0yJWx0K6Mp8NGmXF/OUg1mGcqYci WNqKAACKloJPw3u19stIFn2jYur6Zk6KQA9DdXBAARMP/KFYbjDaNgjnJv6rRlbtoFCXucj8utBj 54qdDhr09150b+nk1tnGSOlMqRhi5/NtIQefYQ/3yyQx6LScZsXdhOsllFyr4OE9QFfJglkAJD7d 0rsLGIMGhNuVDj6/nE5Tcl1/FWH4FGXx9ZpyOPC77EgbU9HaNk/7+ENSiT/q2G1pcDB9K7mT1aSW 9+XKgoUi0vMe4CiHLxUuzXUT9iLcIIyTsrMcw6gyzc5rqnPZVNVZVtEIwPCnhLwUoZX73DZOaOba tcx0+4in6Liujypek/xYiMQbS8uwzH5WPl2I0AlSHgy1ntJi9hbn0Mf4LihaFveXjL9TGv4/35Zv C9BgRxmR+fLObQPtQAKD/9JE6P+8jbEMIFrfFQ/uccIXSrsSZ3aAfkiq9mq0n3uLZPaada3dYmM9 Xsx7nVgVF8X7PfxErZv08AD+2sr5jcceUeyHW1qY6/AtErfzpfdjKpjsZndDcu9+MqrKALSZuJop u+EFPks2Pwhst9DCJY1g9ybkyh7zZAQ6jc+u/vg1QJkmZnQEXlt6PhT7mDHjwt8uVWkQbhoq0vyz 3OHi+dn+DoWoZ9LjjEdRucEDA8XyPA1nHnvrVXiOl69YCAs23519MbAfbdWRrxl662FPJQGDkiOZ TmdqVJnd5Fn/8LpWMR1t+RRjePQAt5o591NV0mXm5Z5e5GtsrmSyr7SVN6xLlAPx0AQc0y46jm+U +2BYg7LTYElho67dK/eu6oi+L8xZcjaYANDHljqsPr8xA/o1mCoiWFCKpZpmUozPa/ffgLSrji+C IexnkUE9QuYFJGTzhvfx7d52FzfjPwfO/kUQUENxKZvcZSpX8tUAMSCysSSNYjirdPnsMznVOl1Y UFZJ8STnIFicfJVAjJOzjiBfbVe5giUf0adqTZ13E+i9novmJtKzFvwAvqlOkxrUIqtQtVRUTa2S 9gonctnqmROUz0Ir0Tg2EGBKUYnGxX2eAworDfiYWHVLbWHwjq4jXJ+FY4VHBEaya5E1f6PsNgJw fPGKlYXJ6xusbM4rYSbi06mW5uWQSmLWLGlj+xGGWe0id2vquiiI7IlPNo8csu7/z111VCOHHfZo /P+YzXLMRF4n06ku4FZkx0RthifM5JiMjdJvkjHiCLvWoRpwYDEVekoKp1/fohDnl3YCLbwNKeXb gN8NKOTImX1RGFUqBtjpR8WaaYrwx3pdfT+Y+IXPTyI7oHM2v69UP7f1gvgglj/eWKThxH3xjejQ eRQhOGT8QyNnw0n9nvcrtPJDlKGGnh8qLoTTl335iLAz4OeNCx9PDQvM/xz3zPuwxdQHgVg5Hwdf b660h1icaoyd6urCQkJOIixziv92uVVP9qfrTwQoKIqQx4LxtioC6HDhub1ygpwjRXdnRtTrtOgT Ztq/FIdHX9NLk7ZCNayOcsV0a5rMjp6loD+hOCDGx7pEbvHj/6FhVaJ9S3SyBlpux/odW1CcOY3f Xw0Yzg92y9DzVoYvpoVcDiHOad9ej1hmup4XWJ/PZJOMzF4lxrin0m6LAaw5pDECwiaF2S/VRRNP JwubrBRsB0iSR8vegcXvlK5QvIEXoBWFmXrDLTxq7B4Q2pn5fP7u2F03d6+zMh3KXnBtT8I8Jlxi 4sRXQElHwULIPvG84kV25B/Zze5FJkGQYLt6+P2IAx+6m1+eCTnbxLFXjAcVGe2PSbXgXa63lCF2 KQjIznzt7ie+yKukOakx3okg8+fnLC8Kl6FIfpJND4iBjYzC18xusgrrnvgD4c4riLo7ysMwY7F7 ktzQAreRX/unHL/5hSuziZAyK9g5Mp/TbZJ7Th4bYFQ+utjVT1d9mhnJvqn5B8ipLd/WJIxM98Nn Wvc4qbCTONO3z8PMqWllSFze0cpoGvfogzi/YWxcat1jmSBrn/TlIcjn41AJ+Y8k0ntuiwkxW0iI wUHmwFhXPY+ZKc6RllHEDRbhXYQOADnWPDCFWkwO4f5Vys8u84yYQvm+4eWK+oVv8dS9ESr1ofR3 rGjD9zSUMqkgZbWFv0iOScDqV+hv85/r89OFFYcgWeuDy4lRyKM4at5DWp3ffE4ah8d5QNGJPoou BqzissJiB4jZFYSpcMwjQzSzCASasWrPhLVte2YbmRZZY1f+/JmkwSUYXYJwf7nS8kEa9AZffXka F4OKUphWCeOCBnVrMsPyn20GdzIOs92qSqktcf09nlb2IR8tFrA2rxBi9qiNd/qzm1Yck/n8dZ/2 KgD0UVzT2ZzJoI8imHRGOPuSObQbbamsM/0CtAmLzmttvxA+94XOMZ8lcYQ8kSQaS7tsKXpWcDdv hLgzgzyqx3M5f4GWznEF92cjsstUyEcu7xSCZYcsujHCJNj4bdWN9vYltYZkhzaHTgrNQje/MTef bmyXSNesbuBwvziNuaJmgjBkCWQUWVhPp4NB7mvo4Oxnq7ysJmaap9HJQdFiT3IyzgpOxCsR3pLR 7N9NU4m2JBaLtn5Ri2K+RNdTK9bzCLGzPaAORCnvGssDvoov37OBv9BkqTWN847Ik66Vq+dIel4u rla5TI5yZcmHRHuqqKnx4wLZyya4QORUyEcy0RmdJF5xp5xjEY2XFsnRvdKVvGgbU3OFW1hMz+DG AbxA6E+F+ACszS/5hcqe9qtVxEUpQW5xv1ao/1QRLkfk7x87qgYeGmJybcg5voMpop2Zrq/heqpO bHceOR4DbbPTafYNWzVsUFf+OppTQs2CacHiMnjpWBuU3eTm3u4OAb89FUm7KuCiuUQRnzPbbMAs JMkSlc70l6C0D0ZJte/RWyucs+xT+YwEZGikeqUZMp5hs/qA8OZUgW+czInRIrtO6/J+vL9IiqoC 411KnJN9SztTNQaUWk7of5QEa/vwWGU3YM+ZBWVk2xRSJmtfcashU/v8sxJKjurzfhFiBWxRAyqw tmZJWXHBTNDXN8VD8Fb6LrF05AkhTdbrjGl2xTlxRkwBPZXaMoU8TI1cLnJfRBcOh4fJ7zJXGMYe F7QwVsKIa4atbwZyREFBDP/IsyVg2PBLhfrhDu8SisH6myFQT7pjB6XJ3gTTRQHT7CZZU1QhSfRC hjkNEbQTwqGYtberbgwXS2k9lQHLWBMjRGTpe/Dp7Jdu6qqJHR/lQ/ij+UPUYNkoTV1akJp9y6uD Zi72wSwMI55qpPqWxznc8gFCchUEvUt7kjQmtdqAXXMLBc1WlSy3YpKZPOZ5gYbhK7U7NbKzlYbv Gvl5qyBE8acQfIfWGWKgAg124naQlBAsSXeVi+gTjNlnky9Fd2ZjEyUj2oqigBzX3sk1fBVqbbYr EMCOEfr2dpuYLnQyeO8AtL7mUA07X7ZDyYrFAejmn8ifzikR2wgBePs4zQbrrdgEVLyvcb2ckgdd R+m51rzafUxVMP5AnJNFOKWGSnHsqJyyBZGSWOo9R0vSzdFtL8ksgKB2y0b+Kp7/UXvHLRGqhd1c 7GlsiI/3CSLWIXv6DfxFi4GgxU7F0czwKQY+VvROko/PYvlZyKSegXqtWq82/C9K15FfhCUG3ZFP 56y1Ej3xXP8SjIICjn43Xan54gsjSxB5ogF6LYKtNV6WcEfGUmds3FR5V1TrOXVvUdJUtdKcepC2 v0pnK54YbXTNn/amlMeD0uGMimDB9PNKnTvI4wWiwBkNu5ZNrGypOYdg6wVTizMQMEbdCmU+gmQc wr6rxVeXqI0JOzacpacfSPKV6VTtPOV3tsVslh9OLarVZpE4Obyo9AZYze7pc1KhUO3s0MBrBosd KNeclaQzbQP2+I6WGy3vu0vSA9mYM77EWIyJei7pWBKJCy63Ql8NEcbq5xL3hWcTTx7rN33mdpPb ymFPQc12hO4XRjxTcBo3jzUvRsR44vD144ox4pqockIWuwl+zbwoPIE933cDb4OvCo8JJnDFjXA4 zSJAcyT93S27P4R2PRHtDcPTNQ5UkDu/oQWLZrQPaxrHkGQgE+K3Ux0umG+hGlWRjr/oyxtNtofP csB/q3y5R0XJS2H0yMZZRToxPYPm2R5PsWXsUEAVb8OSBqZUWol/vHDMM14q7GFYDenLZoB5dDi5 qzmafybhgCa3sp0SPXJxl6ZZcE92ZlPsoLGpFNYp+yGncX0WhJmVFV72AgU7AkC2l92qDLqu9Ygm 6l2UGgKPCaZNxbFRA8v/DdgvxyR3WS0QiAFcTTwDCFDEkvLYxQW8Cth6M+n6kCLDml0AVPubK8xe YC8PJRF6x46J9IFPsyeCwYOwPugsSOylHFayqJkkC0/oIhx/LMsAI0f3OmY4aNR6njsRyQTvMBfr klcX7MeSSpZJqfi5HQxA3CbMxOnI6qdOIvRjjAwDQphg1Ic0r1ueFBjLFPAzvyxyX4AwVFp8JHzU WhzJy+k7wuLEfT+Pez96pYNEIq3WQlrCA7dCrJzyA9qDO1NQ97iD9gTXkQC7wlb0evW2xUYGo2JZ if1+kHCgjTAOEelqA7V5PGyCI2+GJfPd/rSLbxXAJQDd8/j8PMx3XBRpq7RTWAhT0enXx6UsYWqA ZiuoLSKp+aglMjORSMTjhBM/JBCIHPoaCxBHONZ89legv/MHA8mHMEK49kIS7LmP+S0zaFHoUDS2 H/1omMAMSEUJtgt6aBVP8PMLRjUKSSGXg44WjJNQQipXTQCNxmsbUwIiaqxNzk0GmWTM+XvPS/G6 lTnOWF+hgE6qQo+el4AanVFNAATHrQ+wiXnF7aPXxaw3ShKZhCdvkOgd301X0usJfnYfL6szf67R qPWNDDyj5PiPfHflSIWnFMnHbcWwnJF4e3Sr9H1AEkoOkwN2AOEIMsX0Q37xuUwVwJ2RtE6kJj/j 7utPvjaeHXoGaV7rZCDxl6kQ4g0kOZ24L44qWyxYdxQE28z7d/Ag1qkgguqiNs394OtuMgFdRpDa SiNuqY8xLlxb280okRrGiOkRM6SFLXvVIpuuXwOQO/6pS0z+4VgGP391w9noKetLJkZyjahsnddZ nuuwAHkwHvBlmyNmzELNIVJMDnU4a8rF+407SODL5rG1qOtyvpIg2mVZUcKhcYEiQuqzsqqx896W Kc9VkMHkXDMB2AZwKOJ8cVTpVIRU1WIZrxVx4uZqoIz21nrWR4XtQUzWmxaKRmMwj0qXdAhC8qga zxuVFky4rlGHAXXk1TWHEdYRsRUyi8R4drStNJmOiizNd0xkWYDKiekvAO6s+0HpizkZWsoo0Ynu SEdBjljobfbudDT0lC4Rq7Qv1eSnL+aquRBwqxtYD0piAnQeuN6zpD+M+cOG/q1fSx98dK2smlC+ jDNd4PbG2DQJzQM7LqkknhQPZd3QNCfYIEa9a0DN/9wx74SLsqj6OW291R2zJRImwzxXZ4nkoA7G MMczYTH1ytA0CPIJePopE/NVVG36vcnlVNGNUP3RFQMszo8NIp4SnDmiukfZYA9uTWjqMN5KoXoX Qcc9a2Jffug+GTL5eXh7LYENK5/wej7OWNXtimI0oxtC9Bsmb0/G123lztKJZfXoNdn84lE06pDz uXaBgHBVqVICazH6tad7GHfL1Vt4sFI/DNt/l56RAUlIbCKKYYcvCjeZFlIrFnl1Mugrd9UCKMEw 7GuXrwbdkYqB/9sywgJ/ujsznyjXdFu+flQbIe027+IHWUnOhz8513e7vznH2CtNHGreAPehE7CS HLogGCWixFRgKzZdy28WkpyizAzDc5Xf9xZVuCuHsHID+QtvRC7S7/NJXCaQ7w3YkgagH+a9fKCY HXbP5nrEijWduHBQLazPhwaG8lV7ct3az1Yr6Wi/0v25+vAk49sPtwpwq+SwdylP9kJ+EbsCRJxz 5U7ZbwTtgoOt4RIv851ARQrcMffqPbxqW8u4htZ9TTNS9HMJq1KXLTASS8/fkS1eNcV3d/Y2xjGe 3iaoHaZ+wZa3s0rh8il29d8gbEUcCtMoyxLJj6PudnE7+4pIr8UcDoR6r85Sf1mzzZGLLAbW2EM6 dRIr68snwWxmQa6CTfbyIpHRwNycuT2b847Lf3DE7bk+nDjUfJs7mbdDB9tlQpKN6EEXbY+ijPuw u0M92l9R/Kg8rMoPN8S0VNstafLgTk/iDNRaLdb8YL78woo9pHFN76A+1TB9VjgyaiDzK18OLKcB YE6OSJg22pN/QsTvbIxW5ZES1hpihHBRCte1crxFewkOcmx6lDDE9FMlNYWiCxnmZsS2i0z1SVkh 29EohTkisRoFi68gh2O6Cf0tdJwyprAZaqWp5lMwxn4WkNaKUksd7ptCA78rkxcF3ZoVTEQ9qjkf 2Y6MdWsqZqf6J/U64K7yThvnjF32gNL2xIt2eFEt+i0jAtt7rr2FlvwdFE96D+1iCzqybtGPGgso FJRBqG4QwWTisNGM+mSJCWV1/yodN6zpZMHnvrtZWsy1d134V7pyb5rRS6wSuVk1Oobx5FnlsULx bHwoU1MKipfcsizoPUMlNOqaiofis5VT++6Gzr8h/sjh66SQnzDj6Wm92iGol0RxuVqLh2q5V6WU aRZw8jHk1IYL9P/zqatyu+DJ9iAmtgATxygenlA01DUgLduqYSIXxbgi4/vbgJ76cLEwpFWe0n1o KpPIRfu6L+Kjlu9fYHrhZCtbXt7juPYTH6kTlDI41FqWS8skD/+zJfIrlcCnmto6pLbJb9mGyWZE XggIC/uh+I92AMoepkM2FL8fQnFhLiWtBI+J55FD7cuFd+pP2IR+HPA/pQeb93QHAWJ8/CPPPrIY luIe4iZdax/mVIuKkGj/gKViVa1BIhIoCLWlK7+FPFwHz42X5/Owf8AsX2Qh6fxtNEADmbYofT8C rFHvtf0LXdJUDSzTE9+cJyNZ5TlNXJInYv9qQLqeXqO7dxkzVMwRDroQ41HpFzMVnC7R3cyns5RB MWqPkFGUtA/ipYKXvcYfcVg2K+3hGtALSsK+M7VerBrkrb8IymGqPHt3iePxkieSDVGs/ER/czgw sjK9aLhaMj1NTn2ruHFpX8N+xO51y+cS+2ha5yHokO0s2VIiwELn0JBmH+96Uhu7Loo7fLvj4cwu SlwWigbjWMHOio/6YH1r/4yQbSPsnPxvIxrs2Ixw2oK6cTSeq2OZx9CE/JqefG1AJuo6dA2glYlg 3GDI87LSUJ6o+aVpe5s4b3HTqgoPgNZh4PZitgjArrP4tH65Zu8qE1dDo0ZYekRqSVZl0vgWgR30 fjB33M7N6GxRt82vffdT6RCFqlxWnxTzGpLEhNE4mjK/hZ3jqbL/XM1k1/NR/DPRPyxvH7I4l0Sj qzGPw7LxiAuC1DCmA9k3WXijufDUACf/Df9dV8MsXCZefcGillvWFpYClP5KY3Xm603+bDMeobgp qKRshYix3V8Mz6y7Amj35uv0w4bwh43VwcSjWoXMxEB+9F73A14a2BkhPEUnWBdrAwVSfdT/wcCc VN+kIuHNLpzD6/XbkYf1V7p+REY8NOSjKubKMroTEHFY/pz0Q9KDakB8IfJc3iT2a0zmGk7d5BmP FE9R5gi4WQqUTK1MzWdYW26xjGrnmyXnsc1CeMMhOm0H3BmJUOBcmVmdzPR8WTZEOY9zgaKjFW8J 2V5iN1g7Ew+msEMNHuQ5CPIYy/p1/GmPAiMWeXas6cuf6PCIHj4oAh1mA8WJXKZGlly36qI8q+zV ai6wBmVM6a6NB/XWL61bLVGqMOo9ntZTwBVuc4bsKwyt+ZSXUoKnuHSN82r47ZE2KeA8AtpwgTqL nNLP9T93NWDds+fDhr9ylhla8XzRm3jaKVFL1wJNv0nMSwqYZWVvPa/52It7gbOVRtmqZf4ay3zy QVtqf7qrP+6cpVkaNxW6S9rwToXd5FcuRyuv/okD7Zgf48aZ4JGJc2Ta6gssdekYCHeazk1cLMTY aIE9xNciuh/lZozaHgKqXtHqyAg/uyXBgJ65TTE6oaVyRZjaYgbAM+5qahZDv75A88V91RX+xyxz yYCBbtCQhNA9MrDn5H1G5g1ANkD79aedYjnApz/2g1gBIVp0P9ixzhkOaSFTf0iH5AGpgMbVskR/ 84BOwnhgbiKFKPaT3FtVwkqjJXwfwr2f2hmVpc3EoKPi60ArGb7pV1ZWpdzyp4q1KXKhSQFqzaIT 2P+aVDMuONJ1bVLTZtXnr4gUJHRhj2vVe7YLoEJ0rVTfMrAGnXG9c21mWgdG3852vsy5l4y8sI1D Vfksq1wfw1OC/iswKsoksd0sRum+eV+weoisqnhURR0DCecdDLgVuUInR36yQ8wtWjE9WLpACC0J /GvwF7juwqZBS3va/81zQyGeS/dJ8V3ktG5jHMhnEtkpZUznsZAwLUKApzD1CUKWMoSOHIX0VZmq v+8AaOPV/HYsajXmgwVOHZXJ6GU5bBr6Cte9xYAJYDhLBPnq+8QAFxOjKlyxrmNk5M2fhkslH0CQ jCjyTGmAfnYWm33Pu9Jd3jIkAcEMiUa5JEvBzv49n8bOykrmWb7CZTYxNjQK56igpTo8xyxJKTe6 /eW7YfJhZa0V76bT3CD/QKLbQbJz47u9BxpIvO1yTTu2B3JiZp9kjEGgFaPnk0OvMAUABth9b+SX 5Kq0T5faAACkHuYlTeZb1JrqO/x5WQenlf8lRUs3rKnX41fEXbqSYpdQVsR/3+oFJ73aN2YDlpM/ wuLdn0KxRsTZI1gcpoGkm2TUNTRXy5/fTu6Zk5+yKiAJGtVf5y2Rjqjq/v/08TmfoUGzP9k+gCYX f4EuOY4EnNf6DZoYKEw+MywAcFDnBpjZx450WDacEeuV+BnhMKaFQZXvMxZh1HvcIM3WGFoAFEAd eb70zM0VuYwpo8p92kfH5g6Z0cLyLK/J4VtdymxIGYS9WLU2E9SvKjxhqFjfAbvZ+ukCMqjLRj7g jf1TsgOqk796T3vG4v7RoXtSWAer/V6Mh9Cc9lK+qY2FNBmDAN4eZFWCUrf3UnohXoWWoSN6yBV3 R+P9LpiM/g563CgihpIeqyUEMiKPCT2RWNVKmx9sjIBddK9CQR37i0YrCZqRDAtQ80oosjd9HW5e gbSeff6DovBnGHuoMU41bfvgJwVfKUAbpfo6Ls7KZgpRgMx6TExEZfxWc/gRPSC/3fYC8ywAAnEP 4Lh5fjTA+7ZUJbN2ckQEN5UsXO7E//CdTE22LAcFL6nFbf5eZjomtoxc4uhfeuy8eOZLtkyJzW5n omYHV2WYN/bBKXDJiBJt+Fc1WCfYJKpBpeRbzfkESUzKvMB+yPxNXkCDhkHr+ktgFPkdtHC/lEUa wH2P9ItNvq3wL1L4+FJNlenYpTt3SwVq4nCbGVwnXWP5MIqkm1KrW9YkmrpRg8buTemJztNSYp4s 8uRtjGKCJwPCxvTPIiNV6gH0n9AmZQNIqnQwBkOKlShRk/IIwRzgccv5Od+bI1OlX54EP17QrgPD loJdzxdpmDMM1G3KqM1O213z5UrVF3aR6KDgdghUvhwrPo7Oda/5nIr1o5uOhpiJiScaJmDkt8Wd YA49As5tLsK7K+f72rw5AB+9pOvE7ygc6DCSL0XnYi5cMPCtbfR2EOkz396d7d3FQBorY4v2+vvd OROZiJszvZ9JBN+Bv3jvono8DkD8iA7H6n7gNM5k5urWncCc7bYJoK0NFa83of9vYuEvCDg8PrZ0 0DELIiUcB+IKpxWfVR+ecyrkM5pMO5tD7oZwyZnSWVQ9yR8NP3BFFTuHRoK9c+V335LQN5YXmVZ9 A+SPAMTbyEBTeDLTYMt/oD4wcVrZ5qbf8n1IQqEbeVHnUE5Yo4Uo9z2Tuj+vRb8SsXLU4+Qn/tgh dtW4uly5rjr0/+lJqzpmec7B9U1COf3sZjJeqAPa3fo9PNWpL7t65PQSTogTdkEbqY/PqJhLlqAP WWo5uFVoHa5e/8X8B41YV5IzCdNN6SNXo4D9BwCXVY6RToVOXOr1vykaLXAqYUtqFMjGwrSm42I2 rc834yonjec9C756Mmc+tH31kTEQDOs7wlT2cD5ir8TklfPbiyBwbM1KoTAo0q0JZSCM82KiuzEI 1L5/oEqvT5HZeeA3MpJ+p5qDVH7SLcE3VjNG97X/mtGNxGvrNxVdAC1wO4Vc/1iWx+r+qPO8kuHd KtEi5TFmALZOyl/IyJUyeCOJWkyy985fT57b7YXOZYl5VWoN6z1kqL274NBTOsCHIX4ikiWeDLfb HUkDH8NMuD1ZNTgUacPQgIwFTktMw1/toI56c8nYY9Y8TDT0qslAlwCtFpvC5dRzqh12D4pWWnJY hS9oN3kDdBZ1p6jIC7YPFtWlVjlHy1up7xUzEjKuhMYnY22lXeK06+JqBm39efhEHyU+67JpWxuD hxVAXs8E3edEAxF+ori0IkxKZGkEdMkTwiY/yTN8OCvwnijXlKXPCLGMWCrPT6cBaaseIgVRxJWf 5lUuJhIWp50nXZrs3JnFn8BrqfKiziMZK9Vnvw9OnL1KfcQoZMrBURHclF0f1WpjtbZMdlgMuuip z0yszv35j0463st/8xdQ64CKMTiCpU1C533wMB9kO5W8ypdMD+Cm5sMT9vi79ZM8JvAZ6bz/pSi9 hy6PnnBe1NKiqaE7B4sbfTDp+4/2QcDYqD1gVyioDfQCqn6jveaGwrK6UubnM8YTG47wYU972Yxo Dveed1Q9VFIdxBWpJh4jb1zyZQtAgTHNCyU2Cj6udAqmmGYof3ZtilwnytRbh7Al5Zph3ITmko5o CTCjfJYVnEZdC2jBlYLZyj9/V6EPGUJV7x3qx2YyyyfmvV7+WYjiR/Il1ivx6SP7bTu2/ScQnEeQ LFbmsic+NxHdPoO+I7sy+2kVnTKYsFBIoEGTjD5llEv5AtsEB4xG/SwybNiVzOy3ZOuTaGax8SHx WkkZmZ7HJhtKiHojoF2qDsW38rR4pXXbudaQwd+5YzZuEqcGe6nkDViVhICY292oo8Lx/j5S11Xe MucoLPpWIvGmDs7OluD/dUtDZtUdCI2qQDCudkbvDQlG3DgZhDkUocsclHwv+Y463MScbuBQsjse vF8KDRjw7WYj+7f5z+SlGl8dZ2r5x3+WnTABP9khj8H9WTupbuEyfJXJk66f92sieaMLeTJpZXEE 4K44ge8vMMZvm5irGzAixk9sx0q8NXUUkCtEhtbwhJSd3k+/GZKGesqxHNKHGD9Zb95BnClGyVLO qPnR/cRkiyHzWFd1F+8Kr1cgwXoiD4TRFNUXtU4wDRO/6dNzLN676GCIlWeyoB2Ez4VKO7jZtOSg lbYKQ7c5sMyeXD8oPc9LWc0XnKRwpAHlSLppTbTxU/cr/+8ic16SGw8wxdLVBGW1h73xb75camS+ zFyElP9RkVAlIbXaYrTyUh7DnvE2ADPeP9NxHhsuFxCWQ69Bp5nCHCf1hrju3S/L+bQXzKILeQ5w Lpnq2+HO5ed4tLoDcpOPCL2/GBRU7x2bPIjJDASMIXirfNpykPkxqFt+Pd2RqKuJMPc2Z7UCbwpT Z4oJ1HkuYy7fsC9KpxD/BXAKMJzCpybv+Xil/SNiUavZ4mC35Gi4NVLQoHl5o+GoiGyf6PZJNnGO /YE0i/c61iBGXT+KZ/vorbH3o6e3ru7Gcws0FHFavwAJYrekkPzjUyJsobTGXZqC1eoPY7f+FUce 58NbKRPsZhdB0phYlFe4qeQVJaiiDE8EKupRYoaIVHanCT1KapC4CaKmlbC03eIBygj8mEyjNHm8 2Di3LmxQYPtJratajwxMystBh6WASkWBMe7yenMibsam1weDhsoSWrxwcCeuZEzLrNLDlRL4kO6z vYjk2vo4ELLnViOKfR5nQ82x69DmKbyGAWHfDJhxpjxUO1Ozck/RLi5pitSmpXu/BTdJE1A5HWNh jlw+iEm9MB18+PZI+P62IK5+4oMikrSxbzQFSFhF45iYIglPqQsucfY6ffUXS5p6jXkFnox8YHz3 RxTHW1dyE14VhYz4L6hD48dIG5eq6KfX6dGv39wXmcghH4Kd9gOgszhcOSmNlmTkR0cFnf3ZMd4M UsIg0P2+oydA/UQizTuvXd7sWGR70O+ZAL2cIn+CJmJ9O33Km6plGx1V88PhePMvimXrWyYYMOPZ BAEcRFl1euFj/MKL6eAeylUQDQTWbAINfmWlDuYIPKEPRZTqQUwM3ZXc/+CCh6diJqqyJZ0XMymA fCrF4tGvWsT7ormt0ig+gXMfva9ON9F0h+Esq2YTHApzLjXFHhGmsoP2oAnMiHBmfPCxjwJyPXfp 6hl8YOIg+RlRfVrezE4sI3dNRfA1HayPMuNRFsMibvH4L3cKM4+GJBTrIJV0Tuar0ssqBoIXZFZX 1xxb60cSqqUgJ75MMdAh8by7rLCMwiOwxS/sIaCFVrVdA2fOelyHJ+djjxQ/hamXW/SA1bat+6fN foKSqQTo1jplypQpXnzEoIGSVkmhx9uClPgfGO++ehOJpXacrqUUC1IcnifTNfRXf1IB46+9h/io 3D64M5T9BUruITL/fqFj6TGfaeuh1gSPDFUbHY6GQpw30RUSkXA0lQhc0lfjNeJ5ziu6sMkLTMpz MpW6pONr3TzHaIu8Pfqjr1IZ7MDK4dAaEucOjAOMfuL0JjhyackT7UZVMpPZca9B/P9YDvt/8e2+ FtAx+MZjbnWUI4oGQD9PnV6n/rRAwy6i+eNTiLgyWhZ52WXcktXKoB/hO9O8K/FkL8w3Eosvccfm uCybuCH/KuSudfyvKHfIIYjYF9GT9h+NcmlVi0yrt9QzbNLqfMmdLTSosXIOk1uPek27YiZ4aK0Z inPjDC2FuHWFyKEYwfMo7CfYz4dYwjYNI65YV4zmAPNz9lhi4zSmSVKlh/WhjnulfMD5jN8Tp3FT XdiyYJucje0ZsS6CUq3hK8qCwwXUApWWp8Jh4zBaq/WZyEVz+rz9YSgLldmvdXw2m8XKQqotdcK1 ROkyBdFI1NBPcEfQxEjSUT73sxwIu0YGsjbkkY7FnJu28oMi1FRdGdrsnYl86ITYWB0FdAZ/yMfJ 1EfdI1MSGWb3bOXRaZyN8HFahNP6u4NkFeM5+4r26DDaflUKR5NJY7BCoZMZcgrKnnrBG90MTinN zdBuJABfE4exKTDz9WVhHRIFT3D4Rjh7YgOWq6JeMCCvNFdXxzFSuqfnwDNjmkoDpy56RyOmmEcd h8W87yWFQmocIe6lKOerCNcAaaF/fFIi9BEv08K8x7MpbDQ0hcp7hqNywyAoTKJg1Tvjcjc/9m72 dxWbVSD4nEHh0fchcg1kpa0QZKGzq33Y0i8aNwfzeffQhWGAKI+3M1sf5lkpYvux74fWxBbWVYm9 aOaxbb+DPe5+YB/RlQUaM8I6XorRgEwAUuhhPkqE8Z6N/784Z1e7ys1r8usOHyKBAb0d2MnS6BxD vyKOn8vg8veOFaIYs3f5oqN+7YFe1MHe2pSvzzltZwlBraCHt81WIq60xVJ/m8bXBpmO5CZa0lOn 5IcJsjPHu+538bONjEG8ir+zm9ih59avdHIvJVyyp/DMtoF5viY7XCWDYncIC3LxLvxVfzjdYFge KsKtGr3xxlPaMKz39a/FJWOv66QooO0Ww8IHIm4mlJrSyhbJz+TZG+5M4FfYkflZcZiNM+wQPOB6 03O7KYFGa/NdVwnubp8LwOqz1JZOQpyWMOOA4T4yLM8GdZ1hK6F3lcuono6pn9yFD1+LGCImOPC8 CJ/NGXUAS9TQ4xI59FGBNdAmv8BdE8Fs+1KP4NndbOxmEbL2jkSd59MviBdfw1RDimZmhaGPy5mp lqKc5+3tyB9cOJjtad3aj5MKLa24RP+Q+L4BZd6Brg4ikT1ZBOdjbkBkuRg0tOpflPpA+cNU+B18 tVTUDTvmHKtiryr51PFvgH3tMbQ6A14hLgVNgfoG9mragYPLTAwtyMOJA5WUwZ7/f2TepiyI2DF5 lZfk68ExLawHrQD4iDGm3OUNA0vj2J+fAhceRJuWXKOo8XmfuN3IngaBCksPpl9xc9kWVSrz4avC f9Zc16pQWqZK3aTBCsCNEOQGJxycW21OcfevH0zItzl2HklOhv0bdGfcWfplULtPis6VsHmzaBbK A5NN6mM4sV07Wihc2AUAnkOFj2RoUwl9B9EglQUjfKd6Zb7AfeK31TNp9bmyHTFd5omP9hjLxkxt T2hCkl07vqR5OvUuxXiYUlyCVF9PCT8EdUqnrrjh2WJ0j2r9mXw0PbjwOEE6VuY+WUyLVk/Em1KJ NY9zswW2YUJK81UGj4VwoKebomEDSO9HpAgBhN/qLNtXvOYi2x/RvpxZufMaoVM+3ywr64dORrnX lzVkhoHk5e7NiYQRHyRExepYFBsKkbCg2PrlrKB2k60T1ZrOHBVm65fxlq+1/aUKQEvhQd2/Qu8E Nn5FMLecLD3nH/llxQlg7bdS6LIYMuqdRDs+4d5vOlxjb3rXk4fOf/EGXW68naImmCQHwJlHBype WkcpohQA6fsb+rmkZg+JQta4Vqnds18kp2gnzDRYV3eYI3iAFQuCtcE1BMHnrx0jdJCC91tDBKhf 5sPTVudbx0oShAoLOTLOsPicOUsTcJENhmeDPFyBMIKeuvtAUBV6o6SNddEdKjIOMr/dQUbk1IGO cl2sYdNENltnBs95G/PEzQ9URQPx2hvoWAr9HdGqarQIGZIsh+lK/jGaPynrXBBg5Bbsj1VoMtsp 4ML9XGHOlTD6hK/sx7gLaegthtb0mvpYkdNYD1GIHJipOvLMC5H6OcBTheeDiNYJ9KlvUZJxwrPa C2em0sdTza/dRaKE+XCrlrhUJg7gGbucCY7QC1Ac9JRRFludmHqShSiLxlNklzbc6HZycIaelEWG tEGsSjYARMIIRywBmeamq0+wVIIRDfgO4ykzRuCakGjMdDd1EHBl1Goc7oElhbsdDCAbMMKmZO2l NOzTt6BN0I1DVjRqJoi0ivwqNbWNMofhE/eojX2palFrU6QE8UDA6i4xmhDb4G25JlNRrvfzaEyd cAwM/IQY+xjCGqgTCVDZ20+Oc1ypcq5F5UJo9BsuaKX8gIkXQxdqaggz0tRAnbMvjCozFvqbfKEN ZUCBV/83bH43nT7pBG+y1aF+wIUzKckbKqgmM1RAZeJ9gPsl25lr1qfaAQUkFDL5H1oKknQsreA8 k81utnuG9E0ixPMQUXsEclj284W3HGyz7fcfnniJP70ZbseKVD42fGS8VIJ4Z+oKQSZ0DTzuFipD e4L/QWYQzF2XrNfL6mm9CmbaJyr4ha67Px+o+pONgwgamGD/pdgnpFRkgucBT4SFP9jZigQiNdEJ SbQ17XGNOKrlTWyHDTzv5et8WIy64YXp4lv3hZ08sjBqt0LdgQzs87LQ1By99i9EXJpQDCquMS49 yAZfKb1wD8SzgJ7Hq9Wtk19P3l8zGEVNjRB3rfgCFbnS3n9K+VQcKIsgFoZQE3Zb0N1e+faMbtA+ fFfG8mujYmwMx2kFxJelWFFZ1q39GPbp9BL6hb6JwA7WMDYVB5SdoyBxgjv7HyclaNarncOhKKD2 lFRCGuEQtV2ycb6EmdLvqK9dtbB9XYkxGRBUFsuJ9Vg6h/ZAURWEpRRi1ohpdkPD3Ymh1qhGhg4P CS5uOIWlcW8/o0Ag54UHfUZDCt3o8giOfl/xhgA+UNabXLC+4FF92J1cq3tGL+yaN9NomnPfjUAK eytGgXoeSYxcBGcpdgIT5cop9gxU8e6ar715OP3lyFaV9DcLrgnfXIfIPSPH05L475THBajG7374 aWH0xxa44u0/nyVy24wzpRagIdW7W5Qu0N8R0+399sskre3fDy5VUNsHVTS5wq+PeMMi2cmyERxn giEqx+X5KGafMWKr66ENN6lm5RRoY+oShxF6x8mEd+I4b6wsl02s30YyLiwLg30WZYesHT+CJ2IY VBfIhgH8YrMhjrhY1n/cYiHKG1lymrnQBfDdy3J8yBagtp9P9t2Z9USJU+0bQDAkxTk5T3lheVrY zEEjhoidpOzPToOXw5nweKlks4cDOzyI09dwFv38ZzLNv1q2KElx8tlomr/+JGyOtCnlLboKzHKo nMPefEUIP1aBD6QnZJHPXFhUrhtcggi935caBK4ZVyGCBJZvYBeUgZEibQocES4yH9xpN09owCfz 2TIswTYJnjHO16EdKEnXvipONiQJrwCNVwZF2nUBrGrcIvz8+GElkkuUTczJkb8axTAbpzpLLcMq wXJ6/YG6cSm6XQ4XrWeI73Q3ubv1tw5rbvx3N5PCqatYXjAIgu+cLiyvICBKFgb2yzP8wDt3LOy1 o+iCMYstFFG6fTzrb5IRCQw/TmsD+HOAbbfcz83Sb6gYHgQvgyhMnwgBHrcuTzL5kIcd/0halmGE +cH6e9ge/6j/F+xXF0oK4h/P4dd4ppKrupBKkBHeLYb4zSNnAtGm6UIVrGbzeSDLvOG1IbM2f+3Y UR8ROb8qFAt0IIZqy+2tSra75hR87BNElQrlVGNEPhBYu1x6VeNS51Xw6PHeSIoTFBMwfIx0SQ5w SHwrl1EPwi/0mxBAzVmRbBcWGbwofjIzeKk7225X663gIL/Jw0px4vaVbHEJ3qkNr6Dxu4bZOlED 0MCoXuq02J4K0TKp12S968pUcBHmzXh6qeF8Z775xSm1JumSHP2K66wb1DJHMvYoCnEH+QOIbrMZ 2f6kOiHAu133yypvpvF5k4MAr7oBjVtAVZpNqvT7PhkvnBN+eNGMh63+B9qRNrB7nLtHC6jf8bhw iM1fkIq04rCDptupwlJbFD3gSEq8t+LU8nZsxPeIXnNz6wcnMyTN3/2KFgpucnquvMlGyL61mwlV 5WhnowMB0hsozhXgxunoCjH03oVukyCWGH6ev9aNlRq7OLl4hZyMhUVFzOrFcmaEjV3IWcSrzoEI 1TG1t5K7h1PrtM4qyEyWOq2BETxFRSKXAL1MLM0QxDtSJMCCBXRgvvum1+C6hWYTRWhgxSDJkjhp 9NluASF7BaSkN28HbwxN0f39bOXSk2xUSKP9MLK8UgnRX40D4nB7nX/12F/8/fxp/1b8bgfzRwGd V0TYJGCojUtMb/WAFWYwAx5xPMKpnsgZmeA0sdfdgCvUDmy+5HYFbSbSOtlw0kFe4P9N0cATRa7L am63GoRuGtKn5Iw00HWBQc6CrXqW9zUt4DK/8WJ+5cYRleikkU8HkTAUWGwKECOL8lCmJeOPYD+I n/Z7kTlnJ504H7onmKH0JSyUXAiFV74WqrTfMQ/AHOkQG/PUOie6k5KveOpJctqzDHEDKB8DuQcZ /y3KIaCLvwdvYGy74KcjpWhFb/Fq+F8mmjplO5zqf6JKBIWwq2NuKbjS0i/zxFGPQpV4+NGm2zwq 29QDhL7Ch7hE9u6cDsWRHkmpFP9S+QSaOguIO72hApa9P78NvyToJoQSk61J52CZwmtUKhBpMgcd bMnIaQxOlJQvW5t9GC3KdHRgQxyrMPyTiv4MURFHkEBsJ0WwOPkrkDodLKY4v1vxu1Q96XZBgfFv oSN9v6d/ECeosOW9yZPhnjpUJzvlbO2Kh1ezk0rQnE43YHTbolQIZlkjZBrORGfp+g6JLD6EqQkZ I3LtUgYsx9YG4wQKLXwef8EJ95UhYEmaQ3RoxgLAEkUGBih2VfeqPDTmOI5U1wVhuLFXNLM6vjZa GBcaSFUpUl/rIwHZy7+6fnJ7q57ywcj8BFL8TLALIVCaogv7ClkLTTlj7Pt/U8EMfihXX5rL3FWm NdGyhOM8hBjUbTte1mTj1lEYgsAktm8SQ4z7pgIAdziB/YVy+Vgjr/x54qmOJzJfgumtiD10FsSp DiNHr545y7tXYj1pe4+Dfxg22rf22axlw8uRIL8vhdDRtYjafxuBu1WvGrOJ7d5g7ZHUP5wK1jFO MZR70ddoOsfh4wVimzUglptB3SpA6f4srL1mJIiz6itgtJAk0+JnO2yEEo6ADQJJbDbqKEq28Lal RU3gRcjMUWVfUGp50mGA+xig+xDe0pMMq6EFviD4hs7TG+XqGzlkMkbGadofOSdLPMeDWT5pzig+ W2sjF0IWRFAuUQCAm1mYDhJwKop9gUkHlRSHNdE6Rf3TbWpa0uMbxqopGq2G+MpT0kMwC/LRwHWD BWwVa8geHsQT70SDectHHkUUdS1aorMEp1JVVWHwDbBV4+3ZharM9UWAG7wbdMEIwRGn9WxIs7yh 5QR4zdr/jBuWnIdxBQWVjXyodpXeRuGcDCtXSyN6BjpSh0Enxn1/98URUZQvj/dwl0tDjjFfdVzB 2LIp7cPP9Lx5rrzIHrp2L1oIbHpUBBldoc1QnOlV7wso4RuXVBybbA7oSkdmI1mzMqBdFIkOJXhq gTHy+bm7BkLcnPNT2sM6MsIY9G3Z/lsu5z4d3ifOmsslZKIg6EQbEPnW586KjbxsRIoW7RmLJ54d VeB5MEIJi0Wh3t+vVglRjgznEU7CedZZxggDtfb2+64VHsIItvQ/f3O73knt+JJTANeliVsBmJP7 h8+1rDcw6p6kIhnNtd4nHyUmQzQD65HGpQILmVIi5wm80omJLiTeyYTBUIYl4AjCc0y8F0akiUAE M6gPd3Er5RAUUvy3s0D5P6QiWMHFdbAxX6vDP51E5tDokFsyhR7YpwJCZhsQQbdo842rxAZDfvnb Q1m+XFTBkLdvavprf/BEWahh8mCf/39oT28ozzcpLN0AEa1WV4U4UukI+XsNRqF/lFBf49qteTp3 jHnOIcv/Hh2lKmHkxrZwqlLLgiimJTtL4CkrwZM/wvWQ70ZymsX828PLuQ8hd2ba6KMsb5YcFhmL owdTd/m/AuKxq1EiBOnv4ddMQPyhiiUTiNUsUH+kawpfLvFUjPcJlwubW2DxNn20yCJm2mk1wA9/ JDCUn9z9X/itDhG1vszhVsnu9sfXg12qW/vHZyQk1kaCRHMQYBjco7zz4lDUsOc01MAimwL8cKqr <KEY> G<KEY> <KEY> ZXJGQxEmQ0za0uAnkDCnRW0UuubE0cIq5LTVAHl5C8/xK7owfw6+7BxT4cENjQkgE7fzyALpm/G7 EX/iTar1sWWSNZlyUxBVWSTPKuMsJD5hYLKrWeQ2WV0LOgQ1BSWAVLDoDBIj8GI5eUmxcbqG/72T GW+z9iItxHIpi6wuDWKMEh1yPcbu4RaDBc/GYcw+0xL0psD00Td9eHQGSuXI7zha9O3g0vduOsdq zeskyRisuG8gBNHmhuSeiwD8KBIieomhCs1vqPYgo8v8pGULR9t10jTu7AXQhjUBBicPg5V6rGXG WS+8F/46Z3wh29MYVJaSFty2V7hy0RysZm5AIr6uZoYYk+juQpMHxyemhFm/+cuPCDrHX28/4kF1 StaHfMSjNlMfhoyHui134dSrWvVU7pmgaskA+xTXZdIf5seClJLBmoQKiCp5O5PqWVUprsEpA3+r Xipgc7hgRJrUu493hvpNumesrGGg1DYoioI9zT/FKj7kKJtgik6OpYe+PNerZZufU2HKLkboWhUS ZydUca7s/w6TLFWQtpr3gHPZJl0z0Du2OCd42r2yC52a9FboGjePNpMuK2l3xu3ZKtud0x5NkSIS e7yyHz9AlV3MV3ze4QDhUDglx0PXCMFgoQeyQyIokdINCP3znaU6wO16CsAvDWe+VhHdiWiCfsFi /QwSbhpJ2KGMtPoVP1ROO+6JeuG+61nkec/Lx1V2cGq2IwafDvrfe0PbTneBrSh9Ygm5Spy8mi/J RCzqmGVErXt+Eaqb3lPEddpwLi4qNZ6kiLl6SjH1TAO9SdSFQk/q+219KcXtSilV16JKzeT2eGmo t25PjOGrZvvhqAKeOWXgjMcdxdi6kGgA4ZfjOTMwiIh7hWiWljt7EJjeYqFsz4R4HgUCJVgt41n0 z0fBMGXjPLyREcoclScINs1fRDkRABN66S8CHlHRY730gAmuTd48zybVdRyvW+FwTZR1RTywEIPs RykdxLcK6v7JRjvk0+05rJHhe7Y2a0ZGwAMunY1LMxz+WxHUHLpKLxfs77JMXtMS9z3LFFTwOf41 ImQjJSvtJBXqbfDLyAts4Jj6y1O8f8Bg5aWgcizSXRzLow+q/ZzLR5Fqwdnl7BeSzKYPUcbku4wA ROHc+bwQ8Wz2bXp3QaGCMGz3Ec/a9kn49z5PbRLX+cKB+8GjO7/Lks2MbGitDxIHfNLQrFROAvgz 0CQXQBYRBaUDsC3pzyWmq4QzzjApCikeYg6BrCrgBd5OtIwhSd+e6QCoFCBV68A4jbQt9MIXFVVm K5jtLTJZIsoGryRc6+aW1nTw5v1Jl6gKBTQhIIX6AVYWdQX10T0oR0mux+HUsdWFXztO+Ov2UCBz YRATP5/U4N16drhinFoFLZ05EwswyuQWguJg+wTb39Y/gH3U/DXoZ1SvOBVa0Qg/D83GWiCHpq5m 37TKfirkriOWj2Cdf4pxjAi/8LthTTB5T6INQss+BX+cPBXJ34GPjA2qMqSRw54u15jXUyRQoqEX OdHCD2ovDrYQZ81/oVtl+G1IQFXXmL/yC/Whdiyu8aPAHmoSadzKMahRt6g6d82jFnjEvbP7zANU CSmReXXgCfDUgJp0nvf9rf6gp62yeJvgU4Cizfw1NOeWPiRnIHvoMTl+rp4iAjbSlTz/8A9PgmAV AoPPiS1m2IzLHoCm0BQFuUY64kClNzNj7GuswZnCdJBKYtfYrKqHKhFAPnqgxjRbtS1DvAdXWymx /n5DpWpG7sRofKAM4Awf2a8ekHjlVSlLa4S0r19IU2WG6XFdwAA5qsedary5E/Uf9lvYTR7TVMB5 tFomjnQ9fQbS3cKeox56jz56J7Md73mWv5QKJ/rWB58cwzxG2JfLmphUHIVRxseHDKCJMu5ghyoe hW4Kr3+1M4HZvIyudBxfoczJZLcH3ayhw9LIf+0OLNSkUEipNqFjei40WBQ7RIWsGTm4Y6pd6uLG JqPdeAZYmRQOk9795tzZfKmtZ6GSQshGVRtwyjKtAiq305z9x8x696TmvtEBlr01Bl/ph7nx/uuH HtN5wcM1VfkhLzbIb4NG5kJzz/U69MlD65RjiaUv67VZxn8c7wX2ch9qWkhZzJTF8NCNw+3SMlrN Xd6P6IEDv+8wTNppT47kszE2Do9vbVcJnhgfFoCkv/DAwlXyopT0heLzxX5LHxyastCjB5O11brU dhx6Os7HtW54FYFshw3Ayhs9Dv9+Cs9LGm2C9cNGotg9s+IZ91AYvc5vIunZSTxTboee6kddre2V 6BJrJbSsjL6tszhgikcxhdvw9PlpqyMpVvvusLwe4T+csA4Z9p3Vzsy13hjSDlN7/maBx6o0znce pWVeNhaNK+6Qa8xNQcxsE7yfUkpjSw3dpYpRX8peJMdvzfC/HNO/l/I4CXdghXUxtRna2C/pPhEj U52vOu7W2rh31KhAf2bYR7Bk36XKSQryZ1hoavLbeKdF8gAnDlomzASeBw8iVI1kKDQ/AN88vm5B rvEyLYapbNV53gQV/nbew6v4yI9nI1I2PdHVShIIQWYH7aLZBBKl8VY7nKMREwCGf3IFH4ISw3Hm NDLwHkDytJ/X2kFsPlcj6nuBhaIAUAwpSi3L2Eshoa/csLv4LWPcTQLhCl4HTqKZp1eJknsWUzaf YEjVgWyuA9MH4kL4olIbZqsVFxeigKzMfT7GXEk+D8cV+XqJ+AiLdx2NL+oPVF9BEOdzQHfgmFrd 3+lNLjiLpayE3xnx07ATHBCLJv+MiGvDkEVGzi4RoLLzl4PmpWH1JXHRcdCTPTgYR1udhNWltmI0 OLi9849broWuUviqBl5U/p2XKk4GY8S3B6bIgQFsOmamZtXPnYo/JPVbjdGLt7/iFDaYibgaglar G2FGBkkeGAU071vAOmqU3R1O3TPq3BxSSl48/j6/aWKmLPflYkC3qRYHBgT3n/J/NSs29Pn27L47 MQtQZwASB+g12II4ZeLcae+hrHZrptDkzjuxPcSnhrzi40GDNLfLLr+qkGCtfu43ulU+JZhMMQ+r bL3Mj5pvbMW6wWAzpaGB+9lLavjUBF+LMm5ifvxyxEJcyJLJzsDS4O9EkX0POcYOCs8I3patL7Cs kRUehdhyM9c0YI7ROJSU2G19D3J9sCOCv/XVgTx73/SFEaBtLtRRWqxuWIHjyLlJLsLUB4GFR6xq YnSALAHj9k+8Uo70xTcK89rsADS67zPpgiynx6WyDFWC5G2DTSN4vsT7qE3DJLgxpdhPwFOUPoY0 VLHI7A/syeIHSZPcNFYCGnFAm7gruL94KVFivFFSnJPeLbYPNAnXSRHRWFCbgM+sR4AsRTJQu+FC gNk7zIjFc4cdqKjiKlyETjf9tV2CaVXc0796Ijlo0EJskygFaOKM+h8WHv+Xxx6nJfvqgqzzVMdm vUdVSn6OEWtsQI7xh8UrB2ZOsltXN5wR0ILfdlF1SkO7kikvAGILBPyhR6PoKSMiIfjzJ287kjIb dUpuWTrIgUrE7KrqtiSt3CUkfiM1Lcqyzk5ndBYW9558ALGrVeSwlwLLIIhajxrSaWmzIqrM1oI8 lB2utLQgwkFtEquq1awh3L1k83gRJryk0nDu2xj9/nfdvGO/A3si+CHI0HKpUZWN4yZqQ+QfgpJI E1V3f65Hy2hejHOmkD1ADH8RuZhqTaknLeAvCEFCrBRwjYzY58aKKIVosA0ZrUEeNhrjQH9qfZiG 5gRrYFp5lFWD4gSz+ebEjywDEtT/rb9xTFOmvZoUai041mgb9DNNWGaVDrQigDCjXSaCgXwafgl4 yoAk4yJKRhOj81eNhpIEcyzbjWQlBuct379oHpgji+IqoOiQPtcnqaY9WZyRiwZaTa6HS28tsE2r HNFqgwqFD7p0XjNkh3Qfe+Tg2ENE4EHr3bjMSsyAWlkoZCEYGqrhIeLEHpFjmPjmTbj3JIs6pcQa vzd/da6LripxJbTYB/ky/QVNSma/npv6wvd+BrJNcYfD1MJTRmCYc5XGLcqRt7JnhMnwG/2ZUtQS SqIAq6HBsizgwjqLMfbBYQIp5RSZbbC+Kv45FOOAAc8Hq25VZNTxUvVGHx+zoyKU5eJQQ3T01T30 lAfuNnlvCABnf3zMF+RGu+wgubiNg48KhIRWjNqrpdgmOF0pVsJuXKrV2LA2NVk5Wxw2i1bH9MmC QgmCR4o8lGbyoBfFulRBh3FTNK+t/EForrPZPzglZNEngRRUb7NAonj5LsOzBPyUt5kBjZO1+HGz wrZT49S0a1IiLkxCytfFeq9/O6PFEFvSg4yoAwJ/l8/cC9TEqPPQDRAj11JMJkZQdbv9KyMSwbOd kKuBTF70rO0PcaYvnA43YOmqyruqmJocMq+0XQFNIBxPYbasMKyVbXZRzymiAw6AecpUnojvK4vK QXyarY3sHpszn7gUnaGOAKmUG2JJP3ifH8ptyoo42qXy8C0i4m75sUTSbXkuai8ZDLlD0RlnK60e QNu8yaKU4ZI8o1Xy9Gii1PlMj7OVEOA0mNqE/a7Vf6IdvF8F73pDZOJZMRdefmUHyarrXEdLQe+L Mx7PTxR6x66OK7jVlwF5PI4LsDYCXi73YeIJPl93lzhy3FoWujA+L9ZHPcDH5UuAXBrghmVr8qeq 1WKts+T2Kw/r3JrwLqest/5i6BgSDFNg62aDhgdwFafh6KKnXKGp+2nsTSadZDvs7xNnYxHbY6zF g8E0blJzzRt5LpqIHfKzF4G8yZPtKVEvO2cKAt7jNPjBhOIA37Svr4HGbFeCRbzpAh0O5MAJwcTg xoyos1FjPE0bzR/klgM4JjGtXXhemX1A8wFGCr75DWREU9ZUvanrg1JfxVGeyQTbmNl9T1hEq4vb z66mqSJqKwnCfUK1YH9jsrYIBhMRyV+vx3xtiOYeNSN6gXlFjbxltCidzvk+uFhR0w3FqtcgTHUN XmfcGV1RgKgN7/WoYgg4/DRF/tL13mAazNU9ZpMk5fNBYmz01JFtQWbROR+znalceP6QZuMVRyPb n6Pw4EiLlCicGMmWCFmrYFL27zqWndFngdEvimyu7C7XXo7m1GtHPTDOn0iJo8JfjGYPB53FiVcm Sf85vBeYc4OR8ILgjzKMRSaZS//Zq1+bnzSiVUbI9FJzs4c9tC5eZQ/kw5kKo1gB2X7/GVYVXeZs 6fWr8MoX+QR5txQOtxmClZ0J3WHOyoH3IxGyjYjC/SrkhDzNbRsqZZ1AUXvenRjw1viWcHs52d6l rdJBe7vY6GuHtsuBxcz2k270nsI1YEqaMx/PFilPxvYaTDh00sGlAznaM2a3j64H9VlIQo4+u7zc b0v57WalxBUeb0TRXEy/kmXU0UyDsSM0YnfKRk++qwy1F9kut3xhxCxxRBzuKH3bYAr1PjekSBg6 Wejijnz88E5yxoraoEKRXUNhDZ4MEXqIXw6S3uPoCgBEm3beaCDapgRoKWKRGzXXRfFx/ztCz9H/ WbxdSwFsVzTqKN36Hgo1MuftxZgQ9CTpNou9tCniYEfaS8b3OVM9Oau/RMERJ/6GhaNW+9vvvCj1 3o0AwrZUmJ7XhrAxZxseuR7tNTWXL+3lMM1soH/fNsFlYRuA2b4XlcaQxWkCQ7jFOxVJ63RVaG/G X2OpdBlMJByX0rJotYwwsY0OtZSAv7brhrmIwtmfDvUzt7pM3jaBiseRP72OMkM+Vgrb9aH/ugKu USA8W+YGusxDBEZ4CCtB/vzyFnbSvWyCGkZJMFLyKTrO2syPommdOa1vUdpsN1uEZ+wr/ehJB/Um 0iTr16Frijkk4zPpJa3//J8jj38nYjy5v4IvPMD5AkVrcKdG2IEq5CVFSHHFKFtYEt8dxKm03mO1 poUfAvCu987WeqFU91xuAX9oo4/BVTJAQAZ94uXV8K2kdWQgE0B24XGMVZlhZFjFRBCg2j5lvokS 6y6gDuUiI7Onwc4z2NaWTVqLT5uBsTWZe22ogrDy25/dDpAu9tsqz4rI2pNDl0Agn4S2sryCmoCf SRXpVzAU1+eNMX+dcbb3eY0/zKi0Ykl8zR/RIKrZBTaY4AAbcpf1KwRsCl/aMwEfIriUK1s+HY6t bGxniGfm+MLeQn38CCG0ssK05H2Hr8Uh2YHL1Eb3y/nkgA+6yiF37IJDBB/MatIeLfCVi9PVt738 KLD5KP0laiKctUrq5m6Elxn+ioZLnBz2GOEUwSxImKgpoUDmTVBodfxy0knOrkEJptVWth0KFgxj lkGtWt0WawniIaWLmXRKSe6Wr8GJ2xZWcJFhBlxJ/D4qHP6xPMzGY4lBA8g+eb8Ot6hFjYDX0R6f NTeJSrCq0cwf2BWA1IFuKIxO+N6AKO2luba/OWbZ5gRvPGByXmG4//+cVp55If7U805HzDom4AwG HN66YnDuQQTH1z297MMiVOG46+alIyLKEwU1zThDgHFBzaDODWyeGyzzR0BArlvOUZUDOFrtNqKO vNEJaaYc6ZZqL9Rp9WQEFIq9uYcIvFLr4D8GXlQWpsXU4TDhkJU7InNqTUwRHnDc1ZqCd1vZ2l4u E/TL5ecl1I81+JUg5EyRKkj18uYg690oR+3+Cu13+HcE1gB+rL094zOi3IS1WQkzeX83MQQbDAuU jmXh9J44h8E1f1Ek+tXz1cxLmFbo4x/hXpHnYLjTzBr0GB0v7P4ipHfNInaDqIYcwqkQX8963pD9 2N67+yU/q3Zsfr1HLbyve0kAvryNNs5puheYJbz9bX23O5pCs3ZrHZj013TRUPbpryx/mG/YZdhB /mXt9f6o2HPBgmwAYq7JIsTdR0jFRwtaCO7lAu11KfgY8nDJzsad4T8+kPT54g479qkwRphn/i4K r1Jp8igvYOvvVsnbfM/hYceAQqqgfENZozw5pQ5CTlTGC5aFVGrjVvqDuHJTsS9EqPxd20tkbbra v3PeKnid8rghUCWXrQxWLOjn/SQbaa6UQyL33W/qWSmHn690VeGT803pAYjr7IeWB/iGODueHIg5 sZReg+1qGtSXcnoMQa7piaMWUTJon35Eabq0SPH5QjdPNb75q0HIEKjjCb0sBm1JyYSgQ1+GZQ44 DPCd+C/tzjNMjQ32ht5LC/C7OHJUEbsrEAsEYcpqYhgRFxe8FbAwtRm273pqKG9QwIQaHa8X268h N3xprHQPeAvO2BIDq0aRkqXfzgqzjZ89cdM2Jg9cUNebRpwb1Ol5S+ga9c7p9O3CIIt/VtXAzEpV jCQAMFAQtQqlGQGp8l8TNscm0XfHftUHjHnbrPz/q3Ql/BQU66qdTyNK4Rj9qHgOCJqj4xvDyDsZ DTCUC8atcnNFGIRMQpakYoAqE3UVsmVsb3qOH827PrBt8T6Zkt0Gjb9RUwq9hEDvpc5rxwOz9lWt XxMmIJSuL6z45T5M0evGXLexYZ2Xw97lfYPnKAeUWz7O7ZK1Ee60Q3qBiergxlG8aGeyp8y6XzAK QAOAc1Udb5FpWZCh4QKy3NTW1QHO2sU9TZNNnQinKZgQBM4z0SkNl88RC0x516WSh/zIHj+J0yQE zx2enjYksiZUTAVtrlGrMFOunemRNkCSfrqugL2H/inYInyvL9PoivFw7iPrTclZVwdZ+3YY9QTD J4w1uEfmtzbLo3fSS/ba4upE1RBtp4MUTxo9zRmfflzzKoApMgMTXCWCjWvlLkBOWvMbeJvy62xS KHTkDCOjT3vBn0ab7sL3Df8fKxiAJGRUH2o6cmKp1zREiihPgUEUOsnmeuObvGojsfc1//9rOlib UQAIyGUoqycsU2lJX6tquUHT8WgfXzcJi/OoIx2hZUpvOcKN8uQBRARHJRJZD4lDz6ILHd5edD8/ IxJE1SFdyOhEgilziflooInvEUha1udk9vkKKHcqpG8HYNBnYcY200wopR0eNfJE/0ygI2no8cCx OF0MAOiVHBVkNcRpg7ZaX+KqDTMFuRH3hHHaAb7kRtdt8MMk2RRW4PpTyO80D9G6a5Ny3eImO47P I8g8PSQhMn46QCgsWucJGMmXU0i6BJ60ZQKfkAgNDCCtWFC9Juy1DQQrFoV8wqUdiwZxcgACNqNq SP/Dh+5X2EoqLDTReHRnf5BuvCwX3Cike/+ZgnuV6m7XYHKUCBvJTLtNmCsCtvSZMdUGaZLGdvEI VMNaMpj2wqj2l3oJommUGPP/NYIOGHVSlmR1R6d9nped2HWrixA7b8NpBwo6m5zDBcdUum++tiuy Q49V+TqgIDukgI/YWaoBOONrt4eIOMZ5opN6HjvnbpTGl95i/R/FYwT0ybImsfLt3yY8u96ygz2Y Bx+KommxdKzL6p/lPwc5fgZrQ73NrYGu02ly4R+lzXen8EX1a+mUa/wK0/AUS78Bqc/G93Gj8T65 +gqZvZWKwO790QuXkDLrdafPbxbDVfNAlIWWrGsIfGciOGdWkF0cyZ5UK0vpq2cUGOudP3OgYyQX wFwIAbdv2on1kHSD09x+yEGuPMz7mf/iCJ+O3/weyK8HQSNyKchDnipMGbym/hJHzssyVXUYhmRQ Pho8d+2c7hASIdgMVRB1SNTnEPAdn5DU4uwZz7REHThcMJfI1H8AEl6bx1KMp2gP0OghRR8+hn5U bz/ThdyI/s8I8kybYIF49e1vHerezigoe93oypXo1azNOYZDBCHcLfz6ZR9a1ccqFeNcx+xs4ccf bR/tWHO0ZLxAaGL6XBFcMu+A9t2PDWzJFcqyPq5w+VfXhlb0qzbivB9AOuPfScLQmDNiis1NTw/k hQJf/j7bqG1DLX5iMqWWs0khzJB1CFW80fYW0sSQdYtvgvk2PyyPNpbZmVk/tgmPwPfvv0GdyO1q mWRVTxvX9S8MtbTfLI/px5VG5FH2FZNy3EzMLlDOCMu42AcyOJpDOAuKWmdxIqCz4NbvO6peXDkw ipCA2IavuPb7AWsi6P9paQ1FKHifN/P667aJvXu+dJnI9EZhVM9pfTz6xoU2k9AQNkKDEz2ql1cj ii8ItZPIYuMCX5Cu6rPxaqVVawDuiDEt3nGl0yjbir8OwC80lNcKBiwacNSE1Vo3kPd4R+U9yHZt zBmUKa4iqEnF9YW6upmdyH59s9EyaW1f2Bw23ICCX4Rr8BDb9gDAyh559XlES55YbRBJfvSDcZIH 0TPRtAa+vZbRc5yZr4MRG6f+G2aa83OakHdmoy3/8Wx0uLRBS8sk+94EvxTbiGJDJ3/3dBZ5tOAI ekejk9vTFcbhF/5hwrxZgggFYROiGJhq95Quib5amM4et28w4BpguVYIUfkwDl+2zpF5U5gBVUwS JR19tC3lJNgvCNa7LwbeSYrykEJOanEtnnaCZpNoFte4vbYrOuHoAC7LHPepehmOd7oZ9tl42WMZ 7MKyGp7H6gGBui/V41QHwKXfvoxGIX9WUSneoiQQNeiUn5SyqmHt1cyZwQcikHIaxGP7LMHtp1qW 58qzOtDJZB04nRSOy7rmIqdGPeSumqLNPNpVghYv4GGN8iuLn83Jib9ZTMOIJHmYAh6i4KQ3eAFo Y2uoeWzv0H8h4hf7RFboiAEV6LK4HvOJNbLCoy5/MLrhf1DLrEreRYt6nycKmmJFwr/g2pvrSoKj wxQLBWINe4NeJ01rhsWY1VB73dmAP3r5N64q9Y9pkHAp8vYNSATX7SI1o7e4ab+3ELy2TodzOd3O mumQkjCB5npZRuprhpOoKo4ZB9Mxj+tKAsFIgKjWfHuOAn/013T/hhF2v3UHAjZxLDwkv5k1y4j1 ZiTTP34Sv+ZB+LEzg/cA+4QtPJrCjD1FrbxTc8gkMZY3kCOIVC5dJ2MpHUnHhKn9bP9dF0y9eM1s 6Y8s9+frhiObjXeIumCG8qVhXbyo/5ZSRxB0zXfF6zYT6vLf0VK8mfHdFyF5iWloGtT1uFjZ87p1 LVI56210R/pCSc+cnQePvhceBVe/MlfZymbbevHO/IuLT0TvUdZgr2X1ws5Zird2GLI7HmKu+98H vkypHmN6JjXweekeAskXtdFns4VEAv9WyKZolHAP1E9VSjRXf92q5/HARckni5UY472zX4J87AxH H0kZS8YwTvLsgSnNPPbbvA55HFOcWAvQErG33THYJnDBwuKoT7UF/2g/Dv1b7G/tbvfC+KxJaiIb fEPA5i3B5OAJzM48dunssgeTwNrQ0DS+mgqcujlCRVQlaTzpoxx2U0AL/xO+ETv7jWQLoaFkLqn/ G6LaO2GJ7lkPa9pn1mltWJurfib9WQqT4uou56WMR2EVnR2+O8F86ic20BpMU704JIJInzTQgSnJ ZFv3MGINCxKy2AhDhtakJCDeERmXPa0BkydK63N+kVN/z5XYZiS2h9qqYEVpzF3kOFcNHnCG/Okg KF3/jJ39cpiWz0asDrXaPCXFT+/sBG4E/Cq3VRvhlN3pwiglcKXwvaLQxa7X5lnzjFoub0DwddBt 3RMMCJginRZ8Dddnu7xPt8REz2UuPLCdyE78sCM3fwtQDKINO1cO0ekU4gPJZcE/t8cW7MHnRyvr klk+kSH25m63sRaGExIo2jp57mGT801HRvbE19y8yYk0Ixo/BhqAnLubGtUPDabRvWuGp93pIvOL ggGis/PNPNU/p9wX+piO7bNL16GEXQejQ5NH+AdtLtyaY/WYlgjSWVTjWNyAw15iarhxKUOjJkGG wym2AZyypw64IjWVbwfmOXsDQ0rkmN7ZCRY+/9xnBqhpm/xUWkCbp8em8wGelTY5dhUvfo36Xv3g Iapgl/OL+pd39v4YxCX4ZxHc1Udvqle/f1Tx9ROKU2HI6kRyMZ6WXlFPc1QJ6u5zI7fvM7KWapWY joZ3mXtX4uNkTaLhS10kq50Pf+Oj+YKGTUjN+dOxZF6bFxAN5DQfB7Zxmsj+Kn1qjyA1BQhR8ILq VefDILC+O8vrabmtY+JSDfao/o2DRhdYId6446wUuLimffY8rBboxKJGfVs2cBDSFyu0kvAMwq4D 9mCvf6uuwsJEJYQBRPiPZ1VCdoE4PDcq2LL2VouRNdx4NROftHBgdbQ6d7ZEerY+YiroT80zO7Q/ mSWZT4VnZWo/2xxURdUuZTI5DhWGPQA3tuyZgDa7EcyQlzWcvZ9g5Vev9IIvw4SqaHB5WgIsJ4Vc npLuXyFMDvmLUVMIAfV+6/gtVHn8xDL+hW6EUjEoLrhG7XMoZuqXkYElM7sBN0rnTYRgcv7Up+yv vhoCwn3ZSLRDlkfbP25XmspaHpq8H0dHRkA0u+Lyp2kckwpxzBAyBTTvDHtsGrJnJJ2wDtZUwDEx Xg5l05pmWD72Tnc3PONEmOo4h5AS5ei9G0egftyMy47NtAQoYQtAo67aFIjv/9WmClP9wwiSot0P MGqm3COZUbGr2ZHPIeYljt9EeKKt/nS81i+77dBhChpEZBK+WuY4+8ebrGPnF5tl0JDz5cA/oPSw s+ugSo3heS4rXr7v9YsXhGTpy+QKGWLP0ZOGPq2NVH8pWLTAL9eAoj8xGJja8TTdwgJGU4US6kMd 7dnda9KPYGY0LtYZqZlesO4pl8v7JMdhCKsS9DqynUN95dgUVtdOBMHys+QaVDZnbC1YpmEPjR6I WQpRXoZ/+B7GY9sz4DMfJmnH+gXeFwPKRjD5EbHSwujeBBPLDfv4pxE9l7J8YFcC8L6BPIuEyFIk MDG7C42KsmL7ylMoyZB6MocCG33Qa2cZq8omlKUni2qskNSjAXC8J0+qnRAykrxLMHPrsCPKnaiK /f8r3MMVV5tUt0cIu4+gg9nyqXIdNeiTYYuNsjnwOB5D+CuRA7qLWEmIlx9F4uVqT04mhOkAZxMw pCix9rR3/4HYoebqsOLi0PE4fxdRkgSD7NIGRyWCnDApkUSPIzCKmE2mC15cHsVPZVkfChlmAJQ2 vkZpKXdsax1TZoVHQ3PRH1A7hPlBimYpcyO211dfXHiiLEsX2N1IBZ323dZaTIgB92EKh/kIvJML sHXyMPjMRFDwGeT1S4xbStmsiG7Zjb5MgWMfFcd2tu2riJJ5VXnrphcMy/SbfOSUuOl2SiS9+6H3 P3V3EAoVwMiWCK2F7mckeoic7oW7J0eQZHUMnWSZpbI8ev6+XMBC/hfHzm6D8iYP3ibGL/GEBGWG F9fQBtShBIMK2QrpBC/jO3cYbjBG2je+kUux2zTR+ZeqXEYfSX2gzXIeTwYdHIY0qArq/93BZrMg Cs2K3dqJG+Txkg0TxWY2FrKK9wXrmFTwLBebtcCCtcx1l24pBf8Z5srnbYwFzZXEBjZU5Ep8ebIh q0mrZPRxyVYGjIMawBuXrytlyqXZfWBZau3kh9VfgP5CDTPyjVrjfhp/RXSZ5938OR2hiTJ1W/Oi Yx29unpE8xiFTG96LMUtiDEYV+BRW6eR8FB8nuP5xmQSto0grgF4MIG02KXoZNY6RX89yE9IA0mw di3+nkZomyxuq2w8KVDyArDLUK3Cp3DTWyeIHSnhlk4tlshA+68tRGwT2MsUidNH0xL/wvlYsFLw fJg3Q5oraI+MlUbKbtgwsXf3N5L6aGPZ8PDI4+AnCdj2bL0wVhfqAM0m8v+PQw0vSywGcpDY2x2k 1ZFP3jwakpu4ytHazcupLWmykx1Lhr+zKg2RygVWA4jFs7ABt0QVwJe922OWtWJa6gvphdxVMYNu yiUAje57wu4ADP03j+/cy9jM3hAVksBsb00vFAQsVRv/tfjU3iJnDgaV2WuyPUE+SX9dI6DMvcNn rG9JbhWMWnMO6/Hh98Oas21dkQ9ufDFYGn8mHVOZtyW+3NH0LeW9heJ6ztRr9Y5x3AjBbMrg99+U 3mPMY0SA8Es2RpS6wKyccSqRUD1QspLKQoq4kmxmWpSS2bo6YqncBL+RA4ONr/KsxKRai0yRv6DR m6BMIrxLVGzroZ2aRFgAczqSwgf1Tqjepb7upAuuNdnOtnmy6MLLUjYgaKzwIdF7CSg3O9XSQu4h Zp1c88XgYuReS2x32e+WugeTtXMx+v1mukmdlttRUi8W8mmiJPV0UtB5iuDn/VMWOW7Uf9nUS1Aa SAbqOXZcPpq5E1l9xlP5zz/f4C+qAHLihjk4c9PX4gi4WREkWfu1lyno1l58aEmf8wsBVxJkBX9t tO1suAqW6Yf/HL57cp+1OB3jGIDcpTQdUQUJ769EgrmaBciHojCebRtSmxwJQQ+azZ2BMMcQ/Y/A d7Ul3hplKigK5aYrEGgUxdkvT92hKEpS4nOPW8gj6Yi47tNscFjEqV7d9MjE3PEOHesypm2YaKYr Eo4wBAVE1C3roR5Un5lHeZzU6+bAU/c4hnC56iG3PsHgeWhtO4khg/YuCdhhlDRcPCdt8D2pe+1J /B5n6UFn2Od6UuDtbMHrLkEbc/9pGMlf2q4UyDwEi/oAQ27Ax389udgIauWKol/dJrszKRZWGazR bU/cH4JvK0PiZhdbzexW6vaVe6lkr8+CX+qm89CZsU92TTeJGyASw6ewSEdwEs0y7SY5tIHrsgWf Mwd4VffKfFU8/q0wpWOfk1gOECot0euHAAnAUvDesc2H5wRzTPQ9YJ1SAvqTzBAWiGJG/VU1pMVz umDq85Y18OOoQzljODP2aOQQVCThmOlRY4ifLl6ja2QbTXUb8nLMwRvwShEqfCiy1agxE3erxTMp bleoPi06ptggYEBtIXbkjAkOKwmNATs6UTdXWewiQKGmb+J1cwnjKymOVtLxQYqTLu0KG3KlH659 zQTRlDy2s2GtcNtmFWllgbukAAgAgHhR41jaa6qo62x7a01H5EQAhQa2Fta42ykAmqXqi6iHCNi1 mGUFoCsbEkqjV910MweYRt+Dh02lL5rBYqRp7T+lacrhaQV6n0V9cGPtwx/XKdnxaZtBN1e7L+mP Zlcewrlu1gS9Ld6zTGnGZOTNV9gScembRxYs0QerJjSeopJLW0to/vEcb1Duj/R2PcetTqJFw0sC k64rs8gox31bV9SWAEr1uu6aNOEISHlDfOr/4ZBh+LwvFPo/HjYEm9kTpdTe7SYk9F99oJiNmUn3 KfqzHumHvLBDj3kVT+6enMeFafRkXk7zfcSNGsZVHhE2Jf/y5YG/BLUStmK5JQ0iNnwGKYBYpJNi TyJJ1RNEfl0AEXE3Sz4kOgwLwkf2wlM3wR0Dt5DNoNuAtm4cJ9ktgDCzH70Dg3oSw6VGq2Nxev1g 9MYoCuX4nHiYxLHNDyjmte/r4HaKrpYt7QM+Nn+Id07uFbvw2mV/KkDhR0fCGDaCiyFmVL23X4nY 0/zCipwbIpDWOJnnmqKcU+O8Tn631iPle9ojoNj7CYm50yPar0zW8v835be0Bp9PynfJFeg4875O n9Q2n7/5Lxy47Z303sJCDE3HuPITE83NTHllX5fgIBVs2odJ3LE7T98kJhZDbOEHEXiE/7LPiaTo rjfJ/fd3Z5HKf/LrmOkfXwwp34XWJLZnCXC7i9NUVx1S2C+pUX+ya4ozCn4UQnov2OeVLi8Z2CQK DDXEvTZx42mx/UIddpBQuKNdFfyfptGes6YaE47terD6z2irpAxrdBRXR6AsGrKmr5VE8vT4+ChG hIcww8cp1rfX3xGi2XsdTImS5nBdN39KK6SeZwyZVeyxWIXdXqqAfuCuVhvL+R6sMmbrIp4/JuZa 17ZE+2rFX42ndikTYO70vzkWdre59DlvONzjcOicuOlwKw+W0ncy/05mEujdFPAAw5Qdl+eDdgRT VYEPoiuCHU5zqu2W69gl3wRDM9x4pg26lOLLFPOpLSICQJ1VhdzzCvmNK6wRxJFVJyGI9Qrc46Cl Dv/PuAD1LfQsbuDoIwj37CDAKL1IB4QYyQn94stJSTkvXj83BljaDI5wNUZl8fgfNy7/kOAXw6pt 2UrXZt5xtaqGfjHaVQcgyjBY1NaaVI73r+i0DfyN8V4LTtC2b8eckJadCyj1rIxgKSo0y2DGnqR4 f4B/r+WhqtCx3CqQ2ySzplrMUY337oAYkQIE55kMdXhIJAeLx7O8AenSlh5sBpdBpfhlmnoVMwwm W2yfke6apjAkK/G23nuQHakva/fn2tStXqJJUlgr6pNOUyUcGHKZgYvIu2X9NhwnQLhbYT9L9xVs X09o/FY10aH8CmrVF7CwfyOdLQkBpmOFt5od1ZfkVWXHcaVGf3Gh4n0q1mws2Fq9RkoPZ7GuvGx+ R/IaE2x/xpS4qiCkZ3L1NRqPDNksF8uq8itBAwJCztXdrSRsk4gnbGVoNFY5N7+1LtaPciCdIAYy 9Xibqj8h0SJkdOnmkkIGZl+iMBk7hzIfis5HS3XvPiPizrF0xEiZ1p2tlgyY0WRh7L1lEl2tu/wn CnyMqfWlaTm4ENba0VmkTys1mNK6zd0QjnRy0b8PI4/OUxoSsfYB/M5A2TM+c3H88WLfM/vDK9cM DN50kPM1uXmFrCPoBehfXm5ORX679KIytSfsnbkmawCQRSYp2oodKteB1Bq7X8X5J4Vy3+1O0Wam sBsRXz+7AXJCoKgrFsC3KmqTo2kZYwxXtmtngOzUC+XBIj1PYAXq4wPFMtEfSW6LSHdLDKMfMwg0 volbm1fYfNEhPRpnENVjyB+TAgk4rKhNScRPRtygk5FMsuw+UwrtE9cMVEH2f5pxPZfuRjGDf0h2 XA36Bg8SboaHl3ekNv3y+7tx8Qc8AxxJk5ZqDD3dlzl+Zej/w67JmlEKC/vtu0oUyGwykWAsQhsS WT7Vv+0CUXogr3UZoU2P0OlowgNgBlXyW/CTOSifsvHUNcQoIBKhKM2zY8cbPe+M+wAVBFFJwmbS xP9GR5SxVn4E1gI+PY0L4GXQWvMRyB+GmW/q55U4BGhNeGlJ8hcJOb3ALluwvU5sqPk4LYLZeBWB Aeppk13emI+Z7jtGrMjiy49AUDEgcN85uebYgM96g9RKqxuxP+QyUPQ3svymTnWx8a59Mjb7WG8V Gni1lmp0AvJ6Nym2or7HzxzfaOhce26d/6C1J4vsqF3qxwo9mgNwGXW64hENuvyFk+N+GjgJJRan 1P2UsND81xbGZUXvQgYhOkiVVlnQyrm+rfUDbiEQDiI7TG0ZMzpeIJCbLFMDUW36sg+i2WGhoZr1 DgfaZjSolI3/TWPnzNrqE79EHXDIMp45OiorDgr02oALLl/HjiAjCaKuTvM0W+TeG5dPl/QYBoBH ANrZ5zZgJV3gBuWccfGKvz1SxXDWK5SL+KSB869Unmi/y0F+VEW3AwbQgwELw/XxBmDg91XvDsS/ JkPfTysS5xFkVOSsjniD3iQtqpvOJxSmGozf/cFScj89ocnf7gfzjgKWeFCJICQl4p/Mn9XXUSxc uEaN0ndlxu9JYSCgzI+mI5M4PfEEUelzZGSSZmUuGZgbcvP6sRDcXmiB47GESrQGhNQ+JfsW7DGE 8pyy3UQxPDuNpt5ag7V9/ZEG1De8OJ1hTXuCdbEzk9z07Rvh3xpjyv4yZThnikoQ0xWgOHpVd4BX bmj9YylNyKwEHW6Qe4Z1fLKJs7n99F+3Q7OjCUbjZD6iw9qj8EnW7b2pGCnZvh6h/NOAQ+QmTaRb f5CQLhtSqAMOHWZ761+/E6ahv8ge4xpkDiXlfMR/ul43E8HcuQZ+E1a4iUpg9Fo34l0Arvlcvsi2 fJpZ51i+srkgNhyj6xQL90VWDm/at6w9g25USmnte2krXmLZ7UmnDQTaf13tgeqk9UwAw701KyaJ 5JqdbDrWAc/ifNI2cuWt8rVDr5BVpfYM+2YC/lFQCh/s+b1iHp6EpiK1JBRFgBVQLE/IUhOTSN0m 313biZQo6oLAAOksns3f+TYOOmr7uObvsywOjMtXig3zRshodauGbHPyrCKK4nDFVDnHJLiDAnWi UnGGriJK9UzhTrDC65WzRh1zbM6Qe9JI9y74AiAtvHVL25Gvc9IQJDD9JWTX5Psei7q2lCPJLauX Seab9/RHIiiJpoYv2c7S91w2fB/7MA32C19hcHQRnNetWSyZnY/YdeICp6+jFdKBkvvwihLJpGtd 62CFJ8eISZQrTQ8Yw+0wHANi+rYVwkkzLKEu+TcOU4HqmWVxwA9FnDNa33AlmST9Akm8SbCzSMbK eY0VB54KT4Glg/ioEgFEmS+I44TDS/g3O5Th/uyYMfHQdJXB2yX7jbfEezgEJJ/sKmiHad4zJYPl K9Q3Ms0NOEAsWHgg4r5NBJQBEbgkT4/tO/7erG55gOrIB21SLYoNK5iHF9m7W0M+I/urHA0iX7r9 jnDgVWD2Fe7HsNYl11lC5zpxsG6961KF5jFeiE2LLBiBVcR320hwc4Y89pn8byKc5vK7EXAFF9sm Wb4vkRtKGGJFYJOCRQcWO1SoPJXBVA7t8oRx1fMczlMEBJ4Bs64+Z0NfLmgOZJDVQGX58XhFI3Fz 5HmoPddARmM2muzn5KsEsG1rPwbtPD8fKXK0GCmprJzs+wv2yH7E7tzpYQW7AOo8dFpzq6bQcVEr 3hMcXBgGVp1ND2W0UXAZl1pmQeatwtOW7FubPSf9Dcv1zrIFlaqd0ZzXAD0Fz7MR8yaWSegvmiUg q7rbxj1M79nnsUo1AcTu3b6GaCs4XmAWSdlQAUO+wdpmgaHGmGde8/4BdF7f9Hnm4QzlcSADQ6YD Vap5mFFIob8TbswY2wiYmDpLkXl/8ipNojLiHSdTCBHsqU4tRCLlVypWy+T6UUEPbMJusYh5/g9O BubQ94NAThCXIiZeH835RxNDWuQD0HFOmFNZD4wCAseoIyKYrhaRXVZVbifiJVSNjUbG/DpVs1SE HmsRel2t6CvomLEhPGekyi0RPJC9iCZmmM+y0vemBidOz83yyRPGs4X3/wgpQLWvvqLgoNEm9iCz AdM9fMcLZNDkyMTCrfocR/m1d705LvLWz2qNMgKrfVL0FCuf2tQGkbBk9kpd7KNKa737jL3OHyUD 8zIk0RddFhcvQz5RHeQgTaqrZQeq747x+8IXOGuy8lYjkTD2WcOquZmVDVrfJ/SNLHin7KeI+aE2 yuar0YXdjkztKbi46CDFiNoJI7yKTUhgWO0rYB8jdlFJTCyYAVkVjVH3uFzP8wDz4H5m4LvvXtHq pnehMog8eKrmlgci1hRsZUCWyv0Ye6d9obpKL56zcvXm0CK+2n4YGTn896Au580kpPSkJr28k9j2 uSeuhp0j/4d1o6IMp/9oK29l4m993Xwj8uBDx0o5t2JG06/fDO3aabiwaJJnfMzVHWF+jt//NpfG 7WvQ74iy0ezevCHyNfxlJlVDb34mlIiHGmV+ijgJrHxlUB8yf/+r026mJOrNLhyVM02Gsh99dhe+ fTsY9od2LUg6qgMkdbbFLKZJLYfliaVc6N4gD0eM4ODc06xUuxypNpngsEVDamL8pDQ1a7l/jHCg cY5hXSUxYSnIrysvKAybpc61JLEnsZi30uEgZ7qRoYtpMA4cRFgkBLXvomZwLz1MI0ZF53tfAFbS p+glVHvm1GhrMXwW0ATjWv/cMKqDxsshm4fbCelh6f3D8Bmcne2HgbcEqZgEfaIT1V6Ma/TrpFyJ eW7s3QBdEHZEm495gQbH17EehXepBY+rSLNhapJAYx70zhbVki7E4pK3tnuJftyZKry2GIyh5FQA 4c33nePzageMB4k40cEaZpO10VOq8yqyjZxp5QAFRF/sn73Rdj8qB3F2xW5AdUeztYKhbAymPkKm qYU9Ahh3MjTvP7uJ3QzkymIF3BoYuC8Ktj1sWgzm8TAummMLW5PJJlyHqVWtb02i1buq9cLN7Gl8 vpe2BYe4ByHZyz1NdQLTMP7NqKwkjOUQHRBKxxh/RgSA8fg1dwp5Viz+gbQtLOujwxTGCHaQlUxk 2fpkXKm54pS0fsvpkuGte1o+dsYhb5P+HUSOcZwi5WwIG7SNJwPth+pHZFY9CF14UaZfQyvWa43f czhAc94AID8cr63Y7Ggk0IMO7TjiSvjgS43r+LTIRZo+lNQrgx8N6a6Z+QVJQa8oBC4uEn5MOv61 vn6P5EsApw/P6OhFdy59EWsjnKpWyioaAgNJvWEaqHs+F0whnGOvN8sDi3m46cSfT4eREtvFZiQz 3qmbt/eaHxmGq/iF2IfcK/NF/qYnggun8jCX/Cb4LZzCLw6RF+fPgCGMOHr3CjCjAi7qCV70pG7d W71Bl1gvLPFUmy6Jb4IxgxOR8SJ63ncGPV9jgJ3xZCYcUoVLMyoTb3mTY4gvgbhhmv6AB1ROBsKh PmB+gCn924Ra4rDxSejuu4YxfiAfomyyLCgEpdMesrBcSzZy/ymlNtwWhzX5D/DfvN1XenUmmPKJ NS5B82oQVioRAc6EGCGBF1SRsUfUoHrPfsEW8bB7GPM0oGlEZBPYUAAMmqfxtAzXmv5rzvFX4J1l szIIGUHcGgGJtoMO9aE2L0elOw8ZsgQA0u4uQwbRLNZ9xPCV9wJMyeGZD3QujC45wa2ltFupr6UD QK8EYRH+LblH3V33FfVpxJVnbE7e9pcASr74VgeF891Sp1LBbxoIaLtywKkFgD8MU+Egte5K+61V G3OAQdxm9TpWS9/6HYtSO60ivEbwAFi1sxn5hkUKQon2UQj6CU36Tvtd6ThJ/GzrAt4C1XAdkRdc vQ0f2RNmaiXTS4JHLbF5fVs/Pzvo/zugvcrwl2sc6FxJbgUh44Tlia4wFgktoclqwZFNPSyYTHR5 dzgv1Ca5BYTV5kFLNmPcYZlkzhmN8HCpvlovM+6do2fr2BL5xKZ9EGACqEDbP2t5PqD7iWYhmR2H xtM0e+1Qgpt0tNfecQVA3ujHf3ih2xXEwdEAvSLBmXC17PPZFeBeo3SSp2r5F1e/o5OQ+Dj4fJDR zoNA6SijxtAlk/lNF8RpWJ/IZSprKoJiQOUIFXzTvq1nQiKfz8FqisMuNHKeW2Kl453w3Hty/oQq B/nZMwmOpLukeTlEFJZ6XJV+8kjMxwLnrickNPcjyr7OuI9fW40AuQ7llM/eLxk62ZB3O+FpxATt RsVgi6o8Kp4z9MJ4XnYevu7LyyJckQYtIlPm1laiRMjtgrMkJtBs0xayAIeHLg0FCkB1K1G94z6X llArQUwJhtSLDCMcEACjf7HDhrHNU3llTqRYGrS7xkBRJWmQMs/t9Fkc9egypcufG293SDcPjY+v v0XgFWMC5psqAPvDGWCUl9RHVSamjn75j13qDgMCOQxC1MgwP5sBRfO+YQaHe13QZjWcDlhuOW7o zrzeeOFgfb6mjVJ9vJZeUvqPw3ur/N71AOJ2HT76fcsGQTCYX16wqArHq/8IKVb5TCXjNuG9H5Gr i87jXz7f1oYuRB/E8fEWojd5/FcibepUL3W8+Npc85krLu+xgusP3YMdxtKJJkVLWqUXFQNfrXGH GYKrAXK9LtWA89oeK2Q3pfzf2+/xs3FFYCCgoaTiLRUba+G53armUdv6r9TJMrrnJVPSuISSdknx fCDS3cdkbN0EPSiU43Qapm3/eUXvsP8fPp8PyyFGGgjbjhuDjk1Kzomsz/M3a6KQugfY7yr+8QJV 3zolTRfz2tLA0psfNrCbe1laPhZMpacrL47TVk/fqcPjkTjzpfjBzYJU+Ul+bh+sCMiNTcck5SVL ItbxLaegZYW1vYYBwd/H+nKD1mLFjs5KFPGzLOM6314lmHSvgq1qsZW3hDtyzs1rXDt5MHgEKv7r k14KHvI1jX4jH6wtweLVzJPWz/nvrsJuYdUs8fwXEq2XOlpSJ2BVgITxaJIcQq+4xL3FY7Lhvz4W N/A39GUv9Cl91uHEncyUsUX6q55qLC64xDZCKcFjmoWiVwVGbmbOX9hBN1mBYlp8PtZUvBTGaJN5 5ShxJraNgLunO6T98fEbaPyp3ga3ncKdIb99Aeite+p7oz6GW9rsG3USAVIiq/p8h9uyTp0545z5 KcANCNY9mpOmJU0zb0ZJK08956/87DQm/iTmtL0gi72A5AYAd+0yBiLz3HKy2fAtjGVM3XnOzI0p PqNoxkdc4PNOJert3/kafzJlOsQpHXy/PXhWXIXSBLS0OuNtaFVzifxLzIybNnAIFfKyLsB0ydRW SBlelWsytaHDIUNNepYXIDgJpZr0UhEpXDf2G4IXYAuMuQbsBX5yL6osC7x4K6ykRpKc0hopm4Q+ RkAyQp6yiLSs4Zw+1muk/voxf9Cu3lDeEOBEOwq9udYsRfbriqRJMVN2oizqXI/eXQL2ekDsPzqc fljUJxhND8YWf94SFM5y6beSO9SguN+C4KQW4ndNaPjDSBrdTqPGEPOr+kKpmm/PjbwvBmdkTWhs Xy3uJyPlN9pENjo19mCd9y55/SlKa3FSsKxuKdLE7WX1HRXWohtzQg+GntZ//uUt6PcI4REupZ8w dnslJ99FDgu6LIZ6Pwq6JP3Ftk4xXD3g8HCQNr7RbM9nSyvL5kPcLWAJs8tQ424dP0a5JbnDYfNL MFISHlqs/E/pGVPBsVcu37bqtlnV11dImKl/4Qoc0X0UrcwgJt0QazxkmEUejv9P/FBw6x6G9Ckm P32cK7+732799zPA2IbVEOpO2JGFKf8SPgoDwvNyHaNXv+zlE2+93luTcpLzMZpQmkKtNnlpetBt YEQkcqQIOBKET6hg364hmLUzZUYzeHKUXbNqqvn30PRD4aaU4IUGazND0GZfGzYC9EzamWsoek9e m2ZtH/XNbW3gD3Fqy3QKe6r247K2l8tlYVEnBMOUnw97AYsIXdge4ehNe2Q0VXjfiAsgspZ6Li8t duRimTcHLFnYPBVEHuuCItrfIwp/eU9IvWxx9inGRODpCcr2VWVuhiAsNOcvMDAzWJBSWvlnUfDJ JHE3wPCwLqe98TDSpsAhdLwhjor2hfgK4/KGu3JWisshJ0LIKJrsq9wC9E1+4I/6Ux2JwKffHGqJ +zXUyYQfrhOXk127VGgW5qEj2lONzif0TwlpLUefq9GkfNd0C3V7HdqSjGSLFnKKTXSUl34WuRyJ h1K4X4vBEWYJU0BXIz6PjxCiVdN9oFUQCEmngdCTfKw1EvMDZHfKz8dzivpBP9VDQVrLHgKJCqcM xIiZORsSRntQAf9Sy+pjf4oLtinyj/WHaQC5fqdcs2eJIOIxg5XmiwETyf4ZyebkzlFlpMoqRVs3 PnrFhupi9LeTO+7yXiT9GLMh3u3VBZzFIl5ld1AMRAKMMblX6cZnh3oUf13F/Py5iu8o0jVrXAlk HU+fxA7n0eo0b5pSj1K0bzkysrbxOVgytQooEmzYpWx4gZ7O7Ekq/tdnlTWPLASeOJvwgy8Z76kJ eV5HrccP35lzMMpWe4Amf1rLUmDagfUVR2CTm4OPP6VxicbilbT3JBiRNsWRRfGU+8yW7bMRLkyD PZu5uK2nre8IMaAsjX9yusRlPiGWf9VsRaM3qLxHREWhL5KCVMjYx+5PX5Ks/g/OgIV3JeidzRiX nxbh3V/z1W8KqM436IZf/AbIEK0emxfEzU28bdLboLsUr76iApdBUC8cFEvFh2LNMX6t2VFSwYVl 2aUTHkSQN6LMr57ZSPSe8gvEhxNqwy1Tyb1gdM0jQl3DMRTBqq99l52aftEbjIqKLU/nNGzq54E+ r74T0rUzKJdG1wE78yRxqUEqJTP0z3z59LJyRzWkEzPKOAponvDp51duUvr90m6NxwuYET02AO9w rlAoDFaQSQBxBWsXwyJ5JDW7c1yabxL0Z3hHnmaW3Kxc96ptlCAsSwvz8zBE3wqQVFI6S5IJ0R5h 1ZG+4YBLWW2hK0J9uaa2k0fg2ockQPmNesIGMdEgDbs1CpzUHBMiOHtnQLzLReX8/Zo0bEBf54Zq PNWZiT6dP9EQk+gp/BLw0F27VeNZpvd6rNYEtmU8XcEd5qJxuclMqyAFW1U5XndzaYkWtm39RcbL F9ma7CcE/KaZlJBDjCTTKoYAg5SCRpYS2zN/SKqVPfUpQluetzP5xbzfa9br2uVuvhOdGaiV8SVQ 0eoUCx8WSZDj01wklE5nz3C75Guf5es0Iwcc0bdZ8GJyb+xnoS5AjByntqd9+vWGFJPVTDM+IWPP mkQt7wYze++lSITaPineXh+V1L9PGY370ZwFoFevN0F2arCrsOGBQi19nrZ+qYH2CIPF8jGFPEMT 8lR2V0LY0Hnw53k+jKEznMoDrAc3gK0BL+7hP3D/BQqeHcuzip8Lz+eS8TNbXwWH9Hb3y5Xpaqr7 pU40qsw6/psMrb1EIhgnVOkw158N46CD61Vggn0oNJJhiDIkLCwDovdrZKc1israPOCEbu2/XhgZ EzLr9cUnI/Z4o6tj+1P4b1KX6qfA46LZ9+tB8kL3OteFIKgfb782ph9ExSObYmEP2AJtnE3cxjwB wFnXYBuG0Ev4TmqeTa1ga+xMxvL6vf0ka2BE7PnrOPA9ZMmq5euMRwDgvSJBcctEMIJFi9FxqBSq kAQJm2nldfPBUtP7A0xjdwpNgyB54ohsyxzTFV7EE0KnSv61DL6bWqfrNAa4BwDMSwemrZe1Pez1 SxMfR7wdKC4dsJYPu0BYDj5ChwOlrm0vbb3J53Kq5xXsoS8iBQGW93+o3grZC7eRcrrRYsRMCDyB il6xu9LaKHUapUZq7DLxVsDUNkR0ezBB+1E5RDdu7OkNoSb/sDTdYBxDlXuxtQufgb1fenk10Sz/ 2U3Bch+SEMElbTCYxBjme/dOAkkJ0l7AMNM6/bl5B7t9otjayZSnoSTkQm0MkFu3PC+S8lU8F6Cy n/KP8PMA2b3WF1Ep0pl42TgWMYWN+obgQ3iAAj96heVIL6v5oia7zH/sFrYkwyHYdWypclHIvHw3 FXbeoGvE58lgmpcMMd+MqENPDKkeci4go3vXMok85wleGXh22M1TFRdNiEQFVfoBTvKAMO1k6V9H 4qAD4Ww2OqXMwfj+zKyunj9oLAmjxrXrzX6PsA99ARhFdILzPzr2f6dEqzuje930N5j5TbpXKeZ0 /zFDbo3Mfp04GItt8DPN68j8tGwJxEB02AMezNhJVSstHTsdkrKnZCnbAzhwA0BBMxwofFtsMSpt N63hXEiubSJicZuMhcBhsgrjpf06BG9U2Z89FdGOBW6vgtCtXxbpegCDzAhJiT6atKrE7qQ/i9DD egpwZck/GTKDLnsQr5Di5moFQCG6cRpd22EFxZlQtkHJo2uhuzJ31IQcZ5zpIz9EsymxGOIMkUrA 0Jt/+90diOa0LETKeZCexY7sejtdqBfgQbtYadD47mpqICkGsvodGFFnj7UpoCEPQEgUxHpcQg8C RlGACITpBMhs2I9n3UJ4P6afQjofzLFpnVTkpi8G/Dtl9GuRRbQbs3+e8jXap2rOK6tLeZXCSA/V iR1fzkGG7BuOY1UyazXU+V7evzw2Qqm8bDmy3v8Yw2JYgZfEKFvJ8KLromjbiTz2+8ILRZA1Ie+v XjglONBluF8j/bQMtBd94pR6JTO27Ky8zNEP7F+XvkeE2oiYuU+ag+Zg9jrWuOg1QwI5dFsfuIL7 uQdf2GcpmgbnN3PLgfgITu984pp14dG5KZawJkHo9voliAkfqSPfp3G4YrgKJURdnTEb3Vna8ULi DIb33uSRGc96XIYzQ+UZBfU6VzQI1JsHsmm8vFMeEMNizAxANc8mOxpePSNqywKlSygRM9MHkxXA UigmGW0wklVVCkzuD2JQBRMp71GX7gV08MbF7vyIxxsPyV0RenmKDInhakNH0kUz3kk7qh3O3OD+ Dy930O90udtwa0Zo3K6AHnofd5OpAW5JO1DQ4xt/xhwG4XqqlXSYqI5r2GP1pF5et73p/p/wT+Q7 b3BLPNPzjccN42HHPapbF0lC2NhOWUHt2kN20JZrd6bT+2kUftpGWtkjLhDh18AIszCdPdd13xII 3rnob/p64WNHyM56ubrTtL+wyj2BnDtMT4MQ8glozcH8BrKOpW+eglK3pdyoBV0/W16eYYOsiUjR t+QwmmeNmP8BXwZDh+KafBb+Lz+Vgpp49iDFXJBN/pVK1C93UcRY5Uf/DzyACWlx1IzAoEpzCfHx YbbmOViNEpPZechhSb824nrqDGlemD2tbYVofHo9O5Tb05DOuuM2qWN8aRMfNfeh+epSCUag6LRX AUgZYG/VCYH1LU3sUuJlb7xLwj+cnj07iRi33t8KOgcuWZQFqdkXm4/mQdnD+HxPmxKUK2vFVW3A 6EJ1RwMt7MkQ5G/l27QyTmJcxV5zE0trNN+LJTiED/kjHyHh8JQ1646krBLf7MYc8eX9bFocxzqw 0cLewiKNn3vgmTQSeVmDydPQX5jgvOxhetQf7WzMBycM73WDg/rzg+1iVfrConhXdtiehdXd8sgz 8uTuQXgJT9dcgW/pAFlzkRCs7xboe26bV/I/j/I6czfh/3duAQPJQ2DkXoRAu3LbPh8h9Bxj2n7d vW/+8C9vMYNt+jxsZfThvhvpbWlS4O5PDUzuqaQN2A2TtrPhsF75edW34taJWP2VuGIWj1Mtwsn/ c/Pzfyl0M2uCzLCxMT2ZQaYlh842GADnd1QanpmNmslq86XA/ogBJlo8O8xIQ+8JOJYpXGPUbl3u wmNAIOZw/+At20vcJGxXeZDpsb2wbXLfSFJ9Q6nBdr/IfduX0FMyLcqmRv1Z+ufJWuowrWiOI4UZ ZpLjmSJVVvEflBd007NMDBIrfqRWZ+svwISp8miyK9Y46wamg1AFN7Sh+/a5pXfGf9GdWeGnVq8L k3sfB73qr+kyHD/IJjqisE3afpqfV1LbkFSNWq9x5wAI8KcvEHcf1JhRdOvuz/bgoRoXOWLBJHH5 VWaR1IWbey+IDZA8i6mwVyhDiPwiIIvU+3MIB76+7jfOA1fIBbj4+4YNebW7lq1EWucDdGYTs3/r ThPMv+0b4Mnb/kJQ52eAZ91PwwU58Z9O0QSSsEmPFuFHP1Or+YpYWRvZv2shISZmzJYRgPohOT1t o2iBeimrnEABPPVrExYPT51RXY5EBh7d65mZuGu/fwInbAHh0LnZUZSQmnhRWtv7uCwJ5vQflBwV IneMmtCP8e0Mo/xkC1EAsn+SQNIuup/mx46SKAVvhd7XvR4KnLMZVerNPsOKK7US5w00WU9W/vhF DASAuNTlWF8v+M0Xe/XIDnBGPb5kSSKubDaPJXVJvdt8tZByhGQ+rKw2yWvdZFu2oY2JvWF4/UlL ykMxPNWGUEKhIWSXWUK7CvM7OBK5KHSEIkWUxvr9Qs5u/XlgZA7CCfjHChDucwSfBUZKY+k8LxK4 oS5WghqPuFRFlnq8Q4d5dhJ45bIh8VE1WrbUsA83jcPpT4C+Vy+LNI6mykSaXYQ2d3L12KzpFX+N c4pDzJ3WBs+gBtlUgqaXtVDjvSIhqvqQSfr/OI9TooRHnTBJUUEoF3Wibvbz2oDvSAKdzhA5okUP GTJ0ZxRUvWzQBwPqup95OVX2by1p5HwP7qnQqT/BH+Pu3v5BNWo00KAX1bg/PZ45AzniYFOY0gpo VKyr9BxDkKCxlzc2Qb2nRp28gVIE4DXatSDXWpZ/NBFhhfaLq2lWn8WWKMC740vVhiXjREYk/e5J WNUZkqZPiK7lKyI4JzaENKXvQGUGbwZnJpXsK3Jl/+ZcTMQCpVLOE4dUk84UIWuwSwSEOvj5h2P+ KXgtPvlQ+9u89fv8+dx+DWLliVEZ2wY4GOlYWmBPV42EiEwE+IHdYAKJG86KwdCbh5shgc3opVF/ w/SQL9sqwIkPOtsL6bjVK22X1nkLzEmB8YITbyNBKWKvE2AymsbvpgW1hWGPuH2f46HoyMWM8fyF zH4G9h7OTkwZT1S3DAJtKBo3j1pHXsZhuAHMf7qyFGsilIxAgtAcb2H6S7kEj0b9yLf0x3HdseV4 0ZlB5BxXLFKwYfDG9HAVLjtF6x9+lCkm5fzIaAmvtCPlaNkwWivGi0Wy71Jocrd0p68s3jh9UmN5 C37CZww6lKbbE99M+ozAtOt3g8ZEhmME4Yvbs7enbQ2iGLuxL8d3MI3BOQ9WD3RNX22TULXBG3YV n0ZptKXvvhuWb5RxbeAbb0deIxVh/Cxo8UhwnrsxTgmjcoMBY37U1nh2wh/XH9Ke4L07ItBLXZqZ hBD/MMOEHg+BwYO9tTo9xw44+FYyUfxo57sR77VB1O5+krRij5sY3S18qsZ4iVzfpwpjDnSZUJPl 8wLUiVYGhRuaAhaeeyC7dpKaQfDcEkD8KjJzDtsU6t3yGmDip9TmJw+p55eGsyMpXjGViFQuIm4q jS9pp4lRrkpR79qSGie/YhT1K32b4Y3BdtnFwxE01YxDanp0RneUvGVavwiDQoEwUQMB8pxudxaZ KvsgKSjrqtgCxrZZi4nFYD/Ezg2q7YoyMEZm0z6sMqlkFL+rTe/CX+cb/WRKjo+XTg39ezGW9diJ wPbIdBRyTlVmlu/QtMsM7JuPgZW4ES8dCtoFxe83tcuUkI3wb+bMuz9t5+jqDR0Rn2OqAZHVEo+5 aECn2KkOeVpnKuXXGh6q4rwZYjB0w23YBSYOelaj1sSSa/bf4OKI50rZIRrlIXz2ZIQM2hjFDgjc DSOgdgmJt+XXKrJzU0rChYBli9HPLLd3MN37tC9lGKiaEY0hYyWzI0B0xhnyjZz4r+2A0xAfLbIC RP4zI8ype16/NAMd6xBF5ck9UOBJW4u6LPkzrL4Fz9fqOs6H201zaGVdhBPzfP01usb16y3VTe21 75mK3LuQX5FKtD436mXTArv9ljeljBisrpyPoWUuBG2VOc2/3ZtdjrZJmR5GZMlxNIppSDwWChwk TQoVVwiyyeGfT3ussbguVFnqjVf1cnwFJE9Qkk6nnYqNgGW9/KRRYdErebjXqtDLV7atsVF0Ip1B /N7gzBmox8UiM006kmljDvNIL3qMvjP5vE/l+4UX38vJm8gupc74ZWG1l9lfrLZ6X5WaoftvG69o Bj78k73EKOtM2/rQZ/1gCm4+6I4e+xv80kUIyzgKMua58it/AsqjNFBLDlB743cc5gYxnWjSHyvE 4cFsbGaP5R4ZGZknSlVJgNzmCv/fyvFgSRtXPoRsnlVc+rsVIWtkrFMOGrI+HZz1XAgDJYb5zBnU bov35JLxBtiD6kjt73SlBtSUBNVOP87HygbvVcblNIYvaOxv18qnwA3d/DkdFf64kmPhV6XP9HDg M3k/ko2EThw1Iz6DahoV/dkGTAjLNBJrzZcefbkr5b23ioEqL5LGfa6jzmahTUM8YW8QWprLqaGm wuYBde+pR5Pwkb0C9aF0FG+vnYns4c1EMP877qaJDovclyCv9aAsZO5WWXXISOJwYNblI1lJX0tm Z15P5vfj3lX+z9hTSbyXxnOGZXkAU0qzXYX9z5dV4+bLHgnfETWLKPxY2otGoUYsS6amHbXIC7RV TeXRzOhQfrvyE5If72GMs4EbOnTfz7IcHWjpgcoO1YI1mOTa8jrhIh9nECawAPD20yj+FK9rb/wC LqUtNxAbHvBuC/HrRZ9IhSmH6xT82mnn1GQU5QPHbOSPP+FK4VNy8QYEdmBTRwienspTjIy9sRIH 1F01GqecOix2MdzbgAcKd3aDrELg+rKr9bTLsjNVQUiXp6leblh7bRvSBp7ClKcp3ZNbWOPiAWfx P3N3IBITKo7tOIejxxPY1/5mNSxAL1VmPuli1PQhAmvXbcg29vHSeeL32/ZBoQFia7xToQAv3jA+ E1ra+S4NwT0P9QRX/nEE8bOxx9V0rnwLksdMULXG4uB1dKVsnLcVYxv3qNBlblA5cRPwqkQ9TvTT kL8E4rPB6tYcWaIoYC2artpWDipVScJUHO0Y3AJ3ZWhF92VSrivuyBLDL0ke8nJGRiTj50c/5Ajz gQWeBd92zU1UMu151N3hySEMRFw5g8RUBkeJCquUiBH5rZZzOOQ166SG39nzPG1I244Ux1bsuXd9 NSAXK/Him6zJG4GtraE18/indC+SXTvb9Bf5g06yrLjdnlRsC7jtvSsbMQ0+21Dk99AIwmG/O7Iv I2/NYyOTDKLh9cXAHEVBMVDSmde9zTTeI2MkhHb7I3WyYXKqwZ/Q47Rx1tIVye6btV7pshchsM6r jgbCBKOJk0+3su3I51iCovaK1Ac0oSS6Sm1XsJG9hFuxUH5ooo3Qp9s0tiXFll268YQWdDm3+xnl H16ZM7Hb0xP4irkiJybJU317IhZXD4Thhcivo//8NIw1DlUWMCVczGiMcK4MARbpBWJa+H7EpbWm Va0xYrRM6ElS52Pc06aE5f8ROWBqQEcMA3EQ0xPLcP6fdacMYyfvDRb1KQz/LjLoF+dWDfpGglbD Cu0JhlLWE8D5ppeQIVQNgB/FuJzR6YU1IT3hM7XYbBdFsMlppmXt3fLYMxKIvzeLExoyEvnDgrC7 HrNrU8h05/eiGnTVdGrJITMYB2QoKqL/2KP8spBco/eAALf+ROOp03lqg9mcAwHRCuvgQr4N6aeB YRTE6fCWOiuJfJEcfAIDlVkNMFas4PTBNobk8vEuavskqsTG6eOPmASMfw5GZYIUGE9diwNNtKjD +NzVdQwf21lzNliBABF4zmliOx7U/rD7VRTwT4A8JjM/Ogfd6XFKQG+GQrUnqTHKtHwXQF/bPT3o 41q/RSm8Iqp3dloZYwihsm53w8fhs16AAiVElfg2L8WgEmFz459xSlJpA+ZAiWd/J4vnsBtBEjxO oXYePhKqgY7o7HdIVWWWy7D+d8UdCRHNyFqW/8Jc6dTq1umH6oTQ7PY4s0oEkdrEBd1iLTXgAgzU PYleqycAmc0PBfGofdZ3ph92TLOHhHPZTtMFuwbJawbO//M6YeDl1+qfVOe5I1ly1LXLnAiEDT9P HL15ZlEy5dhPpIKs+hnLeMTBkLpvLpGXX6KGDInUPMOO58WHH+0P+MFVnIOkies4fRGDtrSjWzsY HE7zORiEllmC1xWnGRk1SADVmfdw44bzBYLAnb0CTBymnGyBjoFfeY1KxIGa67abf2+kM6hivTI8 YFPK3mYbJ9sBsfhtPhH5sYTuQETjcfHzgyP0PiRSyncoYNgqdjC/AcwkMhJYpCp66r49z5yNk5l1 +JzVUxTjOXfzlUrYfl+J5p+v4yPTabxJDs7K2SYEm9IxVBZMOBZskqTo+5otfVWmx9H7eZ9+K6KZ F8lDX5vUSZ4nRzBSe2kDLmjvBKVnBHilhRlO3um6gYccIr4ps4P/w1snh7PITNO5ej83pGLCXWal 9aqS9Tz7h8qmD7OVunndccNXPfGhz+ciXMRfchpkBXfIR11acuTEpHPd0lqHA6JFPswcv8xhH0bH zRwHOK5zTsiY6lu9ZjUynxGeeXpDq+Xo1tVGmCmxj0aHPg5JIi6N5ggE1XcSwOcQvYa+WjQX0wCp Hw69lRXbdU5uvkwj/JAOWSXrrVD0oSOlAU9GYc+Tv5UjxL9ZR+TGFnHSxq8c68nU2tQSLHMIIU+U po9ZbVyVqY9FKTgyADWQGRM3LolQqOMjDnSnmUbku094Pilkff2bUgQsaikoto2cJfPyka1IWfg5 NN4xFXofi2DF7EqEF4XkQuCAbWT+RPU/rqKZrqEwqSDc8N8ishhvMdeSw1+YUUPxRzQpPvkb+iXA 6BlPzmCm6BgqkLb6tca7bSM7O3Vi1jbl6vuZ6L179Y3n+fuoYpeO6rILes/uo+6ztXm+Am9ZTAcg SEsXybo96XTh3S5LG3lALY/679atJZ5LoDKz6wR3t1aOzmUbEfgBXYUTSauxVK3ZgdXwnTR9sf9O LS9EiL9hxrCaK6UoybWXzoaIx9/BiD9OKo/SqihL5utU3Mxc4DvMqgk8AuJlFls5GF3d2fy4tODE 8W4MmMv+RjILDUGemxYuN5NAVN4GqQryyBOfFm00IYCipc5/cnVSclUYW4ACzFeJzvovyNKLOLVr l9ikk35RyaC4cEtvZ5LohrVybNMaeZaAxgvc0sSR0zCc98qUHJClYqGYyBsLBB6X/YR+cSJLtuZo VJtQz/BfULoF8It92TMZjq708HaVTBOttzhFR+23GxvPid9FKevWYaifb/pQafaEoq3TlxIYgB65 9x6X8WQ/HN76w6wVQ5TsSsAUUFbu8//gNqxb0YoBSFvITCJBDv1MT8xk10rkHynQMSc/3/VYnwN3 RFzY+Iv9BjUGVnT8+CxnhTDEPCbyKECd9mIYjUU39CiE27hGTLCQPv/t9EuevnlvHs7rYV2DAbE1 IuaRucRmgDty2cG3FxFGPuJuSxbn8mwV3OrmFwBArBTgXxn0MSzkC0xIRpDJW13UD4gxGToqS16F xoWdd5HbaHs1YTa/7ZjxuaTAzxo0HRNR3ccfrSmGjleaHGFaeA8bbeqKFSEzxUSJHZftSgoH+mXA w4+gGQtEqf/lcirKg6IzqjPXSWod7aLRP8n2I5G/4AWUC5Lg+ilk+3DwOPa0hn2rcggR07w0qQHx dm15zk2ebAHxJmAC6nuQNSlnIcA8AuD5K9w6v8Alnj2CqtKWBr06rK2PskPdmIf8mh8Cwi08M7R6 1BpbojR6hJIBZeG3GpyyRf2vQY5FYNGI146fRmpyjPyOPmKiYvVWt5PuHWXQ76nuPs0G2qNxdbxz es5E0+NQc0CZRn6cWG2VXSnicCOHa8vx2cB7LiAgNWxVoVauTrMk7H6+qzLbFYiXIdtSGulfSZMB 20+d2WdG7XGRyl+nXDzs/4hEtLTe/64tl0o65ueIBZlML7sekJgwCNacWY8BnrgucZgVXfB5eeYB HaH0icR6WMMJ/Ls/zmoBsl1NeaVsfCeD3JMylVkSsG5GfrtyTZxh9voiECJUkWLYSYWPuMwbAm+K pZLOg8ERrLDnwpewLVFMTWMG2RRDgiw9LdM6Ef6z5PbGRdoQER8pPzXclHLq1k4FdT1ORKO39o8A PIzwq90quJd392rIFVGgF/R8m0/Sgfmfmr20c+CJwEh2A2rmuLy4iY8QEg1eZKuTNuW2TzfnKqs4 ve6Y3Ct7Q/qH5v9lHEKlFZ54J6PIVOfExdTWxvDH8s4mC/W2EFKR9u0MDx6khQQGvoR3VvWoZ/l9 G08QlT7Y2AcYCuQ8c8I41OpUqQn0xyTmHzhnD9ZEeacCvqGpyeoikCNCshv5XHTnsGajlhkdpfZ5 PJYuB9CSxNMHsrPjp0hMoaSRcABm171c+vXZzOlA5jQFh5SmBrC2ZeTyrGl8OYniTcdMBunFpqX8 opZrrE9SswJ9fwrn5VO9YQMNC3cQNw0sU0iUM8iZ0vetxxaW4qI+WWh8N1AGYKDKULMP7+FfGHNe Je4Nx92N2HrcuFsUlWe11OhKVjPgQDDudMcNGmWG/PaVxQbWT8W/YRX9jqiRa1PDEYc85X9oly4p j8wCMmOcMzQ16plDSm/jk3OUoHe60eXERfK6VsWXsqv2OIvINaWSHWVgZTANymL7l8CJc0OLZcf0 GcSK/GMGMg2JX+R/C2F941E05c2hPc8EOS7f3oAizB4C9d6Eqhm6oyrX5Gc+m0k+VZWM5/xID9So SlvV8SrgpjdjgNzDdPEtCbHhqhWF+jBHrhfjoDGZ6vNyjTRVK6ZLMmWXvXojWVARv+7O6n7dmOPt Dfvn8YpmZ7+Zan4bEmDM6NitLyCj5eO+d+NcMMCdqYZYKMjORXZnNaNfdZ4YfYnLNVvfkytEih8X yp+tctIT0y6xB/TxXlgvmN7OfQHJhifP1aRqg0MukcAdFhBZFOzw2+YmC6m5sBbq1iGECfJGAZ75 553nUHGdPrtcCTNA8aQdAqAYEfylA/NPDpvlgJb9Mv4+lK5DFRYy++Thbvsvsobh/cqG+aEToo2s TTq+d51uii6U6GCQVkL2wP6R2YKD8ruyycKVaL1Zja4ESjsmLx9QfeNnZOtcIkQar1Fb9a4uDKS/ uzsTXL7NjAFt9IXsrj8DUuUx/BimjAI4PFGkApdUh0/8Y3tN5hzUesHnV3P0xX/IVTrD7KIUThTd 51PQjqEhF2IugKkWJiVd34fgNFjtjeyXQY0T05jArDqsNlPzp6PC8q0wUw2274wfG5Mlgfy2oq/m W+P9fBfh/TZRT+qEZ33OYKQB7wkCW4XW2qyTCqX+HXWwv+jJd3eeWY69cJggxCfLTMWH+R7hRP55 +PYTFvUA/wpGhT3+1sc3IQGwvKwBeYfyeHCu571pfmV/IJg9ylP0HlCtiwNLXuhAWYwLYzBl/+eV LYWYdEckEhpkTNExiD215xeIoEvc5DVwx7/0X+ZjUn+YDWt9PSR/km3SDg/cZ+qZRNqINTWBe8Pb zJd98+DL4acQDZTGXQ/pUxxv1jD1YE0qmsqbeRBkZ8jGPEo3QlhIidFPhEREz8w7b58ympWkpEfV uiNutX2VDPxEUDKbz1mfpoJRx7qMoaVXQKXYWd2IF/UJZee9mcZ14HnOcn9Re6ebxppcWww982OX vwqAnjUIFhuqx0HTKZlBeib9hxGVxiiubVWlYxg3YFxtIOqVLDllKaNQuwQlir0Sk1msrRFLCDi4 RBHEhT9xyRvHRSTzpOk5A3CUkO5MvdXEc2Uz46i2neZcEu8rJkalNMJSFhKQhZMjoLpQ8HSRgx34 IvACZOMsCE6wo6ztUjEyStI/1K/Lmt2RRcRWpUPq594SxUQYXju9eaSe4Rv0oUO0wMsAbJyQJYK4 sh0lUV1noMamLUCpDztCJwp1zrbKOXnSNihmmRNI2i5Kuuzbiw+lNPro7WMVjE3qc1iIEldzVWGj Tr3mOVb6Y/t5qIgW36groX5khw2D0woNx7PE4LknTWnGHfN1K+50eFWWELlXieLoBRnI7CQF2PN2 Vz6XDN4JkvdEYI0Gd1NrLS4HgNZMD5UYL4gIYt4REq3cQb0Fbns2CvoiYIUMwB3CWTApvN4P+RT1 MZVCcc8Wn3X+gqULreKvgNuDmIF4W665NMUuFLQU/jHtrXH8n5nPjhaCzB/fxRr9fwdo1L3juYE7 1+5/D011egD2x1JYyeeT0SL75UzUgGnv0amiVtcNqee6PJS/2COGyPV156lVX39boVjfqRenZcqC pMNcXp7SpDOffwN/j+ch0LahjfhxK1duzeGzq5pzbD0eldwdXKFiT22cYT7NXmPPrYxOEFOoNiXk B9t08iod8O8xjp2N+gaws+MwgvOsaE/qFsOOQx2oyRboyJmE4DXBhbnnugjvDVZ3casj7L6h923E HZdkuDfPrSJlYqiVJj3Jn6MpMLQTcKa61se4aFRZADe3cCaA4grXjQIYS0+VL+cC0Z9AtAgQLsMl TX5W15+1C2G4TXDTX7TpiRQsKuYhf+HqbL8pFqpF9XdbrrYMA9H5kX1TcgeqU4f1iFSUIE74kW5a Ie2OGYa9/THlKAxMmkXq3cUsw0xxhVfq6hGAerTEoMFFjS6MYfSFfBX3iiOXpi8MmZA6xzmXrN0B 7h13+8aYcXP49wVyvlsK3L0O2qwLL0MW7/HtzAOZ4bTxw6exvsnCRPeJwVPsV4HNfXuTwSWMWOKF AKIMP8ZHaHje1Q37nZOX45tKmM+YJ5VxPFXoR0WjsCdxUTu4W9cVn/kv1Do2man7lAo+32uSoDC8 LpnpPneVE+7VE5QpJBA/MFwJgcypVYHySXT+oQxHb4nCNpub3RXSKNFuSs9w17XlX57VAIPQgw4w LGPzJfl4TDdXtD6IZs4Rus6A4xNy2YzW3UuLwBBj+C7CJ9yZZudgCmY6Dpsjg4diaG75MEtV7BPa G414L6xPggszFROywy7Wdrf5BE5fWAXMkzyuTh6cOKjMp0n5unSu27uXJQY7X3m7D7RzUO9KMAyB xtZKEXZ/JRJtwmozLA/i9nQcS0lLV/KZicJT58wdtVJ7cJkho8YtLO7DCzwK3cvZi1OdeSHYFnBj jDAeMURmirJ3j4HVx5D54jtAmr5WGFQfG/F9C/n/LqCakXHqPYSkXdq9J0/olkgRlherjO3IZi6G cJf1atrfaymwFmL4CAPuVEk9JafBcAs3ac85eWV3D5GrbzLfYMPKhKS1TwqZH5mdbj368Xfd2QYT Dxe7TqUzXLFiRotuZ7VbE81EY5ZV2lpWBQxQ7lg+ajasW2zau5tKJ8aTYemb9T0SSNZCASSei3If NBHlDp2LaHsF7z/ga0sT6xOeJoj4EFfJZesctMbQnn7zVE73zg7/hJevXvdhKpT/MSAoBT6h8CLp lIsU2zrOSDDz4Iwcx33Vh4D9W2OxwhPjCvfLdXSBXOgmsxCEUjo9+L4zGeUGovLzdw6dXL4O1LdB n5uBVYu5iUhOt3WI+qzNQ4qLg8DTPqbZxbBBqxVxUA/Db41uYn3IGyfhuLZlDcJXeTTVrUFvXzIr Npos2IcMW6vYo+pboYRiOxjrjDvl8dMdwiS/5T8dxNUG1/jR+Po/i8K5+jrH697iu4A8a+1ngB88 sLbitWdCKQDpLt0bPocLwUqPR9P0i0/aagZKx53GTXigf0G2wFPvKrTWuBnYHP3kFltynO5d8zwS FuQz92ikxUVoR+wkBFYbnH5rJ+grcJegwQxgyWaNldrCqzYB0hBkhe/u60u0Rkb2wF5biXeMF8qs xPoE8IZf1+FcS2kYI0EwUJSY5ytmSBt68AiTEEIPVzRQSUmlQxaxgKYU9K9qkGlhU9NN1lLSGz6K QzK/y1/MbsXd8gnzfmI3AqoTxM+Kd8XPlzi2A1MKvDgvkrTB538B7nKG/rFNjIT/u4bmzsiyntxf +PPt+gcFBPJu14j9PwI4VLuM7uisyp+mgqUCMVOXD9YHNvCdXsaHPST1JkgAG867nZ6EyCwO19gA 1y4Oxp4kaici7KhD5gMDlz5DtnKME5lXjNNVLw/XX+hCUu1PwklTgqMUPBaZDcw3jO38AdFYQL3J 1KqpbEGvXhNCQ8hH6TE6Sfg0mHmEKLwNWInx+mj8FL7Vj/b5uy+wxWGZc5HtzYJ/TeeCUZYhSkyT pyw320OriZAr+rhcu+PcLW6D4OAufSt96lyvruTYO3XVYx/ThKp7m6d6wsD4Qigl95Bjmrn4imdo LiAqM2f4v5Ws7HtiQua6GqVzadY2iNMhPVtcIzHQkKiUYefnzwq2aa7Ic4gBvOkQhdB8doLvpC48 NhDMiAwzFRWgjnWbbjNNEmgMsmLJu6BYnSq8DIa9NdWAZcvK4EtqkPTbmcVlRriods3pnLTzFQ9v 9jateoxNZhrmwR8PkhFWY3YS6RDYfPw5uA+v3QCg3ysjfbGiPdlbWydsi7y2d29eeDd/h15EUD/N E49zboUSl/6YnskJAB0kOyaehiBOJmyEr//KgRzM898QEhSBptsbH/lAUrBOLXDk1hzzPVPQLprA Xj+BVj0qUd1HWRgoBeor9WSp3VCE0R2raoteo98EUYIbkeP3PwGKQke2LQ80b9idOLcY05B6mXlR gkXaaUzxguISy2PJAJMZ0XJQOVu/YD3X0xzNchsO46y72DCZPCSAlDe6z3caLMI6Rml/FCN5yVzs 87ioWmvGXH7n+fNm4JNm8hYdVZk3tdtlnBaJpx3/LSQnp7r9ZChjeb8wePkQyM1NcHObtlYDrnco +cGwBirnW+Pe3YTzGNX9scoAaasMfH6M8inLlj50ked6GqNMDUA0PK9NW4aF7rwbz79jx943VZFF 5Nli+VgaVzc6EuU4IW+XadyDkAFjmhdeIscGeGW8gdqzwCKZU1l2A3vXlaCzbRabT3sruVrkGeQ8 EKXvS6GB84BNe2txV2446AI19deWyS0Dz5mSL7dXC8NiPMy0xjAZNqoFNFq2i1ocjG1LWpHeazsK CaEmxbk70fwAtaLx34ow5eL5am2EJ1ienAfjookkhVRUXpKLUmD1q/F1PR7iI9vUSVVfJ9gqc8gA bQmiL7KUwOL/l4gZZaw+ZweGkznzxcdZt9XiqoAPUXZJoMD5WW+Czh2ldikHVrloGmOM/6l5oqX1 azw0Z+PrM01bvFhvet0vFeCLltCVHgNUth9/vVmvv4PPINTHaCeiO/o6mX2zyROgjhVfWSJ6Q8Oc iXxGEbLxEDBMfPL7QefMhtaiJ4KtHtS4D9wsAHTTTqyqM0pAHuf6qyp61KeSG7wcv6yraKSb2M1f 9ESyFLuSXyQESNL3KYboD4ScDs67uKXzZRqgwr3tt1c3sIHR0Qiv/pEn8eQibnZxjFfltmPeOjxM 0nXBWaS06yVBCuMo1kzc63r94/nN7yT0r1swaZQVEcFhnyDcCKG1Pp+sTqEXM4acMpXVYvL7DtFt uvs+BzH0TMHP7LbmkpEOOQsH0LFnZqkojGo7xSQG8vu1RtKdb0Y/drRbEB75EMz57RC77AyAIPeO jJJwKwxYGwAnlIMwLgcgEgLgqcZnZooNDbBSodpbN4xMgARKBfNswhkQwuXqQ1Vo306n6U6BjvAl pL6mZVfPRW2KczTozfbuPtZow50iju7W6loLhFbFKMsMSgHG5uAGhuE7nMNpWHORUrQzHN49M3lI 2oiNR9DQtBSz7koPieZpbQbFrAEvJyTcHwLkM9Qu/lsgr5IYzhWsaXEt5l4UmrhTFJ8E1jwkFeaB iBNmLj++Qv/5ATWZ+AlpH0jmb0zV1MjR2U7DiZyXV9r4smra/ZeUYDvfoKx+KEC19ldzDFAE6KKa T1XiVsa777dMmIZHSoC5N2Rlcg1LH/bXerliPuX1igHcSYvefdoyBvfV4rfviRVznPdajFE7wAjQ Noy1/OtQmeI6r4/G7wfmy7mg9oBekeq72tg61Q+8o9brK0BjXm0qyH3akD88a6urkm8FSHcWb07t plVgAwF304IaLeG/HN1FyeLylYiEMVgASJbf2u8MSlZNt57G4sMhgpejYqFNLEuklOszMjOqs0Ya 796kv5RuDNd5EbUnMQZqk3RdVa/b79j2CyMwY7fmQuNy1BVVChk32CVqDDp8SizMl5QZrHi83zZv hrRdBGLUEcHUC43Nxydt/qyBUW2WPd2t+dck0jrXQzF+OSdVtxkpAbmAID/s1aCjoJ9TKl86GVY0 dxm3UsBxW9EsaC+RSgixbePTG/+GrhTqob5kpoz/hR+0kf4rjF5Snwe2WL/BHKUYtXi8h+ax5ONz AuQta+/FTK6VpY8eft8zwbL9F9o2sUKRAyqgsBxpmAEfnqbsamPvTcFPvbSeCchVa2WV4Rd4AViT UmDr2bdGYFFrdTQC8UNK5Mr7ukXjz8euc82UaEGygrfzrSn4CeHbB5mBQoABIa+JfTzXpfGLRtN4 JcJ/7nM9FF1Kgc9HYIxEwOyWp8zbbEacsVsQByIk4cqtAKc+Gu72m8InSrZ+De8M72GIPji8Y1t/ 5zADCH98YzGxMBVR6jUQe07mgCGpWcq9c4z72keegmImiAN4aBDkr78GpIAd+jO2ArUPiLzspbVJ 5DlioGe+uK/xPhXQNi5DcDHgbpTj61BZ8bSEQiwFGwcv0ywYVF8EyUE9hh7zEfAN6WJKTYzJT8li IR0cloQMBYUBWqQKe2ytf5WRZPftVn2youk6mD3pzEWa1ecZuePy47k7LWZ1gPZqMnI/qzSYO8Qe EX5L/Iu7gWkryrQY5I2+QpKqBmj3F5EWzZxnqiuBen6D09/3RN5BToCOEcW3vXNPzFUt/iTFrUdW OY2V/tjnPTCpawK2y80LUSffx9GJP5/TCDzsTURtNnsEUhArd6F5kYEXVhLb/hKqX0wmjTg+fkPg 4mzCAox8FJidoEVo4tjVBVA1ZWETvIUeFy0TCox1654fG4NLAf0NKn0VhEPs+d6ob9BMVzizRjGw Ou/qEmWZKFB+DZQzVSBLMpfsMk/NwZsnG5DcsuYuLVNPVdzKm7brBBYzxYi/xwNAjY/Gf2BVYDb4 Ur6kD9GUXXn7vfMeJPXmmo35cic3vICsCoszxkW18gEwptvBMJDrxaSjvwjPfmBN4KR2prXP9GzW kIeyP6l2IWISDcIYA6To1GthoJnwfYfYJ88zgqzKbQFDOrsGTr0kdbWLrKzV5de7CDnvESX6Xv3F jN1xg5gzp/28uxkZpxI6Ri4xh9i+5j5cvZRQz4OfJpeyJgG0m7+ZjHzl7gdCqwLhcxo67l0KE1mJ UgsJ7bRtJepO82KbqYjub8aywdorETH8kEP1c1wknGDy+AvCIUkD2NyvH2xspek5DL72TXJmDBFW lzvm6i9OxrfO3yDo8rBe65CHueprCOj8xpdxz3ds7gNm0HXVBgId9tpChq/Fgy5UXlPUM/vh4XTh ANayrtAfnEsM8pumdjsjifEwaDQ7OgxodehtfY40cJZAV2EPvU3+rVWrY2sc9Q1R/rf0PkhtmtBG Rga7VGJczr9Ez0r9F8kuzkPQvli5I/ERM4tM8HtofdPGBQc9icSzJXMm5HQJDexexQVK0zo1myvr 7Ym+IWXG74p336RpSNhS+Z3udBJMNQa9njvQ+TVpGFhkRvtqslOl1db8XHheMdPIEplvCFbRSgry +/06VCLm97J5QOQwTftX1n5gLVnRacnZBSwQaY7ScYG/BhTU6tkV2VN9LUT2OIdZ686Ki9ucokEd c3W6bg4mTkSsmjm3bDrJ/hB+qtK41YjWcrnSeEAQ2Cl7CYOFdxZ2WMfILlnEMyvEEQ6Jbu+Y4vpO d3Mqe0oNBXxS1ESKnsq+ctbSElNlYwa7103tY15RUYxuB9s8rDbeOknmxsVtL+NjT9rF7yrKQt88 D+lSMnUK+yGdfqCz+Z2MvRFRvC/M5RKLOoJnEqznMzok+EVsZ5kb6tLlrj8Sh9+0W1Aw2wrv70jm briwINHLHR5IjwYTXHyqFS0TlC8Wd4dtBzc0s2simHd4GEfBNHtsuPRO5JOuyNagnjmZEhszHLZ0 U9weyYL7nje3aY2aRCFx/g4qkkHyn8R4pdX9rtuLq28Z8Xd8xVCOvJlnbxqwe8+SsULmuWdTrZT8 K/bNjAmDjcjcNkkO8tbndbR8oMz+ZaWbSRzfY3tPDXaUdzWkk8RTnV1z2/wX5NAR2iD555GnqxTy sSECiN+i+A6Reli2laQ7y1t7dIEIwv+8buVHXeSCKXxdh1kIz7FQsMXkLqdHfGZvT2PFUhCEp1DS q1bQxMGcxiY6amOzzpjS7n6kFkgQMu0tm6ZA4Wb/zrm08HBYOMRabM8WgWowsbjcesgz5qAs/SsV HqrisivaGqNJfxH9S5m3dGpd0E0JWmmEIrZ/A+nyEBDpKrfEzOTofCmktX8lALhtXR4QmG8OMShh U79avdhER1HOWe3D3UGMLKQCf7TGf1KWFaVL8qm6j+yIn7FkSWpJZIxrHfwZWZzfMcPbxdFrKwVZ unoNwlihK1MKQ3iR9q6zt4lM3FOaj1Z6OtBdVV/pcDTk5K78LnOBV9KIwRd/HA8bXQ9EslUxY+I2 9jzS/UfkKNhOjbzyzY3hZwSclRpR09q3HcUS36O6LGWLvrnmC94cxn4IVniihNDlirVbRG7Pw7hY PFGRyny09+0nkoTXWBmE17oofXvxl1GZALGZufULXDpcGWy5h5IVoXIa0UMH1lt3umrU7hl+OMby de8XXBxPeglHDjw7iyOYPpDOHQl92Mv1NjbQJY8EWpW+rqfF5AG96pjgu2aeCWOGxF3QVXtbPpkt 9O6d+EX4hmt5qaC2EzpIzmCH8lDFxw4Jc+aZXMm9d+R8zd3bz1jBLRbxKwp9PpD3WHkgS99FkcRD qp6Q6nxW50iJIjBCzoimASkPOQRaWue+Jv7aKZUcJTU9LMW+tj/mZwVcNxlwgbe/8jU/wYRaFIaS y+C1KjR4lXaIXbSp44H3NtszlYdCA7Gyg8zAt320d6vfoQOIKgJDzyBSRc11OiW/FaJeXuMve7fo 133iscEkwLr3RPlKjW+McE93yJbtwQiL9PEjklWMjroR6iwuVbNKWaliIC5rI09rJuM3Lv3MaE9v xR7T28D20lvA8MPFtnet+f4gcomQrfFla5KAQxpqzBj5E3e/J8jKEhI6PT5wVCtjlf2/ghnrXav4 6X1IZlvhKAzFGkAJpWVxPuUr2O2U6OortyJwdgRw4xt9gis9I23Xv21JBrkKBSMLLJsiEEUycSnt PTqoUvMHP4aWFqkA/ld5PXzgc8yb5TEBuWZeQWsYua6ppMG4i/65bjm49aAmNl84tNZJk2w7Pz65 GTggyrMO8MBDMan3oShbr+W6JLrFKKJX5ijjotGyPI2V/dyl04SpzqZr5AM/ELWFlZIQ3D4F/R3z WLqP9Tm+QzHs6SofYxIu9wFlfLm62KoqWOk0JYNAmPMSTsibwSIR4ljOolN6zzPqWipi5FbeVDxI uSiN68ZpNnq/RKb/hLMeMKtJXHTUYI0dH3osyO/Wcxvg6Ia17V2xLHtQfgMuEj3Enrz3gHZMiXsh 4KiE2CCTOI/7DiGlvCy05aKl/u6rhjcQB4nLqwFKbyPC23qLsjB8ak6EbNptrwyq8XRP3X7NfH0L pqkcDDXOcN4/hT/p2YCiOJ2bVem2yukT7jFFGx/1MER49EnIVKLQbcrzvCTm8kJW/V38VqVJYHXW p+PG7qBCu7nSvs0Zo+iQ3ZoyXB9/KlbvlpCp1uboX44aRg2pK8LtXCnruvzcmKkwar5ViaQ9TBTZ faL82173fk6z7I+GIaoSNFfIxG3NNIJ90cXG+vDCEoLvOdBEo/t5NvGTWbyDNOpXLMdjgkRUUI3I 4YS0byMwdsfuH5W2OffitVto1H/7pXiptv5eRNSTskPYRzUkYVo0rdb8B1x8KTGJxbvbVlDKo7wm gfmrXdg2rHyo/UWt3KTBHE16M/EwPdyEnti6RW4pvZHrakzAeZ3+VoPpcqVi0VSk7aY1kr14Jvv/ XXJXD9uIMWKGhnqrOkSQAMpbD7JCnIngrTbJ5M4WPSnSK/PqXeZ2EtgM6PVsLFwwZ/U7nHBSuU4A kQZq0UFuR2lYGMonWRb0BnaVxICph5iVLA/tj4w3qcOBegF7DOh05FO1FjiozWrNYf58ZldmOeJe QayMQvCxHWosQTwlujrHmvu94PTXrRY9xRuFVFFD7LXc3VLlpil3HS/zQv/bvYmggBpD0G6uqBj6 tBd/xHt9MSua5LgNu6AURsFCpHd27qCqbQC3jRI7sTE7n2BoR6z1D/S35BdtaMv7qz231qF/oM0h 1rmJZrs1K5UomvendQKpMl4JHU05QGHijkalMHBT998YD/WsLvfA3udBG0Om48qKpe8/BxSx8bcg JTW2tlWcZCwQK4zI/CjjK0s6Q82ZVEXQxcWiJ8krX8wTkXGfCS/T1q9KCGqgWwcpYpZReYBH6YDA cSRpP7qOKuQ7a2JluaJqBji44xeQZmjTyXI4Q4HIgblq0OXrzY/J8lTaRGZepol7UeSgl6FeejuB +Nd8TEwml5btTmaOR70HEYL6ULF6Q800O91sFglBo4zaCkV4zQZ1ZBFGT1owbWSFVI8KZHTvF7gc NQ4dmFCP69h5NZSa3CwrZvHPvM0U3g1Vo2J6OKUrINpU9hdkXC3+jQ9KmXNAnCMbi9bTCyUs57O4 VJNF7Ozl16fK8PSUoltRqZXSoAH5iuRg0e58RTXFIVizq7Hmy+6ISAInI1kHW091YCLS/Hn+M9Mw z6ei66CLsINNopBNSmaqo/XkRkBO2WRMMrSkA6w13y0wjQo8A4F+EWj945TQq+M3PqWPTGQw/Lwv u+KW1KNRSffxiF2N3nZlpWd2DxeSbn6yc3Cn+7OK89KwbWnVxRtfkMJJW8R42Uhbj7wEt0l6dnt/ MwLq5XIv3uQmlHVUUIVEqTqfyskhs1PPdAWRLZsKS+hbVc1AcLT52r7q9ezN8oowdEQe+4/txuYA rB3sHOmAn2gx6i01iURFiYtCfSZW8GgXOkRDQAMoflpL6xw7pKTYIWhAwXKc7Bu5X2aQodC5n8kc ATJZg1qMxGXDApM4XKKdc3j+TfC354RVA3QWkOydYgyYoONVI9q9mhJ3RtGIAcI3E+Hzp1CWKJdQ IVY2nBFDHy/7QYZrm0E13iMuw3XIgccBC8CI1nHb8lC0pX13cc4DHcsgl/AclmVONf5UlfkXiRue a/oulgmB6uGNWhNnV3ukzT32w6JS1VxS2l9adAla1oeQqNvODvjqX43h2LWhHWI2wPA3ZWEpsR7l LWf2Og/SRtCLwBMZCmySuX6ETWUI6cmaGeOnhuHBV7k40o95qREV5DHfuiNgOeRkLa6YXg5EYQxX 87Gu83yciqd2IJHnd38LnBlE5aCBWZe3lmhbjUJGC847TIpFygmUG0eQddBH82qnOP4XJ88p+D7f 0bnSRtXJN0sEEA6jEE6SVE44rCdSde1OWaCDcEjDA77Vxqt2yRLkakppD7hQNUusPn72REvNFdnb KcPgeXMPdpvtTfHOB/A3V/qZJIB3mSfhRFzQYpWJJrMupQqdHxJ19FNcZrrs4QFGqbFRiIRkV7xT XUgUZdWD/cvKsBtf98BxfRpNMGQsZpTnhvO4azHPs6NmGiN2hOyQfr8RUEB4CIlpxHS2gcuFQjJi XofbdKhYbMmfJmbFXc1VZfBVm8GFxHBLTyuKWl0T0+Kp1d9aZ/AQ973n3shWK9ri59dbSZ3ywx3/ /GgTpKIq/v4ZG9Onw2mvnS0vgAMvLhsSswmXy7Jqgz+sBpBlsNQJSPEsnH7Fyy/DaX5Xg9Tw7fCE b193ZBAZiuEhbMKTtaJlHmcWdQiviza7U9ZmdVoA8mcUxMIzT56yeWjBakx3hEBPT5DGgTQ3H4jn wH9fjTRKMsTn3nJKuryy2P6Kf17Llwk01gKnTGY/ORfCvwPbiSrqHLirTKUz4rMXo66PAt2B3UDj enLNlFbGO+g1OO7hRzjsLhVZJISMOPi4JlZ0ckLr0I7v8DRhf9BIg4tlLtGQHj0ICUpkxmUI5MZb xJT0vsZlMvJYMSs6Y1MiFOynI05+fHR1DXqH+wo0jd1MBbAJJb92THOxv2eHt9T0CFfhz7Dpub6K wo1adW0mmk+8c0v2r/5MTURxLnAiSxwsYpuexTgUmXSF+pwgX3TZ47M+Yrxnixcl8aI0kYBoCxFA 13DkxYDemWiUwysQ7xXJsS+qOH4iSMvTGVDPwvg6iKRGt93TMFrW7FiE5RR2JzUaJ/iaKOxFjodr PBvaXOY0jSBKZzwr8e/z+179ED3liGL2r/sZNj8gbjlgp3vpvCXu7X494I5a9sdrPIVjtiApzYMt NkhQzHKHk0LQ3b1Mkgat+2pHLzovUiCFoIzIi3YD+cDUzeKiE+7WY0XVqdK1H2pA0helqo0MlzbO iju3hJD9bU7hz6+nYZJZ/Q1aNPM8T1I4mjjys1Ef+d0dqABFr90OQoDY8QiOuVBMB/AqtT/ovFZg OEtqRMmnV53LbmanVjYUSNQ02KAM86GXC5DoVsmsh1mnaY1RrqUm/sg8GecUPJvATZEKyxLyAVFg VbO5QGzpeLoqfETbKuyDc8rd6B0wnfI+SWKgFpSHZBXQGRe7m6s5v4q4t+65WTd/tth1/j0nlR3T k4znZvT9rWNvKyw3IMaQD9j5HL44MVBOt01NTwzTkhwji0ahI+LHrtEl1Tg5yAKlAspYoApwqddM lWAWKvAYnWZ+vkTeLynr6IYuAV6lZQd2M8TT3FvtXkJnOFY2/+yV5bku0ebdiwx2NZB6JhNF4d3H YwpC6Vl3vWLmBv5ctSySADBcNYIYUOwAw2RDSUT741/48JY8Di7DgeNclseoQgK6tAaT+Cqn9RT3 hpBUXBOEhfYISpbSGWHWrVKBXSpoJlUHIkvoGtQsD0FRUem6rOcMIgKcMcXfMTFMfiwdNpxJVeJF cz5W4rswv4ezg3ES6tGwMsVhU6dolliIffmeTCiG6kfNZgARQc/PYZEPDhvsho9Xf5k4oqcKRYHC tToYnB4ib1h/bFaMfVYzJpC8xKKZtE0+o9lrUI0dwo06oagDzV+ceMscFqeQc5nkCaTquRdUg/m9 oc6LeGzggRSnApLwqVgtEj0eoLYJRHS8GYsy8gs7mXdUoKt0GlpDR0iZdxhuzjuIO7M2fP3MME6d qRx6xx7VH+I9OcXlGO6nrpdhc1W0oJUIlJKfoSp6vIdPNjtGglv/7LZzfXvBvH6Oi0ekZPsjSIFD QuBBSQ4eIZpzTDaxW3b6m5KrZwyuJnusOrCabZbAkl3xXSXlgRao8/Nk6E98+y2TOCfOpMZgpNe+ vmMbgE5CQQ2RJ7TqnDK5BtS62BDM2RFcuG6qPhzFsZz5758Jgb2a1LIGfaErUHlJXp9ybJhfhIMG Z9xYZ/waedM6NKm+G6jqNaN3lajz0T68r56G92VFPKeKPVU1+5ZJcrPaX+1/6OKZVo8OBdarR0AP Okv23QLU98Ovuh3Ij4Z3CS0lIzrxjmBLXtNVyFkXiLhR3OXhtnYzw9JY6Tz6rJ/UvaJNA4jXVuSQ y+p/xpMh75mzqfFV4qZoHxJjTMaHq8DxvxYagQsDSu+/5enTy6VqHrUT45n9F2cQKDtnIt3+uJX4 kQlr+NzHz4R3ZiF6qebZUimqKorsiWqriYBSnZevLikk+jhQShpmn8hZ39Viit6XJ3P+VcfJNQuK 5Zh9V3TaTQdZ6Lg2AR9j8lf1S9qzDy8igYjUGHQ9Q4dFO/MkOzOBDE0MSuAPrpT/P6gbVfRml9ks WWN+hM5s0eyLmDBJdWYZV9JCwmuMhdBpeTBOOviEf/0vrqFfjZ0xjwf4BzmmSNHBlSI1uwTaqZUp cP7bGQ9soNu7H/VvNtstGZieAHxIoKqsHSe0P72nCYw3YSBhDDb+MS77I7RoCG1t0Hf1ou9oHKue G9bEU7Cpdy4R4jAPuFd+H7bI+4OkD7qHG+Nz0Tk5ggtaQ15sli7dgl0P7RGpfliSUTYp6ydWSWkA JcsQLpZ8hOPWOeLa24kFb3tXODNN8nKOmkSCIw0AiwRk16yOy22Y3kvEWJBOtEJrVLDFSEydz4Cb PqrJPFBQ9RgpVo2YhkJatib/Z56uA1TaKiOmdtCHQ9v6sqOZQ5IXVBdpw2L+2g4yNEJe4aLN3I+1 UdudnKbt5Mii8B5EbNRC0GLi/tA5ZhyH4HyGm7HrHkebQ2gduAOIAyinMRQywhoxgJUn2CbYfAuB OjX6SmqByDaHzrma8ZKi0TQM7ZySkKnk2UiI+gOGuuPyVBtavcLTJGllGA0pSpUZBprSpHAZj2jL jt05Po7BPICKkSg3Rzpw/4AO26+xYQCG4iUKUzBhW64yh7XdkynEl8napzD56yMVTvkzTsA/XRGS HnraMjjmEM+Z1ZzC/cWnQqbGA9/2N13K7bbNM42bmKL2A4kqgTFNz+msVVQ55WcZXdjsWFUzxx0N la09ggufqiS6NS+9fVV7Lz7XpjXStF8B8pfphVK5slDUBQpCVFXaOHCLgzh0AAhrpl7y+CFZDO/H icXDaITo0sSEOI5d+3lOlTlLef9QgTdNwvxsAZ3M3X2aXshej+yMA4DcEPL3aDK+045uE+64MCt6 KZW18GTjOTd81nfbzRh3shbnlqqPG+3jSK/gArCT3YgPkHElsDLbK1DgnyEJKRz1Ew84XLfm8Dv6 L/c4F0TsfbdjTTRjzp9oI3AhRD70Fs1oCObpCDRvPHHrEv+k2ShIIPF7RWGIYaNCMF/EgDAJcGob pc5g770BTNvVZpjXIJw1GzHicPJazd5DXNqjGRKQ3D38cHcyvERCy7Bp71+5sXFU4FIzK81AFB11 BN2JI+ubxiforUCQ0k2muCwNA8I1FCURw4NfQS2nayoi98LXBLOKaQVbr5WLZWyp+LhmSkEUI8cK t3onKBSu4TWUDy3PpVXZXVwDSMjZagYCwGTHNN7tlsw4UHpx+l4gAxE3yLPWDWWcneyaS3XDBl1F +SeQYx/lmeG0ctyJ3nV5GXvD6hMS8HYL5hLpV0xIkuvA4yN2fWdycEJcVamv1P7YfPKr+xD3Obck AWnprakxzFr27sc3f554zarNCDKECQZVDO/POmkwHPt5SLXagrYY0hby5pYYdLocdPYfW3688b6w 8w2siZEHu4gcOTAN/I0nvy7tTp6H4gvNiR82QkLN5Bg4FM5K22hMZOyW1XyXuMT0rdmLxAFYR1+u ya3iORLBxU/r1gd4CdLGNJzf1Hbwg8RyBIiQ6iL2OvoAactbysqUAMf3f0fuCOqJSN0tBlgs8TAj T64lnzss1uMVDa10gdgttTv7mm1TbFYHvbKwEV7ZZY6O9RCfqgFEaRz09spkjEmMdK8GuJqBuf1z CylE2cOItsVWKyjiq3OSU6hzaTVCmCvdzfblkG4wsExtl/7I87p01b+VS2KTwKoN+M5+tFYkJShz VyWiaWE2bYhrfGsNaR/leiTC/nLMVwUSkILGjHzwxgiMYhFxOs7lRWR1kt+EBOPha7gAmcMXYSJm 9JTOL/I0676MLYAtg3JQMGgH4WDtrkm53CV+MTiSW3PAsXHbDoCBqbHU0MJmzCVFNLttosXu8Tag t19A5H0s4vUtzSEVd4T7YST+Yjg6DBrqwUadvgKZLJ8ghj435z0BRWxFDWqN6iXPXPK5XtHehmwM cGMmhFBNkReKSWL3/W9GmegV285/36zLu81zEU+wko/1HrTGnbXMztfrNl4Wnky7PAbrn5GHGB7S njHlThkdBVUVDDeVH3RRjFjaEMssDxamI2JNTtQQXe0ugWQVmHmwmChMOEvTuaurFXvQA1R3QDfZ FzWpwtXCP1QoN5blMcSkd10mag8xSG2t9Wm8rkdnk7W0AMG3WU5bovdLBZRyxhFmULhXNaUMesEH mwMaZKB9djKXb/2Wqum5DZAVeT8vXTlsMtpZ4JxttY3NyhCXTJNyxInBxlEAWCn9cd6XpRQtTigJ NeF3hceXEkyGniKQb/6RCfkeVM5GFnJyL71Eq9gnXbpkFc4bf5/Urp2iEXMXwNpfCfhUOn4IWmvY MET9gFSzRKKRoTFcC42rD7VLOeWYnV/Oc8c9O8t0vV0GbeBz0bNQcdnd3f3GTyjercZ469VNSaFJ I/Xmqc6BIdCaFKWYmGKey/TO5JgF0eOYV5E8wedcste2G0FDQhgmoWa4bGtIyk/D1E8IzdtBW4Cz 1HeDNLpbf0U8jAnL0p4neraCrE91Li/jH21jUBA4BGwrR3DXxNlqYYMRyYaw9U7iCMUoelvqztYF M9wJHuhYmAWHV1YzFFwJKPI2TERy0FR7J5zMLN4taEItvYs3dVzFrjjEuWHbr0Gm27v9LDR2O3c7 t4F5OvmwnFvtip3STvaPG2JAjNU32KtzYaB5HTw58eop9GyyCiv6i24tqVL2dyem2uNr26QZT8ex QCNhNHxbf85JX6PxvKAwFUv4WlWUS17g2LP0EdycDM6Dr/15PaleAQ1bMpIztmSw7nTTIyetRW6s Eqd052FR0dLHBTqF4eK2g38aCbHMKxD1GeA/8iT5Z7wU1uDsQNX/lHJQbhZDRtrwjYweLryRdGHJ FoMJVFKTTt3fQdRrrJokkcj1C6U08nzdh3oO9yjXl76u/UOa/QDLz9uIGfWiFlKyWPADD1aflg3F 3iV9XhVJdnshjaCDC3clFIhsl8Buc2GrOmlZAJRW/YmtOAEDLVFO4/4/AaQeEGOMLB2h9mvttvyg kFlHbVjgo8SJxLZK9ledrBXE7hzwwuFq3zSbEIWHAgJyrNxqQnYwWj2s1OIm4bAQADt4Vvd8Ql+k 64mainSBQ840ccMLdm2+98/ePx+z8NSDSCG5ReRoYsqfbUUHUDIjx3FT8fWltpcbdSdOiH11QYJ3 GOq3eygeVcXN/3hYJDBya9pyFCr7vjIeAp63v67wsYfZExQDqaY7wnxVYgW9dwyjEHqIFaAbdZiL 03aX90eWFm/DmsFmzeAANTo0sE5koW/60QP2LgjoVEB+VUzuPECYU/Gj2GrgHLO6v+58qR11l0i+ hzVb8R41QHYB/3Myf5ZguhX9u/BhtGAjjy1Hf4T5xm7M2IdifACyMGAX6g4kwkqpeWu+prz8gYH4 OIb/6AXTvSIIkvXse7lG439KuScERRW8FK7kqmEqsswufxrOiVZX8x015H4SOK6HYN4WsLPZdkA/ T8vryRAHC3yhwDDfseIHXQ9r39DMHjEtmmwQoFJVmlttuGvosY8nViKSSLT3yA2XoIG25m3rvT7L AbB3c/p/9eRZeS8UtLpsBdSd1EtiLJHyPGizK9W7WCP+MMYFKY9DUG8Ig3nO+Qy3dUd/1dCmTA11 Fig0XjRtizndiLocqMdBPXyOIc7jjK9RsPCVgyTlae3BGKnzeK6u/B1G8cUAmj2siNanLqJ39F8l <KEY> R//<KEY> `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 13 downto 0 ); dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); douta : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "prgrom,blk_mem_gen_v8_4_4,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "blk_mem_gen_v8_4_4,Vivado 2021.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 14; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 14; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "14"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 13.776802 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "prgrom.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "prgrom.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 16384; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 16384; attribute C_READ_LATENCY_A : integer; attribute C_READ_LATENCY_A of U0 : label is 1; attribute C_READ_LATENCY_B : integer; attribute C_READ_LATENCY_B of U0 : label is 1; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 32; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 32; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 16384; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 16384; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 32; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 32; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of U0 : label is "true"; attribute x_interface_info : string; attribute x_interface_info of clka : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of clka : signal is "XIL_INTERFACENAME BRAM_PORTA, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1"; attribute x_interface_info of addra : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; attribute x_interface_info of dina : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; attribute x_interface_info of douta : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; attribute x_interface_info of wea : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_4 port map ( addra(13 downto 0) => addra(13 downto 0), addrb(13 downto 0) => B"00000000000000", clka => clka, clkb => '0', dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(31 downto 0) => dina(31 downto 0), dinb(31 downto 0) => B"00000000000000000000000000000000", douta(31 downto 0) => douta(31 downto 0), doutb(31 downto 0) => NLW_U0_doutb_UNCONNECTED(31 downto 0), eccpipece => '0', ena => '0', enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(13 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(13 downto 0), regcea => '0', regceb => '0', rsta => '0', rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => '0', rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(13 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(13 downto 0), s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
-- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2019.1 (win64) Build 2552052 Fri May 24 14:49:42 MDT 2019 -- Date : Tue Aug 11 14:15:45 2020 -- Host : DESKTOP-AUBSA4O running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top design_1_c_counter_binary_0_0 -prefix -- design_1_c_counter_binary_0_0_ design_1_c_counter_binary_0_0_sim_netlist.vhdl -- Design : design_1_c_counter_binary_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2019.1" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block <KEY> `protect key_keyowner="Synopsys", key_keyname="<KEY>", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Xilinx", key_keyname="xilinxt_2019_02", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block ijV0yStg7uRIl3uzK8/hlbIaWGHa9aPC5Eu/o1vErrwtArYsGFt3RCyG/S90FB6jkuLgqwPR8ZlQ P9t/F2FWmEkwwjGbdrRKFfpbkjh5HVn0vvLKCP3SiVHXCOWxxb5z8BV+yCNdpgdnsHFecK1M8ydQ C530kRu3UD1LcnZcWJi41LcJAc5rvlw/SP1gbl+I1qsRNEHsb+MK5vyjgwBZAqKyqi7/UK1VEPdq myeWeCRrU0GqEq5y/PHBMknv1SqNe0d5qzG1rmAtC4df+iivMCc9xuHsCA7iqoe+ZKnMmnA/8F6+ <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Synplicity", key_keyname="<KEY>", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29056) `protect data_block <KEY> <KEY> `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 is port ( CLK : in STD_LOGIC; CE : in STD_LOGIC; SCLR : in STD_LOGIC; SSET : in STD_LOGIC; SINIT : in STD_LOGIC; UP : in STD_LOGIC; LOAD : in STD_LOGIC; L : in STD_LOGIC_VECTOR ( 31 downto 0 ); THRESH0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute C_AINIT_VAL : string; attribute C_AINIT_VAL of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "0"; attribute C_CE_OVERRIDES_SYNC : integer; attribute C_CE_OVERRIDES_SYNC of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_COUNT_BY : string; attribute C_COUNT_BY of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "1"; attribute C_COUNT_MODE : integer; attribute C_COUNT_MODE of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_COUNT_TO : string; attribute C_COUNT_TO of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "1"; attribute C_FB_LATENCY : integer; attribute C_FB_LATENCY of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_LOAD : integer; attribute C_HAS_LOAD of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_SINIT : integer; attribute C_HAS_SINIT of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_SSET : integer; attribute C_HAS_SSET of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_HAS_THRESH0 : integer; attribute C_HAS_THRESH0 of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_IMPLEMENTATION : integer; attribute C_IMPLEMENTATION of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 1; attribute C_LOAD_LOW : integer; attribute C_LOAD_LOW of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_RESTRICT_COUNT : integer; attribute C_RESTRICT_COUNT of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_SCLR_OVERRIDES_SSET : integer; attribute C_SCLR_OVERRIDES_SSET of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 1; attribute C_SINIT_VAL : string; attribute C_SINIT_VAL of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "0"; attribute C_THRESH0_VALUE : string; attribute C_THRESH0_VALUE of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "1"; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 0; attribute C_WIDTH : integer; attribute C_WIDTH of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is 32; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "zynq"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 : entity is "yes"; end design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13; architecture STRUCTURE of design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 is signal \<const1>\ : STD_LOGIC; signal NLW_i_synth_THRESH0_UNCONNECTED : STD_LOGIC; attribute C_AINIT_VAL of i_synth : label is "0"; attribute C_CE_OVERRIDES_SYNC of i_synth : label is 0; attribute C_FB_LATENCY of i_synth : label is 0; attribute C_HAS_CE of i_synth : label is 0; attribute C_HAS_SCLR of i_synth : label is 0; attribute C_HAS_SINIT of i_synth : label is 0; attribute C_HAS_SSET of i_synth : label is 0; attribute C_IMPLEMENTATION of i_synth : label is 0; attribute C_SCLR_OVERRIDES_SSET of i_synth : label is 1; attribute C_SINIT_VAL of i_synth : label is "0"; attribute C_VERBOSITY of i_synth : label is 0; attribute C_WIDTH of i_synth : label is 32; attribute C_XDEVICEFAMILY of i_synth : label is "zynq"; attribute c_count_by of i_synth : label is "1"; attribute c_count_mode of i_synth : label is 0; attribute c_count_to of i_synth : label is "1"; attribute c_has_load of i_synth : label is 0; attribute c_has_thresh0 of i_synth : label is 0; attribute c_latency of i_synth : label is 1; attribute c_load_low of i_synth : label is 0; attribute c_restrict_count of i_synth : label is 0; attribute c_thresh0_value of i_synth : label is "1"; attribute downgradeipidentifiedwarnings of i_synth : label is "yes"; begin THRESH0 <= \<const1>\; VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); i_synth: entity work.design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13_viv port map ( CE => '0', CLK => CLK, L(31 downto 0) => B"00000000000000000000000000000000", LOAD => '0', Q(31 downto 0) => Q(31 downto 0), SCLR => '0', SINIT => '0', SSET => '0', THRESH0 => NLW_i_synth_THRESH0_UNCONNECTED, UP => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity design_1_c_counter_binary_0_0 is port ( CLK : in STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of design_1_c_counter_binary_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of design_1_c_counter_binary_0_0 : entity is "design_1_c_counter_binary_0_0,c_counter_binary_v12_0_13,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of design_1_c_counter_binary_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of design_1_c_counter_binary_0_0 : entity is "c_counter_binary_v12_0_13,Vivado 2019.1"; end design_1_c_counter_binary_0_0; architecture STRUCTURE of design_1_c_counter_binary_0_0 is signal NLW_U0_THRESH0_UNCONNECTED : STD_LOGIC; attribute C_AINIT_VAL : string; attribute C_AINIT_VAL of U0 : label is "0"; attribute C_CE_OVERRIDES_SYNC : integer; attribute C_CE_OVERRIDES_SYNC of U0 : label is 0; attribute C_FB_LATENCY : integer; attribute C_FB_LATENCY of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_SINIT : integer; attribute C_HAS_SINIT of U0 : label is 0; attribute C_HAS_SSET : integer; attribute C_HAS_SSET of U0 : label is 0; attribute C_IMPLEMENTATION : integer; attribute C_IMPLEMENTATION of U0 : label is 0; attribute C_SCLR_OVERRIDES_SSET : integer; attribute C_SCLR_OVERRIDES_SSET of U0 : label is 1; attribute C_SINIT_VAL : string; attribute C_SINIT_VAL of U0 : label is "0"; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_WIDTH : integer; attribute C_WIDTH of U0 : label is 32; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute c_count_by : string; attribute c_count_by of U0 : label is "1"; attribute c_count_mode : integer; attribute c_count_mode of U0 : label is 0; attribute c_count_to : string; attribute c_count_to of U0 : label is "1"; attribute c_has_load : integer; attribute c_has_load of U0 : label is 0; attribute c_has_thresh0 : integer; attribute c_has_thresh0 of U0 : label is 0; attribute c_latency : integer; attribute c_latency of U0 : label is 1; attribute c_load_low : integer; attribute c_load_low of U0 : label is 0; attribute c_restrict_count : integer; attribute c_restrict_count of U0 : label is 0; attribute c_thresh0_value : string; attribute c_thresh0_value of U0 : label is "1"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute x_interface_info : string; attribute x_interface_info of CLK : signal is "xilinx.com:signal:clock:1.0 clk_intf CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of CLK : signal is "XIL_INTERFACENAME clk_intf, ASSOCIATED_BUSIF q_intf:thresh0_intf:l_intf:load_intf:up_intf:sinit_intf:sset_intf, ASSOCIATED_RESET SCLR, ASSOCIATED_CLKEN CE, FREQ_HZ 125000000, PHASE 0.0, CLK_DOMAIN design_1_clk_wiz_0_0_clk_out1, INSERT_VIP 0"; attribute x_interface_info of Q : signal is "xilinx.com:signal:data:1.0 q_intf DATA"; attribute x_interface_parameter of Q : signal is "XIL_INTERFACENAME q_intf, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {DATA {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value data} bitwidth {attribs {resolve_type generated dependency bitwidth format long minimum {} maximum {}} value 32} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} integer {signed {attribs {resolve_type immediate dependency {} format bool minimum {} maximum {}} value false}}}} DATA_WIDTH 32}"; begin U0: entity work.design_1_c_counter_binary_0_0_c_counter_binary_v12_0_13 port map ( CE => '1', CLK => CLK, L(31 downto 0) => B"00000000000000000000000000000000", LOAD => '0', Q(31 downto 0) => Q(31 downto 0), SCLR => '0', SINIT => '0', SSET => '0', THRESH0 => NLW_U0_THRESH0_UNCONNECTED, UP => '1' ); end STRUCTURE;
--------------------------------------------------------------------------------------------------- --! @Author: <NAME> <<EMAIL>> -- --! @brief i2c master testbench for data acquisition from sensor --! @details performs all the operatations required for data acquisitionas in the HDC1080 --! @details temperature and humidity sensor --! @details -- --------------------------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library vunit_lib; context vunit_lib.vunit_context; entity i2c_master_data_tb is generic (runner_cfg : string); end i2c_master_data_tb; architecture rtl of i2c_master_data_tb is --------------------- -- user defined types --------------------- type sensor_data_t is array (natural range <>) of std_logic_vector(7 downto 0); ------------ -- constants ------------ -- I2C slave address (pmod hygro) constant C_I2C_SLAVE_ADDR : std_logic_vector(6 downto 0) := "1000000"; -- system clock period constant C_CLK_SYS : time := 10.0 ns; -- clock in Hz constant C_CLK_100MHZ : integer := 10_000_000; constant C_CLK_100KHZ : integer := 100_000; -- slave address: 0x40 (TI, HDC1080 temperature/humidity sensor) constant C_SLAVE_ADDR : std_logic_vector(6 downto 0) := "1000000"; constant C_SLAVE_BAD_ADDR : std_logic_vector(6 downto 0) := "1111010"; -- mode constant C_MODE_WR : std_logic := '0'; constant C_MODE_RD : std_logic := '1'; -- data constant C_WRITE_DATA : std_logic_vector(7 downto 0) := "00000000"; constant C_READ_DATA : std_logic_vector(7 downto 0) := "11001100"; constant C_SENSOR_DATA : sensor_data_t := ( X"66", -- temp. MSB X"1C", -- temp. LSB X"94", -- hum. MSB X"07" -- hum. LSB ); ---------- -- signals ---------- -- reset signal, active high signal s_rst : std_logic := '1'; -- i2c data and control signal s_clk : std_logic := '0'; signal s_enable : std_logic := '0'; signal s_addr : std_logic_vector(6 downto 0) := (others => '0'); signal s_mode : std_logic := '0'; signal s_data_wr : std_logic_vector(7 downto 0) := (others => '0'); signal s_busy : std_logic; signal s_data_rd : std_logic_vector(7 downto 0) := (others => '0'); signal s_ack_err : std_logic := '0'; signal s_scl : std_logic; signal s_sda : std_logic; -- sensor data signal s_sensor_data : sensor_data_t(0 to 3) := (X"00", X"00", X"00", X"00"); begin ----------------------- -- entity instantiation ----------------------- master_100khz: entity work.i2c_master generic map( INPUT_CLK => C_CLK_100MHZ, BUS_CLK => C_CLK_100KHZ, DEBUG => TRUE ) port map( clk => s_clk, reset => s_rst, ena => s_enable, addr => s_addr, rw => s_mode, data_wr => s_data_wr, busy => s_busy, data_rd => s_data_rd, ack_error => s_ack_err, sda => s_sda, scl => s_scl ); -------------------------- -- I2C Slave instantiation -------------------------- i2c_slv: entity work.i2c_slave generic map( SLAVE_ADDRESS => C_I2C_SLAVE_ADDR ) port map( i_clk => s_clk, i_rst => s_rst, io_scl => s_scl, io_sda => s_sda ); ------------------------------ -- generate sytem clock signal ------------------------------ clk_sys: process begin wait for C_CLK_SYS / 2; s_clk <= not s_clk; end process clk_sys; ------------------------------------------- -- generate stimulus for the driver and dut -- drivers the I2C Master ------------------------------------------- stimulus: process ----------------------- -- setup test case data ----------------------- procedure setup( constant address : in std_logic_vector(6 downto 0); constant wdata : in std_logic_vector(7 downto 0); constant mode : in std_logic) is begin s_enable <= '0'; s_addr <= address; s_mode <= mode; s_data_wr <= wdata; end procedure setup; -------------------- -- setup for reading -------------------- procedure setup_read( constant address : in std_logic_vector(6 downto 0) ) is begin s_enable <= '0'; s_addr <= address; s_mode <= C_MODE_RD; end procedure setup_read; ------------- -- enable DUT ------------- procedure set_enable(en : in std_logic) is begin s_enable <= en; end procedure set_enable; ---------------------------- -- initialise and enable dut ---------------------------- procedure init_dut(clk_ticks : in natural) is begin s_rst <= '1'; wait for C_CLK_SYS * clk_ticks; s_rst <= '0'; wait for C_CLK_SYS * clk_ticks; s_enable <= '1'; end procedure init_dut; procedure wait_not_busy is begin wait until s_busy = '0' or s_ack_err = '1'; end procedure wait_not_busy; ------------------------------------------- -- wait until test case finishes -- clk_ticks: number of clock ticks to wait ------------------------------------------- procedure wait_done(clk_ticks : in natural) is begin wait until s_busy ='1'; wait for C_CLK_SYS * 5 * clk_ticks; s_enable <= '0'; wait until s_busy = '0' or s_ack_err = '1'; wait for C_CLK_SYS * 20 * clk_ticks; end procedure wait_done; begin test_runner_setup(runner, runner_cfg); test_cases: while test_suite loop if run("sensor_data_acquisition") then info("----------------------------"); info("Test: sensor data acqisition"); info("----------------------------"); ------------------ -- write operation ------------------ info("step 1: Request sensor data"); -- initial conditions setup(C_SLAVE_ADDR, C_WRITE_DATA, C_MODE_WR); -- initialiase dut init_dut(5); -- execute until it is done wait_done(5); info("Slave 0x10, Data 0x00 written"); wait for 100 us; ---------------- -- check results ---------------- check_equal( s_ack_err, '0', result("for ACK") ); -- TODO: check data written on slave -- check_equal( -- s_i2c_slave.in_data, -- C_WRITE_DATA, -- result("for data received on slave")); ----------------- -- read operation ----------------- info("step 2: read sensor data (4 bytes)"); -- setup setup_read(C_SLAVE_ADDR); set_enable('1'); for i in 0 to 3 loop if i = 3 then set_enable('0'); end if; wait_not_busy; info("sensor data read: " & to_hstring(s_data_rd)); s_sensor_data(i) <= s_data_rd; end loop; set_enable('0'); wait for 10 us; -------------------- -- check sensor data -------------------- for i in 0 to 3 loop check_equal( s_sensor_data(i), C_SENSOR_DATA(i), result("for sensor data") ); end loop ; ---------------------- -- check for ACK error ---------------------- check_equal( s_ack_err, '0', result("for ACK") ); wait for 10 us; info("------------------------------"); info("----- test case finished -----"); info("------------------------------"); end if; end loop test_cases; info("-----------------------------------"); info("End of testbench. All tests passed."); info("-----------------------------------"); test_runner_cleanup(runner); end process stimulus; test_runner_watchdog(runner, 50 ms); end architecture rtl;
---------------------------------------------------------------------------------- --Copyright 2020 <NAME> --Licensed under the Apache License, Version 2.0 (the "License"); you may not --use this file except in compliance with the License. You may obtain a copy of --the License at -- http://www.apache.org/licenses/LICENSE-2.0 --Unless required by applicable law or agreed to in writing, software distributed --under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES --OR CONDITIONS OF ANY KIND, either express or implied. See the License for --the specific language governing permissions and limitations under the License. ---------------------------------------------------------------------------------- -- altera vhdl_input_version vhdl_2008 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library expert; use expert.std_logic_gray.all; library vunit_lib; context vunit_lib.vunit_context; entity std_logic_gray_tb is generic (runner_cfg : string); end std_logic_gray_tb; architecture simulation of std_logic_gray_tb is signal vector_unsigned : unsigned(7 downto 0) := x"55"; signal vector_signed : signed(7 downto 0) := x"f5"; signal vector_integer : integer := 32; signal vector_svl : std_logic_vector(7 downto 0) := x"f5"; constant ONE_svl : std_logic_vector(7 downto 0) := (0 => '1', others => '0'); constant ZERO_svl : std_logic_vector(7 downto 0) := (others => '0'); constant TOP_svl : std_logic_vector(7 downto 0) := (others => '1'); signal test_tmp : boolean; constant tmp_slice : std_logic_vector(23 downto 16) := x"05"; begin main : process variable tmp_svl1 : std_logic_vector(7 downto 0) := x"04"; variable tmp_svl2 : std_logic_vector(7 downto 0) := x"06"; variable tmp_gv : gray_vector(7 downto 0) := x"06"; variable tmp_int1 : integer := 4; variable tmp_uns1 : unsigned(7 downto 0) := x"04"; begin test_runner_setup(runner, runner_cfg); while test_suite loop if run("Sanity check for system.") then report "System Sane. Begin tests."; check_true(true, result("Sanity check for system.")); elsif run("Testing gray_encoder(std_logic_vector)") then check_equal( gray_encoder(tmp_svl1),tmp_svl2,result("gray_encoder(std_logic_vector)")); elsif run("Testing gray_decoder(std_logic_vector)") then check_equal( gray_decoder(tmp_svl2), tmp_svl1,result("gray_encoder(std_logic_vector)")); elsif run("testing constant creation") then tmp_gv := create_gray_vector("00000110"); for j in tmp_gv'range loop check_equal(tmp_gv(j),tmp_svl2(j), result("create_gray_vector(00000110)")); end loop; check_equal(translate2svl(tmp_gv),tmp_svl2, result("translate2svl(tmp_gv)")); elsif run("to_gray_vector(std_logic_vector)") then info(to_string(tmp_svl1)); info(to_string(translate2svl(to_gray_vector(tmp_svl1)))); check_true(to_gray_vector(tmp_svl1) = tmp_gv,result("to_gray_vector(std_logic_vector)")); elsif run("to_gray_vector(unsigned)") then info(to_string(tmp_uns1)); info(to_string(translate2svl(to_gray_vector(tmp_uns1)))); check_true(to_gray_vector(tmp_uns1) = tmp_gv,result("to_gray_vector(unsigned)")); elsif run("to_gray_vector(integer)") then info(to_string(tmp_int1)); info(to_string(translate2svl(to_gray_vector(tmp_int1,8)))); check_true(to_gray_vector(tmp_int1,8) = tmp_gv,result("to_gray_vector(integer,integer)")); elsif run("From gray_vector functions") then check_true(to_std_logic_vector(tmp_gv) = tmp_svl1,result("to_unsigned(gray_vector)")); check_true(to_unsigned(tmp_gv) = tmp_uns1,result("to_unsigned(gray_vector)")); check_true(to_integer(tmp_gv) = tmp_int1,result("to_integer(gray_vector)")); elsif run("Testing '+'") then tmp_int1 := to_integer(tmp_gv + tmp_gv); check_equal(tmp_int1,8,result("gray_vector + gray_vector")); tmp_int1 := to_integer(tmp_gv + 4); check_equal(tmp_int1,8,result("gray_vector + integer")); tmp_int1 := to_integer(tmp_gv + tmp_uns1); check_equal(tmp_int1,8,result("gray_vector + unsigned")); tmp_int1 := to_integer(tmp_gv + tmp_svl1); check_equal(tmp_int1,8,result("gray_vector + std_logic_vector")); elsif run("Testing '-'") then tmp_int1 := to_integer(tmp_gv - tmp_gv); check_equal(tmp_int1,0,result("gray_vector - gray_vector")); tmp_int1 := to_integer(tmp_gv - 4); check_equal(tmp_int1,0,result("gray_vector - integer")); tmp_int1 := to_integer(tmp_gv - tmp_uns1); check_equal(tmp_int1,0,result("gray_vector - unsigned")); tmp_int1 := to_integer(tmp_gv - tmp_svl1); check_equal(tmp_int1,0,result("gray_vector - std_logic_vector")); elsif run("Testing '*'") then Info("Operator '*' not implemented for GALOIS_VECTOR"); elsif run("Testing '/'") then Info("Operator '/' not implemented for GALOIS_VECTOR"); elsif run("Testing 'mod'") then Info("Operator 'mod' not implemented for GALOIS_VECTOR"); elsif run("Testing 'rem'") then Info("Operator 'rem' not implemented for GALOIS_VECTOR"); elsif run("Testing '='") then check_true(tmp_gv = tmp_gv, result("std_logic_vector = integer")); check_false(tmp_gv = (tmp_gv+1), result("std_logic_vector = integer.")); elsif run("Testing '/='") then check_true(tmp_gv /= (tmp_gv+1), result("std_logic_vector /= integer")); check_false(tmp_gv /= tmp_gv, result("std_logic_vector /= integer.")); elsif run("Testing '>'") then check_true(tmp_gv+1 > tmp_gv, result("std_logic_vector > integer")); check_false(tmp_gv > tmp_gv, result("std_logic_vector > integer.")); elsif run("Testing '<'") then check_true(tmp_gv < tmp_gv+1, result("std_logic_vector < integer")); check_false(tmp_gv < tmp_gv, result("std_logic_vector < integer.")); elsif run("Testing '<='") then check_true(tmp_gv <= tmp_gv, result("std_logic_vector <= integer")); check_false(tmp_gv+1 <= tmp_gv, result("std_logic_vector <= integer.")); elsif run("Testing '>='") then check_true(tmp_gv >= tmp_gv, result("std_logic_vector >= integer")); check_false(tmp_gv >= tmp_gv+1, result("std_logic_vector >= integer.")); elsif run("Testing 'rol'") then Info("Operator 'rol' not implemented for GALOIS_VECTOR"); elsif run("Testing 'ror'") then Info("Operator 'ror' not implemented for GALOIS_VECTOR"); end if; end loop; test_runner_cleanup(runner); -- Simulation ends here end process; end simulation;
<filename>vhdl/src/rf_blocks_tb/poly_dds_tb.vhd --! @file poly_dds_tb.vhd --! @brief Polyphase Direct Digital Synthesizer Testbench --! @author <NAME> (<EMAIL>) --! @date 2013-12-17 --! @copyright --! Copyright 2013 <NAME>, Jr. --! --! Licensed under the Apache License, Version 2.0 (the "License"); you may not --! use this file except in compliance with the License. You may obtain a copy --! of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT --! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the --! License for the specific language governing permissions and limitations --! under the License. --! Standard IEEE library library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; library boostdsp; use boostdsp.fixed_pkg.all; use boostdsp.util_pkg.all; use boostdsp.rf_blocks_pkg; --! Tests the boostdsp.dds entity. entity poly_dds_tb is end entity; architecture sim of poly_dds_tb is constant NUM_CHANNELS : positive := 16; constant CH_MAX : positive := NUM_CHANNELS - 1; constant clk_p : time := 10 ns; constant clk_hp : time := clk_p / 2; signal clk : std_logic := '0'; signal rst : std_logic := '1'; signal freq : ufixed(-1 downto -9) := to_ufixed(0.1, -1, -9); signal phase : ufixed(-1 downto -9) := to_ufixed(0, -1, -9); signal i_out : sfixed_vector(0 to CH_MAX)(1 downto -6); signal q_out : sfixed_vector(0 to CH_MAX)(1 downto -6); signal vis_i_out : signed_vector(0 to CH_MAX)(7 downto 0); signal vis_q_out : signed_vector(0 to CH_MAX)(7 downto 0); signal vis_combined_i : signed(7 downto 0); signal vis_combined_q : signed(7 downto 0); begin --! Polyphase DDS Unit Under Test uut: rf_blocks_pkg.poly_dds port map( clk => clk, rst => rst, freq => freq, phase => phase, i_out => i_out, q_out => q_out ); --! Clock generator clk_proc : process begin wait for clk_hp; clk <= not clk; end process; --! Reset generator rst_proc : process begin wait for clk_p * 4; rst <= '0'; wait; end process; --! Test the phase shifting input phase_test_proc : process begin wait for clk_p * 20; phase <= to_ufixed(0.2, phase); wait for clk_p * 20; phase <= to_ufixed(0.5, phase); wait for clk_p * 20; phase <= to_ufixed(0, phase); wait; end process; --! Visualize all generated sinusoids visualize : for i in i_out'range generate vis_i_out(i) <= sfixed_as_signed(i_out(i)); vis_q_out(i) <= sfixed_as_signed(q_out(i)); end generate; --! Upconvert and serialize all sinusoids to get high-speed sinusoids visualize_combined : process begin wait until rising_edge(clk); wait for clk_p / (i_out'length + 2); while true loop for i in i_out'range loop vis_combined_i <= vis_i_out(i); vis_combined_q <= vis_q_out(i); wait for clk_p / i_out'length; end loop; end loop; end process; end sim;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity RS_Read_Control is Port ( Local_Tag : in STD_LOGIC_VECTOR (4 downto 0); RS_Busy : in STD_LOGIC; RS_Op : in STD_LOGIC_VECTOR (3 downto 0); RS_Vj : in STD_LOGIC_VECTOR (31 downto 0); RS_Qj : in STD_LOGIC_VECTOR (4 downto 0); RS_Vk : in STD_LOGIC_VECTOR (31 downto 0); RS_Qk : in STD_LOGIC_VECTOR (4 downto 0); RS_Ready : out STD_LOGIC:= '0'; RS_Out : out STD_LOGIC_VECTOR (72 downto 0):= "0000000000000000000000000000000000000000000000000000000000000000000000000"); end RS_Read_Control; architecture Behavioral of RS_Read_Control is begin process(RS_Busy, RS_Op, RS_Vj, RS_Qj, RS_Vk, RS_Qk) begin if RS_Qj = "00000" and RS_Qk = "00000" and RS_Busy = '1' then RS_Ready <= '1'; RS_Out(72 downto 68) <= Local_Tag; RS_Out(67 downto 64) <= RS_Op; RS_Out(63 downto 32) <= RS_Vj; RS_Out(31 downto 0) <= RS_Vk; else RS_Ready <= '0'; RS_Out <= "0000000000000000000000000000000000000000000000000000000000000000000000000"; end if; end process; end Behavioral;